-- dump date 20240512_233939 -- class Genbank::CDS -- table cds_translation -- id translation QC762_0000010 MFSSSSKDMMNAAEGTEQPTEAGYEEVYVHPVLDLVSVSFTAHV DMLNTAAVGDDFDFDEDDADSADDDYPDDEDEYESDNANPPAPVGCLR QC762_0000020 MSNGTEVECQSHSLNVAGFDNGGFIEGRCCQPYFDATCCLPCPM TWAYPDTFGTMSEAANWVSVVKPEIGCVFLLLFGCAAG QC762_0000030 MMEGIAILTLQNRGKDPTKGERYILTQPNMYARGILFGKMKYEL GDHSYVRCPETGLVADIEFKTKGWVSGTYNAIGGTVKNEETGEVLYELSGLWSEEMFL RNVKTGHKEMFFNATKSKHSPPLSRPLEEQEERESQRLWAKTAQAVKERNHELATDEK TKIEETQREEAALRANEGVEWHPRLFRRVRGGPGGSEEGEEDLEWIINAQIDGKTPEK QAAQIMAIYPIIPGQKCEKRIVIPPRASFSEPRPQTAHSNDSNLINLNNDGPSADDSR APALTPTLPTKISEDSMSTKASTSLDPNHRSTAEIQTMLAATGDKAKAGPLIDFHDDM KKALPANPKRADTEDSQDDVFVDAQGKTLGIIMGVFKL QC762_0000040 MVMGLVSGRRRATSNASSRGSSVDGSDPIEDDTLVVEPDQGNVL SHIISQLRPGADLSRVVLPTFILEPRSMLERITNFMCHPEMLLPIPQIDDPVERF QC762_0000050 MMENEPPAISLQDDGKGSKRGRIMGKLFGRDRDRDRKGSQGAAD SRDLNDFFHGPGDTLQVTHAAPPMLAKLDTKSISRYPNALQVQGTGSNNSQQSLSIRS QNGSPKKCKPNRRGLVLRFAETPPEVMGEGGDICEVPTIEISKRRRARPPPSPIPPRH TDLGNSPRLPIREAQPSPGTFDPAPLRRTQTGFSTTSDSPDSDMSASRNPSARLLANP TVDHDERRRSFIEIQQAEMREAEGQAFVKAVRAASGDKSKWDEAEAAPPTPPEPEPGS AMTASPEPIQTPRFPPQPSPNPPTSAPPPIPAKRQRPHPSPIPPPPPEHAPPPYTPSM PQTNSPERLKHAARQHAHSPVSAVSAISAASSFHHPFATRQGSKLGDHALPVTPLMGG SSFQDVVSAAADDAMNTFVERTRHLFELFRLHAESVRPLLACTPNELARASLWWFLTG RMALENAIRDRPSTPESQMKNEICKQQAYTDLAKGYWLLEEIMPEIVNSGRSPVDREA EDVRATLASSLRKLSVSMKRNGFLPPEEPFLPQTLDRTIWLEYPQLPGDLKSMLWGSS SLALSQNQLASSGMSILETLPLGDSPSAFCFGRFQVSLFLMEKGREAQRIHLPCFISI VRPQSQPDILFVAASQNGAVQLRISGNKSTGPVWEDVRWRSDSCTLEVAMPRGFIVMI QCSQPAFQTLRSMYEFSNKVHSTLYPKQDEACVFRSTLRSFQYFDNDPQARQFPKEPA PNCEIAMFERLHKEGAATGPRTYHRGFRIAVITGPRTKTLSGVNQLYSPEAPIRFGFL RSDNNEPSLSLRFENGRFKGSMVMSFADEKERVRMHTLLIGTALHREESIFCESPLKG AWISERFGDSQDGGLTALSNLNWNKVRVINQDTDGDRAAHCVLSDKLRVIFEFKDGTM TDRINVAPGELKMRLDVQNPSCMMIFRQPQADITLAVTEANVSSESAQRLARALDTIK QRPTIRTIMFPKMEDLHTFEMAITGFKVLFDGIAATFAISRRRMVVPIHKKWEASATR IQVVQQEGITQILAFFSDFSHGQCMGFSLKGTDVFESFGKQGKAGLKIVDAKFPLPKV LQAGMDGAQDAADAAFLCLDLPELPGEHDDISIVFEDEAERDKLAACLPAPVKGGSRL IPKMKGSKE QC762_102890 MANFLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILMPNLYQNPAFDPKNRMNPSQLQNHFDAFYEDIWCEMCKYGEIEELVVCDNNNDHL IGNVYARFKYEDSAQKACDDLNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKNPSPELERELELSTKKWLKTRPRSRSPTRSPSPEPTRRRY QC762_102900 MATAVAAAELKQQGAIEAAQDPDSKVTADDAQQKIVEESRRAGV TAFTFNPDASPEEKKAQAQAAIPEGFHRNPGGVAIASDLDTDSKSVIDLPTPSKAGAL EVVKAENGKVIVGGHVEEDEDDWWEKTGWEPRFGWPAESSLEGESMLDHQTLLETQIP EKFFGDWYHNAAVIAFACLSSWLVAVLGGGLAWVFIIGAACSTYYRTSLRRVRRNFRD DITRELALKKLETDNESVEWINSFLVKFWPIYQPVLAQTVISSVDQVLSNATPAFLDS LKLKTFTLGSKPPRMEHVKTYPKAEDDIIIMDWMFSFTPNDTADMTSRQLKNKVNPKV VLEIRIGKAMVSKGLDVIVEDMAFSGLMRLKIKLQIPFPHVEKIEMSFLERPTIDYVC KPLGGETFGFDINFIPGLETFIMEQIHGTLAPMMYAPNVFPIEVAKMLAGTPVDQAIG VLAVTLHGAQGLKNTDKFAGTPDPYVQLSLNRRQVLAQTKVIKENASPRWNETHYIII TSFNDSLDFDIFDFNDFRKDKRIAQVSFPLENVEEVWEHENERLELTNDGKARGVLFS DIRFFPVLEPKKLEDGSLEPAPESNQGILRFTVEQAKELDGGKSMIGQLNPYATLTLN GKAVHTTKKLKRTNNPVWGENGSKEFLITDKAHAKLGVVIKDDRDIAGDQTVGNYQIK LEDMLELMAKGQDWYNLAGTKTGRVKMQAQWRPVAISGIATGSGGYVTPIGVLRLHFK HARNLRNVEALGKSDPYVRVVMSGIEKARTVTFKNNLNPDFDEVLYIPVHSARERLQL EVMDSENVGKDRSLGLTEISSGDYMVQGELGEWLVHDEKKEHEDGLRIHNKGTPKGTL TYTVAFYPTLNIADPEEEAEKEKKRLEEEKEAADEEKEEGKESDASSGLDVPRSTEAG KFSADLKEPATPLTPKTPGTPLSATFSSRRSHENREPPKVYLTPQELLKKESGLIIFK LMEADLPKSQTRIEVFVDDMAFPSYTSSVAKKSKTTFDEIGDCFIRELEFSKLTIKVS EKTDSKEGKKERVLARLTGNTLDTLKQCLNNPTVLKLKDDDGNVYSIQVSLKYVPVQM TLDPSESINNMGNLRVDVLDAQNLPSADSNGKSDPYCKFELNGVEVFKTKTVKKTLNP EWKEFFTIPIPSRTAAKFKATVWDWDFADKPDFLGAADINLEQLEPFRGQQFTYTLDG KSGTLRLRLLFTPDYVTRTRQGTSTLTGTFSVPGRIVTGVAGVPLKGGAAVGHGVAKG ASFLKRGFRSATGRRDDDEESVSSADIPIITTNGPDSGPGGGLKRSGGLSFPEAIPEA PPSPPGDKTAGLANGAGGLTLHHTRTRSVGASSVHSAIYPGASSGTATFNVVSATGFP PSADVYVIITQTKDGKTKQVGKTKHRKSSSGTIKFDETFKIQCTPDAQFKVEAKEHHT FGSDDPLGETLYFVDETNSGQEKQLSIGSGSVWIRSSFVPTEEKGLPDSPKSTSGIRR SFLSKKDLKVPGSSGS QC762_0000080 MDQADIPALLSRLASDEDAARKMAVFKLQSSINDPAFADVFISS GGLVILRRLIMTTGGNTLAYSLQSLSRLLEVDMGWDIFEGAGAGELVERVVELVVTNP LVNILRGAMSILVGVVGHQPGQGQKGGVVPGTFGFRALKPAVAVYPQFFELVIQQLQS ADHALCANALGLINALVRDAVVNDVASGTVAAGGAKGGTAAGGGGGGEDWSKFIKRLQ DLGLIKAVYNLLQSSSLQDLAHPLLEFQGLTKVLLRKWREVRVDLERPEHRRALKGLH LASAPDRRHVNGVAGGVVVPIPPQQEGTAGQSGTVTTTTTTRKTSRKHNPEKWRRLGF ETESPAAEFETAGFLGMMDLTDYVRKNEDGFQKLLLEQSTHPLNERCPVARASLAVTM ILYDHFEVDKSDLEDIRGYLALNDGKNNDRLFKPLLLQWSRLHTAGLHAFFRMWKATG AQREDFDKVAELVRILIEQVVGQASRTKDVLEVEDDLQEYDAGRLRELQMELLELSFE DQWGTHLFQVREELKHEALSFVKEQRIRCLLQGSWFTKPMSRNNNAHSRENSYQKRRL YQPWRYAKLSHNRRYLHYADFPERLAYDPGLEALTEKIDLSTISSVVSNVSAPNETEP VADPDAADSPAANSTASRHGAQVHKPTTKITIYSFVEDIPGGSRPESVAGNSTSAVAA TSNGEPKEQPILTLFPLNHSLASEWLDGLLMLLNQTPITAETNKLVTLVSEYGLKIRL LNVRLEQMYAGPVPGAGVVPSREGLDEDYFYEI QC762_0000090 MSLFQYLIPDRNNTPLIVALTASITTITLVILDKILYPPLPKLL RSPLKTVLPTLPEDELKNLEYKPDTFPGARDVETPYGSIRIYEFGPVTGPKVLFIHGI STPCTTLSKLALSLSNHPSHPCRVMLFDLFGRGFSDNPADLPHDARLYISQILIALAS SPISWTGSENAFKLVGYSLGGGIATHFAVSFPDLVRDLVLLAPAGMIRPASFGTLTRK VFTSGVVPRGLLHLATRRRLRRPIRSSTKRGKVTARLQERQDPASRLVEAETADDVGA DGEPRTGLERRVLRQVQQQLVVHEGFVDAFMGCLKDGPLMGQEGAYKRLALRGGDEGK TTAIILGEEDELVNPGEYEEDLKPLLEGGNVVWEVVKGGHDFPMTWAEEVLGVVYKVW GWE QC762_0000100 MSDSTSEAAPASTPLHSARDNLNEPFNAGDQAYILVSSGMVLLM IPGIAFLYSGLARRKSALSQIWVVMMSFSVIVFQWYFWGYSLALSPTATNGFIGNLDR FGLRNALGDESPGSPFIPELLYSFYQMQFAAVTAALVIGATAERGRVIPGMVFTFFWA TLVYCPLAYWAWGAEGWAFKWGVFDYAGGGPVEIGSGVSALAYSWVLGRRNEKMMLNF RPHNISLITLGTILLWFGWLGFNGGSAFGANLRAAMACWNTCLTAMFAAMTWCLLDFR LAKKWSLVGWCSGTISGLVAATPASGVITPWASVLLGVVTGVACNFGTKIKFYLRIDD ALDVFAEHAIGGIVGLIFNAFFAADYIIGLDGINLGVQGGFLNGNWAQLYKQIVYILA GCGYTFVVSALIAKAIDLVPGLHLRASTEAELLGMDDDQHGEFAYDYVEVRRDYLAWT PAEKEQRADGDVVVPQHGITGHQEMATRSSAPPTPPSEPATAVGKEEKVSGSATD QC762_0000110 MWFCSMKWLDTNSLRASDGGGDGGGGRTWSQKSRFRGGRRRTNH WTARSSFPDVALHPGSRNWKRRQSARVVGTPAQKETCDLRVALHPGPNPLSAVASQEA EKAGAEPAKR QC762_102940 MTSGVGHKVARLLGIQLQPKDPYHHVNDPRETAHFNTDQTFVEE SPRVDDFFLKLVPSGPQVWRYLVSLFPFLSWIGYYNLQWLAGDLVAGITIGAVVVPQG MAYARLANLDVQFGLYSSFMGVLVYWFFATSKDITIGPVAVMSQLTGAVVTDMAAVLP DVPGHVIASALALLAGAVVVSIGLIRCGWIVDIISLTSLSAFMTGSAICIAVGQVPSL MGLSGFSTRDPTYLVFINILKHLNTASMDAAMGLSALAMLYLIRGVCMWIGTHYPKHQ KLAFFISTLRVVFVIVLYTLISYLVNRSLPRGTARFKILFDVPRGFQNAAVPVINTSI VSNLMGYLPATVVVLLIEHIAISKSFGRVNNYRINPSQEMVAIGITNMLGPFLGGYAA TGSFSRTAIKSKAGVRTPFAGVITAFVVLLAIYALPAVFYYIPNASLSAVIIHAVGDL ITPPNTIYQFWLVSPFEVLIFFVGVFVTIFSSIENGIYTTVLLSAAMLLFRILRSKGR FLGRVKVQSMLGNRVIGNDRQQPVPGYGTFTGSQEAPTRNIFLPITHADGSNPEIELD NPYPGIFIYRFAEGFSYPNAGSSLEHLVEHIFAHTRRTNLSHFDRPGDRPWNEPGPSR KDMKAAAAAGVDAGIMGVDVSLPTLKAVILDFSSVNHVDITSVQQLIDVRNQLDRYAS PDIVDWHIACINNRWAKRALAAAGFGYPTVVPDGPHRRWRSIFSVAEIGGSHSAAAAA EVEVNEKEIARSRRQTAADVEVGNKQQKQQQHHHRGPNDPKKVGTGGSESVPRKPTVT FEHAVLSLHQKRMSLGPELHSGRTVVAPVHGINRPLFHVDLTSALQSAIANVEGRYEG LEEHH QC762_102950 MTLRDLKANPTEGLPSSTKETRTMSPMASLQAPVQLHSPPSPGS HRTLRRLQSAHSLGAKVASQGSLITQQRLQQQQQQQQHIQHHPPTQQQHARILNPPPI PPRRHVNTINRSPQRGRANSDAPITVPSPHTFGAAMTATRRSALNKRSPAADAMSLDK LLREGPPNGDIEGALESSRLKILDQGIKADSDGMSSLRIYVWLILLNAPVLETDSYLA LIHRGASPAYSKIRNDTFRTLTTDPLFRRRVSEASLIRLLNAIAWKLHDARAERTREP SICSSSRQSLDQPNSRPGTGYGSGYDSNPTSPMSKHRARALTLTTEGSEASMPLDPGT YVQGMNVLAAPFLYAARSEAEAFIAFHQLLTKELPGYIRGAMDGVHKGLALVDKVLSI VDPKLSLYLLSKNLTAEIYAFPSVLTLCACTPPLPEVLRLWDFLFAYGPHLNILCIVA QLVMIRTKIMESPSPNKLLRSFPALQADQIKRTTLAIIKMIPDDVYSDIIAHAR QC762_102960 MRLPCILTLGSASVVLAEDQPRNQKPLFPHPGTVTTPPQKFMSC SKTYGPDWKTCGDEATSRFCYSPAQGQSCCAVDNGYCEKGTWCAPVAGYCCLDGENLE SCAQSAGFELPGSESSYLPSLKRSVSLLEDREVVVGEVKVSVAVKKKTLVGLGLGMGV AGLLMLSC QC762_102970 MDVSPGLGCPSPFTFSACEDAPEAGQSSGAQAQERPALRQRRPS HFKQRRKSLVNQFMEGEEGLLLKLDLFLTNLEKKLEDWESYGELSLDSGISAAYSTLQ AVQERCSQVSEEMMGAGRRRLHVMVETLESGYQDAMAAAESLNEKAKIGIEVLDDLLE DMENQAAKFRERGLANAAEVLMDEAHRVVDGGIERAMRAAESLEDHVQRAIARAREHG LLHYDDLPVPWRINPHIKKGYRFSETKLACVRSAFGFSNELVNIWSHAIGLVLVLAVA FYFYPTSTNFSLSSKADIFVAAVFFFAACQCLVCSTIWHTMNSVADVDLISMFACVDY TGISLLIASSIMTTEYTAFYCDPVSRYAYMITTALLGVGGVILPWHPKFNGADMAWAR VAFYCGLGATGFLPILQISLTRSFASAMEFYGPIGKSIGVYLLGAIVYASKVPERWCP GMFDYCGGSHNLWHIAVLGGILFHYKAMQAFFSHAFALAQDGCAVY QC762_102980 MTVDSQPHVVGVTHPTLVQALETSRAAREQAQSIVKLVAEAQTA IADGTGTKADFKLPIQKAKKPLWTNLAVLRGQHRRAHFKARETKALTAEARQEVDSLH LSLQNLHYEERHLELEIAACEGFEHTYQLLPLIPVEEFLALHPEHAESDENTLMVARI NHERTEREALEQQRLELQKQKTKLTTENKKRREDLANLDQKMEKFIDASRETDSENAR ARCLGWQT QC762_102985 MSAICAGGYNIQGLPCGVVHFDSGMDGDDDMGQNPTWCLWSCQS CLDEAIWQTRSPWTLNPTTNHEDG QC762_102990 MSIFGWCSQRAGGLAMMATVALSYWVISSELEAQRHGYKYQSND SLSAPNYDPQNGAIWVNIFSYYCLLIHFLVFCFPLRSCYAVFTIGRQLRKSARARTLK DIKFGHRRRSSSTSLSSSETLTSSRDLGSSSSSEVEDVDTDCYIDADIAPDRVIHAIV IPNYKEENDTLRETLEVLASHPQARNTYDVYLAMEQREHNAETKASRFVNEFSKKFRS INYTLHPSDIPGELAGKGSNMAWAARKLSERYSLGQRKDVIVTGIDADSHLSTNYFGL VTTMHMTYTETATTTLYSAPIIFDRNAHNVPAIVRVADVLWSAAGMSGLYKGSTVAPP TSVYSVPLELVDRVGGWDCDAEAIGEDLHMYLKCFFALNGNLTVRTVMSPVSQTNVTG GGHGKGIPGLIADVQARYKQALRHMWGALDTGYALRKVVEVWRERKHTSRAFRPLHTA LNDASDGYVPESQVSTADPEAAPESGIFSDVTTDTLKGVNYERMIVLFHRLFEAHFLP VQMTILVVASTLYAWVTDGAPDVHGVNWMFSICNILRTVGFMEVALYLFLYESFHKVC LEIREKEMSDAGLTKGMHFSRREAKTNFIDYIMVPLVAPIFGSIPCAQAQIYHFWTVD LVYTVSKKATRRRAKSVNLDSLV QC762_103000 MPLLPVAVYGQDVPPGQLVPAEIQFPATIRITMAALDPTAAPEA DEEGNIPAVHRSTLKIIRVVNDDEGDDEDEDEYLQKLLGGGDDEESDEESDEEANGGP SDPAKSKKAKRAAAIKKLMEATQEESDEEMEDVKPNGKKGKAKAEAEEESDEESDEDD EEEGELEEFVVCTLDTERTYQQPIDLVIGEGERVFFTVTGTHTVYVTGNYVVTEDEED EEDDEESDEDYDDDMRAVLEGDSDDDMSDELDEIDGAERIKEIDTDEEEAPKLVDTKK KGKKRAAEEEAEGLDELIAKDEKKNKKQKKNKSEAATTEAKESPSTKGDKKVQFAKNL EQGPTGPAKDKAAEKPAEKKALGVKVVNGVTIDDRKAGTGRTVKNGDRVGMRYIGKLQ NGKVFDSNKKGAPFSFKIGKGEVIKGWDIGILGMAVGGERRLTIPAHLAYGSKSLPGI PANSTLIFDVKLIEIK QC762_103010 MTSRTGQQHSEIASISLGAGYKLDDNNASVWSLARSDRASIQVT TAAARKRFRIFSAFKSLTNLASDKPPAVTTPAEPLSGHRGAKSIIPRGILSKMSSALV RGAPSPMEPTVIHRSTQPRSRRPKLQQQTSQQRHPHHHHHHHHHHHHQQQQQQQQEQA AVVQHIESFPLSPPQVITPDATTTAKNTDTTTVSSMPSTPQDNSANTSPKNSTGQTSM DSWMMKDQRRGGGGGGGSPPERLKQTARHGNHQHNIALPLSGVSSCSNPIIRHHAHHG HNHGQQHHRENPPQNHIDDTSTSPSPDSALTTIQESPFDLVTPSIVTVEKAAAAKIAL EGYFQEKFALGATDREKRRQGFENGLFVDAAAARGGKETRKGGLLTSGQIAAVRQGFF KQETRHLRETRVLKARGAGLLMREGVDGARENGFEEVQILGKGSFGVVKLVRQSGGGG GVFAMKCIRKGEMIKTQQEGHLKAERDFLIASEGCQWVVQLVAAFQDLKNLYLVTEYM PGGDFLGFLIRETTLPEEVAKFYVAEMILAVEATHSLKFIHRDIKPDNFLISASGHLK ISDFGLAFDGHWSHDLAYFTSHRYSLVNRLGLNVVGDKQDRKESRSTAAVLKWTSGIM TGIGKHQPKVGVGLGVEGDEKREPLLSWRNRNGNRGAAVSIMGTSQYMAPEVVKGECY DARCDYWSVAVILYECLYGSTPFYSEEGRSVTKKNILNHRETFRFPRQGPAVSTRCRN LLVSLIVDKEDRLSCKAYKMKDMIGQIGTGPGGQNQRGMTSSMSAPSLPALGVATMPG NISTGGGGPAQQQQQHQTQKDTRWTKEYVDKFVFPNDAEDIKGHKWFRSIAWEHLHQM PPPHVPVLDSPDDATYFDDESLSDWSESSLEDKLSEEEEDDEDEIENRRLEEEGYHGL SKRVLDQMVEEQKLMEERRREEEKERFLGKLGRRPSLQRWVMEAMRQAPFDIDYYMGL EKEIDKASPECGVTEEEKEVMKAFLLRYGCRVFRDGEPKGDAAKDKKEEKKKKRPRDK ILRDKEMGKVAMGVRRRTAFAGYEWVGCRGLNGGEVLGGGGGGGGQENVGLDGTSVMK PKPVQHSPQQQVQMQTPRQVVNVGAVGMDGGYDGSPESNSSPTYRWGPHPQIHLPPPH VHLPPPHALPPMTGHVFQPDPFWRPPPVAPQPQFTHVHHPQYHPLPPRQFSSFPFQQQ QQPDSRHFQLPPFRPPPPQQARNYSPYQSQYVQSQVSRQFSMPPQAQPQAPPPR QC762_103020 MSFLGKILYVTVLILNAIVVLSEDRFLARVNLTPATHNRSFGGP GGVDSSVKYNAIQLIASIRTLMRIPLIVINTLIIVYELVLG QC762_103030 MDYTHYTQDGRAQFLHPFSFCTKANIRFINVGFVVTHQMESFPE TPLVELYLGCWTGTGTGLYWTGITKHCVNFFQHVCSCLRDFLSAGYALLHVLDTVEVE SKNFWNAEEWRCYDYLPDLEYRVVRVPASRVGYMVPPSELIFLVG QC762_103040 MPRNDASSRFSSMTGSSTDSARSNITVKPLPSLPPSASSSSPFA ASSNQTSNASRSASHGSRRAAPSRLKTDENGQLSRSFKDSDAQVSPTSTSRLALSPSS ITSSNSIREHRMSDLADYRRDLAILDPAGGRTLRTQQNNPSSGSLSQIAPWMAAAPTP ASSGPLPTSFFNDSTDNLSLSSQTSPGLRNATARPSQTTTGSTESPETLYFTDERRPS IASITTTASSQGSRASGARGGIRKLQGFFGEEFPGRDSSEISLSHPIVGKEHRSHSYS HARPHRDRNYSNATDHGRDASPASRPRTPVPKPEVVPFLYQEADDIARYGEAPVRDIL SGPDRERFVNDSSQQNNPPKTSGSGRSGHSIGVHLTGHHHRHNKSNEDPRSLRPSVSR EDSTISVPKDRNGSSTMYGTRSRAQSPAPSTTGSYWGHKSGSTDGQTSPGQPKKSFLG RLGRRLKEKDDAPDLKKLGPASQSSLHSRPSRQELSKADGQFARGADGKYQPDVRDGI RPDLARPANGPQTFNKFSLSKKAPRSKTQDDLDEAIGPTDRQDGGTMFHLDTNLNNMD GILSKPAPLTPMNAHEIFDEVGSGKGSISYPSSNGAWNAPDSWAVLRDDDAGAQLPDT EDIGSPPRPEEKQHNYCIRVFRADGTFATLQMPLLTSVSELINQIVKKSYLQDPDKFK LVLKKHDLYKVLQSTDRPLLLQKRLLEQVGFEERDRIEDIGREDNSYLCRFLFYPFTD NSYEVMDQMEFLRSQKNNHIDLSGRSLSAIPVQLYPRANEIISLNLSRNLSLQVPRDF ISVCPNLRDIKFNNNEARALPKSFGYASRLTMLDASNNRLESLESAALHNLTGLLKLN LANNKLKQLPREFEAFAVLRTLNISSNLLNNFPPFLAKLENLVDLDLSFNTIQSLPDN VGQMTSLERLVITNNELSGSLPPSFKNLRSLRELDIKYNAISNIDVISQLPKLEILSA TRNNISQFSGTFERVRSIKLNWNPITKFEIKAPVPTLKALNLSNAQLASIDESFHNMS NLERLELDKNYFVSLPAHIGNLRRLEYFSIAHNSVGELPPEIGCLTELKRLDVRGNNI RKLPMELWWANKLDYLNASSNVLENFPKPASRAPHPPGETNGNTSFPTGRIGPPTGAL SQTPSAEELNDPSRRPSQASSSLLSVGPSPVPGGADRKSSMVSVYGKGGRKTSVISRS TTQSSTALATPTASSRKDSSHTQRLTNTFAGSLRYLYMADNQLDDDCFDQLCMLENLR VLNLSYNDLSDMPQRSIKSWPQLVELYLSGNELASLPADDLEEYSMLQTLHINGNKFT NLPADISRAKKLTVFDCGSNSLKYNIANVPYDWNWNLNPNLRYLNLSGNRRLEIKQSS VPTAAQNREQYTDFGRLTNLRVLGLMDVTVLNSTLPDQSEDRRVRTSGSLAGYMPYGM ADTLGSKNEHLSTIDLVVPRFNSNDSETLLGLFDGQALSSGGSKIAKYLQENFGHIFS QELRDLKNTENPADALRRSFLSLNKDLIAAGNTHTEDRSLMVHRGSTAPLVLSREDLN SGGVATIVYIQNQDLYVANVGDVQAMIIKSDSTHVMLTKKHDPADPNERTRIREAGGW VSRNGRLNDLLEVSRAFGYLDLMPAVQSAPNIERHTIGEHDEMILIATREVWEYLPKD VLVDVTRSVRQDPMRAAQKVRDLAMAYGCSNKMTVQMLGVSNLKARRERSRQHKGQSM PVYASLQDDGGSSTGMRRARKARDGPLDSTLGRLDAEVPAPTGLIAIVFTDIKNSTQL WETYPEAMRTAIKNHNELMRRQLRTIGGFEVKTEGDAFMVSFPTATSALLWCFAVQCK LLHLDWPAELYNSVNCQPVYDRDNNLIFKGLSVRMGIHWGEPLSEPDPVTRRMDYYGP MVNKASRISACADGGQIAVSSDFIAEIQRCLEHYQEPTSSAVDLNEDSFATAIRSELR SLSGQGFEVKDMGEKKLKGLENPEFIYSLYPHALSGRIETHSKHEKEQAQDLREIRPA ILSPGSELSVEPDDIWSLWRVALRLEMLCSMLEDNSKALQPPETGLLDRMRQRGGEVS EDFLVNFLDHQVSRIETCINTIYMRHLVSQSSTGSNFGALRGPMDEVLKVVAEQFQLV AEYKARYGDLRA QC762_0000240 MGTENRLSDSRLSSDASEIPTLTDIQICDLGLGIFPIAGGARSA LADGQPGNAQSRCAWLFLYEAVGSQIALLVS QC762_103050 MVTNPGKPKARVPWGLRSRTVGLSKSGQPLLQFVNGQPISQTSQ ESTARRLPDSPPTPRRGNERDFLMLPAPLSSPQRGSDAEEPPHDPGAITSSPVGQFAL DSPIPSPPKPPENSYQATARRSKIARKATESIDSSDDDGNDRIGRGHIRPTTFRTLAQ DASAAVLVFSDSKIVIPNSGAAPKPKDDVRTVGETTEKPIFDQPNPRKNQRTYGGRKH GDGGSGVFKKRDRTSTSQRESKRHKSSLDDENHDSNHSGDENKALPEALPAPDSSPGP PTISTPHHLGSSPSKPRGSLPNRISTLDETPESATQLPVHGIPDLLDSPLRADIDWTT TPTAATNRGRTRENDSNHLNRSSPVTYQFSQPGSPLSDAPSSPIELPPILKPSVCPLC KGEVDRDLLAKYEKTNPNRTVQSMHIFCTGHRKDTAREAWELNGYPDIDWRKLNHRIE KLYPFIQSILKGKQESHYAARFRESIKGGKNKTLRTSNENLTPGYYGIRGLRQMSENL IHEFSSVLRKRALEDGLIGARGYTAYLQAVLVPELATRLVMEDMKVGEEEAREILEGS SWVGDMLNDEVADVVFESDSEESD QC762_103060 MASSRKYAALPDLDSAPDIYETPELIDDTSTIPPTTVRSASDNE YDDDDDAAAISRSRLRIDQARSKFMPAAVDPSGVDFSDRVNGKRKSYKAASRRHRVLD DGTEELGDLSDEDDAGSLARKIARLRREIEEAKEEYGKQKAAADETTDETGVQEQEFE SLSKTLDEMARLGEPLAPRPVATPTPRITSAGEQPAGEIKGTASYTITYAPDYEQTHA LAKAADFDRRLVFLEKALGVGSAAMPEFDSNGLPRAIIPLVENLHKQISTLSEASTPT LDAISRRVRTLTQEAENLEKARRNAKQAQEALASAGAAPSGEGATPEDSEQIAKVNAL YSMIPTIENLSPLLPPLLDRLRSLRMIHADAATASDTLAQLEQKQAEMATDIQQWREG LEKLEVIINDASALREKNMGVISEWVKDLEGKMSKLS QC762_103070 MERGSFLLPLPQPSSPLDFGNFTILYFATATSFTGKNVESLPAP LSLRKLGDVLEERYKGIREGVLGHSLVTINLAYVDVPEEGEDDVIIQEGDEVAIIPPV SSG QC762_103075 MSSLNPLETPDDHLRRCWRQQSCSPCLSENACSWCPFTQSCTPN THPLPLLAPISQPDICPHWSERWEVRTQPLGCNISAITALSILLSILSTILLGLLAWT TTVAYRRLRRAEWDWTRASIPFNQPQSWTKWHSTSGRSRWASSAAPSSSPEEQQPLLN SQWDNVYRG QC762_103080 MSAFSNGAATTTKMSATASPNPALTSFPTLNTPKPTTNPANTNP STVIQNATSPVEIRAALAALHTRESSLTDRLTNLVSSQADLTRSLSRLDNLRAGLGAQ VIASRGISNSMLASASETASHLSSRVRTLDLEKSRVEDTLRVVEQVAELKACVAGVVG SMGAPQDWEAAAGYIARASKVPEEIVRGGFAAAVVPTVEVPDPPWVTLESARESLCNL FLREFRKAAEDGDGARVTRFFKLFPLIGRGDVGLDVYGQYVCQGVAGTARTVLKEGAS TVGGQGRKDGFFFANALTRLFEHIAHIVDGHGALVEKHYGTGKMVKVIERLQMEADVQ GGIILDSWSDERTVDRRLTDVRSYPFSFLVQSFVSQPNRGFGGTPRVNSPAVGGTNNG RQSEDEGVDMKEVDALLSEIAVMLGRWSLYSRFLAGKCREPESPDDAPLTMPEVLTKS NLGRKVSGKLVSPYNELTKFFFRRSVEKAFQLDEFPSGLTLRQNKAIESNPPFIISAV DDVMYIAKTVIEKSISTSQKDVVKEVIPTIQALLGTDFVGLIQRKMRDEYLPKPNVQG GFPPEDKIIAFIVLINSLDVANEYLERIVSGILRHPENPPADSQQPSSVLTAAFPFAS DAKEIVTRLQNLKTSFHLKSSELIKAGIEALFSEVVYARLRPVITSTFSDADYTISTE EELTELAAQSDKTLEEYLEQVPLTFELGWDALMKPISRLLTPKTYSQLMDKTAGYLAQ KVLEKRVWSYAKAGRALSAYGAIRLERDVNGIIGVVSKGNYGVREVFGKVGQILMVAN MEEEEWEELVAEEEEGIDGGMVWVLTEEEKLRARDIVREE QC762_103090 MKQENTPQDNLLASPSLLRKIDQLRERNIGQHVPLPQLVVVGDQ SSGKSSLLESLTGIPFPRDVELCTRYATQITQRRDHSTHVNITIIPGPNSSDEHKSHV EAYHGSALSGEDLRAKFPAILQEVNARMGIRMSSSAKGGTVFSEDILKIEICGPKEDY LTVIDVPGIFRNSTEGVTTKQDILLVRNMVTHYIRDNRTIILAVLPCNIDIANQEILT LAEEYDKKGERTLGILTKPDLVPEASGKDTICSIVENKRKQLTLGYYVVRSRGADQDD SGYESREQMFNEDPWRRLDRGRVGVRALKTKLGELLGEMAKREFPKLRKDIGEMLSRA EKERDGLGPARGTDTEQRIFLSHIADKFQELTKASLEARYHHDPAFEASFHLKLATLM AFLAEDFSREFEEKAAFRYFENEDSDTDDYDDDDDDDEVVDEDFLPMNPNQTLPAANA KRILRAATRDLDPEEFPELDGIISDRYEVEDPEDDIMDWITNLYVRSRGMELGHYTNA VQASAWREQSSKWPIISRAFTSRAIMIVQRFITDTLSIVCPDSTLRDTLWSCIQEEVL ERYKKATGFLEYLLSVEREINPYTLNKHFNRTRQEAHADRAASHILATLQEAEQAGGD NATVTIGQVRSSTRDKSNIQDVAEQLHDGLSSYYDVARKRFVDNVWTQVVQSHLLFGS NTPLRVFTQDWVIGLGAEQLDAIAGESSSVKEQRANLARKIKDLKEAKKILSF QC762_103100 MSTTENPVWLITGASSGFGFALAKEALSRSHTVIATSRSTSSEG MISLSSWGAITLPFDVTASEEVLSGVIAEAASLVPEGRITHVVNCAGYILEGAVEETT QEEVRKVFETNVLGSVNVARAVVGKLRETGGVLVQMGSLGSWMGGGGTKWAVSGLTEG LADELKPFGIRVCCVEPGYTRTSFLAEGGGHRVKAKNVMERYDGLGFREGLNAYDGQQ PGDVDRCAVAMVDVLTSTGMADGKEIPVRLVLGSDCLKVVRDKCESTLKLVDEWEAVT KFADGQK QC762_103110 MDVDKAGAATSSSTSVASAPADMPSRSRPPSLAQSHRPRLSKTD SIASVLSAHRNYLDSLPVPTKEEFDQINKIQTKREAEHKKQFRRNRRQQSIELVKSRR NSLISENGSVTKAATVIQRTYRGYRARRTLAGLGIDASTRWIHAVREAQWRALTRPRP RSEYLDSDSLYSPSFLSPSLSSPGDTNSDAGSSIMLTGTRSPTARLNWKKASTIARRA GGDADESSSTSSSSSSSSSSSSSSSSESEENLSKSQKQHLKQRRAESKARRKAASRTM GLQYFLEMIDHKHRYGSNLKVYHEEWQRADTQDSFFYWLDHGSGRNLSLEACPREVLE REQVRYLSREERQAYLVAIDESGRLCWAKNGAPIDTTEKWKDSINGIVPSDDPTPAYS PPSLESTTNFLGDSSTESSQSSSESPPSPHPPPSKKSSSSARRLFKMSPTTMLNKLLR HSVTPNTWIFVADTSFRLYVGIKNSGAFQHSSFLQGSRISAAGLIKIKNGKLHSLSPL SGHYRPPASNFRAFVQTLKGEGVDMSRVSISKSYAVLVGLEGYMKVKKRGREIKGLVG RGKEKKGEVVGRVGEGGEGEQEEREGEGGVEVVPGDGGGLAGGKGSIIDERS QC762_103120 MFDLEHIPPRFHSFHSVVLSVSFCIRPSFSASCEDHLWPAMELN STTIHDAIHPTAAFAQNRSALELALPTGNDTGQASWLESQLNPKNRVDSLDPLPNPLW RIDGCTAIGTQYYALPLHVGEVPPMRLDVFLPMETTRDPVLRDVLDLDAAFHTKDSTR LNRLGVTRHILRALQKWSLEGEGTAEQIAALYKDQPFGTRIILELGFSLESVKITVAT TWHVEKQLIRPKALVATLGLPEEVIPETIDISNLSIVQQLHDSVCLVRIHHHDEPEDG QKLWIFKALTSNTKYLVCELRNLLLMEPYPHVVSKPKYLVTKYCRFGGKTAVVGFIIP FYAAGSLRDTIPLLHIHGLLKPEMQIMWARQLASAVLHVRERGGIYYPDLRLDNVVLT AGGDLVMVDFEQRGVWCEFAAPEVNAIAYVHTLAVDEGAEEEGLEQEHGDDSRLLYNQ FIPGEERPNRWAEFLSRILPGWQVLEQDEMYTPLPHGYKSYNIPWLALDEAEQEAAEA YMLGRVLWCIFEGQCAPQHAAVWQSYKREPDYEFPEYRHTPLPIRDLIDGCTKGRRET LSKLVTRVGSKMVLRRKDGLVDVNCTPLEVLDAARDWWRVEVEVAERFLTMREELKGR GEWKGNWYGRLRLREVVQRLEEYEREVGVKEVDREVLAELLKRCK QC762_0000350 MALFSRGHLPDLGAGAGSPLVAFVRRRVLLLAHIPRNNAVRKRR AHPFEDPPSEPVLQDWTYSSAEPPALFHLNTKLDQYTPQDFSNIYVELPLEDVWCHPP LRESAASYLNVSVNQVENWFDSSNWHNPHKRQRLSTPNLLMAPNSGDLIGQGDHEKSP LSGDGWPGWTSQRLAQCFSSLCSPCDPAPSYRPLPQTPVYEYSPAIAPVPGPSMAPIS PQERTLTISPSNQASVLTRDDPATMARYYPPLRPTHVVGMPPSTTREEMVYPVAPPGL GDGLHHGPNYTAPGSHCLMGSTIKSEALSIMYGGGVVTTQYGASIGELAGLQDLYGLG LKDDTDRPDGPNFDTYPAHRNVPARRGAFKDQELRAKTAQTRKMGSCIRCKMQRIRCN LDPENENGPCIACRKVNVSTRIYRLNCLRLKIVDCKLYKPGQVPGHEWTSRWKDSVLD DIEVWAPGEQRTIRVTEGYTNMFVELRVREFVPQPGDKLERTWVDKNGVKQRREIPPF AIVDLEDAKNSYSRYIKRGLENCCMRLLGARDKLLHRTYFFAISRARDDRDHSLTESE RGLLGLTLDLWMTARLTTKTLEIIGNERLGMPLDLINDPTNDMHRKTPVPPVLGAQID SFLIHQIQSHLRRKTLEELQKMTQEKKQKTWLTTYLVTFILLHNIGLVTKHDANYARK HGMKVGDPAVCKSWVPGTDKM QC762_0000360 MGNTDGALGANTLLAYFHYCNKGIYPFSAECRDADLRSLAELDD DAIELVHYTRKYVAEHKAQWESMWKNEGDWKDQGLKKYEHEYYYVSQLFEPNWQPRNM P QC762_103140 MLHRTSSQHFPTMSALVSGPISRAAVAPITDVNVNLVAAPALPS GFPSHLDSELAWTPASLAVAADFILCLNEDDITEVNVAVAHFKTLDQDGDLVEPTNFP LPTLGPKLEKLSREVHNGKGFSVIRGLKPASYPVEDLSLIYLGLSSYIAEQRGRQDKR GNMLVHIVADNSTKLAAEHHRHSNKSITFHHEEAGDVISWLTRSTAATGGKCIISSAY TVYNVLAATRPDIIRTLARSDWPFALPRFQCRPVIFYQDNRLIMNFGRAALLGNEAHP RSQNLPSLTSSQMEALDAIESIARATEMEIQTQAGDIHFINNLAILHRREGFADGPTE KRHLVRMRLRSSREGWTIPTALEHEWERAFKEQGIKNWHLDPMPDFYFPLRSQPN QC762_103150 MAATSLRDFFRQVKAITKKNLVLLVTRHWISTLLQSIVAPIVVL ALVLNIRNFAKSRERLGVGHARPIRALAEAIPASQQLILVRPDFLGSDVDTVIDRLAA SLPNDKTIRFNSANEARGHCTPNFRGVSNCYATIVFKDSPLTVGVSNNQTWDYTVIVD PIRHYGMTLDSSVYDDDNAIQIYHLPVQLAVDNAITDSNERPREWAYSRTSQQYLNDN LDAWYSRLVIGTYAIVFFLSTLVPVYHTVSFVSGDRASGTAELIDAMGGGPAGRVTGT ILALSVVQFPTWLISGCLYQNLLFPETNAAVPIFWQLFSGLAFLNAAIFGASFFTKRI ISSIFVIICFCCLGGGAAIMLNRVAETPQVLPLSLLFPQMNYIFSLSHMAKFAYTAQP VNMSQAILTIPQEWNGQPIPGGNYQVALWTFWVFLVIQIMVYPILAIITERILHGTNF KNRTLSEAADDPTVAIRATGLTKVYSGSWIRRLFGCGQRGKTFKALDGVDLVAPKNQI LCLLGVNGAGKSTTLDLLSGAGAPTAGSITINARHPRLGVCPQRNVLFNRLTVFEHVQ FWRELKGGLEDKRALHDLIAACDLTKKTHCRAGTLSGGQKRKLQLACMFVGDTTVCMM DEVTTGLDPVSRRTIWNIILAERSKRSMVFTTHFLDEGEVLADHIIILSKGQIKCRGT GTALKNQLGGGYRVSIPMGGSTMADKLDLDAPRGIHQDRILYKTPDSKSAAQLIARLE AAGQTDIQVAGPTVEDVFLRVAQDDIAAVEEDGKKVSRTAVDIEKTASFTPAQQYQQL SFGQRPTFFQQVRALLLKRLLIIPRYWIGAFLVLALPIACMPPINGFIAQDFTRPGCK NLYAELQPSQQFDPYVSPVYMTSSTGLSSAMGPSSFTDTFYTVLRDFPIGNPPAPNIS DQYPVWSEPYSIDQFGDDWQQMTQWEDFSAYIHLAHARGFSGHWNTKALWMGGDGEPS PTLAWAIENAQHPPNIMALLNLYSSVRSGVKIRVSTSAEPFYGGGYGDGSWTYILYAA FIFTVYPCFFALYPAFERSSKVRALQISNGVRPLPMWTAYFLFDLCFVLVVSIAYTVT ISMQFPVWFGPEYMFIICLLHGITSIFVSYIVSTWAKSQLSSFLWALGFNLLAYFGLA LAYTLPSVLSDPLVVQRNADVISHVLGIFFPAGSLFRAMAVGWNLYQLGCRGDSDFQA PAGSWWGYGFPICYLVLQVIAFAFILCVLDKDLSLSLMPSRKEKTSPVTDNTAATLPS HQEEKLQPSLNSTPAENELLSISHISKSFGTNTAVSDVTFSLSQGEIIALLGPNGAGK TTVVNLIRGELAPDSGQVFLRGEDISSSSSAKSTINSAIGVCPQFDALDLLTARQHLR FYAKIKGIPPSETELNVTEVMNQVGLTPYADKLATKLSGGNKRKLSLAIALMGNPAVL VLDEPSSSMDAAAKRKMWKVLSHIASAPGRSLLLTTHSMEEADALATRAAILAGGKLL ALGTTEELRREYSDSVCVQLVLKSAPTPTQKEVETVEQWVRGQFGEGTVFEGRSLGGQ VRFVVPSLFVSAAPSRAATVTGKDDERDSVSAVSSKTEQQVVKGGVGRLIELIEESKE RLGLEDYTVGAPTLERVFLSVVRDNFVEEDGKVRVPFWRRWFAKAA QC762_103160 MEPVPETERMEEFRPTSLPSSRASSSASATPSTLTPVGPPEFDY LRKPPTIRRSTDPSPSSSPISPTWTPGSLLTYRPRASSPLSSNHVRSRSAASLAPPPM VRTQSMPGINGGGHFSLSPQFRPSSPAGSPSRIRVPRKPVDEAFPTSPTRISVHDPER KLAERNSSPNLALSLTSSATIPKLRRPASPLRQMAVPGAGSFPVNGSLTPSAVATSPS YRAYDAFSSTSTFSTYPSSSVPSTPTSLRSRSPSISSLETIPDSPDAEEAALEAERIA QLKAAAEAAEGGEEEKGRSSLDGPSRGRTLSGFSSRDKRKRWSVCGAERRQDLDLETI WED QC762_0000400 MQLPLLFGALIVLIRTVDAAPHLGRNHARSHQLLQELHRALTTS STTTTAESSTLTVIPSYMASAEVVGGAAAALAVSAGVVILNPLNPLLGITRPTSMTSE PVATTSSTTVEPSIFRSATSTTTVTPRSSTPSSCLSATTSLITLTETVDITVSTTAPA VTVTVTPPSSSPSSTTTSTTTSTSTSTITLRRMTSASSSFTTSTTTTPPTTKTTTITT TTTTRSTASITTTRSPVPLTTRPTITTTTSTRTPTTTSTRPATTSEQRTFFMSLFYNP PARPAVTNSVSTSTTLEIVKTTTTPPAEALEFVTATPVPTPTIEVEDPDCTESAGFAK GFGKERGGRARGRKGDWGVDEE QC762_103170 MCLIFTCGEHTFRKEVEGYEGIVCRCHNCGNYSASVIKSHPWFT FCFVPVIPLSMKGYEDVSCRVCNYSQPLSHRQDVQQMRGGGGQGIPMQPPPPGQGNQG WGHGPPPPNGQMQYK QC762_103180 MLYDLNIAWSPGLSPSDLQNTLRLSKTLGYDVVALNNTITGSQI PYSPSPITNPIPILNPQQPAGGGGAPSSITTPSTTASSSLPSSSLPTILRRATLEITD PATTNYRLPDFTRAYDLLALRPTSDRSFTWACNNTTDPPALISLDLTRPLGYHIHPRT AMAAVHRGSRFEVCYSQAVQLSSLNPESARARSIFIGNVQSLVRATKGRGIVISSEAK SALGLRGPADVVNLMAVWGLGPERGFEGLGTGARAVVVNEGVRRRGFRGVVDIVSVAE GGPERAKGEEEETNGKQGKKGQQQQGQGQKRKNNDKGGGGGIGGGGGGGQQKNNKKMR KEGMA QC762_103190 MRPHVSSLALFLGTLSLAQAAVYERDGARLARRQNAPSSAPNLA DGFMSIYDRIVARQEQVTVTETERQTITVGGDGAAGAVGNATETVTITETVGADGAAA VTVTAPPVTVTVCNGQVQEGGEAAQPSEGDVPPPAEGVEATATAPDGAVGAIETGADP VATPTPATDGLASGGVGVVVVQVPTEAIVTSAVETAPPAVPTEEAPPAADPAVTDPAA ADPAVTEAPAAGSDAPDTLLPLPTEDANPPAEGNPELLPPPGLSTEEPAAAEPTVDPV PGVVLSESTTTTAAEAGAEPTLAPLPGLDPNAGDAASSLAPLPGLESSTAEALPVETP TSTEGAAPAETTPAEESAPAEETASSNNPTPPAAASSLDSTLSLANPTPTVPLIPEGA FGGVVSLIPIASAEAPPPPAATTPAVANGAAEGVNNGGAAVPVVNIDLEGVKLESQLN LGGLVQATAAAAAVAI QC762_103200 MDPQSPPKRVTRARAAAKAVEPASKTTKIMTAAARTKTARGTLT TSSASTLNTTTSRGSLKRKSPFDDEEEEESDHEEQKPTKPSSSLAVKPTRGRGRPKKA TEAPSAPVLSTSTRARGRPRKTVETIASETAARTAQPKKTATEPEPAVAKKPTTRRPA TAATASTAAKSLAKPAIKKTVKFEVPEKENVAPPSRSSTQAASTTGALNKKPVRKGAT ATGRTARATKGATNAVSNTVSSTKDKPLPLSPKKIHQLAVSRAAESDDELGMDEKVPV RRFRKAPVKPVMGATKALASSLDRRHPTENDENVPVMPTSDATLTLMLGTPAKRLPPS PWKGSMKSPPRRVDGLFAASTSQAQPEGQVSPIKCSLLQTPAKRQPLAIPIALGSVGG SQVNPSPFKFSLLSSPAKRSVVSPIKSFPPRIKEEEEECKSPAPKPTLLASPMPAVPV PAEQEARTLSAEDGDMIMGNTDEEEEDDVAVVSPEIPEFPGRLSTILPRHADPVLALE TSLSEEEEEEKQEHEEVHIDDVVENNENKVDDEIGEQVEQVEQEEQEQEEEAEEEEGE EEEEVELIEQENDEAAAEDDSAETILQENEQVEEQCEEMAVDSADAEEPEEQARPAPK VAPALFQFGLRKKDLDPYQSEDTDSEDEAPTRQNPFSSAFTALPNTPCRRSSLRTPRA QTSQPRMSSGRSTAKRVRIDDSVGFTPLASQLNGWTAGPSPVKTNSKADTPLSVSHET DEEENTSTNEDLATPGLEPENGFFENEMLNRSDAMDVDEEAVDSSAEIETPILEDIIS TEEDAALAAEANEMSLMEPEQAEANMSNSSHDDTISEASQEYGDENELPVDPNISSRA TTTASPVRPVTPARTLRPREVHTVAKVPLKPADDSTPRPKPIQRAGSASRLPVSRPTD RVTRSATVISYTPTKTESVEEMEDEEEAQAKSVPPVTPAKGDIWSTLGTPARTPRRDL NPALLRGAVVFVDVHTTEGADASGIFVELLTQMGARCLKEWKWNPTNTDSKVGITHVV YKDGGKRTLEKVRQSEGVVQCVGVSWVLDCERENEWLEEGPYAVDTQIIPRGGARRRK SMEPRAMSNMNGTLIPAPVKGSTSSNSTPTNSSTTRTGNQTTPTTPASNRSSSRRASS LWVRTPEEPRISVDDIDSEDDREHKEDDDDTWGVVLTPVPKTPAPEAIARYVANISPG SDMSSVAGDDDEDEERKRQEMLTRTCPPKRATFIELGERVLNKEKDERVLMRLMAARR KSLQFAPKIGSPLAKSWR QC762_0000450 MEALLPSVKLVSDSAMDDLVIIHATVEKQQRNCRVGGIHRQVAP PSPRQQQSRKSTSGNSVPQFKSFNKKERSLAIVAVSP QC762_103220 MSIDLNWETVTGGPDGQELADSIRDFIHTKFQSVPLPRFIKSVT VHDFQFGTIPPEIELKDITDPLPDFYEENLDSDLASESGSEEDEEEIADDRRRRQTEA VLAGGAGTHNPSALPPHLSLGGLGGLGGLGAGGSRNGGDIGSPFLRVNTPGIPGGTSN LHYFHSQFATGLSGTQTPLAAVAGAHHLNSAAWLEGHGHSSSAPNLHQYGAPDFGGVD GQSTAPAPNQGLRRPLLQQPPSTHRRNPSQSSIDLNPSLGLTPPSPTVLSVPPFPPSS TGGPSPPPGLANPHHPHHPHHPHHHHAHHVHPLLREKHSVSTLAASAGPPSRPPTRDK TTPSHHPDPEDVHAPNTTTTNKQRSTSPATSSPLATSAQEQAEEEEEEEKRKLREKKV DDMQAVFRIRYAGDIKLLLTADILLDYPMPSFVGIPVRLSITGLTFDGVGVLAKIRKR VHFCFLSPEDAVAAVGQGENEVDGGEGDKQTGFKSPPGGGNGLGATKLGGLLQEIRVE SEIGQRESGKQSLKNVGKVERFVLEQVRRIFEEEFVYPSYWTFLV QC762_103230 MLNRQPYAPTPHSYVPNSTLSATINLDEEVKLADTRAERDLQDS LAEIFSIIVTLDELERAFLKDAIPEADYTEICERSLKQYKSLVADEAVARAFVGLEEF KAEWDLEVPRATERIRVGMPSTTVDASAGHHGGGGGGGGNGSKSENSGGKNPSGQLIL EATQDFITFLDALKLGLLAKDQLHPLLTDVIQSANKVTDRDFENRGKIVQWLITLNQM KATEELSEDQARELELDINSAYQGFKATL QC762_103240 MGASKKNAKATKKFEQKHLSGVLERRKAVAKIKQKQQIKEKKQA KRAKDDEFFKGADGTVKRPTNKKPGTQGTEMSVDDFFKGGFEILDKGAPTENGKTAAL GKRKRGEANAREETSDQSDGSDVDVSDNEEDVVTDSEAGFSDEEDEEDLGMSKNAMAA LAEKDPEFYKFLKENDPEALDFDENASLDEVDELSGSDEEDEQPKKKQKKGKKAQEEE EVDDSAHELTKAMVAKWEKALNETKSLKAAKQTVIAFRCAAHLNEEDEENPQRYSIKN PEVFHNILMVALKLIPEVLNHHLPVKESAAGRAYVQTETKKFKTLSNLIKSFAASIIR LLGTLSDDATVKLTLNALQPLLPYLLSFRKLLKVLIKTIVAFWSQPASSDSTRITAFL VIRRLTVVSDKGVREAVLKATYRGLFDNSKHTNHNTIQGINLMKNSAAELWGLDQSLG YTTAFTSIRQLAIHLRNSIINNKQVHNVYNWQFVHALDFWSCVLSEHCSPLKEAEAGK ESQLKLLIYPLVQVTLGVLRLIPTAIYFPLRFQLIRSLLRLSRATDTYIPLASCLLEV LSSAEMKKAPKQSTLKPLDFAVAYKAPKSYLRTRVYQDGVGEQVVELLSEFFVLWAKS IAFPEFSLPVVISLKRWLKEARKRSTGNKNGKLGGSLVLLVQKLEANAKFIEERRAKV EFAPKDRAQVEGFLKDLEVERTPVGAFVVGQRKLREERRRVVEEARKAEEGKRREEER EALEGGGGSDDEGGEEEEEDEMDVDEEELEADEEEEEGEDEEEMESE QC762_103250 MAPLASEPRQVLHILNHAKRRAFYGLLIEITAYMRSQLELKDLP ALHVHDRPSPLRIDPNARGSSIIDEEPTLIQVDNRNFVTQPNQELARITAAALEHFDK WRAETLTKLKELLAAQDDAKTMDERRKRTERMRQRKPAPAAAEGSLIDFGGGGSSSVR DDEAVRRERVTRLQLHWHPIPTRLTTISYEDRLESLSCILLELLSTGHYTAESRALAV YLASALEIPLDALNDEEIEIAKAMVEGSEEAAKQQRTRTMSADAEARKRQQQNQAGRF LKVGLASVAGAALIGVTGGLAAPVVAGAIGGLMGTVGLGGVASFLGIFWMNGALVGAL FGAFGARMTGEMVDKYARDVEDFKFIPLADEWGTRNAANNKDARRLRVTIGINGWLTT KDDITKPWRHLSDDAEVFALRYELEALEGLGKSLEELVNSYAWNTVKMEILKRTVLAT LWGALWPAYLLSMASTLDNPFSLAKNRSEKAGEVLADALINKVQGERPVTLIGYSLGA RVIYSCLRSLAKRRAFGLIDSVVFIGAPVPSNREHWQMMRTVVSGRIYNAYSENDYIL AFLYRTTSVQMGVAGLQEIEHIEGVENLNLSEEVQGHMRYAGLIEKILARCDLPVGQG VDKSIAKEANDTITIADLNNRPDNSGTLIDLEDLKISSPPQQPRRSKQDSPPPYSYAP SPSTQNTNFAKLQSQQPSRPKQQQTSTTIRSCPICNRNISTLSESQATTHVNSCLDGN QGIPSPSPTVFQPGRHPLRNNQPQKPTMTRSMTSHLQTEMDPFGLFSPSPPPGPPSKP APHIPAPPDASRFPNLVNLDDSRPPTITRAQTVPAQPSTLARSDSPPRVIPLAAASTP AVYESSHYQGRNYDTEEEVDTDEEYGGGIKMVDNDDDELEYVDPRPMD QC762_103260 MSMSVDEIRNVVLLFSNPSWGGVGTVSSTVIRNVTALSGHMAYS SRYTGNTTVLSSRFAGTTNGIIQGLLYVPDLPYGHECVEETALHIPPSVVRQSSLPPT NYYLIAIAPWINARCSRAYLASARTAPVRGFLFYLPGNSTEAPPSAESEMWNIAEEFE WRTQSGYPVYAVSSMAGQVMMQHLSLYSGNLTEVPFGYNISTRFQAEEEDYARIWTEL VISTPPSSFATWLYFLIVVGVLLAVIVSASLLMHLVQARRRYSLRQRVIAGEVNLEVT GIKRLTVPLEHIQSFPLFTYHYEPPDASPPPTSPRSAKSPRSRSRRDSHGHSERRGSR TTRSVTISEKSPSGPFATVTTNYQPYCEICLEPYQNRVTIIRELPCGHIFHPGCIDEF LNENSSLCPLCKASMLPPGFCPKITNHMVKRERAIRKIRGQVDDHDADNSDGGRSGGW TATFRNKIFHGGSPTSSTSTELQVRSKPVEGQPTISISQPNRPPPQVSSQPSDETAQS SAGPLQPTPLQPIPPPALPKPTALARKRMRELAGSELDDGEAGSSRWRRMRTKIFPGF D QC762_103270 MAPSSQKGPGKKAGAGAIRSQSQQQQQRSRNTTPSAAPPSASLP PIDNVETDLLELRFEVFRNLTFEDMVDPSTSNTTIPDSKSLDGLVSRLQKLSDVIDKR GLNCDKGMRLLAQSRRTRLDELAVERGREEERRQKEADEEERERKAANKKKRKATDSL APGGSNIERSSPLRESTKPRKLSRDNDSASSSLSPVAPSNMDADDKTKTEENEDESDS DDGRPPPPARPQANTFGDDPSTFPDPTVYEILPVQPGMTEGEIKEIYSVAGYPKSDLA DLIAGDPPDKDFSNAKPSNQINFSTFSTFIDPYFRPFTEEDLAFLRERGDRVTPFVMP KRGKKHYTEIWAEEDGAMAIDSVPPGGREKLPPNQPRGSIENMDDDVAETDKLSVGPL LSRLLSAMRPEHRAPPAEIANGVNGDGDTIMNGTASFDFSFDTNPPTSSQQPNGTNGV NGHVNGVNGTTPESSNNNNNNNQLPPATYMPESNSEAWKKASHPKLDYTQVDERIKQE LRHIGFLPLPPNQSDSSNAAGNGSQPGQPDPTTAEYDGHYDDEVAARLRLLQSRLREQ VLVNGARKARLTELVKERMAFQEYTTILEDLDSQVQAAYLKRTRTMKKPKKARPGQSG SAASAAAAASAAATATARPGIGDLTKTLMERRRRWIENIGTVFEDEALTKVPRVSEEG STIFKAGEMGELLRREKEAWDEEVEEE QC762_103280 MASLGGNILPLVGFNLHAFFTGITGGLLFFAGLIKLSHKRASQK SDQKRQDADEPGLISALLLFCYGCFFKPHSGSDKANQQGALESFYAGQASAYDVTRKL LLRGREDMLALAAAQLLHKAKSESRKAGSRRIWVDVGGGTGWNIEAMSQFVNVPEFFS TVYLVDLSPSLCAVAEKRFSRLGWDNVKIICQDARKFRLEDYENGLSGPGSPGSSTPK SYFDQKRPEHGGADLITMSYSLSMIPDYYSVIDSLTSLLSPDGLLGVVDFYVQSKADF TYRNWTGGMIGRHVNYLSRTFWRAWFDLDRVALEPARRDYLEYKFGTVLTANLRNTAL GSIPYYVWLGCHKKPFSSSSLPEEIIQRIDALATESPYLLPSDSHSSKKQQNVITAKL TRAIERTAPEIRSKAFDAAIQNLSANLPLPSFFYQNHHWRIYYDDQLPKHTQFNNEYI YAFTWEDSRVDREILKLGCDDVVLAITSAGDNILSYALQSPARIHAIDLNPSQNHLLE LKAASFTALSHADFWKIFGEGKHENFRALLITRLSPHLSSRAFQYWLDNSFIFTNPTS RGLYDTGGSRHAIRAFRYTSRLFRCRSAVTSLLNSKTLIEQREIWHSKIRPALLSPLV SNLLVSTEAFLWKALGVPKNQLAMIEADHGSSRAVKVGLAKSTRAHAIWHYMVNTLDP VVEKTHIAVDNPYYLVCMTGGFTKKCHPDYLSRAAHKKLSQPGAFEGLRIHTDEIDEV IARMAPGTVTVAVLMDSMDWFDPDTDAAGRQIEKVNRALKTGGRVLVRSSALRPWYIK EFEKRGFVGERVGNRGVGECIDRVNMYASCWVCTKVDNLPPPTPGGSEGEQRTGGEEV DVWSL QC762_103290 MSKASSPVATSPQPDVQKASRVCLNCKRKKKKCDKALPSCSRCV ESYQLCQHEDDIVAGNPVTAGYLYGGVSSPLGNPVQLHRQSPLAWGGSLRPSLVASIR SADNINLYALRCVIDILDSRQGVEQTVLAFFEGPNASWFSIIDRSNFERLLEDFWTSP SAETCVLILCMSLISRPTISSPGPTPMGDTVYQSAKTLLSLVQSQSSRPMSTSFLQAE LLVAMYEYTQALPQQAYLSVGRCFQMSRALGWQDKSYWGPNNMATIPKILKLHSILWW AMVYIDNHLHAAYQESKFPLHAPTLGLGFQIPQPETFGQFAPTPSQLQIQSVGAAYCD GNSHHIDGMVFPEATSAFNLNTALSPLDSPLMLSERHEELSDAVWQHTLDVFQTPWST GDRSGAMSTNLIAMLKLNQSGLLAGSAGTDPRFSSPAENIRKAIAYLHNEAANLPSFQ ERLARGRVAPFWAFAAYYASLLLISHGETELQMAADWLQKVIDLKNMLHICAGRWKIA ERYVYLLDQQLGVRLGTYVG QC762_103295 MAICGSGRLVSSRPVRSQWIPFKAAKARIGAFVGTLEYEDGLLV AWGYVVEHGNSNSHPQTQGKYHAKQKPNPFNNKNQSTVSNLAAFQPARIPKTKRNEMT CQQMSERKVSKTANPDACVRSHERERSALCKPSTT QC762_103300 MASIARSSLLRQVATKPALQNSIIARAAFHTTAKRDLLPPLPQR VVGTVNDAAPVPPPSPSHGSYHWTFDRLVAASLIPLTVAPFAAGSLNPTMDAVLCATL LIHSHTGFQNIIVDYVPQYRTPRARKAAIWGLNAATVVVGLALYEYETSDVGITETIK RLWKA QC762_103310 MIPRQSGPLSANRLVVDVSRRTPPGFRFKPSFGSDRIF QC762_103320 MAFGKGALMSMSRGSICRRCLLTMKSMAGGGPISTYAQQRGKKT WHGPKYQAKIDQAQADWEERAEKIKKGEIQNTWDMFVERGYVKDTAGSTKSGAEVNLK CTVFRNRLADFNSGERSHETIRKLMLHKRIGAYTGIDPTAPSLHIGHLLPLMPIFWMY MHGYAGYTLIGGATAKIGDPTDRLVSRTPLKRTDLTMNLTKIHYQLKALWMNVEEQAR RRGFEKDWAWKRAVVNNSTWWNSLPLIEVLKRLGDSMRMGPLLSRDTVKNKMSKGDGM SFSEFTYPLMQGWDWWHMYQANGIQMQIGGSDQYGNIVTGVETVKVVRDNEPDPAKKI EGGPFNDPVGFTVPLLTDSAGVKFGKSAGNAVWLDKFQTSEFDLYGYFVRRSDQEVEK LLKLFTFLPMENINEAMKIHSENPARRVAQHLLAFEVVGLVHGINAAHRTALNHQARY GKQIDIPGVTLRMPKAATEDTPPSILDAPKMDMQLPESLIMGKSIGRILYAAGLAKSA SEGHRLATQQGAYIGAMPGHKRTEDNKVMDYSQLSFTPIKLWFPQETRNYLIDGKMLI LRKGKVQIRIIEMVSDEEWKESGQTYPGEPGTGALRMLRQQLKMLKSGMLTPDEVKTN LKNHVEEEAPPPGFMKFPDQDSYAIRRATQELMDEIHQKEVGGGSPREERGE QC762_103330 MIQGIFYARFLPQEGTKIVAQSPPGCIVPVTTPGPNTAPVPSQT ISNLNASITSSSTTPGTSTTTPAIKSPLFDFAVLSEYIIPREPFRNRYITVTDPDNKY LVLGFPVSIPDPRYHRNEFIFNFGLVVDHDVDQVPYERVVRRLAITFAEMERQSGYLS QDQTKAQGECAWEERRDKIEDGGFFLTRRPIESLLEIIKEDLNNYGECMIPVDDANTI NMKLFPYHPNPPEVKSWHVPVAKMKLADIVDPTWDLTLQKVIAHIDGVSDVRRIAFQA DVSLELTQLALRHLLYYDTILLLDMFFFSSCYAPRPGIHDFIANVDGMVDECANYVCV GALRINSNDNDKYSNPRSSSTTTLNNSSAMNSFSTTPRSSSHHLSTSPNNLSPETHSH HPTSPFHNPSSSSSLLSIERDHRLPNYILIKLMTTFAPSKTVMEWLKMHMDAGIDVLR YVDVRRLVQFGVIKGCLYRVHKHVVSKQYLASLATGQSRRLTEREAAARGGGRRDPLQ KYTDGCHCFDEIITEMDMTEAEVMERLKGFRGAPAGDLTVLHR QC762_103340 MNITVADSLSLDSVEYEPIAHLNLLFSHPSTVSSVSSVSATIQK HKDELSKSITSLETAQAYGPDSSLERMQSAQAELASLFQRIESVRSRALQTERDITTM TADIKRLDGTKRNLTLSMTALKRLQMLTTAYEQLRGLARTRQYRECAGLLQAVLQLMR HFNSYRSIEQIAVLSRNVSELQRELLEQVCEDFEIAFAKGEVGARRAVLVEACLVMDA LGDHAKTRLVTWYVNTELREYRQVFRGNDEAGSLDNIGRRYAWFKRTLKTHEEEHAVI FPPHWRVNETLAMSFCDGTREDFKGILEKSMRRPDGPKLDVNLLLSCLQETMDFEQGL ERRFANEPRASIDTLSSADDRAQNFNGSISAAFEPYLSLWVESQDRALASMIPKYKQQ PLIPADEEFSPQAVIPSAIELFHFYKVTLSQCAKLSTGERLLDLTKTLAKYLDEYAQQ VLLGFLQRGGTQGPPIEDIILVLNTADFWHTNTDQLEEFIKKRIDPDMTSRVDLSDQS DAFMGAAGASVMALVAKVELECESAWREMRNTNWSRMESVSDHSSYVSELLKHVNSKA EEILPLVVKQQYARTFCDNLVDHLANAYITNVVQCKPVCETGAEQMLLDKYVLTKSLE NLMSFHTASSSTQPPASFVKHVNTSMTRMDPLLKTLQVRPSPPEGLVQAYLIHIADRS DTNFRKILELKGVRRADQAHLLELFAIHREGPAAAGGKLVQSSPLLTPLLNSTSGGLG STTAAGGSGLASGLAAGGLQTRFDAASLGERLLTAARDSAERAGVGAATGEGVTMNEN LRNIGNFFRRDIGGLGARFGRRDITPTNNSRPDV QC762_103350 MDSSVAASTLTRQRISVALFSLAATATVGLYCYRLYNPQPEPSG RLHRSNAVRHRRRSVNTPVAAESPGRAQSEASYTSAESHADENADIDTTVRPLADGET VADDAQELDDNWYDDDQNQYGPQARAGQNIVSLLFRVSEDNARRSAYVHRGCQCNGCG IVPIRGIRYRCANCADFDLCETCESQGLHTKTHIFYKIRIPAPRLGPRQLQPVWYPGD PENCLRLLPKRLMAKLSKETGFERPELEALWEQWTFMANTEWKEDPDELCLAMDRKTF ERYLVPSGDRQPIPNLLHDRMFAFYDDNNDDLIGFSEFLRGTSYRKRKNRLRKIFDGY DVDNDGFVSRRDFLRLFRAYYVLFKQMHRDILEGLDDQVMSSTEVQQLGTSRAPLSSL FGREGIFQPPETDRPLEGKVVNESLGEVHIADGNPRAVATDSPDVSDRQSVLNDLFAK QTQTQESLFVLADSRPPANRESGIEYLNALLNPPTRTSELPTIIVGESPRGDQLMLVM NGPQAHMTNGDGQDGGASEQTSHRVENGASPPGEEASDNSREGEGRAGDRHSARVPYI ATSSRRVRVEARKKLFDRWKKRQFYLDEEEGAMAPDGWPESLDVLAQANSSIESSKAP QQPPFSRSRSSSKVRFADDDDEYDHGYDTDARSNISNSSRSIPERWGGMEIPDAERDV GKEIFYQVIQQAFNEILDTLFKRKEDLAVKAAETKEARDKWRPSFTSINLKEVDRNKQ KVTKKRKPAELSLEELLAASGYSVDQSEGNGTTLVGSASEIVPDELPVLPSEEPAEIS ASSSEAASHRDPTMPQFRPNGDSESEPAVETPSDEDPTPVDRTAVKAEKSKKKASKKN GSVSPPSYEQLVEWKRLDLAEKEASDRGGWGRLSFEEFERIYKEEEANGSRLDYLATW IDFCIPYH QC762_103360 MDPDNISLRSKKRLITDSGNISPRTRSKKRPVTDSGNESPHSEP NPQRSPWNTEQDDESSINVRPPNPRRERYHSVSNRRKEGENSSSGAWSHKAEPPSAPS ERAMSDGGGDGQHGGGGRREQDDGASTYTQRLYDHVIGERFTPSKTGHHHRSRTPRPA IVMTGPGGEQEEWRYEEDDRFENVELGGGEGFVMEESNSPGGGEGGRRRRRKYYSSFS WWKEEYVYAGLSVVAVVGLAGFLRTYDGQVLPQEFGWSGISFETGVVALVTAMRLCMD AYVGSAISQGAWLWVSESAQMRRKGGEDGKCGAKLEDFGKFAAASRGLRGAIKLIWRL KGRHLGCVGAAIAILGVGFETFSQEMVSFEQQPRHLDNGTLSPAPAPARYVSVFIDKS GCLCRNYLHRASCGDCVNAEIYTKCNKTANTCTYTTGSGTSIVNSMDPGERSIFKVAP TNGTVHKISSTSRAYYSVFDFLSVTQTEETGLLLAGSECALWFCVEGLKIWVEDGKQN QTRVANHSLTSLTMTSAAHGSEHVFINIPPSLNTDNATKYVVTREAMLALRNFMSSIT MGTVTTTLNTLDSSSDWVEAMWNATTGDLNQWINTFAASLTNEFRLHGAVTQTTKKRY DGDATQMTPVVKVRWYWLMYPAFMILLSIYFLFHTILACSMAGVRAWKGEVLPLLFCR VDEGLYERGRAGLEIPGGLEKRVAGENVAMYRSAEDGGWGFRTVEHGEWAEEVSVEGE KK QC762_103370 MKVSPPLRHNPLQVKVRPSPAYSTSGSLTPPSLSAGLAVEVPGL SRFKQSLKRKPPLFSLHPRVLLPVPKPSPADHSVNAPAAVCINTTAPAASRSRFIRGT RTWLSALVHNPSTLTFLPRHLSTKTMLEVTGYPQQQYFGGPAHRVFPPSPPAVPLSSS TPELLREVAFNASNYYSSSNPATKQQAETRSASVSSVSSSTSLTSPPSMQHGTSSRTI SPVPSMTDSAIGNALVAGTHYQQSPQPQSYTPQAHTTLSLPSPSQYFQAHMAPPATLY SSLSQRQYSQSQHYGYQVGSISSHPSPLLMQSFPGLDFTHFATSSYHSPSSALHSYQT SPLLQYRPEVRGPSPFLKGLQSLPPHIISRIHRSFTYLECFQVSKVSRWFKEKFDPAN LPVEDKIAGVRYAEQYYRRYFPGRASSSNASGSGREYDAKHPGSFGCYHCFQIKGPEC FEQFKWNNHPEDDGNSETGSSASTPGPGAVSARSTPPKSSVSAYSSTGNPHYDPSLTR SSVTAAANNSRRASRAERTGSPASTSTAAGGSMPSTDSPRIKETWGIRRFCIDCGIRK QYYRPGDLIELCKTKEAVWVCQCWKIHWRPAEIKCTDCGSFIPLSTPSRRRGLNSQTI HCFFLSIPPFAL QC762_103380 MHLLLLIVYIIASRDIGTLAAPAPILNGASSQDVNEKNGENNIF PRKIYHRADDPQNQTSVLISPPLGTGPLNSTIANATSVVSLNITLSAVTALTTTPVTL TLASPSSGPSQGPIIVTSESPLVSQGPPVITSVSPSATVIAASTTVRVGTPIAPSPAA SVSGSAPSPIILTLSPPPPTPILVTITPIPVNTVTVILSPISNPSPNPTLAIPPSGST ITVTAPVALSPTAAGSTITVTLPTVIASPSGAPASTITISLPTVIASTPAPPPVSTIT VTVPFPAPPISFISTKTITIIGSPPSPAPGDTTIIVTGPTSSPTIGDTTITISAIPIS IPTTPSPLPPPPPTPVIPPAAGEEGGRTVTLVETVPVTSFSNGMPVSTIWQESTSLVV IPQVAPDTAVPAPPPPSPVASDVNTAMTTPAPVVPGGVLTVTVTAPPPPSVVPGGVLT VTVTAPPPPSVVPGGVTIVVFSADNTEGVPILTTVALAAASPP QC762_103385 MAPPSQDHNLNTFQPHPGNSLEVKSMERDRNIREASLSENFPPY EAVPVYQNSIPLESRSPHPFYHVDLESNNHPVETNNNPTATRRTLTVRVFAPRTETVE EEEERKMRAMGLMLAFLTILGITLAVATIVGIYILQG QC762_103390 MEPIKIKTTVLTADALAKAMAEMQSILSQLTPSEYNDALAIQSG DDSDRSAQKLFSDPGGNDSVSSDQNLTTTTTLACPSHPTTDEPTTTETKPGQNNYHIL PHPVPVSKSEPRTVKIGTLNNIPIYHYFSHAEQFLASQEYATPFGKRFLLDEPDSLLP SDDPSDRVFHPPPHSEEWWPGPGNANRDFRFKKYFEIRKSGLGGLGAFATVDIEMGRV ILLERPLLLTTHGRVEDDVLAMRQEGKGIYRSLDGGGEVGWVSRVKDRNCFDLGGGIG FFGIASRFNHACRGAANVNYKYDHHRKVMVMTARRDIEAGTELFIDYGAGSSACLYAM YGFVCRCGGGCRRLTRRDLEAMGAGLKELVKWGLASEKELAW QC762_0000660 MAFGGVVPSSSKFHTPPFLSRGAARHHKPARGSISRLAICYHLC TFCFLKTRPSKIRHCESLLQPSILRTLRPQQDTYLAWL QC762_103400 MSAPADSAQPLASTSNDQVPTSADITTSDASRGQSASASASASE LARTKITLQGALKSFQDFPIPGINFIDILPLFQDPAIHNALLRALELQVLEFVGSLKP DVVVGLDARGFLFGPSLALKLDASFVPVRKKGKMPGPCVTAAYEKEYGTDFFQMQEGA IKPGQKVLVVDDIIATGGSAAAAGTLVKQLGGELVGYLFILEIAFLKGREKLGGVPTI TLLETDE QC762_103410 MDHDHRDLLSDGGSRSDATRIPIDASARAPMPPESTMTFTRPIL RPIPWIGWNMLLWCLVAVMLAPGHVGVAAMSADRIKELRQETVDMFYHGFDNYMDIAF PEDELRPVSCVPLTRDAKNPRNVELNDVLGNYSLTLIDSLSTLAILASAPPDERGTGP KALADFQHGVAALVEQYGDGSPGPSGVGQRGRGFDVDSKVQVFETVIRGLGGLLSAHL FAVGALPITGYKPRHIETDDPLYSQPIVWPNGFKYDGQILRLALDLGQRLLPAFYTKT GMPYPRVNLRHGIPFYTNSPMHENAPMNPPEGPLEITETCSAGAGSLVLEFTVLSRLT GDPRFEQLAKRAFWAVWYRKSQIGLIGAGVDAEQGHWIGAYAVIGAGADSFFEYALKS HILLSGHEPPNRTAPARKHRGGIDSDNWLDPNALFPPLNDAENSADSFLEAWHLAHAA IKRHLYNEKDHPHYDNVNLWTGSLVSNWVDSLGAYYSGLLVLAGEVEEAIETNLLYTA IWTRYAALPERYSLRDKTVEGGLGWWPLRPEFIESTYHIYRATKDPWYLYVGEMVLRD ITRRCWTPCGWAGLQNVLDGEKSDRMESFFLGETAKYMYLLFDDEHPLNSLDAPYVFT TEGHPLIIPKAPPKDGPRRRRSPRKYLTVYPNEEYTNTCPPRPQTTPLSGSVVAARDD IYHAARLLDLHQLSPTSAYAIDAGQMSGQHMARSNYTLYPWTLPAELMPDNGTCAKLY QPEEVTLEFASNAQQAVGGSSFNFLLGSQNLERLSADRIRVSSLSGLKMSMRLEDSGT GEREWRVSKVNGVLLGKDESIIFDRAILGEIQDPRFSLIKDPVLAKLQQLHQINLLDD EPAASDDGSKASQQPLSQTEDTHEEEDLEELDADLLQDLLAKAELPPVASPRVSVPAF GSMVKALFNQIAASLDLQLPDATSIPGLRSSTPKKALPYNLVINRTAVTPTGLGAAPL PAHIIPPRAPRIPEFGPVPIEHFPWSTIYAAGTACDAVLPDSAPRDHQVIVIRRGGCN FSTKLANIPAFSPSFRSLQLVVIVSDDDGAESSSAAHLREQAGLIRPLLDEVQVTPAG FARRHPIPMVMVGGGDVGYEQLGAAKRMGLARRWFVESSGFRVRNVIVDEGDNDEVD QC762_103417 MHTPTHLSQPHQPPISSSLSSPSLCLRSPTDEVMCGMTLFCQSG KRNSRYKPPRHHLHLVWTCENCSRQLAAWLVPATRVADFEEHKVQSRPITPRYRGRMI SSRPRPCISVQVQLFADESVCPSFGGRVRCPAFSLVICAMCTLYRREGFTEVNALILV D QC762_103420 MTSAQYLMPSAHHHHDNLPGSTACTSGPASVVYSSPDLDPYSAI SGVSSFNYPAAADSTLLTPVSGAGSPPLQQRATSKPPMRNYHSQSAIPGPQVPTPPNS SKMYYSGYDVNNSSQGSSPITVHPAATEGGHFDMYMAHSPPMSHHPSSPKSEVPPPID PYLGSYNVSANNGEIIHQPFQEYHAFNVDVGPSGPYLGQPPHMHHRMPSNGGHAPVLA QPNPSHFRPDTTPRIGGIEDLRDPSVLLGGYPSHAALSPGRRPQQRKKPSPARKPART PKSTPQIGSESGANGQLDDGDQDELTLRDDAPDDDKYLFQLRKEFISEKGKGMWEEMK AKYSEKHQGNWEKAALQMKVSRAVAKYGVWPKREIERLMEAHRYYEEKRYQLILARMK ESGGCRVWDWKPQHIEAMLVKLGMEEPTVDEKTGTRRRKNKAARRRATSQNSHHNTHV MGDWSNGLGLHHPAFQGHAHHVAAAAAARQASYDMMSDDASTAPQFSSEQENDYLDQI FNKTPKVEDSMSPESMELAYEDDAASQHSAAREPSHHRSERVARQACEQMMQTRATYA Q QC762_0000710 MLLRQRSVSALSGSKLHGLPLSVPAAHPHVYSFLSQSELAEQAV CLAINQDDLGYDTPLLDGLVPGLLHGRSQAWGRTPFETRDGP QC762_103430 MESPEFAAGMRSHGANGAGPHKRKRSIIDSSPASLLDNDHDDQD DDHHVIERGDASPEAKGRRLPGVKRACNECRQQKLRCDVVQDPFQGCSRCNRLKLECK IESNFKRIGKRSKHAEMEKEIDKLRRAVQAAKAQGFTVEEDDEAIHSQLQSPVVASQY THTRNPSLMGSDEAVSSLLHLKRGGSYTIPRIARELDDFRITEEHESLLFSQFFNCYH PFLPFLNPNQTPDQYYQQHPLLYWSIVAVASRRFLPDPTILTKLSGPLTRYLWTTIGE VPQSYYVVKALCLLCTWPLPTSTTSSDPTHILCGVLMKIATGIGLHRPNHINDFSRVA VELNNDGLHDRIKTWAVCNIVAQTIGTGYGQPASTLYDWTLAVRGGESGLFSLGPELE ARLQIERFCDKVSKEMYSNASDPRGVAGDEHRAMLMRVYRREFNELQASILSQNLSPI INLHLRAAGLHLRLAGFFDSSKTPAYLDDLMALWRATTSYLDYILEGDSQSQSQCPQE YQYQVRDSYFLQYATNYIQQMLVAAGFALLKLMRSFFAKQIDFERGRNLFHGAIRAIR TTSVIQNDLNWRLAELMVQIWNGARIDAISPSFQANDNPEIDDSLQLKVRCRHSMSLV FDSIWHWREEYQARGRGTLDALKQPTHPDSANESSASSTHLDTTLAPPPHSIPANLGI ATSNGALTPSAGGSALGGGGAMLDGLPYGNATTTYDVWDPQHWMLDGLIDFNYTFVPP IDAN QC762_103440 MGGASSKPAQNAVRKFPTRAPGSATPSSLTAVRNAAPESTTPPA PSPASRAQAQAVPPKSTTQFAPRPSVTKNESAGRSSPSSKQDSYSANPDKTPSDYPST DFASRLKQMGAVQPNPTYSPSSIASPLVDASGISQSISGPMFPSAKNNPTLGALENRR RLEEQAKREMEDWGKSTHQGKELLDIGIIKKIFVLSEQGESDQAIEKQLGLKKGVVAK LGRDFLSIAS QC762_103450 MASLLPRTASRAARSMTLRPATSLPRNNPLHIPRQTTTIPLPNT TRPFSSSTSLQTDLTQPPYSPPFKDLFTLQNHIILITGGARGLGLTQAAALLSAGAKV HALDLLPPTPEFLSVQQSFPSGALTAHQVDVRDQSALATIVGGIAEKEGKINGLVAAA GIQQETPALEYKKEDVDKMMGVNVTGVFMTAQAVAREMIARKQRGSLVLVGSMSGTVA NRGLICPAYNASKAAVLQLARNLAAEWGEHGIRVNSLSPGYIVTAMTAGLFDAFPERR TAWPDANMLKRLSYPEEYRGAAIFLLSEASSFMTGADLRVDGGHCAW QC762_103455 MLLLISMKYRPSYGCSFCTQREPAGAKEAAPAATAAMSGSGIGG RFPKRDSGCVGAGQESVLSGRPAGSPISLP QC762_103460 MALTYQQSKLVKDTIPALREHGEKITTIFYKNMLRDHPELNNYF NSVNQKNGRQPRALTSVILSFASNINHISELIPKFERMCNKHCSLGIQPEHYEIVGKY LIMAFTEVLGPAMTPQVHSAWEKAYWLLAKMLIGREAQLYRDFESWSSWRKFKIDRVV PETEDIYSFYLVPQDGKKLPKFFPGQYISLRVNGPEGYLQSRQYSLSEAWKPDYYRIT VKRDEGARYSNSVSQSYFHPGVVSNLLIDSMPAGTMVDVSHPAGEFFLDTNNSSNVPI VLISAGVGVAPMVAIANEVVATQPNRPISWIHGSRKSVPFEEHITHLRRTNPNFHTNI FKTHLAGSDVVGVNYNYDFRMDLAKVNPDDLHLNHGGTEYFICGPEQFMLEMSDYLKS QGVLTQRVHFELFSTGDLAFKHQ QC762_103470 MAKDTKRKATDEPTSPTAAKRVKVDESVEPEKKPLKVPAIPFPE KPAVIEERNGEIEFRVVNNDNERESLIILTGLKCIFQKQLPKMPKDYIARLVYDRTHL SIAIVKKPLEVVGGITYRPFKGRKFAEIVFCAISSDQQVKGYGAHLMSHLKDYVKATS DVMHFLTYADNYAIGYFKKQGFTKEITLDKSIWMGYIKDYEGGTIMQCTMLPRIRYLE MGRMLLKQKECVQAKIRAYSKSHIIHQPPKQWQKNGVAPIDPLSIEAIRASGWSPDMD ELARQPRHGPNYNQLLHLLNDLQNHQSAWPFLMPVNRDEVADYYDVIKEPMDLSTMET KLEADQYATPEDFIKDAKLVFDNCRKYNNETTPYAKCANKLEKFMWAQIRAIPEWSHL ES QC762_103475 MDSSLHEVWQAASGSPFTPAIGKDNQFLLAFILLVLGFGLSGAF ALNRSVVSLPTLAVPASLSLAVGVVYMFCAVGVYV QC762_103480 MDAPDPPILRAVTSSTRLLYQLLKAINFTNKVHVEITEKGIRFS TDHARVMQGVTHWDKSLFTSYTTNIPAPEESNGNPDDEDDAPPPSVIFQLSLPALLET LQIFGAADAAARQARSDIDPYRSTNLRNYRSDAFSNQILGMSNGTCSLSYNAEGDPFS IILEEGSVKTTCNLTTYVPEIPEDIPFDIEDLGFKIITQAKWLLDALAELAPASPEKL IITASKAAPYLKLTSVGGALGSGGIDFSQGKDLLETFSVRKKKWSQAFKFDMLKAANE AMRIATKVSLRGDGQGVLSMQFLVEVGGEGGGVCFLDFRFVPYADREEDDDDETECEE GEYGMEVDG QC762_0000800 MEITLGCQDVANSGIECFKWTDNQIITYVMAHARDGFGMNLTID SDEAGPHVTAVVDYDGPNGLVTIDGEFVATGYQKSTSGMRLHLSEKVYTMGEFPRVRW IHKIT QC762_103485 MSYVEAASGGVELFNKVVDAVKGAVGAVEEIQGSAIRIKRAAAA EALSDQNEFAVRETIIAKAIDAVHEVAPGCNILIVADYGRDKKHFKGEWKTQPNIELE LPNGQSALYRLYMFNSGKYDRPMGPIWEANYIQYRGAHGGDVKRETPVGCMYLTFPDG DGLDPSAEDIEEEADDGEPIPPEGDGQETEQPAAEEEPAQEEQPAEEAEQPAEEAEQP AEEAEQPAEEAEQPAEEAEQPAEEAEQPAEEEQPAEEQQPTEEGAEEQ QC762_103490 MVERPNKPSSLVATPGLPPQPTVNFSDDNSLVTATLPTGESITV LLYGATVISWKDSSSTEKLWLSSAAKLDGTKPVRGGIPLVFPVFGPPPADHAQTSSLP QHGFARTSRWEFLGKSTSESSGDQQTGDLSVKLDFGLSSAADGLDPQGKAAWPFKFNL IYSVTLNRRDLTTSLVVTNDDERAFDCQVLMHTYLKVKDITNVTISGLDSSSYVDKVD AASTKTQSGEIRIEGETDRVYTADGPVTVSEGGKTLFTVTRDNLSNVVVWNPWVEKAK GMGDFEPKDGYKEMLCVEPGSVGGWQTLEKGDAFEGAQTIALGA QC762_103500 MASLGSLPKELLLHVLSFLEPPELVPVQRVSREFSKIARDDVVW RARCLRDSSYLSSLRSRLRLQNLNFALLQAANGVRAPSEEAGEANEDSWIKCISGSEV DGDRLSSSQRLERERVRITANWDPIFPDEQVSWYDEYIRRQGPIVVSWFQTPYAHGTG TKSRSAADFVETRGVALYYPDGEERLDGKVLAVSPLDDGSVCLWHVSGGSATKRGAIV ARSRPGILFAGPKTRSNQIDSGVTECVSVDSQRHRAFFAVQQNLIEVDLPTLSVIHRE TFPWSIATLSAANSAVPLTVGTSLGLHLHDYRSRSPPRADIVDTIDAFDGTKVQLEDI SQDPYRQLFDDSPLPPYVPLAQPGPVSILHLPVEGQPSSLSDDIYVAGRFKSILHYDR RQFSRIKGTVYSGANLCSMTSLPYPFSYEDSQARRQARLSLEQVEKSKSAPGRTLIAC GEYKSKGSLELYGLPPVGEPARNRQTCARSKLMSVVNHGSCLAVADGMGYVKWFERDG FTEVRRHRIGHSERTEGPSIFASMPGSGDLARKLLATRAAALFSGGEAQVNNNDLVFW TGEKLGLLGFSSQPGFGPSDFEKGEEQSATPEELQLQAQEQAYGQKMREALQRHANDV RLASYLGGASRGFQ QC762_103510 MIGKDGPGAEAMPSRGHPPPEGSTVIVPPEPAPKRSITPKGTLF TNLGEAHLAITARVAAKIAGQAVPALPVVTPCQSPTAPLHEPRPKDNLPLASHMEFVR SSHLANGRCLTGYAPNVSIAEKKHEEGSQTSPTPSPDTILSSHYDDFNPDLDWVHGPG PEICSKRRTRETGSTVENIYRQYLPSEPSTVKPQSVAHSSPPTNRAHPQSPDRGNGNS NNDHYILARSLTNHPSKPNHVDDGARPSKQRRKLYDTAGDAPSVPLPELPVARRSARY YDSHLHGYDDPPTPSGMSISNTQVLLDRYSDHSQLAQDEGFSEEAIVAGASPKSELDG TELELSSPSAHERLKERLDRLHYACNAGLAEYSTGALTSESDEDPFKYDRKSYHALLQ PVREREVSLALQQLTGITESATPVDEMPQESGQPVLQSRNPYLNRLQSYKTPEAEDAW EDQEDPNEIKITVQRRPSSFSPAPQPELPTQSRNLTQDWRTSYCNDHFHQPQSEGGDW ETVGTNVGGQFGSNLACASGSGLSGHPALKITSDSIANYSDCSSFGPSQYDAFTSTER ILQHPTADQNPTDQLFRNIKDTGRPVFLPKPRVNRANGYPLDSVRHFTDANTTASGST ARSALLEKISARLRRNKEQQKSGNPFQVLPNTSVPHLSDVYELEDMGPGQRAINNGVE AHGLNKENRLTPPNQESSLMAKGSPTLFSFPLIPLQEAVKKQAIRRASGEDDLTLTTT RTRQDSSVLSSRATQRTTPPTPCTAKPQQYSPPTPSLARPPPTHLRRPTGLGIPDTAT PYPAYQMNKGFDGDEQNLSTASPLSSGSPPIYGRAVFPRSCRNIFGDARADPPRKSTR SSYGFPVMLRRSTHKRKTTREKRDALRQPGESPKPGPVVDPETADAFVLSGEDAYISW DSQRKRRLFYYGALAVCIFPFLTLLVYHGAFDPFLVWWTEGEVRRMTHRQRHVVAIVG STIAAVWLAAIAVVVTLLVSTKT QC762_103520 MAEPQPPTIHEGATDDIETTTSKPAASAEDRKAAAALSNLDSNN TTDNTSTQVDQAAATNALNSVSAVSEKKPPVEASAKSKVKVDAADVSLLVAEFEVSKA RATELLRQNDGDAVKTIRAFLQPAF QC762_103530 MSSTPQWTPDSWRSKPVKQCPQYPDQKALQKSLAELKKLPPIVH PREIVKLKEHLRDVAQGKAFLLQGGDCAELFDYCQQDVIESKIKLLLQMSLVLIWGAD KRVVRIGRMAGQYAKPRSSPMEMVDGREVPSFRGDILNGFSVDERELDPNRLVKAYHH SAATLNYIRAAISSGIADLHRPLDWGLGHVRDPALKAKYQEAVDFLTDMLRFMQTIGA DKSHNLDTVDLFTSHEGLLLEYEQSLTRLLDTPSAPGTPSKKEYYDTSAHFLWIGDRT RQLDHAHVEFFRGIANPLGVKIGPTTPASDLLDMLRTLNPDREPGKITLITRYGASKV ADLLPAHIRAVEDSEYKQTVVWQCDPMHGNTQSVSGGIKTRRFSNIFSELQQTLRIHK EQGSYLGGVHLELTGDAVTECLGGSEGLDEDDLSTNYTSFCDPRLNEKQALELAFLVA DHYRCERKEKRA QC762_103540 MARPGGGDEHPPFPFPGGLYYPAKRRTIDIDADDYDDLYREQSR IKRPRYIQYAQYADTVAYQLAVEPNDHITNNLNLVGAAGAGGGGGERVADLPLLSHPH PHHPYSPPGDPRPHHSHIRSRPSLSPDRSVSAPAPMERTVSGLSIRPDPTESHDRCGS MELLEDAAAAQRVREHLANFTRRNPDSKHERILRSIINPRGRHSELQPLDNDSLESIF SAANEIFFNGRLSQRVRWDWSDESSTRYDCRVIGTTALRKKIDKGGRGFETLIVLSST ILRDKRYNCRRLLISTFLHELIHCYLFICCGFRARWEGGHTRGFREIAEIVDEWAGEG SRLYLGRVEADLELFRVEGSEEAADGYFAHRRGRQGSQYPCSGMPEQHQESYGGTQEV VKFQGGQDFVQIFPEDHNRGRSIGRSPSRRTDSSNVWWRQQRTVRPSPLFITYGAGGG GVSYGADEDDYIYPS QC762_0000880 MATDEGFKPNLERALSSSTAKPAWFMFQKIDDLCQFDVWIIGVE FADRIVSTARSHRHPKLWTLDSSKFNIIQSVAWISWLDVVILTAANESGAPECHAEPS SLWVAERGESLEHCKFVINSPDWFCYFDDRTPFRAQ QC762_103545 MSINGLAVFLATLERKIVGRLSIPEADKPLQHRSVTSIQEENHT MMTLHLTPPLQVSNVKLDIGNLLVPTYFGGLKPVEEHVEEHDADQKPRGTQRSRLAAV VLIPYQNLRAMTGAFTTPAITECFITQALADLWFQIKTFCMEAHIQSWPEDTILLTIE ASLRAQDYEAFVHVASNGQIELSQTLVSAVEKAVMMRPGCIHHPLLQKTLSSVLETIY RIHARFAPIKAFSQFFTQMTPARRFCLRNW QC762_103550 MSPSAGGVLATAALRALRKNLASTSKMVAKLVRSKLAATRATVA ELQPIAIRSNPRQPIHPAAFLRQQKRAGGSKWYSTANFNAAVRRYLSTGNAGQSNAGG FRFDRSKLPISNTSRAVTQLTSRAPFASTLRPNLTGGALPRTAGGYAMPGCGRTGGAR FFSHTPAAPAQVVQNVSQAMRAFWLSGQRARYDGLGPNGEKRYRAVGATQEAARARMG YTPRNAPGSFIDFQVGPTITALSPLGAALPLGAAAAKGATTLNKEGFLDVLSVDFARV LKDLAAVMTDLKKVADLGDLPIALEKGNVLRIRFPGVDAQTVERLCDDIGVQRGVIGQ DPDFDASAGVPVALRFPFAPGGSCANTITSPGGSLRSHDSESSDIEEAFFVKEIEENP WHLSSLEDYEEGYESATPLDLGSSNSGDYEGLEGIYRFIEECDRAKGLL QC762_103570 MGSNTSRPRRCQVVAMPPETITIRNLTITPLELTLVERLEPPPA FIVLPQESNLGLNNITRMIAGRGGRSSPASSNNNSGCNNPFHHNPKPRLVTASQALSV PLAPFTESPTTVFPPSDDCHHQGEQLRLTFSETTCPSNRYTCEIPGLSEESIELVFIG ENNATAKEITAIYLPHVPYLALFSSAQLASWMSKLPDEIPLSSLSIPGTHNSATCHVA LPSVRCQAVTVTEQLDNGVRFLDVRVNCPNLDVDQPERPELALVHAAFPVALSGARYL SGLLEEVYCFLEERPTETVMMSLKREGTGRGGDQLFGKILRKWYLGSDRWYTKGRIPS LGEARGKVVLVRRFCCEELGEEEGGIDGSVWPDNVADGVCGSGKIRIQDFYEVGRVDQ IESKIDYACRELERSARMCLRLPCGVEELRAQREEMPLYINFLSASSFFNSSLWPDRI AGKVNPRMVEYLCRNHAVEGKGSLGDKVVGDAATGVVVTDWVGHGGDWDLVRCIVGWN ARLQLKI QC762_103580 MRFSALAIAALATSATAFPTLGSIFSRQAEKACMTEAEAKEIVD IYVRLISNYQPEDCEKYCASDFVDRSDSINTFIFRPLGEPTFATKEIFMEAQLSNPPF PVVVDVVDAVACEAIALRWHATFGAANLPSRGITIIGTTKREGHWQIRSLDLEFNSLI WLLNMGGSYVWEG QC762_103590 MRYSVVTLLGLASAALAQWDPTDGFNVVSKPSFDEVIPAGKAYD IAWAPTEEFPEAITIKLYGGKARNSLDVVATIASGVDSAEGSYSWDVPADLGSAVVYG IRIDSETNSTRFQWGNPFTIKAGKVTLTTSGSTASATESSVTSTKASSTTSSVETKTT LTTTFSANISSTTAPAETTVTSVIDEEEVTTTTAAATTSSTAIPTGAGVRAVGGASSF ALLGGVALAVLAF QC762_103600 MRGEVLHLHLGQAGAQLGNSAWELYLLEHGLGPDGRPDPNATIA EGGSFDTFFTETSNGKYVPRSLFVDLDPSPIDEIRTGNYRQLFHPETLISGKEDAANN YARGHYTIGKEMIDPVIDRIRRVVDNCSSLQGFLIFHSFGGGTGSGFGALLLERLSTD YAKKCKLEFTVYPAPRVSTAVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICRRNLD IPRPSYEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAPVI SAAKSAHESFKVSDLTFQCFEPNNQMVVCDPRKGKYMAVALLYRGDVVHRDCSAAVAQ LKAKSSFNLVEWCPTGFKIGINYQKPVAVPTASPSDGGLASVDRSVSMLSNTTAIAEA WSRLDYKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAQDSVNDEEL EAEY QC762_103610 MAKSKSLSAPSKAVDPALDSLFSTSAGPVQAPPKSRYSELPPPK SDDAPEESDEEDEDEEDEDEDDEELSELEDGADLEDLVDMEASEESGEEDDNDSENDE DDEDEDDASDSMSVDDEAEAAEVLESAAAKSKAEEEDGRKRKRKQRNDDEDLEAKYLE RLQEDDEEERSGKRRKDANGKAAAVEKTEKTGDKDSDLDSDAEVPKHESLTANDAASE LEKANRTVFLSNVSLEATTSRTAKKTLLKHLASILDPKADPPQKVESIRFRSTAFATA AIPKRAAFIKKEVMEATTKCTNAYAVYSTPQAVRLAVQKLNGTVVLDRHLRVDSVAHP APVDHKRCVFVGNLGFVDDETVLNVKVDDEGNQTTTKKKRTKQPMDVEEGLWRVFGKE AGKVESVRVVRDNVTRVGKGIAYVQFYDGNDVEKAILLEGKKFPPMLPRELRVSRCKA LHKTARALEAKHGKAGPPSKGDRKGRKGSSYVPKITPEDKTLAGRAGKLLGRGGAAKL TGGDKRKDRKDRPHRESAGAPQSQPSGIRPPEDFVFEGKRASVKDGKPKDLKFKGARN GEKRGYKKKTGPGGPTGRGAARAAKWREGGAKK QC762_103620 MATGDTVLPLAVSSPPPHLLDNSPSNLSSPLSEVEDKYGDHDEP DLEMKDDESNAQSTPKRNGAHGVPESDLASEPDEDSKLSEVDVNDSEAETERLFDTPP KNGATRDIVNAADGAGTRRFTDRRERVFERSPSKLQQQLQADIDAEDTPTANNSSEDE EAADDGDVSMASSDREDEPTKRPSRQLPPRSPTQAKKNQIITFTKQPVSQANSDDSSE SKKRKRSSVVELPEAEQPPKKRAASIDPADREFSAGDVPMVDDDVLSTAPQSGEHTAE EDNIEEPVVPEGVKNTPVESVEEAVATSTRGKKGKRSPVKRRKSKSPEAGTPLEAPDE PPEDADVPSGVPTPQPEDRTADEIDEEAEAAHRNEEELERKKAAWEELAAIEKQFSNF RERLYQERLDQLNREEEMLLSDTPTHHELLAMLQCLEERRAETIRKSNLELQFKMSVL NHRAVAERAQIMSQFYQSVRAEREKTIEGLGQDWYDIQQERRRLTSVIPEYGLGFPAT KAQAVRQAVSYTREVSILSGFAKHVGFPAAPTISGVTEEQLEDDLEAIASAREPVPRP IANPPPTFPQDLTAGFGPSLGLAGEQFIEQTPWLNPRHPAHRQQQPHRREQNLQHVFA PGPVQGSTPKSHSQQSGGLFSSSTSKIPNGDSPAQLHKNHSPSTVEAIKRTKLGPEPF RRDPAIQAS QC762_103630 MSGLRHNSYYDKKLAQSPALVRARRPYLFKNALTGLALVGITAS IYTYTLMAVGQDDFEDVKVPDVPVQPAKK QC762_103640 MASTPAVPIEEQLKQLNAARKLALENSAYYDRIVKGVLPVIGPT SSIELKRWGAEFLAESLATPVLSMRDKESLTLSVLDTLKSLLESEQDDAIVLKASIAA AASAYPVVLRWIIHNSYHTEAWEQISAIKSRILRIWDGAPAPVRLSCIKFAQRVVLAQ TTSNGQEVKYGGLDISLSMVPANHPLLDPRLMEAEATGLLDRMLGVLQDNSNDALLVD ATLNCLSILIRTRPSTSNRIINCVLNFNPLKLANSPMTPKNKVLMKSMEKTTRMLMIN ILKRHVDPNNQHSGRVQQYVERLMRSRAEIFDEAGRKRQLADQAAAQYGDLKRQKMQD ASAAAPEPAAPAQPAVIPPLAPGPQTLAAVFTLTNNPGLQAFDATSIPANLVAKIVAR TLNTLDQSVLDFAVNGIRSRLHTLQAAAVTAAAQYAAHGAAGLPGAINPATAPLGVEE DDDDYEPNLDAAEDTEQILNKLDNAPPETALDEYADPNAALGLGPFTLAPPPLIDADV ASKLSSAAASRLFGPLSSLSSEGPSKKPKAGINRLAASSYDRDSWLTVATRLATRSTF GLEEEEQEEQTSAVKSEEGAVSYPLASTFNQSIREMLFTYILEDWRPRIEVAVAWLSE EWYNDQLTKRTGLRNAPLHYETWALRLLDGFMTFITAQDKVLTRFLAEIPELSGELLG RLKTLCGDPNTLGLAMTSLLYLVMMRPPARELALDTVGGIWVEYEEARPLAAKYLSKW RPGWVEQQMHLQAAKAVAGGGGNGAAGIAA QC762_0001000 MRNKLVKARPNSTTAKALGFFNIHQDRGDGDERTNLECAFGLFP NRSLPFIIYAKFRRRTAIFPTNSNHSTSPESTSTSRHHLSTYFTKPNKPHQIPAATTS IPFKQ QC762_0001010 MSRTAAGSTAPNTNGVNGKAAPPAPSSSAAATTSSSSLSPSRMN STIRAVPSFDRSSAEDSSSDGTNAATSRNSALSSSINSTASMSSSESAANLTPNGGGL HKIPSVGRLRPGPSGASIGENSYSNSDQNSTPGTRTLRSFPSMGDIPQRGSSISATGY PSAADNPGPNGGGSPTPNEMSLAAGEAHLSGGWDNSVGKAGLGKTGRVINRLVSDNES LKRDIKIERLRAEEARQQAQLLKDQLDRTTREHESQMLDVNVTKTLLARKERQVEALQ QTVELERTRAVSATDRERIWKEELEKVKAECKRQVEEANNQVLLTDGRYNALASHWGG EGERYRKRTDKMRKEFEELSEKRREDDEKIRRHEQMIDQMYVEIDDLEKQNRALWEMF ERYKKEKDESLRGMTEDNLRQAEELQRTIEEAKEARDKLRWALNVKENVKGAK QC762_103660 MEEYVEFYQTSCWSYKPKAIKKVIEKLAAQPVHNGRVEVLYDLE QRWFKITCHQDDAETLRRRFAAVAAEIEEDALGSDYEKVLGPSCYFDTDIDNEWIAGE QEYLNLLRSHEAEFKAYAFPTALASFQFKTCWDSLEKRDDGLTLDHVVSDDKLSQMEQ ETNVRIVTDLGKSLVFIGSHTKDCIHKAKGKLDVLLASKNMALLSLRNDHVLFTDNYV DEKLRPGFMVDLRYMTNIHPRLTSSTLLDPATVRRLDGAYSRMYEQGVSLRLCPYSHK KKWHVSLLGPHIPEPKTQQRYVLNSRPTMLEKDSDERPITAPVSQVQARATTTESRVV SWMEGLSIAPSSELRNNDLSAEPCVHPFDLEQDILGPLVEVPAISTTASATELIDMDS PSGLDEAALNTPVRPLQDIVEVSAPPLITVAPSGESGHSQHSGSTTSSLMEFSDDDVP TPTPFKYHDDFSTKRFQAMNQQSGQTAKKATPAPSAVSKPASKATQKTSNAVGMAAPS WTYGTVPFAEKLNMAIAKLLHKAPYRRGWVEVRVEFGRILLGDCDESALSFNSGQSAA DGWNNQVVVYMLEQASRKLDTDKRRKDLRFTKILTTHGCDAEALLNMWNDGKQIWDPE QRKVDITYAIHCEMKRKGEEESYRFAIEARQNDTHCSVMVKPFHPIHDGDGIAPVYVH GLRHNWDMRVMLSHVDHDEVDKRVSRFAMVVFNSLQIRDNNGPNLTFCVPNYSATVTA VRALTRWYYPSIDRQNELQITEVEQLDMDTIEDIPNAAPGEKTKRIHAHPRLAKACQI KQRQGDFERWYEAAVLSTQLQESCQMNSGLKLGDAAAWSPEEMYRYGGFTTLYGPAIA MLKQMDKIGQNEDNNLSGVFGDLLKRANDPPPGVPGSSGPSSQHLRPHPHSRGSNTGF LSRDVRSVVSASTNAASRTTTVRSEKGEAGEIW QC762_103670 MVDNNGAITAASGNSDGDDKKVGAGVNGGGENERDNTSSLSSGS GSGEPDDAILPRGQVDPVYEEKARILNRAIQEIGIGPYQYLLFLVVGFGWASDNLWPI ATSLILPPIALEFLPSRPAYLTLAQNIGLLAGAIFWGFGCDVFGRKWAFNLTLGITAV FGMCAASSPNFAAIGVFASLWSFGVGGNLPVDSAIFLEFLPGSHQWLLTVLSVDWAVA QVVATLVAWPLLGGMTCQEGGNGGCERGENMGWRWFLIALGGLTLLMFGLRFAAFKIF ESPKFLMGQGRDEEAVRVVHEVARRNGRESGLTVEDLRRAEPEGYVARTDVKVAVKRR LENVKMERVRVLFSTRKLAWSTGLIMAIWAFIGLGYPLYNAFLPYIQATRGADFGDGS TYLTYRNSLIIAAVGIPGALLGGYLVELPRFGRKGTLSLSTVLTGVFLYCSTTALDSQ SLLGWNCAFNFTSNVMYAVLYSFTPELFPTPQRGTGNALTATCNRIFGIMAPMVAMFA DLRTAAPVYTSGALFIAAGVLVLLLPFESRGKAAL QC762_103680 MSLSKPSLPLIKTSTLLLTAFTTGLSLTFSTLITPLLLTSPTPL MLQQWKKVFLTGKSHMPPLSILSAIGFFYLAAKSPAHRNLWTTAGGLSVGVIPYTLAL MMGTNNALLKREADLTARVKTSGAVVVSESEEKGAKELVDWWGVLNLGRTGMLLGALG VGAWTSLH QC762_103685 MVGFVVILAGGIGGGLAGGLAASHQPRYANSGWQSITSQSEPTT TIPPESTSETMATVTSSGVIPSSTVPSNLRVGTVATDGGCPELNGTIYTPREGNGKPY SNAIL QC762_103690 MAHHTKRSHNNRLVVNGTAIVNHPSSTGVADATLAQQTASFSHT LLAHRTNSSCNKSKATTTNSYIKTSAKALIPLLSSLSSIAAAHTTNLKKSRLSSGPQT LSSATTKFLYKRLRKHDRATSSYFQKRKQWKRTHRRVAVRIMTSPSQPGLPAAYEPLS DEETVNQPISSSTNSSRSTSPSDSSSSRQQSESVTSVSSFASSQKSTDGKSEKVEEKE RVKTKVEIKVEAASISTQATEAAAITTSGTRTNPAKRKHEETEDDPTPQPKKVVKSIR LVIKKPTVAILPPPSAIGAATEEPPLMSGARASPPPPMATAVAKPTTKIILKRPSSSV APPVMTPAVGNNGDHGETAKQKKLDKGKRKLIEQEDDDDEETIPPSKKARYTKNTYQG PDKPLPKMEYFERRRHEMLADPLGYDDLVRDTNKQPDAYTRKKFLRWEKHYRHPKPEK FEMSGALNPPDMTSALAGTPKKKGGESLSRNARKRAHRKRAEEEANALRREKSRERTT SGGETEGEEKLTGKGKGVARIGQGKDASIGYNKQQQQRMKSTGRQYNGGSVY QC762_103691 MDPRYVAFFIYPDTRVPSIEGPDGFAVTMTYPFGISLANHQLGG RYETEDEETSPQAQATERQAVFPTDELGSRGRKRSYTLAHQDDQQPAPILPEHLEGFL HNEEEYARLTKRGRYEFARSGKEPRFEDIMDDFMASLEDHLPEPQTTENRYTPAICPC GVEY QC762_0001080 MRFAGCYGDNPPLEDLIIPVPSVACDERDVPIRSMEHEGDHAFK PRAGAGRFAELVASQFDSSPAQKHDTRWAGSWTEAAKNASEAIKAGFISTTQSRNDYS QKRGFRNSPYPR QC762_0001090 MCLFFWEDPWTTPARATRRSIFARPKRCVSKRLRFPMMTIRTRT AMKESRDNIEEDDSQYADSLSFSPPNSDDKILTDAWLRSIVDLNPADHEADTDDRNFI KAWLESVVGLRPADYEAQNDDNAFDRDCGSDESSLIGPG QC762_103698 MPVHQPPNLAALADATSTALHTRRRTPPYQIFKFDIPNYKPPSS PAAVLPPAIQKLVSHPKKPPFNYPPRNPTQPTHPQPPNPSPFPFGSTPQEIDTFFLSP SPGQDPQEEYVLSPPPQGEPPKPDAAKVKMGKMKWLAGYAVTSPCELPGPVKQTVVEE ESGEVVGTRWVAVKVEKEMMDLYVPEWEDFDSDCEGYSGREGGVTPKGKGKGVGGDEV GSEAEGIVRQEGKEKKDDGDDQEVWEEFGW QC762_103700 MSGPGVGFEYPSREVSWLKRDALLFANSIGCTSEELHFLYELDP NFAVFPTYPVILMFKETHPEVVDFYAAQKSVTIPGVPVFDPTRVVDGQRLLEFLKPLP TSSAGRKFEVRTKVLGVYDKGKPGTVVETQTDLVDAEKNESYARVTTSSFYVGQGNWG GPKGPATVNFPPPEGKKPDLVLENQTTNETPLLYRLNGDYNPLHAHPEPGAKMGFGGV IIHGLYSWNWACHGLLQHLGGSNPANFKEYQARFASPVRPGDKLILEAWKTGEFKGEW EEIRFLVKNSHGKVVLSNGRALIKTGAKGKL QC762_103710 MKEATIGQRRSYDGALCTVRYIGEVAGTTGSWLGVEWDDPSRGK HDGQHKGVRYFTCKSQALTSASFVRPTRPVDPPRTFLSALQYKYAPPEEQQKPGQPAP RPIVFSGKVAEEVGFEKIRRQQAQLGELLYVILDSTKVATAYSDDEKAKGQQIGEVCP KIRELDLSRNLLEHFDPVVDICAELLLLRSLKVNWNRFRNVLEDKKLERAGDAFEGVK ELALEDTLLTWHEICHIAARFPTLSTLHAGSNQLSSLSPLPPSAPFTSNLVTLDLEFN QFTSLSDLAPLSSLTSLKNLILKKNKITTLTTPTAPLPAFSPTLNYVDLSYNLISTWS TVDSLPSSFPGLTSLRFTHNPLYDNPDLDTPGSLPPPPQQTTTTSTSTSAASTLGKTE EAYMLLVARLPPTLKTLNFSTITTADRSDAEMFYLSRITKQLSSVPETEEHLILEKHP KWKYLCDSYGEPTITRRQEVNPNFLEARLIDVKFWAVFDGEETTAKSVRVPRAFDIYA VKGIAGRLFGLRAWEVRLVWETGEWDPVGGFEVEGGDGEESDDGGEEETKEGGGGENK KGRWVKREVELKDGPRQFGYCVDGTEVTVRVEKR QC762_103720 MKFSSLRFLPFFFQLDTTNWKHGIDAAAQSRIKILSCFFTFLVA VPLLATLTRGLEEEEEEMREWVSDEVVVVEEEEKEEEEEEEEEEE QC762_103730 MQQRREEILAKKAKLAELKRQRELRASQSAGRGSITPSELVSPM PGRHTSRHDIENLINSLVGDSRSVSVSTGMNSPARRGSRPNSVLSGGELSNAATSEFQ VPANAQVVPAPTQPQILSTVSLKTVYECPPSPVKEVFSYSKGVQTTEEWIPPTRARAA SDSDLEDTVPATPNKRLSRRERDREEELRENIRKEIEEELKAARELVTDGTLKASAKE NFPVRALTAEELKAVTQSDDFMDFVERSTKVIEKALDEEYDILTDYTLQAANLDDDDE QSGNTGGKGRRKVREIAQFYDERWSKKRMISSIDFSPKFPELLLASYTKNPTAPHDPD GIVQVWNMHLHDRPEFVFHAQSDILTAKFSPFHPNLIIGGAYSGQVLLWDTRARSAPV QKTPLTGLGHTHPVYSVDIVGTQNANNIISCSTDGAVCGWSVDMLTQPQEAMTLVTPA PAKYEDLSPTCLAFPQADPTFFLVGSEEGTIYPCHRYDRAGAKAGVDARVSYKGHAAP VMSVDFHPSKGPVDLGDLVLSASLDWSVKLWKVRAPAATSAVVAALGSAVGTESQVTP LLDFVREDVVYDAAWSPVKPGVFSLVDGAGWLELWDITVETEEPVARISPSARKDGRT MLSKSLNKVAWEPSEGKRLATGGIDGQVTVFEVGPDLGGKENLRNEEWTSVKKLVNRI EAVGVNGVTAV QC762_103740 MASSGVNVLRYSALGLGVVYGFYHQRQIYASDRAAAAQREYEHK QQLIAQAKKAYAAKHKPAVSASSSASQDINSSSFDLESFIAQLDKA QC762_103750 MAPLLESRGLLAQGWKCHIVCASPSSRHTRKKPSTMESEQPAGY FSTFSILDPNRPNPEGTGKPQKRNRRVYVCIPCHRRKLRCDKGQPCSRCIQADAADEC VYQKFPFSSKHESGSGEPGETPQSPARDSPQTTPGPSGSEARPRLHGVTHWSTVVSEF REGWPYIAGLDPEWGPRYRHLQSLKYLVAALPVHHFPFGEICHCSESRENALQSLPPR PVVDTLVRCYFEVIHPIYRLLHPAEYEFELQAFWMNVNHFSEEWLAQFFMILALGCQA APAQVFASTGRRPSSWTDQFLNSSQYFLCRSPFVSTPTLTSARTLCLGVIARIMDIVK GGETSQLASLMGYLGRMAVSLHLNRTSALFPELLPYEVEIRRRLWLTIQLLELQVAMR TGTSCTHQDYDAEPPLNINDTSIYHTGQGWVLEQGTAKSDLALTDGTFQTKLSDLIPI LSEITTTVNQTTAQTALKYDKIQSWDEQLRRKVREAASVLLMATQSQANYSFRPRIQL EFLRVLVNRSLLALHHQYISAPRFRQFPASSQAVINSSLEILSVHQSWYQPSHGLDYP SSSIALPEGTAHRSTATLLDICRDSFGAAMLYLVTSCRRLSLNVIQLPPTDGRQQHAT SQAEIVRLVQTQLEDFKERACRSPSHYDEYISLAVAEGCLRGLMGGSSGGGLTAGLME VADRIERTVLEGKLWTGGGGGGGEVGTGGGGVEGSTGFTPVTPGGFEGAGGFIFPH QC762_103753 MTAKGRGYFAGRVGFEYKAAFLSATCHCRGIQQHCLDELPHGRS GLEMLLTENPKPYDIEVCLAVDIIFSSACL QC762_103760 MKGRPVDQLVYEYMFPKPRQTDPQNFTQLLQRYLVLEVRQEVHS FYGHLDTPEAKYPGLDYTNRIHRIRLSRWQWHRRLFRAFDGLRLTYAEIQGLTKWEGT RWAKERFEREQGTAIRDTTADGFPEWVEPRHRQAGYYRRASEVSDEPVTTPEDGMIEE ESDEELESVGVALNERLRERVALRNISGDNSMPLDEEWENWLKNAIESGELHVADQIA RFPGPHSLTADDVFPPRMMAAARAGHWEGIPDFVHNIIRQAIDAEQRPPQAQPATAPS RPSVRYHNARVAVYGPGPLHSRIDPSRYPNAAARATRAARTAQQGA QC762_103767 MKFTLLLTLAATTTAVAYPLIDISLGTPKFVCPSRVQAFCSASS IHSGCTAAGEFRSDVMDTCGQCVCV QC762_103770 MANPTATDAEDGNAYYGYLFTTAKPIPTPTPILDAFLRALSLHI IKEIGDKNDRYLTPKKLAAFYKAAGYNFDFLFVDMDNPFISDVFQGLGCQHWLLPTED DYAPPSIPALTTKGFVRWQAIQTLLEPQEQVPVLQYAAKHWGLKHPDTAFQFPADLPK EALPQDTDIDTDRWYQECKAKHIQEAAAEEPKEKPKEKTKEKPREEPKPTYAERRIPS YNVHHVPPTPQPGTPRDYFGSRPVKVTYVNVAPGPSRSPERERERAREQAAREREHYL HRQSSSDEPSRRRSFSDYPHSPMEGRPSVRIPHLGPDRSPQPRRHSQPRQYSTSESDE PPISPRTPRRTQRPSNEPPIPGVRRVYTGSSEELPRIIRTSMPPPPIPTSHPHAHPGV RTHSPRPSPGDSGRTTPRGDDEHRRKSTLYDLKDKLTSFMTGMPPASERQRSLSGSRG RKEGPVPVVVTASRGSRDGDLPSSRLNRSWSHDETDSTDSEDERIRRSRKQSSRERER ERQRAAEAAFDRERERERERDRERDRERDRARERERDRERDKRPTRESDREREARRHS DRERDSDERRHSDRASDRGRDRGPPAPAAAAASLHRNGGHRVIEVESDDDFSSVRGYT RNNGGPYLSRPDNHRRTSSHADIDRRRDLDREVRDRDHLRDRERDQRLSDRERDRRER ERERERDRYHDDRERWRRDERLSSPRATPREPPRMERSPRRSRDRDRDRERERPHPNE GRERMPSPAGAGVTGVSGRKYPDISSVASSSGPWPPSTPKMSAAGAAEPVASGGRGGP VAD QC762_103780 MADNTLDVVAIKNGTSNPDDSRVIDPKPDKSTFVRVKTTLPKRP FPLNAERKAVYTERLIIRPLFKTDLPALHELRTQPEVMVWTYLGVVDKDIGETWQRLE RFVEGNERENYNCAICLREGGKLIGIGGFHDAMWSFGWPEIGYMFRREYWGRGFGKEF MRGWEGIWGGLERGVVELMVDPRSVVVVEGEGERGVVEEVVIAVTAEGNKASQRILEG SGFERFLTWEEEDGGGRGLVRLPTYRFVVGKGGR QC762_103790 MGRLQEYQVIGRHLPTEANPSPALYRMRIFAPNEVIAKSRFWYF LRGLRKVKKATGEIVSVNQISEKHPLRVKNFGIWIRYDSRSGTHNMYKEYRETSRTKA VEALYSDMAARHRARFRSIHILRVVEIEKTEDVKRPYIKQLITKDLTFPLPHRVAKTN TKKVFSATRPSTIA QC762_103800 MEFGPALYHEFGEAWKTRDGYRLAKTISPELTPSQLSSIWQSAN GAGSYKAARDDDVKASIKRGLSSSSARLDGIGQKEIKGWVEVYFAYWQAAGILAQVQQ TSSWTNAYEQWKVLINALIQGYNSHEFEAWTIPCLYVAGKHLRLFAMQADEHTSVNDN SATAFQDDFDPELQKHQKLEDCARVLNKVFTICLSDRAPLEESRKWGLYYIVNLLFKT YFKLNATGLSKNVLRILTAGRGDMPDFHAFPKSQQVTFKYHEGVLCFLEENYAEAEKH LTEAWNTCHKDAMRNKELILTYLIPCHLITTHTLPTEKLLEPYPRLQKLFLPLSRCIK QGELHKFDIALQEAEDEFVKRRIYLTLERGRDIALRNLLRKVFIAGGFEPVAKEGDKP FRRTRIPVAEFAAAISLGSEEKVDNDEVECLLANMIYKGLIKGYISRERSIVVLSKSG AFPGTGV QC762_103810 MFSTRYEPVQHVRSKSFSKGHRSGKSTSSFSKEAGISKRRPESQ SGRRRAGTISNANASHSNFDNSPISAIVTLVVGQEQRVFAAHEHVLSTSPFFLNILQN QMFDSQTKKISLPDEEPEIFSSVLEYLYKGDYFPRLVHNKKRNSWEMEQLTDEARHDA TIYHHTVDGELLKDTVIYCAAEKYGLDELKRVSLRKQGLQSGIQASIILSSARYAYAH TPDTDSKLRAHYLALIIRCRSTFKKSGTMQLEMLNGGTQLFFDLFVAMCNHVDDLSSA ASTPRTPRSGGRF QC762_103820 MPVTYAVLSGRYRPLSRHIKWHQPVPKSLLHMSCRCCSPLRLPQ FRVDVQHGPPRRFRPRITHIMPMESTCRRRLHTQTILVAPLMFTGLLIGLWIWKCIMM VVFQNKIIYMPGLPPTARSERIADWLSRCGGLQWREERTKAADGTDLAMAVATVPLPK GNRQVAADVGKSAVAHVYVLYFQGNASSIPPRLPDLSWVLRAVSDSKQYALAPMELTF VCLSYRGYWTSRGRASEPGIRLDAEAGANWILQHHERTFGKDSTTKPIFLIWGQSIGS GVATNLAATGRIPEKLPIQGVILETPFLSIRSMLGTLYPQKWLPYKYLWPFLRNHLDS YANLKLIAQKAEEKSCDAPFIYILQAERDELVPREQTEKLHQRCIELGLPVEKGVASV AFHQEAIARGPGKKLASEAILKLTKRALDASR QC762_103830 MPASVDLSEEAPRSPGHHIELVDDNPSPRHDGETSPGLKNSKGW DGKLRVTKTATLANPEALSDPEYSDDDNVVIGEEVPADEDLLDDEDPQTDEIICTHSR IQSISALRLARFQKVVRICLRQNLIQDIEGLSGIASTLQELDLYDNLISHIGRGLEDL TNLTSLDLSFNKIKHIKHISHLTNLTDIFFVSNKISKIDGLENLTKLRNLELGSNRIR EITNISHLTALEELWVAKNKITTLNGLSGLSSLRLLSIQSNRIRDLSPLKEVPQLEEL YISHNALESLAGIENNTALRILEISNNQIKSLKGLGPLKDLEELWASYNQIGDINEVE KELGDKKELTTVYFEGNPLQLRGPAVYRNKVRLALPQVRQIDASKFCFVIPSCLDVEL TRCVAFVRTT QC762_103840 MAPVIKLNSGYDMPQVGFGLWKVDNAIAADVVYNAIKAGYRLFD GACDYGNEVECGKGVARAISEGIVKREDLFIVSKLWNTFHDGERVQPIVKKQLADWGV DYFDLYLIHFPVALEYVDPSVRYPPGWHYEGDEIRPSKATIQETWTAMESLVDAGLAR SIGISNFQSQLIYDLLRYAKIRPATLQIEHHPYLTQEELLKLAKREGITVTAYSSFGP ASFLEFNMQHAVKLQPLMEDDTIKAIAAKYNRPASQVLLRWATQRGLAVIPKSSRQET MVSNLQNTDFDLSEEDIATISGFNRGIRFNQPSNYFPTELLWIFG QC762_103850 MAGFFQKLKGAGTANSKSDSTTSSKGKGKEKDDPALDLTPVERL LQNAGAIRPDGSDKFFGLENFGNTCYCNSILQALYYSEPFRENVLNYPSLPPNNGLNG ASKKVNVTIREPVQPNPKDPKGKALSAQAKQRQVLGGGQLAPGGVTPVRPEDRPDAPE YKKKQAMIKGPVLELAQENPDTYGMQECTFTGLKDIFTALIESQSRTGVLSPQRFLEI FKRDNEMFRNSMHQDAHEFYGLILNDVIANVEANAKRMLVEQAEGSGKDGPLPSPDTA SVHNSNAIDSRTPAAGWVHDIFEGVLTSETRCLTCEAASQRDETFLDLSIDLEEHSSV TSCLQKFSAEEMLCERNKFHCDHCGGLQEAEKRMKVKKLPKVLALHLKRFKYTEDYSR LQKLFHRIVYPYHIRMFNTTDDAEDQDRLYELYAVVIHIGGNAYHGHYVSVIKTKDRG WLLFDDEMVEPVDKHFVKNFFGDKPGMACAYVLFYQETTWEKVREEMDAEGLDQVREA SEAADLAATTVTAEQTNGTHPPPLTRLSTQPLPTLEENETLATLEQTKSAPLDSISPV SVLASPVKQPAGPEGYGHPLVAAPPPGSAVMTKADEAATVPAKSKEEIKKEQKMQEKA EKARKAAEKAAEKEAAKLAAKERLLDKSRDSTTEDEEEKRRKKDATTAGSDSFAAVDS PSVEKDSNNKFTPAGFFNRAGRNSKTMTRKSLAFLKTGDKDKEKDKNNADKADRSDRS DKTESRASTAAGESSSNGGHDAVLPPVPALPYLNGVRSNGTAGQNGHSFSSSPGVGPS RSDTAPPELFGGSPTKDRDGHKPALMERFTFGLGKKKSGKFLGLS QC762_103853 MTTIKRTRGPRQDAPLPSVLNRPAALLLSDVTCPTVWLQYRLAT GKSKRQKLPRPAPVGRNRVPCLVTIRP QC762_103855 MQLALALHTLFFLASGHRPYHLRPGTQNGPPKKLPYDGTLTQPA ELVFFLFIARGSYTAFDV QC762_103860 MAVQSTLRHSAAEEAIATFVEKYGELIRTKLRSTSKTTRLLCTL ALATSIILAGEGTRRKWKRKREERETGRKLVRTNSWLHNKDGSRTIYVPYKDGTSKVV ISSTKPLTFEAHRRLFLNPPRVSGLGDGHVPAAQTKPGLNLAFLHQFLSLMSIMIPRW TSKEAGLLVSHGAFLMLRTYLSLVVARLDGEIVRDLVAGNGKAFLLGLAKWCGLGGFA SYTNAMIKYLESKVSIAFRTRLTRYIHDLYLNDNLNYYKLHNLDGGVGQGADQFITQD LTQFCAAAANLYSSLGKPFVDICVFNYQLYRSLGPLALTGLLSNYFLTASILRKLSPP FGKLKAVEGRKEGDFRSLHARLIANAEEVAFYGGAEMEKTFLNKEFKSLKNWMEGIYM LKIRYNILEDFILKYSWSAYGYLLSSLPVFLPAWGGLGGATEVAGATAVKGGRERERM KDFITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRAHANAYYVRGRE NELYSLSDVQGTIQKGFDGVRLENVPIVAPGLWPQGGEELLESLSLIVRRGDHLLISG PNGIGKSAIARVIAGLWPVYRGLVSRPKNNGEDGIMFLPQRPYLSIGTLRDQVIYPDG EADMREKRKNEYDLKRALEQAKLGYLPDREGGWDTRKEWKDVLSGGEKQRLAIARLLY HEPQYAFIDEGTSAVSSDVEGLLYETCKEKGITLITISTRASLKKYHTYNLVLGLGER GDEWEVQRIGTEREKMAVEKELHDLRERLAQVEKWKKRREEIETELNKVWVGGQEEEL GAPAYVVGEEQQKEEEQDRQTEAEETQDEYQEAQSRVMSDDEGDETETEEQRTGTEGS GIVV QC762_103870 MAWGTEERVPAAPGSSKEKDTLSAEDGKKGRGLLPVPSRSSSQR ASPTATGLSGATASDPRDSIGGHSKESKGRPTATPGQSEPNSPAAPSHKKKKGGFLAI FGCCGVPDNANGLEAEAPHRLDKIPARPATASRRTATPSGEQPSGSKTQLSEKEPGQQ AQQSAAQPQKNGKRISGASTQDQSTVGDRDGESKQTTLVGAGSGNPSISVDPPHSVTS ADETIHENASEKDAEGDVSMPDADNSRQQNAQGSVNNGDEQLPKVPPPPPGPVPAVPN APTSTSVENPAVFASEQQQERWLLPPQRPEHKGRKCLVLDLDETLVHSSFKILNQADF TIPVEIEGNFHNVYVIKRPGVDQFMKRVGELYEVVVFTASVSKYGDPLLDQLDIHNVV HHRLFRESCYNHQGNYVKDLSQVGRDLKDTIIIDNSPTSYIFHPQHAVPISSWFSDAH DNELLDLIPVLEDLATPNVRDVSLVLDVTL QC762_103880 MSSPRASSPSSPTGGPAGVATGARPSSPPPPGGARTAIRRRAAA DQKEKIANARPNSTRAAGAGGSSSTMLRLYTDESPGLKVDPVVVLVLSLVFIFSVVAL HIIAKITRKFSS QC762_103890 MSSPAILSTALSILPPRPPTPPRETHHEPSVPFNHTLGSLQSVH TPPGHQSPSSSTTTNSTSRRRKKVGFSAQTEYKEAPVYGEGGAVKQHPTPVSLPRSAS KPIKSILKITNHAPNLLDPSSSNPCDPSNPKVNLAAMLESTIQQLAGGDRESKVDSYE MLTRALKTSNNLPDRVALQEKMGLFMQFIQRDIVSRTSESALDSILVNHALNMLITFL HFPAIASSISNDFAIFIVDHCIRSFEDPDTPKDIARRLMQVLSVQNFPAKVITAERVG RIVASLRRIEEYLTGKSIVLSRIFIYRKLVKQSRQYMIVHSDWLMDLFTDMLSNLKEI RSSAISFGLELAFTIGHDKALSRKVVEIFNTSSGDQKYIQYYYERLQAMIKEKQDSVV VPDIWSAVLLLLRIPLEKWEHSRSWLLLIQGCFNSTDFATKIAANRGWNRLVYFVQTD DRSFNKNISTLVTPLTGQLGRKGPGKMTEELRQAVFGSICNLLYYTFKPNTNTTLLDR YWDCSVKPIVEKLLDPASEAAADNLQQATAILAGLFDSTTPRRWKEDRIQDNTHLKPS ELPPLDSKWVRHSVGRVFPLVGNILERNFIALAQTNTTTYKLWETLVVTVASAAAKEI KVSKDTTSFVTESFNILQTVWKQGVPEGKGPEFLLAAQSYLKLMFNSLGSLPFTEKPS KAQGALKAPLYTLFSSLSTLPPGVLDDQDYADFLGQIFAPFFASKADKAKMDLAQDLL ATIPQETPRPWGTWLLVAEQITPWLDPQHHSHHSTGSGTDTPVGNEYRDIVKVLERGI RSTPSLPWKPWESLFYALFERVREETGDAGAAIVIIEPLSKALMDQITLGGTGGALLP NTLRCVAELISVATQPRDRQAVDAARRRLWGTALAGSRSSSFDTFDNLYKAANEALVN RYTTFDTTDTDPTIHLLKEVGSFFDRCNRQLFLRTLATLQDGIIPWLQDSNRQLSSQT ASVSAATKTLWDKLASLITEIEQPAQQLDFLERFFCASFASSHRSIVNSAVSLWNRLF EKVGHLEYPEDLKASLIQMQLHTDIVLPGLETVSSQFAGQQQMLFTESSEDFSLPRMS TRSSSRRGTPRATSSPARSPASTRLTPLTKRRVDTSPVRKLAAANRRKAAPKLRHDDS QIQFAAIGPAATEMNALESQALTERQKEVRERQKENAALFPEIRSSPGRSRRHAKTTE EGTSRAPEKITTPQAATPQPDARFDEYVSSTPTPRRGQPLMIPGHDMTDPPSSPPEPR RNPLAAEIRSRSASHSLLEEWQFSSSPISGSPLPSRHAVPDPSGQSGFVTVVSLPALS PQKSVAGEEKEEARLPGEDGDHMDVDSTNGSQLPGPTEQERHSTPPQAVQGPARQNPE PKSDEVFVDARPGPLPTTSLSRARRSAGPAQSTAPATCQRSNADNTSFEVSELDERSL LRLVVELDNARVDRQEYHQSSASPDYTGPKAAPRECIVVGDSPKKIGKSIPIIPPVTR RLTRSSSAASPELETIPSSQPTGPQRGRPRKRKRGSTRAQDPSKRTRLEFTETPPPVE EHEVPDSQPRQTQAPQQVAAPAGVKAEENPHEQSLSEIPSSSLELSSPPVSPLVENTT ALGSPELDSGAAMDMEHQHLHHDPPTSDDDEVQSQIALESFSASQRLDDDDEEEEEEE PTNSVTHPPAAEEMQLDEQQQREKETSPASTVEASAEERERHLKDNKEEEEDRAKAIM RMFRGGLDTLRSAKLSMEEVYEIEDMFRDMRRELIEAEKRARSN QC762_103900 MAQSASFGRLTGPAKLDAVKQLLGSLTEDLETSSLAPQRRDSIL EELKIYGRDPNCADPIFTKEGIKTLVRHAFDSTSVNTSRGALRILCNALLLAPETRQR FVDTGYAAKASEKLKEDNSDDEFLVARLLLISTYNTNIDLPKLITQHGLADSIANHLA RHAKRLSSTNRSTTANPMDSMALEETLKLTFNVSQFSPNHLASFEPAIPHIITILCSL DLPSPHIKTPLGPPFGPAVNAVLNLDLSTPTAKEYLYPDSSPSSFSDRLIKLLSLSIK AYKNPDLEQIVTPLVCALSLVYEHAPASVKQSIKSALLPTEKDREDALGKADTLQGHL LKNWSNPEAPELGKAIAHLYFDLSNRDPHKFVKNVGYGYASGFLFQNNISFTPEELNK GGETEVEGEDGVREIKRPINPITGQFLDTERVSELPEMTDEEKEREAERLFVLFERLK QTGIIDVQNPVEQAMREGRFEELPDDK QC762_103910 MDAVRTTLQPITHNLPAPIRDLGVSIIGEKCYKSLLLNIDVEDT ECLKYAISKGLGIGIVGASAIVKVPQIVKLVKSKSASGVSFLAYLLETSSYLISLAYN VRNGFPFSTYGETAMVLAQNVLITVLVLHYSGKASMAGLFVAALAASAVTLFNEQTLG MKELGWLQVGAGGMSVASKIPQIAAIWSQGGTGQLSAFTVFNYLLGSLTRIFTTIQEV DDKVILYSFVAGFALNLVLALQMVYYWNAPSAKAQGKRKEALPANSALTPSYAEVAAT STARPRSKGPTTRRRG QC762_103920 MSPDLNSLPGADSTPSPTPPPPINSTRGTTASNSNGYYFPNGHH DGEKRPNILYVMADQLAAPLLKMYNPTSQILTPNLDALAAKSVQFDSAYCPSPLCGPS RMSMITGQLPMKIGAFDNAAQISSDIPTYAHYLRLKGYHTVLAGKMHFVGDQLHGYET RLTSDIYPGDFGWVPNWEEPETRLEWYHNASSVLQAGSCVRSNQLDYDEEVMYRSRQF LYDFVREGEEGRRPFALTVSLTHPHDPYTIEQKYWDLYENVDIDLPRVTIPQEDQDPH SKRLLKVCDLWDNPFSDEQIKRARRAYYGAVSYVDDCLGQLLTLLKQLKLDEDTIVIF SGDHGDMLGERGLWYKMSYFENSVRVPLLVSYPKRFEPRRVSQNVSTLDILPTMCDLV GTKPWALLPMDGRSLLPHLEGREGGHDEVFAEYTGEGTVRPLMMIRRGRWKYVTCPAD GSQLFDLRADPLELRDLVKEAVVRTDEETKEVFEAFEREAREKWDFEGITKEVLHSQR KRRLVWGALTKGRFESWDYNPIDDGREKYIRSHIPLDDLERRARYPAVDESGRETGSR IVTDQAGSHGQ QC762_103930 MASLNLLPEDLKHLDLLRNRFATLSLNLSNAHRNMALTYPLPSQ ESLQASAAIIHTSLLSLQSILTDKSALFHRIAVHPSTNFPGRTQLDFLSSMLRKKPEP EIETKMEMGMQRAREVGVDEAVLAEIARRNKRREGGDDEDYEDGGGGGGGGDGDEGED EEANNEKWADCWFLFDRGLKEYINVQEGRSYTVEEQEMGIERVRTGLRRNLLEEEEEE EEEESDDEEEDEDEEEDEDLVMMDGSGGGRGGVGQQGVVQQASGNTGVQPEHLFWLYA RGRTDLPPRIELESKRAPAKGQVKRLPPR QC762_103935 MFSSLHLPVVLLGPAVQIKLHRPIRSPPLLEAPACPTESFGPSS ETWGSYTAGATQRHADQPRAWNIFSKLGNLANP QC762_103940 MLSPPTASLPRLFLPRQTLFKILLALLPSFLSDRLFPDHKPTYR VHPTSYLDGLRGIASIIVFFCHYTENNFSALTPSHGLNVDRPSSFIQLPYFRIIFSGR PMVHIFFVISGFALSYKPIKALHARDLDKCYTALASSTFRRAFRLFGPCVVSTFMVLC LRQTGYLGPAQETLMEEVWKWKGAVFHQITWGWDWDRDLKPAYDIHLWTIPIEFAHSM LLFMVVLMLSRVKLHVRMGSVLGLMGYCLMCGKWAGFEFLAGLFLAEVFVLKQEGKKQ KEWEGEREGTKEGGMVMGPGMLVKGLQVVMILVGLFIGGWPNRSADKTPGISWFLERT PLPFAEMDHLAPQKFWFGLSAVCTVWAVGELDFLRRFFEGGLAQYCGRISYAIYIMHG PVMDTIQASILGHVDIPARGKPGDANFKEALPAAGVKGFFGVKTPTQITLSWFFGMWM IGPFVFWAADVFWRVVDNRIVDWGKRLENWCLDEDTGPSPRSQGYSVAA QC762_103945 MNASCQCGSIRFKTPLPKPLALYICHCIACQRQTSSAFGVSAIF PRFSLPANLIQPPPSSPTPSSVSSSGSPPAGCEGSGNNLLSVYSRATTNGQTLYCYFC KRCGTRLVHDSPNKNVVSVKGGCLEGLDWKSAIHIWTKSAMVPIPEGVESHKEDCKDP EEYGVCQEELDQPPGGSL QC762_103950 MSQLDPLPNDLPFRIISKTIGRGAYASIKKAIPLDAPTPVFAVK LIHKGYAVKHGRISAKQIAMEVSLHSHIGQHPNIIEWFATGEDAVWRWIAMEFAEGGD LFDKIEADVGVQEDIAHLYFLQLIAGVSFMHSKGVAHRDLKPENILLSDTGNLKIADF GMSTMFEYKGVRKQTATMCGSPPYIAPEVLQCARPDKRSPDQQKYSADLVDIWSCGVI LFVLLVGNTPWDEPTTGSWEFQEYVRTHGRSTDQLWQRIPPNTLSLLRGMMNIEPQRR FSFAQIRQHPWYTRHNPLLAADGKVSDPLALATKMLAALRIDLSAEPTPSQRASQPEA MDLDSQPSSSWTTKLPATQPETPITDAAFDWERPTLRTLGTHAIISSTQPLAPRDAAS ILPPPSSRHPNRNLALAALSALSDDPSMSQFSQIPGIPLSLTQHARQFRDIIPSYSLT RFFSHMPPVLLVQMLSDALHQLNVPQPAQIGGSVNPESDHVATLKVKTVDGRNQSLHG EVLVDKYRLMTSMSDEEGQEVVLHEVRFVKVKGDPLEWRRFFKKVALLCKEGVWSGDG GSSQ QC762_103960 MSYMDDTQNSAPGSLPASKAATGAATSKKVNENATKRLQNELMQ MMTSPAPGISAFPSADGNLLFWRATIEGPEDTPYAGLNLKLSFEFPANYPYTPPTVLF ITPIYHPNVDFSGRICLDILKDKWTPAYNTQTVLLSLQSLLGEPNNASPLNGEAAELW DKDPALFKTKVMDRHKDIEED QC762_103970 MSSTKPSGKTQRSAIADVVAREYTIHLHKRLHGVTFKKRAPKAI KEIKEFAYKAMGTTDVRLDPQLNKKVWEQGVKGVPYRLRVRISRKRNDEEGAKEKLYS YVQAVNVTNPKGLHTVVVEE QC762_103980 MNDPMASTPSPVPDLNRNRLPTLFEVLSRRTLPPVDLFSFYIYM RDQQRSVDYLDFWLDVAQHMSLCRHYVRELRRSVLVGTPDLDKTSKRSSAILEGLGDL NHSAAGPSMYATEKERDQDAQMSMFLREDRNPHDSPHSSNGRRARASTNLSSTPREPN TDSNSPAHTVARQDIRASAEKILYTFLLPGAEREIMLPGSITQDVTTAIEEFGRDDPE VFDVAKDYVFQAMERDAFPGFLRMKALGNLIPPTLIMRLIFGLVSMFGGFWAAFILIF LNKDRMTRCWLILPFTLGVYFLASYQYSLDPVLALLGFSEYTPFNFSRIREPYVRKLL ARRAIMVLAVTLLVDAALCVLFILVPGKRL QC762_103990 MEQAKALNALEPFLALTKSATSPRAAADLISRATSAPNTYIFTE LLQTPQIQALASNPELAPHLTLLQIFSYGTYETYKSTAGLPELNDAQRLKLRQLSLLT LANQNNGHGTEPALSYSSLQRALDLPTRQSLEELVISSIYADLIKGQLKPKASLVQIN SVAPLRDVAPTAIGGLLSSLQAWADRCDSTLSSLSAQMDQLRADADRRAAQEAAWQET TENLLEQETGNKNDKGKKGFYPSFSSSPRYTSGYGRGNLGNRQQHKKQKSLHDSQQPH GYPAAGGGGGAGGVFNWNPRNNYYHSQAAPQYAAQDASTTAYGNYNPHNVQTFSSHHH HPNNSLKRMLTDDSDGSSTLPSAPQREDQAGPGQPDLGGGFPAGGARYSPAGVQLSGG GSGDVSMTDTEEWEMIAQAVENSLNDMAARDGAGQ QC762_104000 MAPPQSQSLSAPPAHMATTTLKVEGMTCGACTSAVEAGFKGVDG VGNVSVSLVMERAVVMHDPQRISAEQIREIIEDRGFDAEVLSTDLPSPVAPRNSFGVF PTDDGPAMMVTTVKIEGMTCGACTSAIEGGFKDVSGVKHFSISLLSERAVIEHDPALL AAEAICGIIEDRGFDAEVLESTEKQQEADALVDSGKTSSTAATTTVAIEGMTCGACTS AVEEGFKNLDGILRFNISLLAERAVITHDPIKIPADKIAEIIEDRGFDAKILSTVFES SDSSSGGSSTAQLKIYGNLDAAAAQGLEEKLLALPGVSSAKLAPSSSRLTVVHKPNVT GLRVIVEAVENTGFNALVADNDDNNAQLESLAKTKEINEWRRDFRISLSFAIPVFIIS MILPMCGPLDFGSIRLIPGLYLGDVICLGLTVPVQFGIGKRFYKSAYKSMKHGSPTMD VLVVLGTSCAFFFSVMAMLVSILMPPHTRPATIYDTSTMLITFITLGRFLENRAKGQT SKALSRLMSLAPSMATIYADPIAAEKAAEGWNKETSAGDANQPLDGSAAEEKVIPTEL IQVGDIVILRPGDKIPADGTLVRGETYVDESMVTGEAMPVQKTKGSNVIGGTVNGHGR VDIRVTRAGRDTQLSQIVKLVQDAQTSRAPIQRLADLLAGYFVPSILFLGLMTFLVWM ILSHVLSHPPQIFLEEASGGKIMVCVKLCISVIVFACPCALGLATPTAVMVGTGIGAE NGILVKGGAALETTTKITQVVLDKTGTLTYGKMSVAKTTIVSAWENNQSLRRLWWTIV GLAEMGSEHPVGKAVLGACRTELGLGPEGTIEGSVGDFTAAVGKGISALVEPAVGGER KRYQVLVGNVKFLRENNVDVPESAVEASEKINTAANSSSSSSPSSPAPVRKAQAGTTN IFISINGSYSGHLCLSDTIKENAAAAIAVLHRMGVKTAMVTGDQRPTALAVAAAVGIP PADVYAGVSPDQKQEIIRQIQDSGEVVAMVGDGINDSPALATADVGIAMASGTDVAME AADVVLMRPNDLMDIPAALHLARTIFRRIKMNLLWACMYNAVGLPFAMGLFLPLGWHL HPMAAGAAMAGSSVSVVVSSLFLKFWKRPRWMEEGGLKPKGVVERMGDGIVSGMEGLL GVFMGRKGARGEGYVPLDDLENGGR QC762_104010 MSFVDDYMEDAPLQLIQSDLQESVEVSYSRESSIALDFAGGAGP TVVNLHEEEDLIINEQFTMPPALPEADMGLGAGLFDDKLAPSPSKKRGRPLRSSTGTS SETPAKSTPAAKTPRSTQSVGKSSATPKSAKNSAGPKSASHSTGRKRKAEEIETEAET SPEAEAEVETTPAVKRGRAGRPARTAGKAASARLSLKAAKEPTRGRPKGPAATTKPKN KGGRPKKDTSGVASEEVYEVEAIRDSRIDDKTKAHKFLVKWKGYPENENTWEPRSNLK GAEELVREFEKSQKKTKAADAAVKVSAPKKEKAEKPAAEKKVAPAKGRGKAKAVKKVA PAKKPVGRPG QC762_104020 MVSKQEKVLPLPKPGERNILVTSALPYVNNVPHLGNIIGSVLSA DVFARFWRGRGGNVLFVGGTDEFGTTTSLRAREEGVAPQELCDKYYKIHKDVYEWFNI SFDIFGRTTNDRHTEITHDIFKELWEEGLIEIRDSEQLWCEQCKGFVFDRLVEGTCPE CGCEEARGDQCGDCDWVFDITELVEPKCKIHGGRPALRPSKHLFLKLDIHGPLLENQA KEQGENWLVNAKEINGNSSICITRDGLDWGTPVPGRLAGFDGKVFYPWWDALLGYISI TASGMEGESWRAWWRPSTPIAMKSHDDNDSSFYKPGDNTPKSDVGVRLSQFLGADNIF FHGILFPATLLPLDPPYTAPRHIASTRFLTYQGGRFSKSLGVGVFGDNAQQTGLSADV FRFFLLQSRPEGMEDTDFTWDRLVEVHNELFVGKIGRLVRKVLTDLNGVVPHSHDHIN GPLLSSMGQTIKRFNGGVHKLLVQYVTQLENSELRGGLMTTLELTCGGIALLDLVSNR VMLTDTCERQAVLEVGINLVYLVVRMLEPYIPKTVESLYEVLGVERPTGRLEKDWLGD QGPIKPGHEVGKWEEVEALFERIWPEKAKMWELKFGGKKKRKGEVLVCEEAKRREKI QC762_104030 MFLSEYFQHKRDCKFKQAQRQQRIDALPAEYKSPVTPDEKEIFS APIDSLVANVQNGTTHPLSILRAYGKLALRAHSQTNCLTEIMFSSAEQWINAARTKTE VDKGGNPLPNLEGSLAGIPVSLKDTIIVGGYDTTVGYSSFVGNDDGKDGAMVRMLKDM GAVPHVKTNCPITLLSFESGNDVWGRAENPFKKGYSPGGSTGGESALLAMGGSRIGVG SDVAGSVRLPAHWAGCYALRCSTGRWPKAGIKTSMPGQEGVPSVYSPMARTLGDLRYF TREVIEFGPWRYDGTVHPLAWRGEMEKGFEQKEKLKVGVMWTDGVVDPSPACRRALET VVNALKAQGHEIVELDGEHAPPDLYEGLKIASLVLNADGGQMFDSFRRPGEWLDTGAA QIKSLASWWNPFRWFYYLWVKYVRRDHVWAGLVENWRAQSAFENWKLVARREEYRAKW FEWWNQQGLDVIVSVPNATPALPLNAMKDAFSSSGVLPVTKVQAELDGLPEDFNIRKL NGVARGAYKYYDAEKMDGLPVGVQVIGRRLEEEKVLAVMQRVEDALGEDKYQPISVGR LQLE QC762_104040 MKAQPLIINWHVDVNPYPIYSAHFQPNGGGRLATGAGDNKVRLW KIEDDGEDRKVDYLATLSTHTQAVNVVRWAPKGDILASAGDDGNVILWVPSDSRSAGF GEEADPEAKEFWRRKHMCRSSGTEIFDLAWAPDASYFIIGSMDNVARIYNAATGTLVR QIAEHSHYVQGVAWDPLNEYIATQSSDRAVHIYSLKTKDGQYTLGCNDKEPSKIASHV KADLPARRISSHSPAPPELGYRSVLDNVPGVAIGSPVPSAPGTPTSMALPMNPPSVVS HSRRSSFSSRRSVSPAPSMPLPAVMPIEASPKPHMHGASLGMKNASLYANETLTSFFR RLTFTPDGSLLLTPAGQYQTQHQVEGQKPTFEVTNTVYIYTRGGINKPPIAHLPGHKK PSVAVRCSPIVYTLRQSPPVTKHITIDTSSSEDAIPPLPEAVTKPSPAPSQMEPPPPP SLVETVTSNSRVLSLETGVQTPGPKPAFALPYRMIYAVATQDSVLLYDTQQHTPICIV SNLHCATFTDLTWSSDGLTLLISSSDGFCSTLSFLPGELGTVYTGELGPPKPQGTAVS NQNTPVPTPTSVLAPPSPFPNGSQHRHRDSAGSFTAPSPPPAASFVNPRPGSPARSNS ASSVITQTSGAQTGVLNNPPLIAGQVPSLTAVNSGKVTGVPITTPPETPRSSFVPPPT GTKRDTNEAETDDSLGNQNKRRRIAPTLVDSSTESNNGAGSEGSRA QC762_104050 MGSGDSMLVTVATSLAFIGLCSLPAVSSVLLRSGRQDGDRDTYE DADGKATPESLKAFSTKLSKTCILIFANSGFAISLATALLFTHSSGIQLQQWLSTVSW GALLFQSVAITWSRSSVQAYDLGIYSFLSSLTLAGALIIQGTKSAESLLQDNRTLFAL CVVELGLAICLSVASVSLPRRPDVLYDGEPVDRLYSTTALGRYTFSWPTALLTLASTQ KNLDMTDLPRPDHYTRAASISEAWKKQNSNRPLWLSMLLAHKTVIAVQWFLALCTSVL NFAPQWVILQLLRTLEDRQPGTSSGMDAWAWVFWLGISIVVGSWLESWLFWMSFADLA IPVRAQLGALVFEKSVRKKDIKGVSKSKETPTEPSEGTEPDGTKPTKLDDADELKQGK QSTVNLIGVDARRVGDFAAFQYMFPGSLFKLVVSISFLISLLGWRALFAGLSAMLAIM PVNIYFSKRYAAAQDRLMKTRDKKMEVVTEALQGIRQIKFSALEPDWENKIGAVRERE LSAVWDVFVTDTMLLACWITSPILLSAISLAVYAYINGSLSPSVAFVSLGVFKALEVT LSVIPELTTDLLDAWVSIKRLEEYLNSAEVSKISKDGEEVKFDRASIAWPADDRTEED DRFVLRDISVNFPRGELSVISGKTGTGKSLMLAAILGEVDVLGGTLYVPRAPPLAVRH DNKANKSNWIIPEAIAYVAQIPWIENASIKDNILFGLPFDEDRYKKTVEVCALKKDLE MLSDGENTEIGANGINLSGGQKWRITLARAIYSRAGILVLDDIFSAVDAHVGRHIFEK CLNGELATGRTRILVTHHVALCEPKTKYLVELGDGGVLNAGLVSELRQGGTLQRIKTH EQSSEEIEADESVTAVNSDGSTDDHGNEDEGNTLQKVMSKKSARKFVEEESREKGAVK TRVYSAYLNASGGWIYWTVAALVFSLTQGFTIGQSWWLKIWTSTYEEKNSGAQHIANN SLGHSFFYAEGVRQTSLHAASGPSISGHFEKDLKFYLGIYVGIAILGCIISTAKFFYI FLGSLRASRKLFAEMNFTILRTPIRWLDTVPVGRILNRYTNDFSVIDSQLANSFSFGF NSVLSLFTVILAGLVVSPYIMILALVLLLICLRIAITYMDAARPVKRLESNTKSPVFE QFGSSLTGVTTIRGFDKAEVYIERMYKKIDDYSTATWHLWLFNRWMGLRMALVGSVFS SFVASLILLTPGIDAALAGFALAFALDFSSAIIWTIRLYSNIELNMNAAERIVEYTEL PTESLDGISPPAAWPTGGRIEVDNLVVGYVADMPPVLKGLSFSINSNERVGVVGRTGA GKSSLTLALFRFLEARSGAIHIDGIDISKIKLHDLRSRLAIIPQDPVLFSGTIRSNLD PFDHHTDAELRDCLERVHLITDSENSSGSATPVPGSSEATETPKNTNVFKNLDSPVSE GGLNLSQGQRQLLCLARAIVSRPRIMVLDEATSAVDMHTDGLIQRSIREEFTDATLLV IAHRLSTIADFDRVLVLSDGCVAEYGSPKELWEKGEGGIFRGMCEESGEKEKLKAVIF GQGQSR QC762_104060 MTSIREWKADEYQKNLGFVPKLATKVLEWLDVKADDKVLDLGCG DGILDIEIGRVLAQGGGKLLGLDRSPSMIRAARENVRRKEVGLERKCLFIVANTSDLL KHSAMAHQPEHFSKVFSSAAIHWMLGSSSGSSLDDDGPVSNRGRKFFEGARYVLKKGG KFVFEMGGMGNIAEARAAMVGVVARTLKQAPENIREPWFFPDEEWVRDMMEVKVGGFE VERSEMEWRPTKIDGKGGLEGWVRLIGGKLFWLIRDEKEREEAVREVVRLLEIVCRRE PARERGDVEYMVNYVRLRVVARRL QC762_104070 MHSKVVIIGSGPAAHTAAVYLARAELKPVLYEGFMANGVAAGGQ LTTTTEIENFPGFPKGIMGGELMDRMREQSERFGTVIVSETVDKLDLSSRPFKYATEW SPDEIHTADAIILATGASARRLGLPGEDKYWQNGISACAVCDGAVPIFRNKHLVVIGG GDSAAEEAMFLTKYASHVTVLVRKDKLRASTIMAKRLLGHPKVTVKFNTVGVEVKGDD KGLMSQLVVKDVVSGNEETLEANGLFYAIGHDPATKIVKGQLETDEEGYVITKPGTTL TSVEGVFAAGDVQDKRYRQAITSAGTGCMAALDAEKFLSEMEDTTAEHKAGKEGNL QC762_104080 MKGIQVSAYVKAPGELKVTELADPKPAADEYLIEVHAAATNFFD ILQIQGKYQHQPPFPWVSGAEFAGVVLATPSGSKNPKFPVGSKVFGATQGSYATKCVA KEVSMLPVPKGWSFNQASGLFVTAPTSYGALVLRAGVKAGDYVLVHAAAGGVGLAAVQ VAKAYGATVIATAGTARKLEVAKSFGADHVVDYRDENWPQIVKKLTPKGRGVDIVYDP VGMVDKSTKCIAWNGRILIVGFAAGTIEKVAMNKVLLKNISLVGIHWGMYEKMETKSV PKVWEGIMKLIAEGKFKGTEFTDKEFVGLESVPDALKALGSRETWGKVVVKIPQEGQN KL QC762_104090 MAPTTPNPHTHPYTFTFTPFLQKTYQHSLPPPSSNPPSGDQQQS QPNNNNNTGGFGSLVCKHWLRGLCKKGLTCEFLHEYNLRKMPECNFFVRNGYCSNGDE CLYLHIDPSSKLPPCPHYDRGFCPLGPKCDKRHLKRNICLYYLAGFCPDGKQCRQGAH PRWTRDELMEKPTMKVEKTAEELEREEQERERHRERERERDMERRAERDRERGGDGDR HDRGHDKGGRFGRGGGRWGGKRGGV QC762_104100 MSGTSPQEILDTLLSYIPDDAKRYTGDVAEFVNGSVDKAADKLR DTLSNLPEWVPESFRPQAPPPPPVIAVPVGALERVQNWVSRHKILTGIVVVATGTVVY KSYKASLSLRKHRKAKRARSGGRLEVVVIAGSPALPLTRSLALDLERKGFIVFIVANT RDDELLIQGMARPDIRSFALDINDPTRVGASIEEFARYLQEPHAAIPKGKKSHLHLKS VILIPSLNYQTSPIATIPPSSFADLFKTHLLQPIVTIQAFLPILTARLHPPPPPTELA SSLAKDASKQREKEDLSPKVLVFTPSIISSINPPFHAPEATICSALSAFTEVLAAELR PLQVPVTHMQLGTFDFTGFTPAQGTKFQSSTAGRANQGLITASGVEQTHNWPDAARKT YARNFVSQSTSSIGTTGIRGMRGSSLKNLHDAVFDVIDGTITASTVRVGLGASVYGFV GRWVPKSMVCFIMGIRKVDELATWQGSAHGSPRGGSHDGEDEDKMAGSESFISVAPLE GVAGENVWKS QC762_104110 MASSKRAPSVLVTDSRERPSARNGTQRPGAMGSAGRPSTRLVSV DNILQYSSDIPSGQPRGHPGQRPARNVRRPSGVPTFASARTGQQVPSRTTKVSEKLVL LPEAPVDDDVSDDEMAARRVSILRGEDENRPLKDEELDVLRKRGGIRGKSYAERLPKM QRGEKVSRLTAYCTAQAFKVKETAEFLKTKHEAKTKLYDDCLYIVYHLPLLPGVDGYR LRSRPVLKTPGTGKTVLDLEIERSERRDEHEGYWDEYSYGAQGLGGSPNTGTVLQQAS SAPDSIGRPDDHQVEQITVNPINRLVPDAKHFAEMFVFSYGVVVFWNFTERQEKDILA DLAFSEHETNIALATRPLDEADFEMEEFHFEYSADVKRPRVFNDMITLLPRSDHMVKL TISHAIAQSTKLCFFEERMSETMSDAQHVPKRLAMTGELNMTRTEIVKILGRLFKSRV DINLSSNILDVPNFFWDSEPTLHPLYVAIREYLEIDPRIKTLNERCRVFLDLSEILAD SVADSKMSYITWIIIILIIISIIVTVTEVGLRFGMLSREKGKQGDGVVAPIVGDPERG GGPGNVIGGGNGQIDLLRRSLAERNITLEDLRMWNSILNEKEKEVVCGGEIVGRTFKG V QC762_104120 MSQATVSLQIIEHTLTAAKWFCLPNTAEKSAEEYFKQMIRAELF ACIAMFESGRFDIDLANLADVIALCSDDSIYVADILLSEPCTSPFNLGIRHLVGNVGQ TGMVCLVSPTKPRIRQIGHDALMVSHHVFDGTCEDNFKGTSLHLSFTNSKVPLAPGPK GEIDQEIFLLEPAVSVQYPGRWVADIDVLGVERSQNRDVINTVSFPHRDCQFGHSLPL KCWDAVSIGSQEELLDQPPCTGVVQTRRCKTGGCFYPCPARQDGRDCDSGRR QC762_104140 MAEVVPQPQGSSSRGGGSGGRVRRGRGWRGRHRGGRGGSNANAS GSGNGNVEGSQATTATTQPLPPPTAVASQPIVSDASSSHQEGQPGRGRGDRGRGGRGR GRGRGGAAQRSIVTSHRGGRGPPVSAPRQTDSSSSQSQSQIRHGFNLGAVEFVPGQPV SVTTNTRGPGEIMPPRSAPAPKPVMEKSTAEDLPTRIHEDIDNGQYECVICTSEVVRS SRVWSCLICWTVTHLHCVKKWHKNQMKQKEENQSPNQPDGWRCPGCNSNLLEDPASYH CWCGKEFDMKAIPGLPPHTCGQTCSKPRATCPHPCSLMCHAGPCPPCTLMGPAQTCFC GKNTVTKRCNETDYTNGWSCQEVCGDFLPCGEHTCSQPCHPGLCGACDVPMPSTCYCG KERKEIPCNQRDDILESFNYGQLDDEEEWFEGSFQCSKVCGRKFDCGHHTCQKPCHPQ DEETSHCPLSPDMVLNCPCGKTPLASLPAEPRTSCQDPVPRCDKPCDKILACGHHCPD KCHTGACAPCFQSMDVSCRCGRVTSRSACHLGRVEPPQCFRVCKAQLNCGRHECGERC CSGEKKAAERRKQKRAVNENYEPEHICLQVCGRLLKCSKHTCQQLCHRGSCNACPEAI FDEISCSCGRTVLHPPQPCGTRPPECRFDCRRARPCGHPAVSHQCHPDDVACPKCAFL VERPCICGKKILKNQPCWFEDARCGLPCGKKLKCGVHECRKSCHKPGECEDAEVVGSH CTQLCGRARKSCDHTCVDECHAPFPCNEDKPCQSMTFTTCPCQRQKKQIRCGATRFNS GPDKHITLKCDDDCLRLERNQRLADALNIDPNHTDDHVPYADKTLKMFRENIAWAQSQ ERELRMFAADPELKRMRFKPMANHQRAFLHSLAEDYGLDSESQDPEPHRHVCLFKTPR FVSAPKKTLAQSLRLVKTAAAAAAAKPATPANSQPSPQAFNALLLTTPRFGLTVEEVD RALASDIAAAARSGPALSFTTSFLPSEDIVIKAIPNFTTAAIATSMAPTPQAVQSVLK DLKSAVARTISKAGLANGVVLCHADSSLNVVRREGDQAAGADGWSAVASKGTWRRAPS GKVAPPPVASSSRAGGGFFALRKLELRKKKVEEEKAEVEEDWEAAAEKLEGGSSDKEN EVVKTSSEDELQGHHDSEQEQSSLVATDA QC762_104150 MSSSPHESSSSAGNPLVQQTLSLMSTAAGYMRLPAIATSGLAAL LTALLYFKQKALIYPASIPANARTDVPRPSQYHFSDYEELIIPTNDGEKLSAFYIRGP RRNNPNSDVTVLMFHGNAGNIGHRLPIARMLIAATGCNVFMLEYRGYGISTGTPDESG LNMDAQTALDYLRDRAETRNHKIVVYGQSLGGAVGIKLVAKNQSQGGKGGDIVGLVLE NTFLSMRKLIPSIMPPAKYLAYLCHQVWGSDGLIGGIKVPTLFLSGLQDEIVPPIHMK KLYDLSNAPVKIWKPLPGGDHNSSVIEEGYFEAIAEFINRVVRERREKDEKDEL QC762_0001620 MHRRPKTSNQTPVQAIQIRLFPVDSAHKFFFESHDSKPATKTGL PSTTVDRPHQPASPRCCYSARQLHPLSFVPNGAAQQQAEPTLLTILRDARSSFASSSP SRNDWTELDLLATAS QC762_104160 MVSFSCEACGDIFTKKKLDPHRNRCRGATFTCIDCMVHFPGTEY RWHTSCMSEEQKYQGALYKEKKPKQQQHQQRVPPLAPPPPPAAMAQPAYVESVAEEDN WKNYEQRSDDDSRSINLPEAPTPPSAVDMGGNVNVFDFMVDNPTPTASNVSLPLPVPT QVPANEEMSLVRFDPEANGGDNFEDHALIHQENGAVALNQFQTPAPKQPRRKTKDSES SVKKDKKRKRLHIETDQVMIDAPPVMHSGLTGGLKGLMSRSSVFPPSPDYSGDNIATP ASPLKKTKSSKHHHKSLARTTTESLSNGLMAMIAGPSKTKSSKKKAKTSSSSKDSKKK SSSSSSPKRLEGGKEPKLLEYRPGSKDSKEGDVASGQMVIYKPAADHFLSLVTKGPES EKGCSVNKALKRYHRERSNSGVSLSKLMEEKELFKTLRMKRNDRGEIVLFTI QC762_104170 MASQPPKSQPPPPLTSSPYPYAAAPDIIRAHQKDAYFQGLLTNQ ISDLHRRLRGARSAHSWATETRTIGDALYLCLTTLIGNRTLGEEYCDLVQVEAPPSPP SQQQATTYPDSHIPPKPTSQPGPLLPSLSRRAGFIATSTLTPYLLTLLLPKLRSSLRR LLTTRLTTLTTRGLDTTKQGQPTSEARALRYILTHLSSLTNPAHIHAITLATFYFTGA YYSLSKRLFGLRYIFTKRIPDTPGASQNSGRGGYEVLGVLLVTQMLVRTYLHLSQQLS SSPSSNIDDIPTSSDRHIPSGLVEVSLDDNAYALNTSLLDTSAPAPQNQRSLAEIART THTPLVKGGTKPRYDLSDGKQMAWIKGYNPRKCTLCLEELRDPAVTSCGHVFCWECIG DWVREKPECPLCRREAMGQKILPLRMVHVH QC762_104180 MDGDPAVEPQLDAFSLAFPLPYRVAIIVILAVWGWGLNLHFLHI RRIDVPSLIRYPGRSSSAQLTHHHSTYRIASLLSSASGLSIVIFWMLTRGDPQRVIDY DWIPMTNLLVIALLFSVPLRKLSVSHHGRSRLLRTLKRVSVGGLAEAKDGKFGDILLA DVLTSYAKVLADLFVCLCMFLTSNGSATARPDRGCGGDVLVPVIMAVPSAIRLRQCLI EYVRVRSAPLREATGWGGQHLANAAKYSTAFPVIVIGAMLRNQTEASPGLSRAWIAAC LLNSFYSFYWDVAKDWDLTLFSDARERNSPDHPYGLRRRLLVHKPGVYYAVIALDLTL RCTWMIKLNPSLDQISNFESSIFLIQFLEVFRRWIWIFFRVETEWIRNNPVGLDAEDI LLGDFQGNKYEDED QC762_104190 MGPDVAFTKHPNGSVSSLARAEMQAAHQFLPPPVEQVQRYHTKN LPPPPPDRRLSSVSVLSREMNVAFAGTEDMLSLDTDQRGVLEKQGQEGNQQEMPAHTH VSIPTRASDDVISPQPKSALPKLWKMTGHDGFRTSASREGSIDSTHHMTAYVSCNRSN TQIAPEEVELRRQLHEYEHAWTEGPKNSLTEPWLPSPLSNQSVTSPLQKDVSREPVTA ISETALMDINTALRTLEPEERRPSNASSLNPPRSRLGSTPHASSLTSHIARRNKPDFP REKKAAPGPSPLKKTPRSAVHDDHAWYRGYRIPDSDEQRSSHDMYHEAAVEMVSKTPP NSPAHVSSTASSSKHIPLEQIIKGIQLTEINTADAGNASSEPSSWYSSSHHSTKPLLA SPPQPPPSSYHYHQSPREGQQLQNIRRPSGSSAFSALSATSAPQRHLQPGDRSVMAST SIFGGPSHFHIHPPSSSHSRKPSRSSPSPSPSQKPSPPGDNGNRLGDLDGEVHADGDS SMGCRGRRTCSISRTQGTRSIPISRPGSDVVTQTVSIDAKSHDAWSSHFTPSPTSPKR YSSILSKVFRRSTGVYPVTPLRSPSKQKGPYNLMLESAQQRRALHQIQHQFGSDVKPT TPTTPNHLSFSRIVSKAGAVATAPFKTPEERRRSKLKNKIRVYGDEGQLLGQEEGATP FKHKTEAANNETPSARASKHSMLAGYDRTKSAHELMGEGTLIPPNDNPIWMGPGVATY VHGTSVSSTPRLVSTPSGTPGVTSARLSAANTATASGTDSNSVWSRAPTLSATGTPTR TTFGSIASPPPPPATVTRGNTSSPPARYRKTPAGGSDSSQSHSRSPAVGPRLGATATE SRYSPAASLPLPPVHIPPPFVPRSFTAQVSVLDYPLKQTRGTSPRPTPSPKPSNATRS EIEIPGYTTLLADGAAYGGSRTGAVGLGILVPWRTKSVIEREEREKIEGQKKKEEGME VEGVRNVL QC762_0001670 MTSTLMVSEPHQSDQQDPQQQQQEDLISTPAQPGQEETKTVVQG LQDSPSLSPTALDTQQEESEREEDEGSYYWKRETMAPLNAVSAAAVARLRAFKPPPFP LWDRLPVSRRAAVLLLLYADRKGDLRVVITMRAATLRSFSGGLL QC762_0001680 MECVVDFYVPGHAALPGGKADTIHETPYQIARREAYEEIGLPLD NTHLPPPFTIEHLCYLPANLARTELVVRPVVALLHTSPPSSTATSPQSNPVSPQPNPT ITAEDSLIPRLDAKEVAAVFSAPFHNFLRSTDEPGHEKVEGEWYNGSWTEWHEEPWRM HFFYVPVTNQRVTKPKIREGGLASLAEDHSPDEEPVEEEKRYKVWGMTARILVDAATI AYGEKPEFEHNSHFGDERIIEGLERLGRLGEKKRRGSTVTKEDVKKAGEIMTKKEEGS KM QC762_104220 MDHSHHDHSHHEMMDHSAHMGHGDHGGDGQNMCNMNMLFTWDTN NLCIVFRQWHISSNFSLFVSLLAIVALGAGYEALREAIRRYEAAVTRRANSVPREIES RYQDEEDHEQDAETAPFFGAVITGQNRDEVTKRAHIMKSVLYAVQNFYAFMIMLIFMT YNGWVMLACSFGAGLGYLLFGGQTTVAKETACH QC762_104230 MLTQPINLPKWLEENSHLLKPPINNYCVYNEGFTVMIVGGPNAR TDYHINQTPEWFYQHRGAMLLKIVDPTDNNTFKDIVIRQGDMFLLPPNTPHNPVRFAD TVGIVLEQKRPEGSIDRMRWYCQSCKEIVHEASFHCTDLGTQIKAAVEAFKEDEEKRT CKKCGEVAAWKPAEGSLKDPNLEEA QC762_104240 MMIFRRGLATATNATTMASLKKAGKVVCIGRNYADHIAELNNTR PKQPFFFLKPSSSILAPGEGPVVRPKGVDLHYEVELALVLGKRIRDFDENDNKAALDA IDSYALSIDMTARNVQNEAKKKGLPWDICKGFDTFLPVSNVINKSAIPDPHNIELYLT VNDKVQQQDSTELMLFRIPKILGDISKVMTLNPGDIVLTGTPKGVGPVVPGDVMKAGI RINGKELEEAKIEVQVEESTGAYQYGET QC762_104250 MESIQLAQMLADLSDLNAAQEASAAVALVNANKNLNQPTTTAPS DASQPSDLVRPPIRPGQRNHQRTGSAGSLGSSSFLSRTASPAKFDKYGRRILTPPNTR ANSSYGSIPGTPRGEQISDDDVDRAGSLMALYEIRAKLKDQDNRSLLKLREKITSLQH ARQQQDRLEKGPTSLTGFAGETANKSRYSYPKHS QC762_0001730 MATGVDAKLLKSTKFPPEFNQKVDMTKVNLQVMKKWIAGRITEI LANEDDVVIELVFNLIESSRHPDIKALQIQLTGFLDKDTPGFCKEMWGLLLSAQDSPQ GVPKELLEAKKLELLQEKREQEEARQKREDSENQRRDSFQRGFGGRGDRAERGRGAGG PRGGGRLGGDSWRGPGGRDDNRDRPFGRGRGGDERRSRSPPRYRDRGGRGGPRGGLRD SYVPGGRGSSPLRRGGRGMGDRRDDRRRRSRSRSRSPSVRSASSPSRSRSRSLSRSPS VDGKRRSYSRSASPPRRRRRSRSPLPYRDTVKRRRSPARDADRRSRSRSVASDRGSPS PKRRRYSPSSSRSRSRSRSRSRTRSRSRSLTRSPSKTRNRRYSRSSSYSSRSSRYSRS LSRSRSRSPPPRRSRGGSRSVSPDIKRHRSRSRSRSTGRSISPASSYGRRRSRSRSPR RRSRDRKRSRSGSRDRRGGRSRASSASSQLSKRDRSADGSGAEDRPPKAHHDDAAVSS QDQESKIDTEQREKELKEKIKQMRTSRSSDVVEANGA QC762_104270 MATENTTSSNGGGGGGAATPKPVGNNATAGGHQNTPVKNESSAA NGQSQQAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQAAAAAAAGIPYYEK QRAQLKELLAKRRALDKRLAAQEEHIFQKETEYLESTPHGNIIIGFDNYAKGGGGAGQ GPGAPSSSAARRKGGGGGGGGMQGGVLNDANRVFSRSSISYNLAAQAQLAQQQAAEGV TPGSTPGPTPISGSFAGGDSSKVGTPTSAGGGNGGGSKAGKKGSSKRPVGRGAAGDGD GDSETDSRDAKKIRTGFGAGRK QC762_104280 MGEAQDPRWDGYDDDDGSGGEEALAAAAGLSCFSMRGCSGEGKH LDGCGGAGAAPHLDGTNSTTAIVVSELKQLFSRSRRRLLLFPGVETTFFSVCFITLFF LAFIINPAIASPVWRDSAGNMTIMATKFTPEVMLSAPRRSAAVPNGNGTLALYTVSTY SFGEHKRRSEVRVLTIANGQSSVVVEGSGASEPNWVGEEEFVWVEGVGEKGEMEIKWG DVRGNKGVVKRFEGGVGNVKVREVERGRRWVLGFTVVVTPGGEIYNPVTEEKGLSSGR VYDGLFVRHWDAWSSENKNSIRYGFLRRKEEGGFEIEGLVDALYGTGLSSPVPPFGGT GDFDVGPKGLVFVAKDPKLDPAMYTKTDLYYLSLKELAQEKPKLRLVETPGLEGYSQS PVFSNDGNKIAFTRMRSKQYESDKTRLLLVKDLDELKAEEFYATEDGEGGWDARPDAI LWSADDKTLYVTAEHRARNLIFQLPSTPCEAGKKLPSIIPTTDGSVSDVRLLSTTVSA HSPVAGRLFVTSTSLVDNSCYSIVNPSQSTFHIVSSNSKQGKSFGLSRLSVDDITFKG AGDYDVHALVMRPSNFDPKKKYPLCFLIHGGPQGAWADSWSTRWNPAVFAEQGYVVVS PNPTGSTGYGMALQNGIKGDWGGKPYNDLVNAFEYIQDNLDYVDTDRAVALGASYGGY MINWIQGHPLGRKFKALVCHDGVFSTSNQWSTEELFFPIHDFEGTIYENKEGYQKWDP ANHLNEWATPELIIHSELDYRLPVTEGLAAFNVLQAKKVPSRLLVFPDENHWVLKPEN SLVWHKTVLGWINKYSGIEDDAGAQVQAHQANVTKRETERLDKETFANLVSKLAL QC762_104290 MFWSGTLLSATGPLAKAWLSANQERKVSKVQILQHNLQDSVDAI IAPNDAPLALRLSGQLLLGVVRIYSRKARYLLDDCNEALVKIKMAFRSTGNHDIPTNL HIQNKEALMLPDKITMYDNLDLLPPPSSDFLLSQLEAITATPSMARKARALNRDTYLQ EDFNNSQFLQNTSHDEDEEMGLGNFDDDLGLKLDFGEDIVEGSRLDRSVEMGRDAPPV RSVEDDMLSEMDFDLGKDRNERAVSLEGLDFGDGVHIMDDEGDIAMPDDVTLNVGDAS AMPAAAGPDMSRARISESPLSDIDEEFAKEVEMEYSRHMTTDLYEPSDEPTATIANAP QRQKKRKLLQPDEQTVLSTQQIREQQAKRDNILKPQSFLTHDPYITGLEDLHKNGGFI QNILFEGRSDGWAPELKGLLSLGSIRPNELKRKRDSGVADMETDEEQNRSKSPRLELP ADESVFNMDGTVIGNQSIAADGTVLDIPADETAVLQHDEEGERRHEASSPMPNFDETS VPIVHPADSGPVSIGTKHAVHILRDLFGAEAETNAEVRKKNAVVFQELLPEGRTSKAD ATKMFFECLVLATKDAIKVEQPEGSLGGPIRVRGKRGLWGDWAEREAGGEMAREQHQG NEPGHAMATTAAVSVSA QC762_104300 MDRFTRTNGGDALPIYHNSPVPAQLTIPQAGDRPPSTSPRTTSA QSRSIANEYSYQSPAEVASRLNTSLTQGLTAAEALSRLRDYGPNEIPHAEPEPLWLRF LGQFKEPLIVLLLCSAGLSLLVGNMDDAVSITVAVTIVISVGFLQEYRSEKSIEALNH LVPNHAHLVRKQSSGTTPGARTPNEPTAGASGLATPEEEVLEATSSKVMAAQLVPGDL VLFTTGDRIPADIRVTKAADLTIDASNLTGENAPVRITADARPRQGFASPAPGDASLQ LPRPTFAAGNADDKGQNIAYMGTLVKSGHGQGIVFATGGDTHFGTIATSVSGTESPRS PLQLSMDDLGGQLTRMSFGIIGLISIFGWLQGKNLLEIFTISVSLAVAAIPEGLPIIV TVTLALGVHRMAKHNAIVRRMPKVETLGSVNVVCTDKTGTLTTNHMTTTKIWTFGNDD AFNVDSDEELTGKEPDAATHRILRIGNIANNARPARNHNENGPAARAVFSSTQHRGQG SSSYTRWVGQPTDVAMLDLLDRFSLHDVRDSLGPRVAETPFSSERKWMGVVIADGDKE HAYMKGAIDRVLDTCDTYVTDDGREFVMDAGRREEAIQAAEKMARQGLRVLAFANGPV NKSARSKAARSTTPNGRESPNAVGAVEEQYRGLTFAGLVGMSDPPRPGVGRSIRKLMR GCVKVIMITGDGESTALAIGKQLGMAVAVPTEHSSNQITVKPVLRGDELDEMSDEDLA RALDHTTIFARTTPEHKMKIIRALQSRGDIVAMTGDGVNDAPALKKADIGIAMGMHGT DVAKEAADMILTDDDFSTILHAIEEGKAIFNNIQNFLTFQLSTSAAGLSLVLICTALG FKSPLNAMQILWINIIMDGPPAQSLGVEAVDKDVMNRPPRRRNDAVLTRPLVSRVLTQ AFVIMVGTMLVYTHEMQDGIVTRRDTTMTFTAFVMFDMFNALACRSESKSILRGEVGL FSNTLFNWAVSLSMLGQLLVIYFPWLQEVFQTEALGWRDLAKLVVLCSTVFWVDEGRK WLKYGKRKIGLGGRTGGGYSQAV QC762_104310 MHLERKECTEDSDNFIRTITSSKGRLITGRDARFAIRGTEQAAD FRVTGHCTYAIPGYCDDPVPAGLEKVSDGRWAYRCPHAWRFLLGEECEDNCSMLLFVD DARSHEISSLPQALQYWPEQWRREQNWRYAAEMMQQESSSKEPETADSLAGSLSMVST QHGWGDFGSRSSGKRNYSALLTPVSTVPSTVKDDLRNSSRQKQQPSPARSRDELDSVM RMATGARARRAKAEDEGAEARKEMNEARQKMEEAENKIQQAGLEAKKADEEEITALKR VTELALARIGLMEERVNPKKEQDSGW QC762_104320 MLAELAIGLTMMKRRNLQFTLFFLYCIDRCTAVNKCYYPGGGES LDDAPCDPDAEVSVCCGRFSSGGGCLSNKLCMGIDGRMSRGSCTDKNWLSPECPNFCT VLRQGIVVTPCLNGNDSSTTHCCFLDRICCSDESALFEAPPARPVTTATWNSKSFRYI SFQLQPSTSSSSTKTSTSSLSTAPLTSTSTESTAMTASRSITTTEPASSTTTPESTSS PPGNQPQSQPQPQQQQQQPGLLPPATTAGIAIGAILGAALIAAVVYLLWRLNKSQKLL EQAVAGNQAPGNTPHYSPPYGFYHSSQHSHTPETNSVKELPSDRSAGELYAGPLPEGY SFAQRPS QC762_104325 MGKGFSNRDPPLPSKLLIKKKRFTQQSINQLRSRQFPSSRRPCT SRSRVPCPLRPVLISSNSCLPPPINLDLQPSASSIDYPTASKPTTLHRPPSPPKLPRC HKLASRSRASPQLQCPFPWSECFRISTRYRLCTAPGRREQPLPRRPRVRKRGRRSFQV ELGSAWV QC762_104330 MSNGSRINIKTLTSQQKSFLHEWIAKNESPSRIAIEFNQHFNPP SPISDRQVTGWKTYHQKQWNDVEYRRTKYAALTSPEPPHHDPISLVSNSDQSKTNPPF LDSGLPPLVQSRAPRQQVQQRDPVFGNGHLASANEHPAFANNTAGGLGAGHSAFVSQG ASSEGDDRALSDAYALSYARPASGQIPGAARSQTLPRASKRRRVGDYPAYDRRQGGGH IGPMGRNMSSSTAPPNVMRPRPPSRRSTNPFSPRAPMGQYINPPPNFQAPGMPAKNAF GSQALGQTGTGYIPAGIISPEQISQGQAVAFPEEQEEIKATMPYEGSRGKKLVPLKNW HFFLQNFSPRLDGIPQDMQRYLTRNLMSDLTVFGRTPGQDFQGDLEVFVYTAACTPVE AKGVDRDGIWYIAAHDKCGRGFVHRHKWNGDIDSNEAGAIDQWKMRQSGSDVAETCTQ NLVREWLSSMRDQDLQPCPMIVKSNRAHQRDSPPKRKQPQEQEVNPNSDNRRVEGAPR QDRLAQLVPAPIPSDNSHSQPPPHLPAQPAVEGTQQSYQPPADIFFDPRQAPQNHVQL PVRSYQQHGNRDLPYQAPGPDVRAQVDVFGRREKYPVYSDRRALPDVSPPPWREIPRQ QDRIPPYNWQGDQPGEQEASLEAPQQGAGPNDEFNSSVEDWIPETDIIREGESVEEMR QRLSFLDGTTPSPSTSDLGGTDLDQSISDLGGTNLGQSNSDPG QC762_104335 MVYDIAHRKEPRVKSRMLKAREKHGEGNECWLGKIHHESWSPLG QKKKPQETLGTQDTTLQQWPCPLHQNKKLSLGAG QC762_104340 MRGIEEDEDLPHLWQKPAYSVILKALEKLRVEPPVWGAKVSRSE IIKQQAAVQTAHERKEAIAFLSSIIKSGLSWLGDDDEREVIWEEASKRMSERCGRTAM GEIIRSWPFENPDYGSFSLTIREPPLTGDSLGLKTWGSSYALAQRLHEFASGPLAHLV RSSQKTEEVLELGSGTGLLGLAAASIWRKTVYLTDLPEIMSNLEHNASLNRALVEERG GRVEAAPLTWGGSEEEVDPRFRSGERFELIIVADPLYDDDHPTLLASAIDEQLALNPD ARVLVMVPQRDEITKGLCRTLRAELGRHSNPLICLHENIVDGEDDWGDGNNDDSQQVG FWWGILGRSS QC762_104350 MMKDLFGAIFVIRDRAAYLCFGPDPTEPTELCRSLCQEQKTPSC NVSSYWELSLLSLPHALSIRGKPNRNREEKM QC762_104360 MVFNVPDGGLSLDSSARAMAGLPAQAFGITLSNSVIEDMIACVQ NGGDIELSLGSNPAFLFSDHEVKIPNSPDSYDYDLYYSDAVSPRSLKKLPNPAMGVFM PPRVSRPAAKKLASKAPVQKTSSSNTTPISSNEADDAIANLKSSLARKEAEKNTAVVV SGLMNSKGKVKPPNRLLDNASPRSLPPSPALSGVRSPSLAPGGSALDQVKQHTFPIIH QLAVSEQSFEDLFAQWNEGSEDEFRVALDKVADFDNNIQKWTLRKRYWKELDVFEYDY AKEEDRQTAINNAVKQFDRMRVGVTDPIWQKLLPVAERGKGICLSKLQANFAKGPTVP KPKPDGANGSGSEREDAAVLKKGKGGEPMSRSSSQASAAGKKKPSASEAQAKRLTSTT KKTAPAKASTKASPTKPQAKAAASKGGRGPLSEEFVKDSDSEEEPLAKSKAVAAVAPR PVKAAPAPAVKSKAAAAAKTASKETEKDTIRAEVVAKPTKATKPAAKRPRDADEDDSS SSGTPLSKRVKAGSKAPTTGANSTKPRTVSDASQNGRPGSSAPKAKNTSPTKSSPLAT PPQNASEVEQERLARARSAEREREREQAQIQALKRDRERERERERERVEKERERERNR ERAEKERERGRGERARELGRDRPRAREPSRDTIISSASSNADSTIGVPVVGRKRPAPV DSYSENHHHVAKRMRVSAEVLTKAQEFKLAYQRYLQLHEELNGWDSPPESKLHDFVEM HDRLQRMKRAIYQDVGEG QC762_104370 MAGDDTKPAAGNTSPVAREKLDAQIKSADMSEELQQEVIEVAQE AMAKYSVEKDIAQHIKRTFDERKGPTWHCIVGRHFGSFVTHETKHFIYFYLGHCAILL FKTQ QC762_104380 MESSRIFVKNLPPSISEADFRKHFSLQGREVTDVKLIPNRRIGF VGYKSHEDASKAVKYFNKSFIRMSRIGVDLAKPIEAAIPRSATQAAHVPSRDAAKASS LVKSDAEPSEDDPSSKKRKRDVVDEADPKLQEFLEVMGHPTKKAKDGEALGSSAFESE AADAIPSALIEGGESDDEYEDIPVRPKRPIEEAPTSALPVATPVAAIIPPAPSQPAED AAREVPQVPAEATDDDWLRSRTNRLLDLVDPDDPGFPAQSAGAMPATTQTLLPEAQVQ ETQEPGLAAGSGEKPVVARTPEDAVKLIQKTARLFLRNLSYTVTEDNVRDHFSQFGEL EEVHVPLDNQGQSKGFAMIRYASPEAALSAFQTDGTVFQGRIIHILPAAAKRENKLDE YAISKLPLKKQQLLKKKAEAASSTFNWNSLFMSQDAVNTAVAERLGVSKHELLDPTDA SAAVKQAIAETTVIQEAKAYFATHGVNIEAFKSQQRGDTSILVKNIKNATIEEIRTLF EEHGSVLRVLMPPSGTIAIVQFAQPAHCRAAFAKKAYSRFKDGVLYLEKGPKGLFVDN LAQPADRPAGVQKVSASYLLERDDGEDQPETASLFVRNLNFSTTTEGLTNAFKPLDGF VSAQVKTKADPKKPGQVLSMGFGFCAFRTKEQAQAARKAMDGHVLDGHKLLVKASHRG LDAAEERRREDLAKKANAQRTKVVIKNLPFEASKKDVRALFSNYGKLVALRIPKKFNH SSRGFAFAEFSTGKEALNAITALKDTHFLGRRLVLDFAEAEELDAEEQIEAMEKKMRG QVSKVALQQLTGTGRSKVNFGDNPEDEA QC762_104390 MRLPVLPSQCYSTSPDDEVEGRHGTSASRQPLRESTGNAQYSHM AWYSEQIRQLGAASGLASMSPSIPTPPIVPTQSFGPDYGSSTSSVYGRQQQRHHYQGH RVTNFRRRQFEENPLIPLLPAAFQNYRKKQADKTDQKWTDVLEWGFIDALLLIPQMKR KKYTMKQTQFGRNMLIGEYLWIYYLQTLPSGTEAECNLVRHRKQVSSHIQVLKQFFAN HRCFHFFFNSRNDEKDKDSIETISLKNNPVLIALSEDRLPDERPNYEYFADILALNEL VTVRPKRCWIFVSHQGVSVREDGSGFLPSTGDKLDENEYPHLARNLERETWLKEEQQI FKGALLHEFTKEMQQIESTSVNDLGRKWETSFPELHQRLQGICDSTTDQRCTILHMNV TLELKEKRRFPSQSELNSWVEINIEQPRLLSHRWKVHTRLVRPAELSYSHESASPETM YETSAEIAIQYQHRPGCEGPRPDGRAHCDCIAQRRHRDSVTVPFPADIWASTLTNCAE YPAHSFSDAGKNGKRSKDLNVKVEEEGEEGGKPTRRSKPLTQMDLVPKIAMMQEIWSC PPDAPHYGPEPQGNGSRSQRWTRRAVIVWTFKTIHSDVDGKLNTAQSGRTEWRYLTIL DPMSEEHQQKAIISGSRKNSAVSDYADGYSSNHVRPVSRDVVMSPSPTYQQHLTASMS ENFSSAWDTPTGLNPLSTSAAQAYSAHLMAPSQSHVSAMPGYSPLDSFSSHGGLATPP PSASLTTSFTHNFDTTSTQPDLMPNYMSPHSVTTTTAGLDTDSALNTLAAVADPFLTN GNSSFGYDQSHWSTSNTLTGSSNVWSQPYQSAASTYTHSPLAPWPNHTQPTPSHRGSI YDKTHSHVQSQPWISTAVAGGVTTTADDHDLWTPATSTHAPLTTTAPALGPDPITAGQ HESQDTTDWNQVTTGNGTNGNSQETGEEELGQNWEEILPPIPLNLTAPGTQPEAVNSP TTAGDTAAQRLEKAAAAMEMPVMGGHTNGNGAVVGEERKSLKRRHEEGDEGGDLDSGE WRRKSFRQC QC762_104400 MRLTSPRSGLLTAALLALFETAKGHSWPESTRRIATNGTFIGEE GFDRAHIDRENPREQFDYLLPPNGLSTGKLIMHDHPIVRKSQGPLGSSSYSEKFPMLK VAPGDFVSIFYLENGHVTRADGANPNKPINRGTIYLYGTYENDLSKTTLTDVLHTWTA DGKGGNGKGRLLATRNYDDGQCHEPIPATGDPEGISRYRKDEITQSEALRCQSDLQIP LDAKPGDVLTVLWIWDWPDMNKPGAAVPPASFHANSSDFGEYFVTVPEIYTGVVDYKI VDPCDDSLGAVKGPTCSKGGKSSSPFSGILNSKKRFRNRVKFDSKQPAEFRGIQRQMV QPFMVKVPQAGFGGSNRSSAKFFPGTREGRVSVDKANIPLAGLIGKETKPPVPFSMEI LDGQRRYLEEEAVPEDDDDDEEEEEENDGPAPPTFTVAPTQTQTTPASSPTVPTPTDG ADSSSIAPKPTEGTETIAPSPSSSLETSTTSPKPTDGAGSNPGREDGVFYVTVTVPTS FVTVTVPKTATASTATATASQEV QC762_104410 MPPTVLPSSGNPLVFFDITLGGEPLGRITFELFANVVPRTAENF RQFCTGEHKNNQNRPQGYKGSKFHRIIPNFMCQGGDFLNGDGTGSTCIYGTKSFADEN FTLKHDKAGLLSMANAGPNTNGSQFFITTVPTPFLDNKHVVFGQVVDGMDVVKKMENT KTGYKGKDVPNLDVVIAQCGEM QC762_104420 MGLSTFPPQSKTDIESYTHEKTRASDTGDEGFITASSSREGVVL ESDKSWFFSRLQRFAGSYGVEERGVERVPEDERRDTRASKMGGVWLAANMGMPTFALG AVAVPIFGLGFVDAALIIVLVNILGVLPVCFFALFGPKFGLRQIILSRFWFGHYAVKL IAIFQIITCLGWASVNAIVGAQLLKAVNPDIPGWAGILIVSLATLIICLLGYKAVHFY ERYAWIPCFVVFLVAMGAFIKSGEFDSLLPLATGPSERGAVLSYIGAVFGFAVGWSAY SADYSVYQPSTRSTRSTFLWVFSGLTFPLIFVMLLGAAISTALVKNEEYQKHYENAGV GGLMAAVLTPNVEPGFDKACLILVALSIIATNCPNIYSVSFSLQALARQTQQVPRFVW TIFGTAVYVGIGIPGYNLFETWLETFVLSTGYWLSIYVAIALVEHFLFRGGLRGFSGY DVGDIHKPELLPPGFAAIASCLVGVVGVALGMSQEWYTGVIARLCGGEGHGADVGVWL GFAFTFITYIPLRAVERSCFGR QC762_104430 MEPQESTKRSQDEIHDKATPQQQEPTHDEPASKRQRFDEPAETQ NGTTKKSPVDRVKGVAPIKAEYLIVAPGQSAKVVVPDVIDDDEAEGRGTIEHQQQGDS RDAGKGGKRKKKTGQNKERTFGTFSDAQRLCNSVAWTPEFSPRSCKFGERCNGLHDIR KYLKEGRRADLETFGGKCPTLEKFGKCPSGWRCLFVSSHSKEVEHEDGRKELVLTDKD GNTYPDDGDTGDANTEVVNNVEGQIKIDLSRKRVQFEKSDKYLKWLEKDTQIFRDHHH KQKDGGAEALDYRAMYVEPPFKPSEKKRLYFGRETPALAPLTTQGNLPFRRLCVDLGC ELTYSEMAMGMPLLQGAKSDWTLMRAHKSETTPPRFNGDGPVAQGYDNSKDLKFGAQI AANVPWVAIKSTEALARFLPHLRLIDLNCGCPIDMLYKSGAGSALLDAPSKLERMIRG MNAVSDDVPITAKIRMGVRDDKFTAQKNIERLALGSEEHRDILGAPGCAAVTLHGRTR QQRYTRAANWEYIAETAAVIKRLNEKTDSLTDTIREVDERTLPNGGRMFFIGNGDCYS HIDYFDHVDNAKVDTVMIGRGAIIKPWIYEEIQTGQYLDKSATERLGYIEKFARYGME AWGSDELGINYTRRFLLEFLSFFSRYVPIGLLEYLPPSINERPPAYKGRNELETLLAS KDYKDWIKISEMFLGPAPATFSFTPKHKSNSYEIEAEG QC762_104440 MADQARATSRDKTPQSPHSKNKVVRSQKISQVTSPTEPRAPTPL GGDLYDHSPVQDRPPIPRSHTPGHLSSRNQDRRNQSGDLALRQRSQSAAGHTSSRAAA AGIPHSYSTTSFSSNPSASKAPTPNSAPPSRQPTITENGDNNSTSSLTVNLTLGRDRG DSGASAGSGKESTTSTTHPRRPTNLRSTSAIAGGGRPPGGSHAAPSHPRVGTGRGQPA KGAEVFTPFPPLTNPKTAPDVLAAPSSGMYWSRAPTSGTPHTALRAHTTTLVGSNVFV FGGCDSRACFNELYVLDADAFYWSTPHVVGDVPVPLRAMTCTAVGKKLVIFGGGDGPA YYNDVYVLDTVNYRWSKPKIMGSDQPGRVPSKRRAHTACLYKSGIYVFGGGDGERALN DIWRLDVSDFGKMSWKLVSGPSPSSSTTSVTDREIRPKARGYHTANMVGSKLIIYGGS DGGECFNDVWVYDVETHVWKQVNIPVTYRRLSHTATIVGSYLFVIGGHDGNEYSNDVL LLNLVTMGWDRRKVYGLPPSGRGYHGTVLHDSRLLMIGGFDGSEVFGDVWVLELAVHA YYSQISHFTIEI QC762_104450 MKIISKEEEDAHFKVVLKGGLIGGSVGLALGLGGVIAGSKRYPT IRNLTLPFRSFLVTSTGTFGAIVWAERYSIDFQRSHDSMYNYMDASHKAAAEARAAAK SDTEKLMDWGRENRYSIVFTSWIAAMGLALAMVGKNKYLSGSQKLVQARMYAQGLTLA VLIATAAFETADAKAGKGRWETVMVVDPEDPEHKHLIEKRVRKEDYEGQNLWQDMVEA EERRLAEQKKHVAALEKKEKAPAS QC762_0001950 MFTIRQTHDFGPQSTYIGIDNDNGSICKKTLAEQSKRVELESVR SAGERPWGKRRHGKTPILPADVLSKHLMLRGAEAQHDIQVDLELNE QC762_104460 MQHPMSSSLINSTASPEPAEPSGTGQNTLTTLTNNICPNRASPL ASASSDSPSSLNNSSSRSPSLTPQPATPKSPASGLFVPAPPKSHFVDIASLPPQDPQN TDFDFLLDCSDDVAASAALSNHAAIPTSNTPGIPDIDMATGSVYDSGLGRSRQDSFVG ARPISMTNPNRTRRDSNNIGPGSLMGGMSWGGISLGSFVKDEIMMAGTSPYPTHQSPS FHSSSYMPKLEASFMRDFICCETTWDTLHDLLQHYEEQHTNLTGNSTLGPGFGGNFTR GPASRATSVAPSVRPQQPTQPMHGFQQQRQPGTGASNLGAGGFGMMRQQAAPVAPKVN HMSHLNDEMDAVGDMEMDDAVGHMDMDDSQRIQQTRHLFGQQQRPQLHLNASGLPHQA LRTSQPPTPAAQSFGFQNNPTVSSVNTPTLTTHQGLPQRGQQFSQDNAMEEDDDMSGL PMKLNTNNLAPLGGFPFNINNTIDDPAKRLYSPGGSSAQMTSQQRAMEQQLQMQQQVQ QQLVSMNLDYSQLPPGMDPTTLLQHFTALMMPPPEEHKPFKCPVIGCEKAYKNQNGLK YHKTHGHSTQQLHENGDGTFSIVNPETHAPYPGTLGMEKEKPFKCEVCGKRYKNLNGL KYHKQHSPPCDPELRAQQQNLFSSMMQNPAGFMAIQQNLPNINEDNNH QC762_104470 MGFLQDVAGPLLEGFSTLGLASQIGVATATFVVVAVVLNVLKQV LFKSPNEPPMVFHWFPIIGSTITYGMDPPKFFHENRKKHGDCFTFILLGKKTTVYVGP EGNNFILNGKIRDVNAEEIYTVLTTPVFGKDVVYDCPNAKLMEQKKFMKIALTTEAFR SYVPIISDEVTSYLKRTPDFKGQSGVVNICPKMAQITIFTASHALQGKEIRDQFDEKL ADLYHDLDMGFSPINFMLHWAPLPWNNRRDHAQRTVAKIYMDTMKRRRARGDDGQKDM MQHLMNSTYKNGTKVPDHEIAHMMIALLMAGQHSSSSTSSWIMCRLASRPDVMEALYQ EQIDNLGKDLPPLKYEDLAKLPLNQAIVKETLRLHAPIHSIMRAVKSPMPVPGTKYVI PTDHVLLAAPGVSATDSQYFPEPDKWEPARWQKDHPLAPSMVRNEDEINEEEEEKIDY GYGLVSKGSASPYLPFGAGRHRCIGEHFANVQLQTITAEIVRAFKFRNVDGSDKVIGT DYASLFSRPLEPANIYWERRN QC762_104480 MTSTNLTGRVPPPEILQLSSAGHGEQHAGVDRDEQNAAPNAGLQ GGGSLYSKRRTAHAKAILPPRINLRRAASYNVAEKGPLSSTSSRFSFNHLVFSPPPSP GLPSLSPPKKPPQRKLILGVRPIRLIRYTIRILSILAVFWISLEVLTYLFGPEIPIPA DNTRLSVPEKPIVAPPKRSDGVEMVSQKGAPDFATPIVITDHRGKAKWTVSIPPGTPF PLSQKGYADMCGRCEQVAARAVELRSQGSGLPQVSLSFGAEAPDHDFIDVQEAEKAGY LPKRGAGGTNTGKPVCKKSLTFVLESSEAGLGKTLMMLWIAYGLAEKEGRGFFIDDTR WAYGEYSDIFEAPPAQDCSPPPDHERLPCPPQARHLVVSAANAHEVFANLLPPSAEGI FSSSSPPLEPLDPSLLKKQFALARSGFRALFHLNAGDARHVDARTRKLLAKRLVPKTK GTQSGLSIGLHIRRGDRHPFEPQYRRSYLPVNVYTDFAQEIIASKFNSSGSIFHDTAE ENRLAREHSFMILASDDPLVYDSEEVKDNLNGKRVVRAQDRIKLASKHELLPKGGKEE EVDRNVMHKFVDEAFGWEGGFYAGMFWNLGVPTANNDKKEEKGVGAESLRLRSLVGRA YMMDLAVLAEASDVVVCTVSSLGCRLLGVMMGEGSVKGGRWVNVDGGLGWLGLD QC762_104490 MTTLPRPSRPSNAPPQIALPALPVGKTRKSMGGLAVPPTRSTPT SPKALRTPSSNLLPPATPAPSGALPTPRTASGYNATSKTLRKTVSISAFPHPPRGDGR ISSLPPSPLSAGLSRKVKTPTTPTYQFSNGSSSFLAGAGDQKSVSRTGGTRNSDGLIS VSSPPQSRSSSAQDSYSTSATQYEDATDAASRSDASPGKPASKFDGKGNVVVSVRVRP DAAGNDQAPDGEWMVEGRKSLISYRGKEGGDYIYDNVFTTHDDNAKVYDHCAKRLVRR VMEGYHGTVFAYGMTGTGKTFSMQGTASSPGVIPLAITDIFSYIRETPSREFLLRVSY LEIYNEKIHDLLSMSTNNGPGANQQQEEIKLREDSKRGVYASPLKEEIVQSPTQLLRV IARGDQARRTASTQFNARSSRSHAVVQIVVESRERVPGSAAGDSKRQALPPGGVRVST LSLIDLAGSEKAAESKERRQEGSHINKSLLTLGTVIAKLSEQKADGKSADKDGKHLPY RDSKLTRLLQGALSGNSLVSILCTIQIGAPASATSANTHNNETLNTLKFASRAKNSIV SHAKRAEESLGAGGDGGAKVLLERYRMEIAELRKELEAQAKSNSKKEAEIEKERDAEE ERAREKEAELRHEEQMLEMQLARTALKERIDHLNRLILSSKSIGVNASGSFSSLGIHP RYSQGSIRSSMTISNGGKLSLERTASMTSSASTIGRKSSSHRSSGGAPEAVPLTEDDD SMGEYGDGTASLAAQNRALQADLVDKNRYIQTLEKRLLQARRASSSRASVGIATAGKG IMVGEDHSVSALLKEKDAEIAELRARLDDKDRMLTALRSAARSRDNAERVESRSEARN SQILDSNPGPAPLGSPPAPNSLVRQVSQLRKQTKNVDEMSKMLDEMLHERVESGQVVR GNRGSLRVVGPEREPRERGSLLKELVPSPPPTMPLPSPQILTQSPSLPPSMPQPPPPL GAGPSGSQPPIQLQLLSKQRTSLSQEPSKAVAMEV QC762_0002000 MSTLPYLTLLIGAHARLPHRTAPHRTYTTHLSIPNPRPPSPSSD SRRSFPSLAAQSTKPTGAAALFGPFVLLALKSLRESHTLPPVPVPLCSFQRAPERQHP SAVQSDIVTATTAYPTNLFLFPKPFWFRRDTSDRPTYQHSSAHSLANWLFDTTPFRPA F QC762_104495 MLKSFLSAAVLHWPVPSPRVRESLPREGLNQIQMSSTFIVRQSG NHSIQIIIIYLGNKKNRKSRLP QC762_104500 MSSPVFGQFSPWARRRSSTVSNHSQDHPEDQTITSTSPEPIPTS QNQQNNNNNNNNNNDARFLSSSVGSVSHREPTRSYIHGSIRDPARLENIQSARSVRAD TAELADYFLSDKKDGRSASFLSRARSLSSSARPDHAITGGSGGGRNNNNNEPGVTNNN NIANDLLGASISAETIEEVSEPPSPEPLDDSAEVDSGEGPSMIAAMLRRSPPEERYLL PHHKEDQIREDDVVEVTGDEDEDGDEEDDLSRLSTNEPRPLLSRWGAEEDETSPLMVS RSRESRRSYGISNGRNGSVDLESQKGPSRTGWLHRTVDSVKGGRGKVARGFKSWDRHA LWKNVVVAPVACLPAVVVGLLLNILDALSYGMILFPLGSPIFANLGSAGISIFYVSTI ISQLTFSTGSIFRGGVGSELIEVVPFFHNMAATITALVGEDKPDAVIATTITSYALSS MLTGTVFYLMGKFEFGYIVGFIPRHILIGCIGGVGWFLVATGFEVTARMDGSLNYDLE TVKKLAQADTVPLWIIPLVLAIVLFWGQSKITSKFFLPLYILVIPAAFYAVVSMLGAV DTESLRGKGWVFQGPPEGEPWWYFWTLYKFHLVDWSAIVQCVPAMFALTFFGILHVPI NVPALALNVGEDHADLDHELKLHGYSNFLSGCVGSIQNYLVYANSLFFMRSGGDSRLA GYILAALTFLVMMIGPSLIGFIPIMMVGVLIFDLGFELLLEAVWQPRKKLKLLEYLTV IAIVLIMGIYDFVVGIGVGILLAFISLIVQASRVPAVRASYSGEVVGSTVRRNPTQQH YLRQVGRQINIIKLSGYLFFGTIVSVEEKIRHLITEDQFKKRPIKFLILDLWLVTGLD YSAGEVFNTISRLLNGKGIELVISGVGPERSLGRSLRAVGLGEDGIEVKFLPELNSAL EYCENELLKTLYANQQEEEQQQLIQQQQQQPSPRPSANLDVPSSLDPHFSSSDLLGSS PRRSHLREAAKNSLGTMEVRKKLTRWQSFKEPLRLILRIFQDVSDKNEDFWFRAVGYF QRKEYAHGTVLFKSGEQAEGFYLLESGILRAEYEHPQGMLYESIVAGTTCGELPFFSE TNRTATCVVESEGGVVVWVMDREGWGRMQREEAEVARELLRISLKLTSERMGVITSYT LTMAG QC762_104510 MPMYGDQGNKLVQHAKRMQNLAHLPPYQTELVRAVTREVRDLDK DVASLLEPFQGSFDPSADQSTACTLLVNHLSMRRNKRCLLAYHRTRTDKLEELVWNGS DVLDLAGQQAGGGANGATATADGGASSSLSPQEEDYVRQYGDLLAAYKGQWTDIDLTG SLEPPRDLFIDVRVLKDAGEIQTEYGAINLTKNSQFYVRQGDVERLIAQGYLQKLG QC762_104515 MNIALPAAVVTLSYGKNKTIEGQIRRTICSEIRLSSHREVEKAT EIECLSWQKNLVSVDTCLCVIFRRAWMCHGYRRNTFFKSQTLSHD QC762_104520 MQARSRLLTAASRRMATMSRGATRQRQFPQALATTTPQTAALVN SLRLVPSVAARHYSNGRPHPPGGTHRMNMGGEPEKPALEQYGVDLTAKARDGKLDPVI GRSAEIQRTIQILSRRTKNNPVLIGNAGVGKTAILEGLALEIVRGAVPESIKNKRVIS LDLGSLIAGAKFRGDFEERLKKVLTEVEQANGEVILFIDELHTLLGLGKAEGSIDASN LLKPALSRGELQCCGATTLAEYRLIEKDVALARRFQPIIVSEPTVQDTISILRGIKDK YEVHHGVRITDGALVAAATYSNRYITDRFLPDKAIDLMDEAASSLRLQQESKPDDIQR LDQKIMTIQIELESLRKEKDIASKERREKLEADLKTYEDEVKVLTEKWEKEKTELDAI KQAQAELDRARVELEVAQRVGNFGRASELRFGIIPQLEQKLPKEDEKKSETTLIHDSV TADDIANVVSRITGIPISKLTSGHTERLIHMEDILRESIRGQDEALKAVADAVRMQRA GLSGENRPLASFFFLGPTGVGKTELCKKLAGFLFSTESAVVRFDMSEFQEKHTISRLI GAPSGYVGYEDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGYLTDAQGH KVDFKNTIIVLTSNLGAEILVGADMLHPYKETPDGDIHPDVKRAVMDVVHSQYPPEFL NRIDSFIIFKRLALEALRDIVDIRLKELQQRLDDRRITLEVPGDVRQWLAERGYDPKF GARPLNRLITTEIGNGLADKIIRGEIRKGDHAVVRVNEEKTGLVIEGQPGKGEEGRKE EGEEK QC762_104530 MGLAASKLQDMLKQLFVFNKKEMRILMVGLDAAGKTTILYKLKL GEVVTTIPTIGFNVETVEYANIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDR DRIPEAREELQRMLNEDELRDALLLVFANKQDLPNAMSVAEITDKLGLHSLRQRVWTI DWSMNITHGGFCLYGDSRSR QC762_104540 MFLRTAAKLLLSASVLVSSALAHNIQLPAHGRECFHENLHRDDR MTVTFQVGDREFGSSGNLDIDFWITNPVGGFEVNEKSISNGDFSFEAKHDGKYLYCFG NEHWGAHSKEVSFNVHGIVYVSEADAPQDPLEVEVRKLSEMLERVKDEQGYIIVRERT HRNTAESTNSRVKWWNLFVIGIVVGESVFQVWWLRRFFEVKRVV QC762_104550 MGLLSSLNPLRPRGSDLNNNNKLPLYKEVPPKYHKHSFSTSSSR RPIRSPTTTTTAAAATTKERYSDSELSPFSSSSEADDDSDYPTTSPSSSSLDSSRRTS TINMLLPKHGHRKPPRRGPVARPRFLYRLPNKVIRWLCILMMSTIVIFIFGLIRASQL ENKRIAEGKTEAIKKPVPAVWEQFDFLTRYYGGVRRLVGLEGNVPEYPRLGEEKGWDG GEGTRTGKGVPESKGFEGYKGGVLPQKGEVEECFLEVGGGKVKVPGVRYLEGRPDGFP DNVLGSYELLALPEDVCFERFGRYGPYGYGYSIKKGGLGVGEHGDREGAGEFRGTGQE VDYRTVDWADAQRRCYEANAKRYKPLVRKEAAPSGFHIGDGIRGAKLAAREAKTPVVE SVRPTAAGHNKTATEEEKPPQENPQGDLPRTAVVLRCWDEFQWKEEDILNLRALIAEL SLASGGRYDVHLLVQVRNDARNPVWADDEAYRARINETVPKEFRGIATLWSQTQMLAV YQGIVDLFTRGPDLPVHGSYRGLQMAMQHFAYNHPEYEYFWQWEMDIRYTGHYYDLLT KLENWSKTQPRKGLWERNSRFYMPYVHGSWEDFKQMARVQTEHGTVTADNMWDKLPGS IKSPSPPVTKPQTESSVWGPLRPEDPKDWFEHEHDPVPPTSYERDKYTWGVGEEPDLI TLSPIFDPEGTSWKLASDITGYNTQRGLPPRRAQIITASRMSRRLLLLMHRETAFKKH HAFPEMWPATVALHHGFKAVYAPHPVFVDRAWPADYLARVLNGGRNGASGGGRTSVYG DKEHNMRGLTWFYNAGFSGNLYRRWMGLKVNNDGGEQFELEVDETGTKDEKTPGGMRG GEGRMCLPPMLLHPVKEVELPVEEHRREEDEVFVEVDPSA QC762_104560 MFLRKTRRKVPLKPPHLRPPPQSSYRKLPLYLTLSLCLIALIIF PLAILPVASAKSIVSSEPAPGEPIIGIDLGTTFSCVGVLKDGKVDIITNDQGSRITPS YVAWTKEGERLVGEGAKNQFASNPRNTIFDIKRLIGRSFSDKGVQEDAKHMPFTVKKS GTTGGPVVEVDVSGQGDMKTFTPEEISAMVLGKMKEVAEGFLGVPVKHAVVTVPAYFS DKQRQATKDAGLIAGLNIVRVINEPTAAALAYGIDKVDGERTILVYDLGGGTFDVSLL QLEDGVFEVLSTAGDTRLGGEDFDNKVIKHLATQFSKANGGADVTNDVKAMGKLKREA EKAKRTLSSQMSARVEIEGLHKGLDFEYTLTRANFEELNMAMFKKTLKTVEQALKDAK VDKKDVTDIVMVGGSTRIPKIRELVEAYFGKKVSLGVNPDEAVAHGAALQGGVSVHIS KPQGKDGYLGYHPPHAGYRDHRRSHVLRTHGSLSVSLTGPQKKSQIFSTAADNQNVVQ IKVYEGERALTKDNNLLGKFELTGIPPAPRGVPQIEVSFTIDVNGILEVTAHDKGTGK AESVTITNDRGRLSAEEIERLILEAEGYAEKDKEIRERIEARNGLENYAFSLKNQMND EQGMGGRIDGDDKDTIMDALSEAMGWLDENAATATAEDFEEQKERLSNVAYPITSKLY AESGGSGAGYDGEPDIHDEL QC762_104570 MASPPKPWERPGAASGTTATLSSPSSAAPAATTTATPNALSNPA MATSTTTASGAPPIPPVPASLTSSVTQNAAAYSRPYAASPYGGGYGSAYSSPYSSPYN RYGTMGGMGGYGGYGSSMYGGMGGYGGGMYGGGMYGGGMPGDPNNPESLTNRFNMSTQ ATFQMLEGIVGAFGGFAQMLESTYMATHSSFFAMVSVAEQFGNLRDTLGSVLGIFTLM RWIRTLIARITGRPPPVDATALTPAAFARFEGRKLPDGSAPPKPSRKPLFFFLLAAFG LPMIMSRIIRGLAASAEEEERKRQIMAAQQVVDPNNLVFCRVLYDFTPANSGPAAVQG VDIQVHKGDFVAVLSKSDPMGNPSEWWKCRARDGRIGYLPANFLEVMRKPNEPIAAIK NTPASESSRTSSLTSSVSAPGTLSTNPLKAPPMPTTKVGDVTVESFQKSTFQS QC762_104580 MSNVHVGQVYEAVIQGVIDAVRVDFEENGVEDGVLEDLKKKWQM KLSQLNVAQFPWDPKPEQPAPPAQPAAPPAPAPQAAPPPQAAYTQSTLSPQTSAQPLS LPNINQPHSNGVPVKQEPGLVRSEPVAIKQEPGAGSQPVHPGYHNASHPSLPTVNPSI AATRAVQALQNKYGEGAAASIASMSNGNGKVGQGNVGQAQQQQQQVQQQHAQHQQHSQ HQQHSQQQQYQQHPQHPQQQRPQHPQQIQQQHMQRPQQGGPQQLTPEQVYQRQMQQRM QLQAQQAQQQRGIAPNSLPNAQTDGPSDDCWEAVMMRRNAEGQAVEMGRIEIDDHLHA QIAARAKQMEGGGLMLPLKQATKVRSLDNRRNRAAGGPSQVDGGEDDMKDEDLDDDAI NSDLDDSDDNKEDDDEDDDMGHMMLCMYDKVQRVKNKWKCTLKDGVLTVNGKEYVFHK ATGEYEW QC762_104590 MATATVSTPLKSHTGLFSSRTAGGRMPLTPSPRQRAIPINLNSS PFTPEKSSNERGASKPVYGGNLGARLARASSKSHHRDSPKSNIAAGVSTPRKALELGV SDFTLTGTGVPTKVSPKARKTTHVKQKSSKTTISYNADRFIPNRGASSAIANVGSGKL DLQEKQRSRTGHSEASSIVASAADDALAALEGLNIEEEEEESYSRPSPNSVAYQDSLA DACGVNLNTRILQFKPAPPESSKPIDLRQQYNRPLKPANAPPQFRRRIATAPERVLDA PGLIDDYYLNLLDWSSGNQVAIGLERNVYVWSADEGAVSCLLETTPDTYVSSVKWSGD GAYVGVGLGTGEVQIWDVAEGVKIRSMHGHETRVGVMGWNKHLLSTGSRSGLVFNHDV RIAEHKVAELVSHTSEVCGLEWRSDGAQLATGGNDNLVSIWDARSLAVPKFTKTNHKA AVKALAWCPWNMNLLATGGGSYDRHIHFWNTTSGARVNSIDTGSQVTSLRWSPHYREI VSSSGFPDNSLSIWSYPTLVRNVEIPAHESRVLHSCLSPDGQMLATAAADESLKFWKV FEKKAGAGAGVGSSGSSAKAGLSKQMTIR QC762_104600 MAQQQHQQPSTPAGTGNSIFGGPAHLQQPAGLLAQSSFSSFSTA LTPASAASSNNTYIMPNSPLKHRAAMDGYRPKVTRTLGQRPACLVNASVTYCGNNQIY AFGGFDQYTDEVYNHVLRLDLVSHQWNLVDNYGDIPGVRMGHTATLYKGDKLLVFGGE NEHRTYLSDLIIFDLKTAHWTQPQVTGPIPKGRARHAAVLHEDKLFIVGGITGHDNYV LDDICYLDLKTFTWSKSWRFVGRFDHSAYIWSDRVWVFGGLSEDMDKVSDLWWLDLKG NPAFESPPHIGTLDRSGLSRSAASPRPSYPSVQSTAVGSSGYAANSRTAQVNPPSFHL KTYAPQAPGAISALKFVSGPNVPSQMQGIHFHVYSSGTLLDFVTPAATITSKECSLSA LDLAALRWQKLAEGREIFKPGYRWHYCTMNEDGTKAWLLGCPTDPGAIDLGANGLEEY LSDIMEIDLRRYGFLGNNMAPEPRTTRPSSRTRHVETPSKGLGDDLAKLFNQPPESGS GTDFIVTALSRDFDEEEVMGSALVHAQVDSVGDTDPDQTWLSPDTPTSPPIHVHRLIL QARWPHFARLWSSQMAEFHTKKMHIPEPYSVVKAFLYYLYTDRIDPPDQNGNETTADL ADVAGLLVMSNIYNIPHLRLLCVNRLSKELDVDHACIIWYCAGLSNEEWLRKRAANFC MTHWGRIVRTVGFQRLPRNALVELSQEVDSEGRVVAGEELDMMQVGFGVGGIDRGGGL GRKDSVGSDQEGGRGVMESDGHEDGEEEEDGMEMS QC762_104610 MASRNRPRPIRRPLINKDAGGFDQCWATLQSAMTDIHNKNASKL SFENLYRASYKITLVKRGEELFEKVKEFETEWFHKHIIPGVDELVRNNLPSIALIQLA TSSSHERREAGERLLRGIRKIWEDHNTSMNLIADMLMYLERSCVETKQASVYATTIGL FRDHILKYGLKDVDGSDQPFIIMDVVTAVVLDLINMDRDGDIVDRNLLRDITGMLEQL YETDEEKENEKLYTTIFEPRFLAASEVFYKAECEKLLRESDAGSWLRHTRRRLLEEEE RCVTSISNSTKDNIAAVLEKELILAKMDEFLAMEGSGLKAMVDNDREEDLGILYQLIS RIDKSKNTLKTSLMGRVMELGLEIEQTLKNTDFSAPAAAGAAGEGEEGAEGADKPKAL SPVAQQTAAAIKWVDDVLKLKGKFDSMLENCFSNDLIIQSAITKSFADFINMFDRGAE FVSLFIDDSLKRGLKGKSDEDAEVVLQKAIILVRYLSDRDLFERYYQKHLGRRLLHNK SEIHIEKELVRRMRAELGNHFTAKFEGMFKDMELSKDLSTNYKDHIRNLGDDDRKSTE LAIHVLTTNFWPTDVMGRGVLQDGDASRSDCIFPPSIKRLQESFYKFYCQDRSGRVLT WVPSTGSADIKCFFPKVPGKESGPLSKDRRYELNVSTYGMIVLMLFNDLANDESLSFE EIQLKTNIPIPDLTKTLTSLSVPPKFRVLAKEPLTKSVKPTDKFSFNAQFVSKQIKIR VPVISSTSKVEGTEERKETERKNDQTRAHVVDAAIVRIMKQRKELSHTQLTTEVISQL SGRFKPEISLIKKRIEDLLAREYLERMEGDTAAYRYLA QC762_104620 MASKRLLTTSISTLTRLTTQPISRSALPRQLLNSQLQPLRFAHN IPRPRASPIPSTPSSSTPSTPSTTPPSSPSTKPPQPSYELTFTCVPCNTRSRHTVSKQ GYHHGSVLIACPGCKNRHVISDHLKIFGDKALTVEDILKSKGELVKKGTLGEDGDLEF WEDGSTTVRDHEALAKEAEERERRKKEVEEREREMVAGSTFKSVKSEGKE QC762_104630 MPSTTSGRIVKSQRGVKRTPHEKNHRWESFSTKISKLHSLDPLR KVRRHDLEAEDLEASTSYLRNGLDRWAELNLSKTYVSFRLKVTRYTDSLAQILHHEDK IMDLLAEAISTHQKDSLEPLLDLVTAFAHDLGVRFEKHYPRALGLIVELASKVHDVEA IEWTFASLAFLFKYLSRLVVPDLRPTYDAVSPLMGKAKIPGHIARFAAEAMSFLVKKA AAPSHKEKALPLFVEHVRKDLESVAGTRQYELYSQGIMTMFAEALKAPNNGVHSTAPE VFAAIVRDVPEGEADLPEQTVWTDVCCGVLTSIIHHTTIETFKTIETRIVQEATAGTR PVLFVQLLGVAAGVRRGNRIHDWSALVKALGQQLTQLGEVKERIEAISPRQVWDRLIT RIAIIWGQAPIDALLPSLSTLNNTTTKEPLMRWYIPFCSYLADLHPERFRGLFLKDFQ KFVIAHWSRNSNEEMLCVLLPHMVENGGLPRVKGKDGFLLPQSWQDQIVNKFVRLEDT PFPESGGFGKDAETWRDRCLPKYSALLRVLEVTTVHPSTNARIAEVLLKKLKLALRPS SSLPTDEANFIMSDGFRAYLRMCAAPGSVDPSLAPLLRAATPRFCHSPAFLEALLAYL MEIKAKGRSSSETSSGSDSMQEEEDPLVKSLVDNLSAPSHELRLASLRILEILESTPD SNSALATMLQCEELSLILENVRTIAVHVRKLGQIYTHLDQTSWLIRAIPAFLFGMMTV PLAPVWDDSVEAMKKVAESKHGEEVLADLAFDWLDQPSERWSGASKPQDPRRLPLTDF ECLNMKGLHRTAEPIAQALEEPYRGMLEKFEEGQKIIDPHSQRARSMALKALNAIPRL AEKRSRNLVPYLFSFMSDGETPAEDQEDTEEEAEEMLEASWSLPDRKALVGVFSQFNN PRVLYQADKVYTALLKLLANGDIEVQKLALKAILAWKNDAVKKKQEHLEYLLDEARFK NELTVLFQGDNKIQPNEREAVMPVLLHLLYGRTISKKGAGSGRHGLHATRLAVIRQLN VDDMGDFLDIALGPLRNIRVVDAKGLNERLFSQEILPIRKQVGLLNMLEAVITELGGA VSPYVESLANAILLCLISACRQVGVTTGEEESEETEETENVKDALLLKVIRTISLKCL CKLFQNEQSFDWTPYQDIMVKEIISPRIEKLAVETTQGVSATWKLLGTWAALPKTAMF LAIDGRIVPNVVETLGMEKAKADVKIYALEMIKTLVGLAQASAQESEFNELIRSELLD PNVDLILGKISSLLRDQRDISRDLLGSAVDTVVVLSPIVKTSTSIQDIVEISTFLLNQ PSRMVSPKIKGSILLILKGFMALEDFQGNAELKSKVYHTVASLFGYFKDRQNRQTLAE VLAVFASTETWAQEIADTCRDLNAYKPRRLDEPDYNVRLTAFNSITRDRETPFTLDQW MPLLHNMLFYIQQDEEFGVLSTNSADGLCKFIWAAKDAWGSAQQQAFITVVSDILLPA IYAGSKEESETVRREILRVFGALVAGLPEWEMVSDLTPLVPSSEDSDQAFFFHVLSPA VARQLQALRLLESVNAKTPLHSKHISQFFIPLFEHFIFGHPEGGDDHGLGAQAITTVS GLTLSLEWQQYRAILRRFVSFVESKPDSQKRVIRLLEREVDALRTALDQKSSDAMEVD GEVQKSRLASTLPDQEKLSTEIVGSFLPPLLKYIHEKDETEVSSRVPVGVIIAKLLVL LPDNLLNEKLPGVLTDICHILRSKSVESRDMARDTLAKISGVLGAHRFGFILKELRGA LVRGNQLHILSYTMHSLLLVAIPAFEQGDLDYCLPQIMAVIMDDIFGATGQEKDAEGY TGKMKEVKGSKSQDSMELVAKTASIRRLSDLTMPLQSLLLEKLDVRTVRKIDELLTRI TKGLLENPAAASQDVLIFCYEVIQEVYKSNEPMEQPKMDPRLKRYIVQKAAKKSDGGV TAKHTYKLVRFAIDVLRTVFRKHDHLRTAANIAKLLPIFGDAVLSAEEDVKIAAFKIL TVIVKVPFKNDDSANLYKVALKEATKAISMSPTTTSDLAQAALKLISVILRDRREIVI KDAALDMLLGKLKDDLTEPLYRHVTFNFLRSVLDRKMETAVVYDTLDYVGTIMITNPD KDTRDLARGAFFQFLRDYPQKRNRWEKQLQFIVANLKYEREGGRISVMEVINLLLKKS VDEFAQEVVATCFVPLIFVLANDDSEKCRLSAGELLKESFRVADKERLSKFMQLLRTW IQQDDKPAVSKLALHAWGLYFEGREPNVNDKKDLALVVDRITHVLGDDDALEADWELA NTALNTLQTLVIKHPQKALAPSTSELWTEVQTCLAHSNTTVKLTATRLLSMYLTDFAK TSGRDAKLPLKGSYGLELDEDDVTALVRLSLGILNVVELDETLAQEIVQVLQFLGGYL ESGKTEQEDSDEEEDEDEEEDKVDKSVSRKADMKYLFWKLCSIIRKERQPKPEAMVSK LAAMDLLEAFCIKSPKETVEVSVRTILRPLRNLTDPSIRAPFSLNELFKTRYEALKSK AVAVMEVLQRKLGSAEYTKALLEVGDQIRERRQARLSKRRIEAVAAPEKYGREKRKKF EKKKERRKIKGQEQRDARRAFQGN QC762_104640 MAAKIFVFGSINGQLQPAFSKLATLHAKNAFSFAIVTGNLFSSE QDDEQLTQILDGQIQIPCPTYFTVGTVPLPPRVVERIEKDEEIAPNLHYLGKRSTTKT SEGVRIVTLGGLLDSNIIAGLSKEQISPFHTEGDAKSLRGANSADILLTTTWPSDVWK NSPKAKEMSINSTTAPSNPAIAELCAALKPKYHLSMSPDDFCFEREPFFPEKAEEEQD KGIQLTRFISLASWGNTAKAKSMYAFTLNRDAIITPPAGSTMTPFYKPAATKRSAEDA GFSRFSHGDSRHERKSHRRHRERSPPPGPEKCFFCLCNPNLSTHMVGCIGEAAYLATA KGPLTTSETYKEHGLNFPGHFVITPVDHVATLSKTELGDEQSKTTFQEMKRFRESLQS MVSTLSKHKLGAVTWEISRSRNIHAHWQFLPVPIELVSKGLVEAGFRVLAEDMKLGKF VVKDFETADEVEGDYFRIWIWGEEDDEVEGGKVIGKSLLMQFGDEIRFDLQYPRKVMV KLLKLENRTFWQDVVQTEEEETADVAAFREAFKEWNFT QC762_104650 METSSPLAAIRPAPPTFGQSNLFAPPLGPSPLGPGSMNIFQRQN PEYFNIKAVRGSSPAASLAADLSQNFKLNEASSPMFPTPRRALFTTATMMDGFKSREY LTTPPLAPSSSPGNVDMMDMSPLPQKSAFAGQVEVPSPTPSIPMSDDIMMESPIPQKT VMDTSKAVGAEARRKLGLMRPSLSRAKGYTTGAIPGRSNGDNQFPSLKLGGEVQLGSS PSTSMSLSECFQDSPPQQRRSLSANSPCMIMASNRPRPQFNLPGLSGVRNGSPISHSR KSSNPAGRPRRWTTRRSLSMFESAEEIMKPKEPDAALPEIEETQEPLLPYFMPEDEQD NIPRITRDTMLEVLDGKYSDQYQHKMIVDCRFEYEYEGGHIDGAINYNDKDLLADHLF RTPMPGRTLLIFHCEYSAHRAPLMARHIRSQDRQLNQEAYPRLTYPDVYILDGGYSGF FSEHQERCYPRAYVEMGAAGHEKTCERLMGRLKQQRKGLGRAQTYAFGDRIQDSPTAP GRAISRDCEFADLGQSPLGADRGPPRRMVSF QC762_104660 MGSKRKRTPKEAQNDTAAAQQPIQKRSKKDDTTTTTTEPPAPVS NGSTSTKSKTPKVTLFVEHPTGEDRKREAELYDQLGREDESDRIKAASSIISSLLSGN GVDEPVLRRHLEKRLFRGLASGRNASRLGFSLVLTEILGQLYGKENLAEKKYTGLSFE EVKKILVKSTTPFGNLAGQEERNHWFGQLFGVECFVRSGVLFQDQKKWGEILGLLLGL SMKKSWLKTQCGYVIVQAVAQMGKKMAEETLARLAEEGFAKTPEGVGIWIMALDRFPD MKVPAQPWRNPLAGSSLAALPAALKDSGREQTGDEGAKKPKAGNWTAQLHFVWDLILA HFAKAGQKSENEASEQFEQFWSRVVDEGFFSKNSSDSQKFSGFMIFQKMLEGAPSCEF IVKTLFSKNLMACLMNQAAKEDRYLHRAAIKALKALEAAAHHNPNWLPTILKGLFGKH GAYNFDQRTNTKTIDKLLQNTTSDTIKPVLKVLQLKDPSKSGLDLEQYYQALGNYLLR LSSALSEEQYAKESNKRGVAQKAIKVLAELAYSNNAVPEKVRETLRTRATSAFAKLTS RSDAFGDLCDAILSIETEVDPEDELALTVLPRAFERLKDLLDHDKTTEETKGPRQALA LLFAVGILQFYNQDPDVMDLFDELEECYEKLVGESDEEEGGISEFLVEVLLAMVARPS ALMKQVSRQVFEAFTPLMSAEAIKLLTDPLAADESAKGQQALFSTEDEDMMDAEAGSD DESEIEDDAALDSDVEMANLEDAGSDEGDSDSEDNSGSDDEDDEAEKENQETLDALDK ELSAALGTHRLDQDADAASDDGSDMTDSEMLAVDEKLAEIFRHRAKATSKKKENKDAK ETVINFKHRVLDMLGIFVKKESTQANPLVFEAVLPLLNLIRTTTAKPLANKAHEAVQN LSKGIKKARSEGKTPAIEGDEHYEMLGKLHEEAARDPSHAFTKAVSTCCLTVASLMCN DDDAESDDMAKVFNLYAETQLKWFRGEVKIQASFFSDWLNWCQAHASAAASAAATKKK EEK QC762_104670 MQTSIGTGYDLSNSIFSPDGRNFQVEYAVKAVENGGTSIGIRCK DGVVLAVEKIVTSKLLKPGANKRIATVDRHLGVVCSSLFRFLSLRPSRPLTRPSHSQV YSGMLPDGRHFVDRARDEARSWRDNFKTPITTSDLASRMGNYLQAHTLYQSVRPFGIT AIVGGFDAQEELPVDGQVGSGPSCGAGGKNPDKKYGGPFLYMIEPSGLYWGYYGAATG KGRQAAKAELEKLDLTGEGITLEEAVKEAARIIYVAHDDSKDKEFELEMSWISGRDGP TKGLHQEVPKALREEAERLAKKSLDDSDDEEEEKKEGDKMEE QC762_104680 MAPFSFENPHICYHCSAIQLHLELKPQILCCFGCMYNGPGRTTD KGEYVCFECARPFSGTSGLDLRYCSTLPYDLSQALTAAESDHCEFYRWVIHRVTRFFK IQETPSGWKSKKAVGILTQRSRFELSGISNEVGRANLDIKFFSHWVDDDGNKHETRHN LGYFDAWDTGDDPSFGLVSSRPYVQDVKSAQSIDFARSSFETCMRSHPWCRTDQINHM TVSRWPGDALSYERIDPRDIPTRLLDIATGPSPGADAEYIKLIETIVDDKDGQLIEQI AQTGFIVLSYCWGGDQNSKLVASNLQTYKTEGIKLGQLDQSLQDAVWVARQIGIRYLW IDALCIPQDDLDGQGNNPDKAHEISRMASYYGRATLTILAASASRAVDGFLQNRQPAT DFDMAPTYAQLIVSDNTKTNDDTPDKVHKILLAKQSPNPTIEPITTRGWTLQESLLSR RILIYGVNQLYWSCLNSFAGCGGKTTNLTNRTIPGMESLVPGVYPIGSLIDQPVYLQW NSIVKTYTQRFLSQPGDKLWAISALASHIVQVSAHRGEKPIYAAGLLVDEANPGTWLQ QLLWYPDSIEGRDNKRPPGGRYRAPSWSWASLDGVVKVPSWRHEMEEYAAVEEWGVEP AVKGAMYGGLSGGYIFLRAVTQPICRIAGRCGDVVWAERLQTEGTGDDDFDWTYSRKG GNLEGAADSWVLVLLADSGECRSVIEIGLQDPGVGARILLVGLSSLSTGQLVGVRGII VEQTVDDDELRFCKRRGSFRLKMGKLANGGQDLAAKFFKKGTKQTLRIL QC762_104690 MSANQHTQLGDRLSGLQRAVKKALNPVAEYPHAPGNLEPEATPD IIGAIKSLGFKDYETLLAFLNAAVQGAVDDNELLLERLIQLLSKLPTISKERKQITDG LLTQLWNSLDHPPATSLGQEFRYRSADGSGNNINHPELGAANRPYARTVPPLTFQNPS QPDPSTIFDMLMARGDTFQPHPQGISSVMFYLATLIIHDCFQTSFSDYNINQTSSYLD LSPLYGRNEVEQKAMRTFQNGLLKPDCFSSKRILGFPPGCGVFLVMFNRYHNYVVTQL AKINENGRFNKPGTEAGNAAWEKYDNDLFQTGRLITCGLYANIILHDYTRSILALNHV DTTWSLDPRTKSGKNMFSQPSPRGVGNQVSVEFNLLYRWHSTVSQRDEKWTIDEFTRL LDGKDPDEAALPEVLRALAKFDRDIPSEPEKRNTLGGLVRQADGTFEDDGLVKILTES IEDIAGSFGANRVPNVFKTVEILGIKQARYWNVATLNEFRAFMGLTKHTTFEDINPDP VVAKKLRDLYDSPDSVEMYPGLVIEKAKPPISPGSGLCTNYTTSKAILSDAVSLIRGD RFYTLDYTPKNLTNWGYNEPNSDNKISQGHVMHKLIFRAFPNHFQHNSIYAHYPFVIP AKNKQIHDNLGTSPNYTWDKPQRHASPVVIRFYQAAKTILANSIPVSSNPPWNSPQTT PSPPPTTTPITLTESQSASLNRFCQEKITHHLQKSSIPIVCSSLADTRNEVDIIRDVL SPVATQLYATIFNLPLKTLQNPHGVYSEYELFTVLAAIAGSVSLDVDGRASEFRVRQT AKGMAGKLGGLVVKELKDEKGVVGGLLGRVEGLVHRHVGATEDEVEIGKLGKGVLEGV LRREGGDLEKVVYGGEGVLVGVALGVMELVRVLGEILDFYLGEGRGYLGDVGRAVKAG DEEGVVMYLLEGWRLGGSGAVLSRLKGDVVVATGGATANLKAGERVVVDLTAVGRDGG VFPEPEKVRLDRDLESYLLHNIGGGLLGSGVSRVILVAMFKAVVGLEGLERADGTRGQ LNGSQAGPSWNGQIRGEIGESQNGGNGLRTYMTADQRAFSPIPSTMRVRYEG QC762_104710 MSSQDIAKTSYAQVATAYDGYAQTPAGIIEAQLIACALGPGDLT GLHVLDLGGGSGIHARECINYGAESVDIVDISPEMLKIASNIEESLGREGKIRFFEAD VSKPLSEYNHPLREKYDVVMANWIFSHADKRSTLEGMFANIGAYLKPGGIFVGIRDAN PFSHEFKTGKYGAHTGWVKEIEGGVKYWAVLHCKPEPVEFEVASLEIIYGGSKELYEN AGLTKIVEMSLESAQCVKDDPEFWADFLKDPFLAVVRAVKT QC762_104720 MSDTFTPPQGLAPEMYESRAGVVIAVVAVCLTTATTAVGLRSYS RATLIRQFGMDDWAAIIALLLAMGSGIMVASNTIYGHGKHIAVVDGSQLWKYFRTFYT SIVLYNGSLTAIKITFLLQYWRILGTPQMRKVVMFAGIIVALWSISQLLVVIFTCTPI ERFWLPETPGTCIPNLPFWYINAAGNIVTDVIVFVVPLPALSKLNLKKNQKVALIGIF CLGFFTCAISVIRIQYLRLSEDVTWDNVASSCWSVGELCSGITCACLPTLRPLVAKIF PSMNSSNDSYGSYQKSSGRDGTAPSKSKRSRNETGSTRSIIYPEDVELQSDDRSDKEV RVDRVEDHVQYGDAVSKLKLGLKPSVTTEIKVGTPGPTSSRPVPSSQWGRGIEVKHDL VVTKEGF QC762_104730 MADEKQLEKVTASSVSQSFTDDDGQEITWTEEEEKALLRRVDFL IMPLLILGFFALQIDRGNIGNAMTDFFMQEVGITQFQFNIGQQLLSTGIVLLEIPSNI ILYRVGPTLWIGCQILAWGAVATFQAFIKGKGLGPYLTTRLLLGLCEAGFIPAGLYTM TRWYKRSEISRRFSYYFLGNLFAGACSGLIAYGILHMRGIAGLSGWQWLFLLEGLFTI VVGLIFIAFFPQSPANPRSLIAGKSFRYFTERESAILQARVFKDDPSKLQPRQNVSWG EVKDTLTNWRILPHFLTTILGMASAHALGAYAPTLVIGFGYGRLQSNAMMTIGAWILL VLNLLWGWLADKYSKRGLMVFLGVLGLWVFQLGNRLLITSPNRDLRFGFLTMATAFMA NWHAVNGAWLSLNARSAGERSITMAIFVMGANIAGIAGSQIFQAHDAPVYRTGWTIIV ALCSASLFMSIVANAQYWLLNKFQKREGEDRYKY QC762_104740 MAQLAARANVAPDSGFPVTLHPHFNPKIKDHIPPEPPHKERTPA PDRGLFANPDKKSLLSIPGIKVVPLTESIGTVLENVQLSALTPQQLDELALLVNERGV VFFRSQDLTTEGQVKLFEHYGELDRHPSQKDTRHHVIRGSTIDHREILAYTPWPSGDF HADTSFEINPPSYSMLRMEEHPPVGGDTAWVSSYGLYDTLSDAMKNFLSGLHAVHTSR LQYDTILDLWQVPPNRAPIDTHHPAVRTHPVTGLRALNVNPGFVTGFAELKKYEGDKI LDFLNYHIHSADDHYVRFKWEVGSVALWDNRAVVHRVIPGSYETPRRGIRTTVFGEKP YFDPKSEGRLERQKRLKAEKENEDKAKGVNGTNGNGVSH QC762_104750 MMATLAMTSFPFQPLTTTYSRPPACNGIYMPGNIWVMDNDPTCL PPGFDTESTSFFSPGIACPSGYWSACSDSKGVSTITTVTCCPIYRSDISLSCVPSNAV LSGPWVDHFCTFKAKWTGNVVTVTTSRNGVTATETQTFISPQGINAFGVRMVHQTTDI SPLNTQSTSNTDPPITTSSPTSQLGSLETSSSPDTAPIAEPAGSSLSTGAIAAIAVVI PILAILAGVGFFLWWRSRRALAASSLPESSPLGGPASESPGFGAGYPQQSGYTQQTST YHGAGGPLPPQYGVFSKEMPTAYKAAVEMPGVGNTHVELPATYDIGPQELPTTSRQDR E QC762_104760 MSIAQTKHISSNDDKIRNPIKDKSVRTQLLERVAGRKAEGGACP RQKPATGRQVTGPGQISTVHPHLHGSPGRNCCKFAKTKERGSWPTTPHVNHTTSRPHP AVKMVNVPKTRKTFCKSKECGKHQLHKVTQYKAGKASAFAQGKRRYDRKQSGYGGQTK PVFHKKAKTTKKIVLRLECSACKAKKQLPLKRCKHFELGGDKKTKGAALVF QC762_104770 MQQPLITPRDDRAYEYSIQATEDYNYEPRRTEGQVAPTWQPPAW RPTDTPNYKPKPLRWPFISGLMVLLVVAIALIIFADRSLPNSDTSARFLGLHPNASQP VRLARDVLPNNTATMTPVSGMTSMSQSTLSFEEEVRVAVQSSSSPEIVSQATPTLQSS TETQSIPSGSTSPIFIVTGITKTERLSLSASETMDNNAFRLPDPETSNPSSVPSVTIS EDTSATASGLSSVTAPPSEPVSSSTSATSVSGMSLIPISVSISYFTRNVTVPFTTILF TSTFTRTRTSSFSSASTFTTSFVTDVTDVAPTTVMSFWSSDGSEGAVPISTGMGTGVK PTTIVSGVTTTVAGVSTATDVVTSVFTSTLTGVVIPSVGQVTITYFQTVMPVPVTEPP NPVKVTGVEVIDGTVIEVIKTQGPVVVVVPTNEVQTRVVEQEIRTGVVRVGGSAVTNV VVITPTPGVAIGQVTNVDGAPRTIQAVVAPVEVGQPVTYTVVDNVGGSLVSQVVVTTP AGPPYQPVSYTVVREQGGSLATQVVVTTPTGPPGQPITYTAINMEGGTPVTQVVVTTP SVDGPFVPITYLVTTNIGGTPTVVTITPAPTTFVTTIDGTTITRVTTPQVTSFTTTID GTLTTLTLTTTPTNTSPITLTLATTSRETLSTFTSTIPPTTFLTTISGSLRTITSTPS PTTSLSTRLPTTLTYTSTTTPTPSSPSESLVPQTRVISWSETDIFLGTFLPALLAIAI VIPLRIIDLNAKLYQPFQSLTLPTGSLGANTLLVQYSGVLSFVTPVITLLQAKHPVPF VTTLMVGCGSLMVPFATEAIGLKLHGDCYLNTASKNCGPALGVNRTAGYVLVGLMVVV VVLLGVVMWFTNWGRKGVTGVRANPWNLAGMGSLLGGVRSDLGGGHGKKMRYKRYGMG WYRNRDGREDYGMIVLDEAGQGLQQQQHPTSESGSDDMDGSDAVADLKTGVSGSHLPF MTLRIPWRVGLILFQLAVFIFIIYYHAYYRGGIKDNGKLWTFMNANTFGVRFLSAIIG VIVAFCWQSFFLSVSTMTPFQLMALSTQPASRSILFSPNTNPFSGLYSAIRNRHMFLL AVSIAAIMSEFLPVLLSNVPFSLYQTSAAATACAVLSCLFLAVMLAVLGWSFWIRYPP MPADPRSIAGMMYYLSQSPTLLADLEGISSMDGAARRKRIEENGGRYYYGVLPVSVEN GLWESQDRRRLGVEVETGGGSGSSGYEPEDGTTPAFAAMDGPAMTETARRGEYHAVDG SGDGGSGELRHDRSFMSQNTAYQGHRIDEQPAMYG QC762_104780 MINVPVTLLSLSAVATAAVLADANSQSTSPNPSPRQHARDTLQK RANCGAGIGNCPSGQCCSQYGWCGVTSEHCGTGCQSGFGTCTGGGGGNNEETLSTPRP KFGSIPYGVTITNCNAAGTIALTFDDGPFLYTNQLLDLLAQQQVKATFFTNGLNWGDA TQAPYPDVLRRIVNDGHQLGSHTYNHPDLNTLTTAARRSNMAQNEKIFKDALGGYFPT YMRPPYGSCTGQCLTDLGNLGYHVINWNIDTLDYQGNIPNSQNIFNSAVSTNAAANKY IALAHDVHQATVQQLALGLIQTAKNRGYRLVTVGECLGDAPVNWYRDATTGNARTGGG GGSGGNPNPGPITSTNGLCGSTNGNMNCLNSGFGNCCSQWGFCGSTAEYCGANCQRAF GNCN QC762_104790 MNDGQEGLSTSRTPSVFSLSADVSQGDGFSSTAPAFPTSGVPQS GISYDGHHCDNWPSALSDTFNGLDNAPGTFDGLDNAPVALYQNHGPLNWSTSELSHVF NTNIELDQEQRSSHPAAPPFWLPPHASQSSVVSPTARLSSDNPPSFFSDYSNTADPVK RQNTYDASYLHARNESAYSLTGPVGQPQLNLNSVPPPTPYCLQHQHGLVLNAQQSNHG LTIDHNYDNIGAPIPETHPSPAAVIATPAAHSTSLTRRQDFTLVVPPKRRRGRPPKHD VNFPPGQPLTLSSTSAPSSILSPATILTTAGSLAFSSAYPGKQTPTGVSHPTETGDDG TRQQQEQQLKKRPRGSVSGANDNNNSTAEGTNLRSRNRQAAIRYRVKTQAAAAQLEAE EQEISSRRKSLLTSVGQLREEVYNLKNEVLKHADCDCPLIQAYLAHAARQVYSGLRGG PPPTTGRIMEVGMMGGGQGGLDYEGSSVGGGSSGGSIKSWGLSTPGTIITTTTTAMTT PTMTSPITGNSEDFKGGDYYGVVDTEEN QC762_104795 MPQLWERAVRCPNLGSFPVFYKDGEHLESTWRLHIGSHPSTYAA ATRGKQMASSRWDTSYTNHAGQDDREAESKEPRPGCV QC762_104800 MMFAIIAVLLLLLSFSTADNRITIKSFSLFLQQRQCVQLCLWQP VTSSRDVAVAIGCAEPWVNECFCQRELAGKASTFISNCVASRCGSPQEIAPSSGPVAS GLSVYNSYCQENGLPIPTVASIWSFDAYSALPQCGRLCFWRANRDTYDLMPQMGCGEP WDNGCLCDRENNEERITRGKAFMTECIASRCGTSQDGILTEALRAWGDYCGSAGLSLV AITEGAAVDSSVTTISIAVGPSQTDIQTGEPETGQISTGAIVGIVVGGVATVAAVVIA AALIMYRKRQNKANMDRYGAVENLSPPQNDNNQVGGPRIMHEKPAEPEVAEVAGARHE LSNRDVHQRILELPA QC762_104810 MKLNKPFLAIYLAFNLAEASKTPDCINGPLAKTLACDTTASPPA RAAALVQALNITEKLVNLVDMSLGAERIGLPAYAWWNEALHGVAASPGVSFNQAGQEF SHATSFANTITLAAAFDNDLVYEVADTISTEARAFSNAELAGLDYWTPNINPYKDPRW GRGHETPGEDPVHIKGYVQALLEGLEGRDKIRKVIATCKHFAAYDLERWQGALRYRFN AVVTSQDLSEYYLQPFQQCARDSKVGSFMCSYNALNGTPACASTYLMDDILRKHWNWT EHNNYITSDCNAIQDFLPNFHNFSQTPAQAAADAYNAGTDTVCEVPGYPPLTDVIGAY NQSLLSEEIIDRALRRLYEGLIRAGYLDSASPHPYTKISWSQVNTPKAQALALQSATD GIVLLKNNGLLPLDLTNKTIALIGHWANATRQMLGGYSGIPPYYANPIYAATQLNVTF HHAPGPVNQSSPSPNDTWTSPALSAASKSDIILYLGGTDLSIAAEDRDRDSIAWPSAQ LSLLTSLAQMGKPTIVARLGDQVDDTPLLSNPNISSILWVGYPGQSGGTALFNIITGV SSPAARLPVTVYPETYTSLIPLTAMSLRPTSARPGRTYRWYPSPVLPFGHGLHYTTFT AKFGVFESLTINIAELVSNCSERYLDLCRFPQVSVWVSNTGELKSDYVALVFVRGEYG PEPYPIKTLVGYKRIRDIEPGTTGAAPVGVVLGDLARVDLGGNRVLFPGRYEFLLDVE GGRDRVVIELVGEEVVLEKFPQPPAAG QC762_104820 MAAVDPNDSPHAGPPATSVILAIMIAILILGCVFVAQRSSTLEV IRETITERIRGGRAGVGEETLQLMPVVKYNEALIDELESPGRAKSVSVWTRTRLRFWS WAQKKKDRAATPSTEHSDGALESGTLRPEKKRSSTRSHSCAICTEDFVEGGDVRKLSC GHIFHPSCVDPWLLQFAVTCPLCRVDLQAKTAVNAVTRPQRAL QC762_104830 MSTADELKALGNKAIAAKNFDEAIDKFTQAIAIDPQNHILYSNR SAAYASKKDWDHALEDAQKTTELKPDWPKGWGRKGTALYGKGDLLGAHDAYEEGLKID PNNAGMKNDLASVKRAMEAEAGPGFGGDPTGGIGQMFSDPNLIQKLASNPKTSALLAD PGFMAKLQAIKQNPNNTQELFSDPRFIQVLGVLMGVDMTMADPGSQPGASGSAKEAEE DVPMPDAKPAEPKKAPEPEPEPEPENEEALEKKKAKEAADKEKQLGTENYKKRNFDEA IKHYQAAWDLHKDITYLNNLGAAYFEKGDYQACIDTCTKAAEEGRALYADFKLIAKSY ARVGTAYEKLGDLAQAIDYYNMSLREHRTPDVVTKVRNAERNKIEAARKAYIDPEKAE EARVEGNTKFKESDWPGAVAAYSEMIKRAPDDPRGYSNRAAAFIKLLEFPSALDDCDA AIKKDPKFIRAYIRKAQAYYGMREYSKCVDACTEAHTVDNEHHKGANAKEIEQQQQKA FTAMYSARENETEEQTRERLARDPEIMGIMADPVMQAILQQAQSDPAALNEHMRNPTV RTKIQKLMAAGVIRVGR QC762_104840 MVAFQTGFLEQMKLPFRTGEFDGHLLTVIAKRSPTRPCIISYRL IQFSLGIQRTANVVMADSQSSFRPALLVVDMQEDFCPPSGSLAVPLGRTITPLINTLL TLPPRTLPLKIATQDWHPPNHISFAPNHPSNPPPFVTSHTITNPLNPSQSYTTLLWPP HCIQSTPGASLIPELSSQHFTHIIKKGLDPRVEMYSAFFDPFPPTPTGERVCDSGLAK LLHDEKVTHVYVVGLAGDYCVKHTAYDATKEGFQTVIIEEGTKTVNPGGWEECKKEME SIGGVRVVSVESEEVQRLFKKD QC762_104850 MIAANLLPARFRGEQPASQAAAPSWFNKKVTPLLQVLSKLTSTH PIHTIVVVALLASSSYIGLLEDSLFDASISVRKAEWSSLVEGSRRLRVGEDTAWKWQN DDSEAPIPEGADHLALLTLVFPETMSSDASRVPPVVNAVPIPQNLSIKSLPSTSNSFS TYAQDSALAFSIPYSQAPEFLSVAQEIPNDESAQESRETEHGREQKMWIMKAARVQTR SSLIRWVHNAWVEFTDLLKNAETLDIVIMALGYISMHLTFVSLFLSMRRMGSNFWLAT SVIFSSVFSFLFGLFVTTKLGVPISMVLLSEGLPFLVVTIGFEKNIVLTRAVLSHAIE HRRPVKGGKQSAIDASSAIQSAIYLAIKEKGFDIVKDYAIEVGILVLGAASGVQGGLQ QFCFLAAWILFFDCILLFSFYTAILCIKLEINRIKRHVEMRKALEDDGVSHRVAENVA QSNDWPRADGQDKPGTTIFGRQIKSTHIPKFKVLMVSGFVLINALNLASIPFRSADSI SNISSWARGLGGVVTSPPVDPFKVASNGLEFVLESAKAEGRETVVTVLTPIRYELEFP SVHYDLPQQLDNVGGDDITELGYGGRMVGGILKSLEDPILSKWIVVALALSVALNGYL FNAARWGIKDPNVPTHPIDPKELADAQRFNDTESATLPLGEYIRTSPTPAPSIPPTPA LTDDEAEPSKESGSEPQAPAVPRTQAELEKMVAEKRAHELTDAEIVALSLRGKIPGYA LEKTLKDFTRAVKVRRSIISRTKATSELTNLLERSKLPYQNYNWAQVHGACCENVIGY MPLPVGVAGPLVIDGQSYFIPMATTEGVLVASASRGCKAINSGGGAVTVLTADGMTRG PCVSFETLERAGYAKLWLDSEKGQNVMKKAFNSTSRFARLETMKTAIAGTNLYIRFKT TTGDAMGMNMISKGVEHALSVMRNEGFEDMNIVTVSGNYCTDKKPAAINWIEGRGKSV VAEAIIPAEVVKSVLKTDVDTMVALNVDKNLIGSAMAGSVGGFNAHAANIVAAIFLAT GQDPAQVVESANCITIMKNLRGSLQISVSMPSIEVGTLGGGTILDPQGSMLDLLGVRG PHPTSPGENARRLARIVAAAVLAGELSLCSALAAGHLVKAHMQHNRSAPPTRSTTPAP MTSGFERAPSTTALSAAAIERSRR QC762_104860 MAGVADDPEKRTTPSSGTSPASTIRVDRSENQLAQSSSTSKDEV GELSSKADVPPVAASGPAPEESRTKLETTLVITALASALFLGALDITIVSVAIPTIAE EFGSTAGYTWIGSAYMLASAAGAPMWGKISDIWGRKPIMLIAVGIFWIGSLLSALSKN IGMLIAARAIQGIGGGGIIILVNVCISDLFSMRKRGIYFGVMGIVWAVASAVGPVLGG VFTSQVTWRWCFWINLPVSGVGFAVLAWVLKLHNPRTPMRQGLAAVDWLGSLAVIGGT LMVLFGLEFGGVTYPWSSPTVICLIVFGVVTAGIFVLIEWKVAKFPLMPLRLFRRRSS IASLGVAAFQGIVFISGSYYLPLYFQAVLGASPLMSGIYVLPFVLSLSVVSAITGVVI KKTGKYLPCIIFGMSIMTLGFGLFIALEPQANWAKIVVFQLIAGIGVGPNFQAPLIAL QTTVGPRDMASATATFGFIRQLFTAISIVIGGVVFQNGMEEQYPRLLEEIGPDAANML SGSNAASSIGFAMSLPERSRRVAQEAYFKSLRTMYIMYVVFAGVGLIVSFFVGSRKLS NDHQIHKTGLKDMKAAKEKEKPRLPVDGGLDEEQRVPEGNSKKLPKESKGGKKGGNSM KFFFE QC762_0002400 MRLSSITSGFLALVGLSNAASLTQITNFGSNPSGARFYIYVPDR LASNPAIITAVHYCSGTASAFYNGSPYARLADTHGFIVVYPESPNSGGCWDVSSNAAY TRNSGANSHAIVNMVNWTIERYGADRNRVFLAGLSSGAMMTNVLAATYPDVFKAASAY AGVPAGCFYTGTVAGWNNTCANGQSITTQEHWAQTARNMYPGYTGPRPKMMIYHGSAD DIIYPRNFNETMKQWAGVLGYTYGSPRQTIPNSPSAPYTKYVYGDDLVGIYGTGITHN IQINGALDLEWFGITGQPATTSSASGPTTTPVSSSTLVTSVRTTTTSAPPVATTPAGC TSPKWGQCGGQGWTGCTVCAAGSTCTFGNNWYSQCL QC762_104880 MRVLSLFSLAAAALPLASAIQFTSPAGNSTLSKGNSYKVKWDSV DTDPSTFSIYLVNFVNWPPFYTQLAGGVSTESGEYEVTVPCLVDASWGYQFNAINGTN VYVIHAQTPKFYVGEGSCDEPEEEVPIPVITDSLQQTTCEAYTVTATPEPTCDNSVST VTATVTATVTVGKDAPAAPATTAPATLQYTPGFQSAVKVYSTVYVDLSEVIDSGECVC QC762_104890 MSFYAFPPFSFSAILPNSANISFHTLPKPTSTRTQPAPGRTMST PRKQHTFTTLHSFYATSGTVTIHSSSSDSPILVPTPSPLDPNDPLSWPPQKKRTAFLS ICTFTFLTNFGIGGLTSAFYLISLEFEKSLPETSALLLWPILVLGLFNFFWVPLANYF GKRPVFVLSCGLLFASYIWGALAKSFESLLWSNIVAAFAGSSTEALGAAMVNDLFFVH ERGGKMGVYMNFISGGNTVGPLVCGFVVTGLSWRWHKWIAAMLTGINFVTVVLMVPET RYHRVEVTGEGGQQVSESEDNGQEKGKVRGDGEQGQQREGIVAVPKKSWVQELSLWSG TPKDDTSLWKMFLRPLPMFAYPCVIYSFLGYAVSLVLTVAVNILNSFVLQAPPYSWSP TVNGLINIPGFIGNLLGSFAGGWLVDKFCDWRSRKNNGVFEPENRLYLCILPLLITGA GCVLFGYGVGRTLHWTSLFFGYGMVSFALTAVPTITMAYVSDCLLPVNSDALMLVNGS KNIVAFGFLYGIVPWVEEVGYVECFGTQAGIYVAIIAIGMAVLIPFGARIRHAQAKWR IIL QC762_104910 MAAPNSEADQAKLQIFKDELYDTIREHGSETRVFSQADLKGLGV IPNNDVRILVDVIQMLTNEKLLIGVHLPGGELGWKWRSREDAKKYTSLPDEPTRLVYG EIDQAGQDGVWIRHIKLRINIQDATLKACIKFLESKGFISSMTNVEMPNRKMYIRADL KPSERATGGPWFTDGELDEAFIKVIEGIIFEYIKTRSAYFSRGTVLPQRQPKKGVVTG DARGVKRTATDISNDDATPAPAPATKAAPPPPKGKPMYLPMPAGYKKYPTVNDITEFI HNQKVSTAMLGVADIQALVDVLVFDGLIEPIQVHNRKGYRVVRPTKQDTVSYAKRQQD RESHPESGDIVLGPPPLSNAVTEAPCGKCPVFELCQEGGPVAPSTCVYFQEWLGLKEP AVATANGTAPP QC762_0002440 MFRPLLPRGCSALPRPSSLFHQLPTAPFSSTFSSSIQSNIRNSV RAFSVSSINMAPQVFFKVSWSGPTGFDSQGRPNNEIAEQEGTIKFNLYDDVVPITTRN FRELCTGQNGFGYKGSSFHRIIPEFMLQGGDFTRGNGTGGKSIYGEKFADENFQIKHT RPGLLSMANAGPNTNGSQFFITTVKTSWLDGRHVVFGEVADDDSMHVVKLLEATGSGS GAIKPGLKKPTIEDSGEIN QC762_104930 MDLNSLRQTVTNLTLYDVKAGVRKVQNAVMNFTEMEAKVREATN NEPWGASSTQMQEIADGTFNYQTLNEIMPMIYRRFTEKSAEEWRQIYKALQLLEYLIK HGSERVIDDARSHITLLKMLRQFHFIDQNGKDQGVNVRHRAKELAELLGDVDRIRSER KKARAIKGKFVGMQGGSGMGSSSRYGGFGSNSDGYSGGGGGGSSSTYGGDAGGVYGDG GGFGGQQSSDFGRTQARGEQFEEYDEFDGDERPAGGALSSSAPRVKRDTSERAGVKKT TEPAKKKAPEVDLFSFDEPATTSTPPLADAPAASSAALTNDDDDFDDFQSAVPAVAAA PATQFAAPQPVSAPQQANLSGMVAMSSISPPPSSTATPSGNFSAFSTPLSATTLSPAA KPAGFQASTPNYFSSVQAASPAAPVAKPAAAPASFGGLKPVTSGSKPAAPAAGGDAFG SLWSQASVGLKKSTPTGPGPTIGQLAKEKSSAGIWGAASSSSPAAAKPAGSKPLGNGL NDLLG QC762_104935 MDTRSLRPQGFLGYFVLVVRILSIVASGLVVGFTVNFIVAFNKA GLGLPPTIVALAALTSTALLYTLVTITSFSRRFVPYIATIVLDFVLLIPAVIVTVLLA QPVTKRSCAAIAPSTTFTITVPPNTSFGQTTFPSNTNGKEACYRVFAIWISLIVLSAL FILSTLSSLLLQLRERKLQRQEYDFNENSSDPLNRPGTDFFTQRPITIISNPSRDYST GRKPENKWDSFADWDRDLDEKKSQWRPASQRIDSWNTASSTTLPYKTKDEIPRSNTRE YRPRNNRLDSPTLPNRPSDLAKAYRAYRTTGATPTSPSTRPRSRQRGLPGNPQ QC762_104940 MTTRTRSSEGCWTCRLRRKKCDETRPVCEGCSTLGIDCLYSEEK PQWMDGGDWQKARGEWVKQEIKRVAAQRREKRYMKDLESGVGEIGLDMGRVEVGVITT GTLQLLSGVSVGGGDGGDTVGGVTILPDGEKGSGMGVETDNIPTGATSTDTTAIATPC SSSSPPPSNIPSRHQQTDSADPDGEDHTGIPASERDAHRTMFYLDYVFPFLFPFYRPT LLDFGRGWLLVLLQRNQALFHVAQTMAGYFYGIILSSEDNKEDVPELCKSHNFDAIQR EQELGLQWLRRAIQGVVDKGVEGHLKEASEVVACIVQLLTSEVAVGNGWNWRVHLDAA AQLWGETWRFHGIGRVDVGGEEGEREVVCFMMLLLQLGTNPKSFTPMGQPWGTGQATL RFFSAQLLFLDVVGSTALGEGPRLQRWHGHLLDTIGREELERIVGVKVKEKEMDLPHL KLVEFIGLENWVVVAVGQVAALDGYKKERKRMGALSVTELVERARVIQRRIRDGLAGL GEPEVKHACPSSGPVPKDHPLLHFTAQGMISPQSMHSTAVHTRIWAQATLTYLQVVIS GWQPASQEIHHSVTETLNLMLYCVPAPACLRVLVWPFTVTGCLAAPEQEQIFRDMVAA MGCLRNFGTIKEGLAIMEHVWANRAQIEQNADQWDIAACLSCLGQPSLLI QC762_104950 MASPRVTLFLSIPFMIIGFLLSRSPSMMTSVSPSKPWADGPMKL ITTPQFQTKKTDIFTSGATHMALLHNSILRGYNSIYHQAPLLLDQDKADFIGYALAWY RFVKSHHDDEEASLFTKVEELLQDKTVFEETHHEHESFLAGLAEFEKYLSGLQSPTDF SADELLQIMSTFQEPFEKHFHSEISTIAKFSEHPNAPKEGTPENTAAAATFKAWGKST VTKAGMTDVVPFFLLNLDRTVEDGMWAHWPPMPAPIKWGLINIAGAFHSGWWKFASCD ANGQPQELWAYRAAAIKAQKEEQARA QC762_104960 MASTKPFIRVRKAELADTDAIVRIHYEAFDDDVMNQLMYPGGAT EASRKRFGERVFPRPQSDEELAKNGETILNVAEYFPEGPDGPGEVVAFSKWVLHRNPR TEEQWKSEDYVPTVENFGEGADLSVINAFIGELNRKQRDHAKGEAALFLGILACSPDR QRLGAGSALVKWGTDLADSLRLPTRLESSPVGYAVYRKFGFEDVDVLDMKVKETWGVT KEEGRYWGENNAVALAGPVPEGVMRSVIMRRPVKRRGSAA QC762_104990 MLSKTILTIAAALVVLAQTAQASQAPIPGYGVVDIQFDLPIYPG NATSETVPIIGTIEHAVAHMEALYPGWNQSLFKLPSQRHFVYDDSDRNIIPESFNCWG RWKGCSWSAIARGIDYLWQLPIYPMPTNGPGPGNCGRVSCSYDSAIWWCNDNDFSKTV RWINISAGAEYMNGAFGSFWGNKGKCVDSDDGAWQSAGQAFYPGNFNVILNADKC QC762_105000 MAVVLPSPPVTASPGLDGVVTKPDTTTRAPRVKPTKEDLLKTHA VHVQKQANSPENAPRPVKQPNLALAYPASTKSIREVDIIPLSQLEVNSHHRGSGLILK VISPPFLGAGAVSIVEDEFGNVDKISIYNQNDSSILSGVPEGCIIGVKEPYYTINGKN ADGSEDYMICVDHPSDVTLIRFTDPIIPEPLRLGPLLKTAEDWKKAGDTAFLEKDFPT AVFCYTEALDCAEDEGFKPSIYTKRAGTSLILGRYDSAKEDALASRTGTSTDWKAYYT AGRACYGLCNYSESKEYLSKALELNPTNPAIKKEYERCLARLHEEETGDYDFEAIYKS LSPKNVHLDLGSFTRNTEVKASPLHGRGLFATKDLAPGDLVFAEKATLMPNQYEPMRA SAALYALTVRQLLDNPSLCKSVLQLYPGEMTYERNPLEGTLVDGVPVLDVFVIEGLRN KNCFSVPLSTLEDTRPTAQEGRQAKGLWVHASNLNHACVPNTLRSFLGDMLISRATRH IKAGEEIFQQYVPVKSLPDVRNGVYKDGWGFECSCTLCVAELKQSSEASREKRKDLLA QVEKILNKKTPRAKTIIPDATIRSVDKLMKQLEEAHEPEIYGRLPRLTLIYGSNWLVG AYRGKKNYSKTIRHAVKVLRNFGFEMPQGAESQDKVWDPRQMFFSEEWHSLMTIHVVV ALRRLAEAYNGLGKKEMAERSVEAAEFGYMLVTGFKNDLSNLDR QC762_105005 MTTENSATVPAYLSYLRHQPYLPPAPPIDEKVVPAYWAHQCAVS GKEEWDFWHGGPHTCKHGCGYKTNPTTILRNRDGQQLETIEGENLLEDRFVDWWAICC RCQRWQQNVLPAHPLHGRIQKVNGENCCDHCRNLTTSTSDCTSCLAITKYREVTRTLS GVDIPGAARSRHHQIIITRRQQSRPRFNFGGELSASSTIGDEEDKNQKGDLEDIEKTK ECRNDEEENGKKEQGKERKGDDEKVL QC762_105010 MKLTSGLALVAATFRLALASEPTFETLVSREINLLQRTEYDICQ ADCSLAGVSFPDKNTLQLPLEDYYSERCPEIFLGNYDNPKGKSTVCLDFAGPYLTFTF NPFPGHTTTSAQVTWGLKGNPLYPAGYKPPPPTRAVHCTPGQDGTFLCKVPFNEIINA SSHAEIKHLLEGMCPNGDEAGLTLYLQFAGSVIVPDSHEPIHFQQQFPCKPGGRNKHG ICTSYDCDYDYFEITYRCSKCLVAPCHATCDTTPAYGYQSPRNSHDLNTQKGTDCKSS WGWYETPSIHDLRRGIHGHLYIQEKGKYYERIGSWAATINALKKLDVKLKITPGLKYV IEKVNINLSCLPITTCNSDSFTYTKDVLGGLQEYDTDGIHYPACGRRSRVYLIISADV GNPENQDGVCESPHHDEPSHNGKPHDGQPHDGKPHDGGSPNDKPHPDQPSHHGQPSHH GQPSHHGGLPHHGGLPHHGGLPHHGGLPHHGGLPHHSGLPHHGGLPHHGGLPHHHKLH DGTPSHDKKRSRD QC762_105015 MEAELVKLRKEVEDAKRREEVAERRAEEAQREVRNTTLVEYLKY CHQHLFTTFEVQRDPRLTTAGPASAPHGKLCPTYLRHWDGFDTLQRRTLDSLFTLYPA DQEAFPSLHATRTQGQEMSRGKIGDHLVLDHFVGNFVETPV QC762_105020 MASNVAPWDDVKYLTDDEINSFLDDLDHNNDGLIDYSEVEQKLD KVHEEIAPKALPHHLHHDGREDLDRHAFLRAIIKSDKNRIPRAEFAETVKSWNIPSMK QDQANDSEQKDYMRKMGIWRRVKSYWAVHGPEIAFIGLVVAMQLAFGVWQFVKYLTGE YYTRGFGWGVVLAKTCAGALYPTFFFLILSMSRYFSTFLRRSYYISRFINWDLSQEFH IRISCVALVLASLHAIGHLGGSFVWGSRKENEDAVAILLGPDAVPRPYIDYVRSLPGF TGLTALGLFYLLSLLSTPPVRKWNYEVFQMGHLLMYPIIGLLMAHGTAGLLQWPMFGY WLAFPTLLVLTERIVRLLVGFHKISAALQVLDSETVAIRAKIPSERIWKYNAGQYVFL QVPALSFFQWHPFTVSTCIGNEMQLHIKTDGNWTRRLRDLAGKDGIAQIQIGINGPFG APAQRFYDFSHTIVVGAGIGVTPFSGILTDLQEKDDKAHNGPGLDAPITAGGRSTSHG SHLIGPGEDGLQDSSVRDREKVSTGAPIEDANHRETLTKGGNETDNHPSDYRRVDFHW SVRDKNNLLWLSDLLNRVSRSQQWHAQHHKEEHGPHLDIRIHTHVTQKRNNIATHVYR WLLEMHRTPEHPASPLTGLLNATHFGRPDFIRILDRHYEEMKGYKAELVRKDKEKWED EEFKVGVFFCGTPIVGEILADRCRLLSARGRADGSKIEYHFMMEVFN QC762_105023 MASTLVPDTSLILAVLKRGNGFMEGDGIKVWQPRQDFPVWRFTI LLKRGNQIHPVDSDTVVKCGVVLESTARIFKGDRRLSSFFDFFKAKNVEVQPNNHMQL KSKYCTNPEALNKAIRDIFDAAWRLSGNPFGNLQPMVFPGHTQAPIPTSTCGILPGVK VSCTLVPVLGIPTHFAVLNIWRAPQGDHELLVCRVAGGPKSGGARLAPFFTTNVSALD ASPLAALKRIAGDDISRWLFDDNTFFASQDKICSTQIHGPEYGSAREGTVEFSRRYIV DVVLPKHRHVYELGSDVSDLIWLNFQELERELFANSFSPENGLVILDFLLRYHILHNR IEWGFLDEIVARMRYDSSAAQY QC762_0002570 MCVQAGNHEQYLIPYLNNDAYPVDLAEVSNLIYWMARIQSHLGL GPRGFGRWNHQLLPSHVAFPAIESATKARRLALNNMHENYANAEYTIIHDIDLVNFPW SDDGSRCIAMILSTWFTRGWTALELFMSKRVLVLSKTQLGILNH QC762_105028 MLFDKNDQSWVVGLETSTIAYGKYLTMYVEEIKMAHPKNSTDQH TNELWISKLEESALKKDEIDADECYESSHAGANKTGGDLSVDIGTPL QC762_105030 MYQLRPLLLFSLGVLVTAVRGDNSADSNNAIPDVNFDLEGEHAG ELPSPWEGPSHCISGDHCLYFNPEGNNHNGQVLITTSNYAYFTATFPKITSETQISSD SFHLAPIPGKGNGFVAGRLIKKGEVIMQRQPSFLVHADAHIDMKPEVQEKIYQIALSK LSKDAQEKFLSQFGDGVRAKIDKNSFRIVIDPSGDKESGHLGVFVDVSGFNHDCRPNV HYRITNTTHTTVAVRDIHPGDELTISYIYGIARRSTRQKELQDWGFECTCSQCTLNSL ETAASDARVKEIKRLEEEIEDKMSRRGEDIKPEMGGKLVDIYLEEKLFAYLAPTYVRA ALIYSMFGNEAKAKEYAEEAVDALTREYGTHAKDIQSMRELAADVKGHWSWGIKVVSE EKEQKTWKLGQGKGKKNETGEAGAKKERKIREKIGKKAGKTVKEAKAKKDEL QC762_0002600 MAKEKIEHLGGCERKLVSMIAVCPVYILPTRALIRSASRRQKVG CLLALTAGFSPTPTSVYGVNGRRAAYSGSMEIPAKARPCYSAASSTSYRGAIVADGHC RNLAYFFCQATDSRINNAIAVLRGLIYLLAHQQPRLISHVRKYTDAGKSLSDANAWFA LSDILVGMLGDPNVKPTCLVVDALDECVIDLPKLLDFIVCISSDRIKWLLTSRNETII EKKLKSNNARTRLSLELKENAMEVSHAVDVYIDDKLSGLEALQDDALLKDQVRDILHN KANGTFLWVALVVQELSMDGVESWHVLQIVEEVPPGLDGMFKRMLDEIERNKRDSEFC WRILSVVTVAYRPLHLDEIGRLSGLPEQIVRSTENIQKIVAKCGSFLTVRDNHIYLVH QSAKDYLSDQASPLLFPSGVAVTHHDISDRSLKLLSGKLQRDVYGLCIPGFSIDHVRV PDPDPLATVRYSCVYWVDHLCNWQSSDDSKHPDIFQDGGIVDGFLRQHYLHWLEALSL CKSMPQGILSLAKLESILQHSSITSQLPSLVTDMHRFIIYWRWVVENYPLQVYASALV FSPARSITRGLFTQEERKWITSRPIVEDNWNACRQTLEGHGGPVNSVAFSPDSKWIAS GSNDRTIKIWEAATGSCTQTLEGHGDWVRSVAFSPDSKWVVSGSDDSTIKIWEAATGS CTQTLEGHGYGVLSVAFSPDSKWVVSGSDDSTIKIWEAATGSCTQTLEGHGDGVLSVA FSPDSKWVASGSADSTIKIWEAATGLCTQTLKGHGDWVRSVAFSPDSKWGVSGSNDST IKIWEAATGSCTQTLEGHDGWVLSVAFSPDSKWVVSGSNDRTIKIWEAATGSCTQTLE GHGDRVLSVAFSPDSKWVVSGSDDSTIKIWEAATGSCTQTLEGHGDWVRSVAFSPDSK WVVSGSNDRTIKIWEAATGSCTQTLEGHGGWVLSVAFSPDSKWIASGSSDRTIKIWEA ATGSCTQTLEGHGDRVRPVALSPDSKWVVSGSDDSTIKIWEAATGSCTQTLEGHGDGV NSVASSLDSKLIASGSNDTNPPHYPRYGIDMSKRWITKGSENWLWLPLEYQSQCLAAA ASTIAIGCSSGRVLTMKFTTDS QC762_0002610 MQEQKRLLQDPVLRRSSRTRWAEILLGRHMLHRQIRQHRSSARP QC762_105075 MTLLHTEKSKTVLDLYELLMKLINGAIEGFADAGNVKANLKSLY GAHNAFTLTFTIATGIQRVFGFFTTWFAIYNPPIHKNDSRNRHKIIISSHWIASIVSA LLGLLSGISGSSMASIATNQIQVCKDRPPTDQYNHVVTVEDV QC762_0002630 MVDTRNGLDWLTVVVVKDGCGSGKVSTGSYMTRCTDWSLEGIGV AGLLPLIQHQVVGLQWADMTPPHGDDIDFEMGCAPDTSLGFLMLRMI QC762_0002640 MPCLFSPPIMPATPAAAATTATIYPVSLAAPAVLALVDVPVSSA GSSVSVSSAGIPVPVVEASVSVGTVRVRVIISVAGSVAVIPNTRLYALKQSSSLSMNA NQSRPTSRGHFSEHVCAASDTTGRSEEYQERADVRYLSYSSLPITVAGG QC762_0002650 MMYRNTILTLLAGASSVTAAMDPINNAILRARQLQDSIPTSTGS VFRTRTVDACISTLTSLSSSAPTPPPEVLEYELSQQLERLKTATAAMGPLVEDVADIS SVCSSAFDEDRTRTATTYYPPATVIGSEEYDRYRTSALSWYSSLRPVVSEAAQTCSLK WPREVGLLWLAFIDNEEDCFKAYSLVLGITATEPATEIITRTRTVPTETEASTTGTGI PAEETETEEPAEETGTSTSASTAGAARETGYMVAVVAAAAGVAGMMGAM QC762_105100 MAATLNPPKPIRVWLTPPGPNGWKTIFLLEELSLNYEFKSFRFD DVKKPPFIDINPNGRIPAIEDPNTGLTLWESGAINQYLIEQYDTEKRLTFDDVINRNL CNQFLQFQMSGQGPYYGQCGWFQHLHAEKIQSAIDRYSNEIKRVLGVLEIVLSKKGEY EQWLVGDKMTYADMAFVPWNFRLSEVLMQLWDEVFEGTPRVRAWHEKMVELPSWKRAM EHRARLMDEQGLQWNGVPKGIETFIEYEEKIEKGEMKYD QC762_105110 MSPLPDPTIDLDWSGYVGSIQEHFRAQAEAHPDRTCVVETKSST TPERRFTYRQIYEASNTLAWHLHNAGVTNGDVVMIWAHRSVDLVVALMGILASGATMT VLDPAYPPARQKIYLEVSQPRALLRIGRATDENGPLAPLVQQYIDDELQLKAEVPDLR LRDDGFLYGGEVDGKDIFASVRGSVSAPPDVLVGPDSNPTLSFTSGSEGRPKGVLGRH FSLVKYFGWMAERFNLSSESKYTLLSGIAHDPVQRDIFTPLFLGAQLLVPSREDIQHE KLAEWMREHKPTVTHLTPAMGQILVGGASAEFPSLENVFFVGDVLTTRDCRALRKLAI NANIINMYGTTETQRAVSYYEIPSRAREPDYLDKLKDTVPAGTGMQNVQLLVVNRENR AEQCKVGEVGEIFVRAAGLAEGYLGDPALNEQKFLMNWFVDNEKWVEADAKASKNEPW RKYYKGPRDRLYRTGDLGRYLESGDVECVGRADDQVKIRGFRIELNDIDSNLSQNPLI RDCKTLVRRDRNEEPTLVSYIVPESKEWARWLHDRGLADVEDEGVEMGPVTVYLKKYR RMQTEVRDHLSTRLPTYAVPTIYIVLSKLPLNPNGKVDKPNLPFPDIAELVEDASEDD LQNWESLTETERTVAQFWADLIRGLNPKAIKRENGFFDLGGHSLLAQQFLLNVRKGLN ADVSINTLYEHPSLAGFSAQIDKLLSNEAGSVTAEAGEAAYSKSLDELLQQLPAKYQS ADRAALDSAEQLTIFLTGATGFLGSYLVQEILSRTVKTVKLIAHVRGAKESSAALVRL QRSLQGYGLWKDEWTGRLSAVVGDLSQPQLGIDDATWKTLADEADVVIHNGATVHWVK RYQDMMAANVLSTIDAMRLCNEGKPKVFSFVSSTSVLDTDYYIKLSEDSTRTGQGAIL ESDDMNGSSTGLGTGYGQSKWVSEQLVREAGRRGLVGSVVRPGYILGDSETGVCNVDD FLIRMLKGCIQLQSRPHIINTVNAVPVNHVARVVVASALNPLTGDADGNVHVVHVTAH PRYRMNEYLASLEFYGYNAPEITYEDWKQKLEQFVSAGSLEKDSEQHALMPLFHFCMN DLPANTRAPEMDDRNAVAILKADADKWTDVDDSTGHGVNRDDVGKYLSYLSAIKFIGL PTERGRPLPKLKQETLESLAVGAAGGRGAAH QC762_105120 MKLSLGTVAAVVGVVNAAFPTDIVYYWVDQSAVVVNATGGLSSP PSGWYTAVVQGAVYEAAVNSKRESLEFQQLAISHAAHDAILWVYHGSRQYAPVDAALR AVIPIIGLDPNSSKGKQAAKIGQAAAAKVAKARADDNLVNFVDFTFGPKQPGVYQPTP GGAPLPDTPQARFTRPFAALGDITRFRSPPPPKTDSAEYEADFLFVKSVGAVNSANRS AYDTDTAYFWRESSVTGWNRFAGAIVGSKLDKKPLESAKFYAQLNYAIANAGFASWDV KYAYQGWRPVTAVHYPDVWLKSGRNETDTNWVPLLRPTPSHPDYVSTHSTFGSAAAHV IKAWNKGDRIDATWSSNVTLDNRGVITRRYTSVQFANEENSISRQFGGIHFKFAGTEG LKLGDKVAEATLKVFDKNWDKF QC762_105130 MKIPTNTVLLFAAWAAPRASIKGVPDVLTKSAQDVIQAAGASAS CEWFTQPIDHSNPELGTWQQLYCVNPAKWGGPGSPVVLMTPGETPIWGSITPSRGYSF LDNTTMTGLYAQAIGAATVVIEHRYFGGSSPYDGFDAETLQYLTMDQAAMDMVNFAQN VVFPFEDGKTSVATKVPWVWFGTSYAATLGSWIEHTYPGVFYAFHLSSAIVQANTENW YYYDTIRKGIDSYRGDTRCTVALNEVSEYVDKYLLASNRNETEVQALKLLFGASFPIE DDDFAYAIATPFRYWQETNGYHDILEMCDAIVGSNESEENDVLGTVPGSVGNYAAYFR MNFRQSTCEYLNTWGQEDPLWCLNTHYEWNPYFIARTLGNPWRTWYWFLCNEPIASWA TGAPKESLSMVSRKIDAQYWQRQCELHFPATHGEKYGSAKGKTPTTLNEETGGWLRNS TRVIWTSGEFDPWRGTSMSSEIRTGGVLQSTDSVSVFLIKNAVHGDDAFTTRALGNLN IKPNPEVVKVQEQSVLIVKKWVAEYYAKQSSQK QC762_0002700 MATLYKSPHARTFISSCLRLHPVHLRKPLNCISDFQASFPYHSP TRRDISTLSTMSAISNLPKTFRAAVLTGLNQPLELQSLPLIPPGPGQILVKVLACGIC HTDAFVAAGIIPTSFPRILGHEIIGEVAALGEGVKGFSLGERVGGGWHGGHDGTCPSC VKGAHQYCANEAINGVSMNGGYAEYCLLRHEAVSRIPLDADPASTAPFLCAGTTVFNA LRHSGIIPGEEAVVAVQGVGGLGHLAVQYSKAMGYKTIGVSTGSDKKELVMGELGADG YIDSLVEDPVERLQEMGGAKVIVSTAPSAKAIGGLLGGLANFGRMVVLAPVGGVEFDT FLMVTKAVSVSGWSTGTAVDGEEAVAFAKRNGVRCFVERFGLDRVNEAFEGMKGGKPR FRNVLVME QC762_105160 MIDYVHAGEPARKRLRTSHACDLCRARKIRCDGKDPCVACASTE NDCTYGSEANSRGKSDLILDGVLRLETFLHKMNANLMSLQSMTTSTQTASTRTTSFSS SPLSDLHTHHQTLSRQRTRSIGQYGMSPTTPTPNELENAVLESWHTSTTESVLHWPHF DAFPSLRQHYIPIFELERSRHPLKVKSQWSDDASCNLPEEEIDATLDAFSQNFNFWYP TMSLHQLEKTKQTMLGGCHEEEDTPETCLALLTLALGYASKVTSRLVNSPRSPDSREK EEKASARSRGDLFFEKALKMLYVAQTDVSSTSAQCLFFTAIYFAFLRRPLQAWQCISS ASSKCMLLLSYADSVPSTSSPYIQSSNTHLPSPRNVGAEDEERTKRIFWACYILESDY LAELSHVPLSGIARIESSVSLPVGTYHTHESPKDEELSSLYFLACISMRRLLNRVHQL LYAKDTGAGMDINRFPSVVAELDHQLEEWRDVLPSAFTFEVPEIDSMRQRKPSGEMTE HGRFLRQRYLTCRSVIYRPYLMGMLSGQSLPNINDGIGNTSPSVSPNQGILGNCKSCL DACLLHILNLRGFSQTILVDTWICSLSMAGAMLVLLAACQVPSLRNLIGPEVLAAGHH LTQLLEGWQEVAGGPSSPSVDQSVHIIKEADGFIRNVYDQDG QC762_105170 MKNPLEFADNMAASTEKAASFADHHDDKFRDTDVAVRLAHDVDD TKYSPWSAKMARLYLVLAIAYLCGCLNGYDGSLMGGLNGMKSYQQYFNMKTAGSSTGL VFAMYNIGSVAAVFFTGPVNDWFGRRWGMFTGAIIIIIGTCVQAPSTTPGQFLAGRFV LGFGVSFCCVSAPCYVSEMAHPKWRGTLTGLYNCTWYIGSIIASWTVYGCSYIGTLDA WRIPIWCQMVTSGLVCLGVFWLPESPRWLVAQDRHEDALHVLAVYHGEGRTDHPIVQL QIKEMMNQISFEASDKKWYDYHELWNTHSARRRLICVLGMGIFGQISGNSLSSYYMVT MLESAGIVQEQRVLALNGINPVLSLFGAVLGARMSDVIGRRALLLYTIVFASVCFAII TGTSKMATDDPTQVAAANTTIAFIFIFGIVFSFGWTPLQSMYISECLPTSTRAKGTAV GNFSSAAASTILQYASGPAFEKIGYYFYLVFVFWDLFEAAFIYFLFPETKDRTLEELE EVFSAPNPVKKSLEKRSAQTVLNTVGANPASELDHDP QC762_105180 MSQLPTRAAPFQLGNTGPQAADMAAKDLPDYCNEKVFRRNTLPP RSYNLDTARLSLNGQWNFHYASNPSKSPDPTANTSHAASEGWTTIQVPGHWQLQGHGR PHYTNVQYPFPVCPPMVPSENPTGTYSRSFFLPESFHDYQVRLRFDGVDSAYHVWLNK KEVGYAQGSRNPAEWDITKLLDTKGPNEVVVKVYQWSDGSYIEDQDQWWLSGIYRDVN LLFYERAGHIRDWFLRTDLDAEYKDAILHATIDVFTTVDLADLAVIVRTLPEEDTIAV VHQEVRSTGSIELSIPITNPKKWTAETPNLYLVEIQFNRLGGVPITFSAVVRQKIGFR KVELKNGLISVNGQPIQFRGVNRHDHHPVFGRAVPVDFIRKDLLLMKRHNINALRCSH YPSHPKLFDIADELGLWVIDEADLECHGFYDAVARPQDIPEEMDYEERKKLAFGKAAE YTSNNPNWKAAYLDRMEQMIHRDKNHPSIIIWSLGNEAFYGQNHKAMYTLATHLDPGR LVHYEGDAQAESADMYSFMYPTVDKLIELANTRGVKPDGTYQKPVILCEYAHAMGNGP GGLEDYQHAFRTHPRLQGGFIWEWANHGLFKRESDGKQYYAYGGDFGDTPNDGTFVMD GLVNSAHQPTPGLVELKKVFQPVAVTVEGDELVISNLYDFSDLNHLSATYKAEKLEIR ESVVASGILNLPHIPAGKTVRVAIPEDVHVWRKRKDSHQPHVYLTISFALRMPTTWAA LGHEVAWFQHHLFNGHSIGPHAEDGGWLSFETTRTEAVITGHGFTCVFDKTSGYITSW THAGQKLLQPDPTTGAAIMPSFWRPPTDNDQALSVPYWKRFGVDTMTSQLRSVSVAGE DYYCVGENGKKIFRKVIVTSTVFLAPPVLDWGYHATITYTIEMSGNLSIAVTLKATGY APEHVPRIGLNLCLPRQLDKVKWHGLGPGESYPDKRSAQRVGIWTAESVSELHTPYDV PQENGNRMGTRWVTIVNPYSGGSGLFAEPGIYGHGNRESGLDVPCNFAVSRYSTKTIQ DAKHPCDLVEENATLLRLDHKVAGVGTAACGPGVREDLLVKVDREKEVKFAFNLGPWS EVDA QC762_105190 MSATLLIKLPARRSQLSRAASVIMPPTVQFNPSATSWRSSPPTD LGSTTSQTIQTFHSLLPSYSPTPLQPLPSIAQDLGIKAVYLKSEASRLGLPSFKILGA SWAVYRAVLDFLAVGLVPNQALPSLDDIKKVIHDKGLEELKVITATAGNWGRAVAKMA GYLGLKTVVFGSDHMHPTTRELIRNEGLGAEVRVVRGGYVDAANEAREYGMEEGREKE RLLVMDMGFEGGEKVPGWVVEGYSTMLTEYETQIREQTDGQRGATHAFVPCGCGSIAS AVTQFFRDAKREDDVKVVVVEPDSAACLLASLERGEDSTVETGDETIMCGMNCGTLSG SAWEVLGKGVEAGVAVTDKEAHRAVVDLENEGVEAGPCGAATLAGLRRVCADVSAREK LGLGVEAVVVLFCTEGKREYEVPV QC762_105195 MQVHPKGKTAWYHVSETRTLCRSPSQFTLRKKSISVKTSMKHWM AYQLSRTDRSFVRSTVNSVWRISHCLEVNNCRSSFPKLPARTPRNLHLNYDLIAPHTN ATPKTLHLRTGPWPKSPILLTAGFISRVHGNILSNFSSEVAPCRNDDHNPTSYTHNYP HKTHQIWEYGRNKIVPHPAGMPLARQCDSQARQDRTWRVTE QC762_105200 MAPPKFMGLSGRPLSMMVSAVATTGFLLFGYDQGVMSGIITAPA FNKMFPETKDNSTMQGFVTAIYEIGCLAGAMFMLWAGDLLGRRRGIITGASIMLIGVI IQVATVKDKNPLAQLIVGRVVMGVGNGMNTSTIPTYQAECSKTSNRGLLICIEGGVIA FGTLIAYWLDYGASYGPDDLVWRFPIAFQVIFAVLIIFPMMFLPESPRWLLSHQREAE ADRVIAAIRGYEEGSPEAVLERNLIVDSLRASGGYGQKSTPVKALFTHGKTQHFRRML LGSSAQFFQQVGGCNAVIYYFPILFEESIGTDHNMSLLLGGVNMIVYSIFATTSWFIV ERVGRRKMFLIGSLGQSLSMVITFACLIDGKEMTARGAAVGLFTYIAFFGATWLPLPW LYPAEVNPIKTRAKANAVSTCVNWLFNFVIVMVTPIMVSNIGWGTYLFFAATNACFIP VIYFFYPETAKRSLEEIDIIFAKGHAEKMSYVRAAKELPHLQPEEIEGYARKYGLVGH GESDSSTEVGTIGDERRDVEKNFSGQSGNTTNSSDEGLPGRGPGPSVVDEGGVESGFG DGVNANRKADN QC762_105208 MVAEAWRGPSLNLIHGVGSVPQILPEPASRPIILPPPFTHSFLY HRPRGYSQCPEITRERIPSRRCRHTTLQDLKSTMSTTQLRCPFTCPRRPPIPVRIPYQ YYPKAPCTAQQQISDPPEKKLCGIRLGVLLIVVSGLLLLVMASLGLCSRDLRQKNCLS RADGSHTHRGQTVVPILPESPNNNSTTTPPTKTSPSETPSSTQSPTAPAAANLDSHHG PKLQVHKLLH QC762_0002780 MTGVVEGIEAVSGLAGLTGSQIEDEESLKSSGSFQLDELEEQLA AVTFQAVADLFEQCKKLCHHERKSKEQRRPQCHRERGQPIWHGWPELEPHAPLPSWKN ARHRRRPQNKVSVAQRVKFAIYKKEHLEKFIKDINDLIDELYKIHEPPVEEQKELGKE ELAKFLEVLKELDVASDRDPVIRSAVQNILKQEASRTSFNLAV QC762_0002790 MSGIQEESSQVCLRTVLKQLGNLQTGQKDEQYLTHLQATDPRHD KKNIELRKGGLLRESYCWVLSHDNFHRWRDNRDGQLLWVRGDPGKGKTMLLCGIIDEL EKDTARTDNIAFFFCQATDDRLSNATAVLRGLIYLLVTKQQPELISHVRESCYGLGKE GFQGPTSWVVLSKIFTNILEDPKLRGTYLIIDALDECTGDRDLLLDLIASKSSAYPKV KWLVSSRNWPDIEESLNTATQKINLRLELNEESVSAAVTTYIQSEIDKLAKRKKYNND TRDAVKRYLDTNAHGTFLWVALVCQELAKISRWEAVEILTTFPPGLDAIYEQMRDKIN KSRNAKLLQRILAVISVVYRPITLNELPALVDMPDCSSGNVEDLIEIVGLCGSFLILR QHTISFVHQSAKDFLLSNGTHQDSRDVVNWVFPQGKDDVHNSVFSRSLSAMSTILHRD IYGLKLPGFPINGVQTPCPDPLATVRYSCVFWVDHLRESISDKDTPHRNTLVAVQTFL EQKYLYWLEALSLLRAMSKGVIAIRKLEGLLGRTHQRQLTTFIRDAHRFALSYRWIIE QAPLQAYTSALVFAPLGSLMKKRFKTEEPSWISVKPVVEADWNACLQTLEGHRNWVTS VAFSADGQRLASGSDDHTVKIWDPASGQCLQTLQGHSNSVTSIALSADGQRLASGSHD RTVKIWDPASGQCLQTLQGHSNWVTSVAFSADGQRLASGSHDRTVKIWDPASGQCLQT LQGHSNWVNSIAFSADGQRFASGSYDRTVKIWDPASGQCLQTLQGHSDSVTSVAFSAD GQRLASGSDDHTVKIWDPASGQCLQTLQGHSDEVNSIVFSADDLRAHGYRLGSDKTWV TCNGQNVLWLPPEYRPTCSVIQGRMVAIGCSSGRVFTIGFSRDI QC762_105210 MPVFSMASQIPEPIAIIGSGCRFPGGASSPSRLWDLLSNPHDIS AQIPESRFNLSGFYHQDSRHHGTTNTQKAYFLEEDSRLFDNGFFGIRREEAESMDPQQ RILLEVVYESLEAAGYPISQLKGSSTGVYVGQLNGDYYDVVLRNVESAPQYTATGTAR SIMSNRVSYFFDWNGPSVTIDTACSSSLVALHLAVQALRVGESKIAVAAGVNLLLGPE QFIFESNLGMLSPNGRCAMWDANADGYARGDGVAAVLLKPLSAALRDGDHIECIIRQT GVNQDGRTTGITMPSATSQADLIRSTYAACGLNVLSPQDRCQYFEAHGTGTPAGDPIE ARAVQTAFFPDDSTATGKLLVGSIKTVVGHTEGTAGLAGLLKASLSMQHGIIPPNLHF SRLSPAVEPFFQHLEIPTTPQKWPDLPPGVPRRASINSFGFGGTNCHVIVESWEKENV NGEACGPLLLSANSPQSLMETAARFLSVLKDGLGQHHGDLGHLLWTLQNRRSELPFKL AFSGRTQVTLMQQVGAWLQSTKSSKSLDLPRSKSIVVTGQSLPILGVFTGQGAQWATM STGLLESSHLFRETIQNLEHALGTVPENPPSWSLEVELRAASDKSRLQEASISQPLCT AVQIGLVNLLRSAGITFERVAGHSSGEIAAAYAAGYLTATEAIRIAYYRGLACSRINE KAKKGGMLAADMTVDDAKMFCGRPQFAGRLCVAACNSATSVTLSGDLDAVDEALNMLS NEHGVFARGLRVDTAYHSHHMKQFVPDYRRFLVSCDIKPTAKTSSTIWYSSVYPESPV DVSSLSSQYWIDNMVNPVLFSQALEKATEGMASDRIMAVEVGPHPALKRPTQDTITSM GVQLTHGGTLKRGSDDLEAIQETLGSIWTQFSSSSPQGIQSARPVVDFEGFTKACLGP EKWSKQNYQVCKNLPHYSWDHDKPLWRESRASKSFRTRNTRPHPLLGARLEDSDPKER RWRNILKLDELEWLSGHKFQGQVLLPAQGYVSMALDAGITLVGDKKSIVLVELEDLRI HRAITLEDHNSTATEVFSTLRVDGDIHDTGNQQAQILAEFSCSSALANAQAEVGIDDS RRNFACRVVLTIDRSRSEHGPEKAVLPRRELQLTDLDAVDIDLFYKSLRSTGLQYSGD FLAQEVQRTKGYSCVKLGRPVEREDQMLLHPAILDVASHGLLAALSAQNGMLPKTYLP RSIDLVRVDLARLLEKKSSKDYLSADCFIRKVSSNEISCDVEVFSSEDNYPEIQMEGL CCAPFGSFNAQDDRNIFSETTWMRDIMAGIDSDAVEDDMELYKTTTDIVNRAAYFYLR QLREGVPLESVSQAHPHLIDYWNWAVNHVLTRVEASYHPRVSKDWAEDNPRMMTEWSK KYPSLVDLTTIIRLGEALPDILAGRVHSLQLLLQENLLTDYYQRSLGLKQSNRRIAKA AAQIVHRYPHLRFLEIGAGTGSATNAILSSISDKFSSYTFTDISSGFFEQAHERFVEG HPSRNMIFRTLDIGRDPVKEQGFEEGAYDVIVASNVLHATPKLTETLKNCRTLLRPGG YLLLLENTAAGDALHTEFIFGTLSGWWLGADDARPFSPLISEARWHDVLLQSGFSGVD VVARDLEDDPKYYTYSVMVSQAVDERVLFLREPLWSDVGGATLRGSLEEVLILGNGAD LAVTKVANHLRRALLTAGAAKTVAVCSEGLESFSDGFPKDTAVICLTELVEPVFAKSE ISNETFLGLQNVLCKATHVLWVTSGAYDGRQPHAQMVLGIARSVMLEFPDRPLQFVDS GWEAGSNSVAFAQWVASAFMRMVFLGGGEGQQKDVLWSKETEFRVGQDGMEMLPRIKL SQERNDRLNSERRAIGIETNLSSPTVPLSLVPALNGSGSFALHQRPELLSGQIPDEKV LLTVEYSTLCAFRTQESPEPVYICLGRGKSCGQWFFALSRFNNSVIKVDNSHMAVPTI LDDDTACHAAGLLTDVVRQLLIKAILSHSTAGHCVWVHEATEDFVSQLWEEARRQQVD LFCSTTNPELEDAYGYTFIHSQSTRRRLQRMTPTNGVSVYVNFGDQNSAISKQIRSAI DKSARIFKVPARQENGWVVDLAFSMGSFGDAIAKLKLRRSTEDDESTRLGSRLVPAEL LAAGSHTANPTTIVDWTNIHSSSETAVQSFVRPLQGSYLLSSDKTYFLAGLTGSVGLS LCNWMADHGARYFILASRNPSVECTEVEKLAHKGAKLQVLPLDLSNRNSVLNAVAGVS DMEPEMPPVGGVVNGAMILRDRAFENLTLEDLNAVLRPKVQGSINLEAAFGSSDNLDF FIFLSSASSVVGVPGLANYNAANLFMTGLAQQRRKRGVPASVIDIGVLSDVGYLTKSS NAFEAVELASKHNTQPLSEADLHVMFAEAIFAGGRNFDKEADLITGLGLWDLSDPDQS RRPSWFNLPRMSHFLVEGSVASQKGQAGTDADSSVEQVSLMESITSAPSGTALAVLES AMGRKLQHTLQIPLEQKIDPLAPLLSLGLDSLVAIQLRTWISKELGVNLPALKILDSP SVRELGAEILRHMNIGSSPVEPTFAPSTEVSNTGTATPAESTNHGDDNVSEHDIFRVG STSHGQDRLLFLDSFLQDKSTHNCVIAGKFTGSLDLARLERTIKAVTVRHEALRSSFF FDSASGRQAVHGAGRLSVEVLEGSQHEFNTQLTAVKNHQFDVGHGEVMRAIVVRHTMT EAWIIVAYHHLIMDGASLVQFLYELQLGYSDPQALTLLPSPVQAIDLSERQLQLCADP DKFERDLKYWQGIYQNTPSVLPLFPFAKSRHRKQLGGPQDPPFGSHTFEVKLDSKFAR AIKAKCTQARVTKFHFCLAALAAFLSRWLDVSDLVIGVMDSNRPEGDEFQHTIGYFLN SLALRFSLSPNASFDDLLSSTKEAMLSALEHSTLPFDALVTKLYIPRSGDHHPLFQVA INYRLGHGSSKSNWGGGCEVEWTDMVVARNPFDLHLDVTDIGDGGLWIGFGVQKYLYS EFDAEVLGRSFVTLLEGLVYRMDGAVSPALQELRLADEECARRGVMIGTGPDMAINED VTVIHRVFRMAAKYPESIAIKDETESITYQQLMVRVGVIRTALAQQVVGKGRTVAVYA TGLGDILCAMLAIMAAGCVYVPLERRNSLERLALMLEDCEPHILLCKGEEDLATLQGF IGSSVPIVDIDSVKANNHNHDQDELHLNIASDSPACIIFTSGSTGRPKGVIMSHTNIL NQVLAVKSLHDIKRETVLQQSSLGFDCSLEQIFGGLAHGGTVVMVPDSVRGDPASIAK IMAHEGVTYTVGVPSEYAALIRFGGEGLRKCTTWKLAVSGGEKLTRNHLDAFAFLGLT DLRLVNAYGPAEGTVSCTRTFVDYRRKDRDEDPDVGTAMPNYTVVIVNDKLEPVPEGF AGEICIGGAGVAAGGYVTRPDEPVARFIIDPPFALEFSMRDTRFYRTGDLGRFLPDGS LQCLGRIEGDAQVQIRGVRVELDEVAAVIVKTAGAENVSQAAVSLREEDLLVAFVVLN KNDMDFDLQDMIARLPLPPYMCPSFAVPIEKLPLTPNGKLDRRALDTLPLPEIGDDGE TGVAESLTETESRIREIWLEVLPTAHAPVTGKEADFFRVGGNSLLLIQLQASLKRKFG LENTPSLPSLFRASSLGAMASLVQTATTGLKDPARDVVTVVDWDKEISLLMEGFLEED LPLETAAAEERVPEGHGLEVILTGATGFLGQHLLEHLISSDQVKTVHCVAVRSGTDSS SGRIHRHPKVREYPGDLTSPLLGLSIHLFQTLASRVDVMIHNGADTSFLKTYDSLKPA NVLSTRTLARFAVLAGQLQGGKKVPVHFISTASVAAFAQKQHGRNSLSPGSLARFPPC ESDAAVKEGYAASKWIGEVMLERLAGKGVTVVIHRPVSLYTAEDGKGEAVKVAPDVVG TLLRFSSKMGAVPHIPGLEVEGTVDMAEVGRVAGDVVSAALAVDQAGKSKVSYVHHVG GDDEEKIEGTAEGLAGYLARRTGRKIEVWTMDRWLEEARVRGLGDVIAGYFEEGWGEG RRTLVLPCLVTE QC762_105220 MKSLAPQFTEFFRFELLRILGTAPFHGCDVSEWAEATESIKQDD PESWYGAWTQAAERVEVMAEEAMKNGDHHAARWAFLRACNYRRASEFMLHVQPSDPRL LASLVKASDNFRQASKLLNSPVETLEIPFGNGSTLPGYLFLPAGGTRAGHREEKTPIL VATGGFDSIQEELFFGMADGARVRGYACVTFEGPGQGVVARRGTDRLLLRPDWEVVIK AVLDHIFQLAVAKPHLNLDLSRIALVGASMGGYFALRGATDPRVSAVVSVDGFYDLGE MMASRTPRFLWPESLGDGIFNWLLGSAQRWYFQTRWEFQHAMLATGATTPAEVYRQLA RYHLRGPEGGPSVLDRIKCPALITGSRDTLYWSLEESTYRIVRELTSLEEGKDKKVWI PTGWGQGSLQAKVGAFSHFHHNMFSWLDDVFGIGAE QC762_105230 MDATTECKTQSHDPTIEPVPTDGQTGGRYGWRFSAILVALSLTS ILAGLEIGCIATAMPTIVKALGTGDESETIYVWVANAYFLTMTAFQPLYGQAANIFGR RTMTVLAVVFFAIGSAISGAASSMPMLVAGRAIMGVGGGGILVMIEIIICDLCPQRDR PKYLGIVMSIFGLAMCVGPLIGGGLAEHASWRWIFYLNLPVAAVSLVPLLLFLRVKYK RDAIGKMLARVDWGGNTLFAAAVTSILVALTWGGTEHAWSAWQTLVPLFLGIAGLGLF LWLESTTLIEQPTMPLRLFANRTSSGAFGLTFVASILTYWMAYWLPIYFQAVKEDSPT QSGISTLPVSMIMIPFSILAGGGVTVLGKFRPFQFAGISLMTIAMGLFSLLDIESSKG HWVGFQVVAAAGGGLLLTCTLPAVQAPLPEADVAIATATWGFLRSFGGIWGIAIPTAI FNSHVNTLLDQGRVSEEAVVNALRNGGAYALASVGFIQSIPPDIKQQVKTVYVESLRL VWQVAIGFGVLGFLITIIIKEVKLREDLETDFGLVDGANNSSSSEVAAESGRGVVKSK AEKSVTGTA QC762_105240 MADQAAALPQPPTQLIKFSVYLYKKEDIDYDEFLNWVTKEYPSK AAPIMKRHGIVQWTQTVTPPHFRTPFRAALQHMGRDKWTVPDYDVVMSYWIPTPDTMQ ALTQDPEWIELETKEAMPRANMTVGHFEIGHEIVQFGEVRSQGTA QC762_105250 MHIVKAFATGLLLLANHAGVLAQDPGAGAPDNSNPLAIYPPCAQ NCIITAFTNHTFCSSPLDQECTCTSPDFNGFMHECILATCTIREALFTHNISSTTCQV PVFDRSPEIIRETAAMLITICLLVTARIGYKIYYAEGDRFMFSRPKAHTTSQNGLWWD DYALVFLLLCGGIPAMVLTIFFLAPNGLGKDMWAVPFEDIDKLFKFSFVSGVLYLPQV AALKLTFLFFYLRIFPSPGTRRVIWGTIVFTSLYGLTFFLLAIFQCSPIEDWYKWDGT GTGKCLNKDAIQWSCAIISIVLDVWMIGIPLWSMRIVKLHWKKKVGVGAMFAVGLLVT IVCCIRLRYIADITSSQNPTQDLFNIIRWSTIEGFTSSVCACMPFIRQVLVRIFPKAM RTGTTKKSTYAYNVHSFGNTLKGHGVSVNSDMTVTVNDPGLNGKVYHKDFPYPYNVPH SESTAALAEREGANRDGQAQYQGSDGTSVIIVQGNTPPPSGDIPLKNVHRPQRY QC762_105260 MPSKGVQVFTYIGVPDISIELSVPKQTITRRDQGFWSGSLEVEA RNIDSFFNKKGRFTFKVFHRGNQITEQWIDVNALTGNASGGTMESIAETPSIFHDNFI ISYGLYEAGPGHDPLPNQHQCYVTVTQNYTNWITNLAPPGSDFEKKPFHRLVLPSAHD VGMNSMESSAALLRKVGGAVVSTMLTRTDDKICRVVDKLSGYPIELIAPNIIYSLSIT QKDSLESMLRIGARYFEFRPARLHSSIRGRDALPDKLYFMHSAIPGMGYDTFLEGIVK FLRANKNEIIVVQLRWDGVPSDCAHPSSEEKKQYLEKAIRDHAGGEINVGNLDDMKHR TISELRRDKKRLLMLDSVDTLSTYTEDGNATINGQSIVDAFPRVLEDRGAQRRAGFVL IQCQATATNIQKALLHSIKDAGVTTSVLLATKGLCDHKTLPWCRDNVVDRCELGKTVV LMNDWLDGATVDVAVGLSRRRLAK QC762_105270 MQLSHLLLLAGALTATAHPSGHAHLHRSIHEKREGGITFLKAVH KPLPEPTETAPEPTPEPPKASIAAVEPSPSPKPKPEPAPAPAPAKEESESSNDSDSED GYKPFCGSSKRKTKRVTWEQIHYTGNTGTANGCPWNSNLQVVSTKASKQYKYVQNYKN VGKVPYEVICFNKIGADGGVTGSFKVEGQNQLVFKLQPGETKSVVADSNTQGVCAFAP NSVPTTSHGQYAGNWAEFDFENTSNKGWSGADCSSLVAQAYNMDFPGCRMSQGGVDSS IYPDGTAENAYIRGLEAEDGIGLNIEPGPTVIEVLCGLEKGM QC762_105280 MPLDTSTYGLALLRVDGRRWNELRRIHGQIRTQAAADGSSYLEM GHTKVMCVVTGPSEPGPRRGGAAGGASGGGGSGGQSKDAEVVVNIVIAGFSSVDRKRR GRGDKRTLEMQLTLSNTLAATLHTHLFPHSQINISLHVLSQDGSLLAALINAATLACV DAGIPMTDYVTACTAGSTSTYAANDEGADPLLDLNHQEEQELPGLTVATLGESDKVVA LVCESRVQISRLEGMLAVGVDGCKQVREILDQVIKAQGRKMILEGTVDKGTGLNDMDL D QC762_105290 MHHPTLCAVAVALLSALPGAQAGLYSKKSPVLQVDAKSYDRLIA KSNHTSIVEFYAPWCGHCKNLQPAYEKAAKNLAGIAKVAAVDCDDDANKQFCGQMGVQ GFPTLKIVRPKKGGGKPMVQDYNGQRTASGIVEAVVQAMNNHVVKVEDKTLDKFLDDE KDAPKALLFTDKGTTSSLLKSIAIDFLDVITVGQVRNTQAKAVEKFGITKYPTLILLP GGDAPAVTYDGELKKEALVTFLSQAGAPNPDPAPAKPKAAKKEKKAEKKTEKKTEKKA DSSESSSTAESEPDVPTQEAPVIIEKALPIPTINSQEKLIKECLTEKSHTCVLAFVSN SESDSAKKALDSLAQLSFKYAQGKRNLFPFYEVPTSINGAAPLLRALDLTNEVEIIAI NARRGWWRHFEGADFAHTTVESWIDAIRMGEGSKKKLPEGIVAISVEQPTPATPEPEA DPTAADTPDATEAATSEEPTPLTPEENATRVPPESSATEATDPEPECQTGAAEQCGAR PAAEHDEL QC762_105300 MDAIPAAIKQADINLWKCAAKAVQLQNVKPIIAYWCEYWVVRQI LARQLHLADEETLNYTTTLMDKLEETKQEYAHEEAIMDDAASQAYVEQFAQETLDRAE RVVKANKVTQQTATTFDAAATFFHLVNIWGTPDAETQQKIKYAKWNAARIVKAIKEGK DPNESNPKLEELEQPAPPPLDHDDPEVQGLTDTPYGAGPRSVTVEDVPDVDLRRDAAG VSLPQSPVSAGPPSAWGDELKLPGVPTQLNDPAPRSPSIPSPISPPSHNPANYQGAPD LSSPVTGPTWTQSQPSPLDTPPPVSWSQPPTQPPPTTGWTPSPAAQQQPYAPPSAPPT FAMNNPSTAAVASPPVSPPTNPYYMNMTPTAHTTHPPAPAAYAPAQSGLVDEAAMVGA QKHAKWAISALNFEDVPTAVKELRRALELLGAT QC762_105306 MDNGDSTCNSNYTFSSSSGCLSSSTTSTSSSTDWRPRVYTPLPH VHVPVATISTTRCASQR QC762_105310 MSSHLGTGRLRFRFCIQSKNFTINATVLIDLLKLLFPELTSDFV AQGCLANGVFDVFSSTDFFAHFQDINSFSFTIFNTSQHIIFPFGLTPITYYSLILYFA RSTYTMSTVSLTIGLAAMCLVSGIQAHVVMHTPESYGLHEGTPLLQVNPLDGVTHKHP RSTNNFHHNGLTVMEAGNVTNVNFTGGAQHGGGSCQFSITYDTPDNGQLNENTRFKTI YNIIGGCPAQFTNEMANLPAPYHDAEQRLDTEHCGNDTVTLNLMA QC762_105315 MCVNRKSLPTTPWDTTATATASESQQQVRNHPPAPTRRPPSSPP RLSQSVMSIPTLKKTLDMLHHILSHTRYMVTGTAAMAIWGYVPPHVNFLPRHVSISCT DDDVPVIKSWAAASPHCVLIPSCPGMIGVGIEGKIRAVKIRTVTREMFERLGRVSPLG VNRVEQFRGWREGILRTGVWVVSLRGLLEDLCAGWGGCFRKGNKTLEEEERLRQCGLG ILWILRRMREDGGLGKEWDLRGGIVAREEFWVPFTGVWWEGVGLMLALGLLREVASDE RELRQTKVWTGNRWLYVTSVVPEQEGKGKGKEHHVSLTTVTSSGDSEDSEQVELPAVK LDTDLARYHPDSFRVRAGLRDGSVGMREYAALVAAQVGHEHGPQRNDPTPTCERSLPR QC762_105320 MDRLRTMFAGPGMGMGAAPGTDNTNLIDNSETVYISSLALLKML RHGRAGVPMEVMGLMLGEFVDDFTVRVVDVFAMPQSGTGVSVEAVDPVFQTKMMDMLR QTGRPESVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVVID AFRLINPQSLIMGQEPRQSTSNLGHLNKPSIQALIHGLNRHYYSIGINYRKTALEENM LMNLHKQEWTEALQMEDFHCEGQRTKDRLERLVSLAEGYEKRVKEETELTKEQLKTRY VGKLDPKKHLEDVGQQLIEDNIVAVSRQMIDKEATMPKKDTPGANGKANGDEMDVEDE L QC762_105330 MDPLISALLNLREPRRRRGIGELPSSLVAAAHGLSAEHQLFPHT QNGGADFDSPTFLLSHGSERSYIKYEDDTRINLLNRPSHPGRAKLDWDSSRDPDPGFE PIPFCPPLLSPIRFLPSSREHEHHELDELCETKEPPPQHTFSSICFATMSNSNSTDPA GVERTRPLFEVVKNDKKRVAYFYDSDIGNYAYVTGHPMKPHRIRLAHSLVMNYNVYKF LEIYRAKPAVTSEMTQFHTDEYIEFLQKVTPDNMDSFMREQGKYNVGDDCPVFDGLFE FCGISAGGSMEGAARLNREKCDIAINWAGGLHHAKKSEASGFCYVNDIVLAILELLRF KKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGTGELRDIGIGTGKHYAV NFPLRDGIDDVAYETIFEPVITNVMQYYQPEAVVLQCGGDSLSGDRLGCFNLSMRGHA NCVNFVRGFNLPTLVLGGGGYTMRNVARTWAYETGRLVGVEMDRVLPFNEYYEYYGPD YELDVRNSNMENANSYEYLEKIKIQVIENLKRTAPVPSVQMQDVPRQSMGVSDDQDDE MDDLDEDENKDVRMTQRQWEKRVERQDEYEDSDDEDMAAANGVFKLNGRTRQETNFRD TKEDDTMEVDSGIATPAEQPVEITENDDTMIDEALAEIAAEAEAEAQVKEPAATETAP EAPTAAVDGDGDVDMGEVTESKAAETVIKTEDVEEPAPAKQDDSQATTVVSPKKTTEV AAQPSRTSKSPEPAVATDKQTESVSEASEVVPPTTTQDNTTNS QC762_105340 MTMGVKASGAFRGYPWSTHWALSVSRILLPRHQHLRGFLTGGCM AGLVLGLVVLLQLHGSFNDFLWPFWKSNTNGSDGKPTEPPPTFRWADITPSPTLTWHQ CYSPDHDCARLDVPMDWQSPSDSARVVLAIIRLRAVATSASKNKTDYRGPVFFNPGGP GGSGIWSMLDHGRELQAILGKEQYDLVSFDPRGVGNSVPRIECWSQQQDRGVWDLQNS VLGTVDAHPGVVYDAYARAQAFSQVCENNPDLAGEDGILRHSSTVYHARDMLEILEQM GEEKLKYWGFSYGTVLGGTFAALWPHRIERMVNDGNVDYVEWYQGGYINFLHDTDAVM EAFFTHCHRVGPLRCPFYSPTPSQTKSRLFSLLTSLRESPILVTPPPSNLSFSQQPPE IITYSRILHLLSTALYQPHLRFPLIAPVLSALESRNGLPYLAYTSPANNTSPSTPLCL SESLPPSTPLPSPSEGTPDAFPAIMCSDASHHPLTPSEFAAYALILQNISYSAGAVQS EFRLSCIGRTVRPKWELSPDFSWSQGVKTAFPILFVNNDADNVTPLVSARNNSRAFPG SRVLVQEEGYGHTSLAAGSRCTAGWVRRYFQRGEMPDGKEGCKSDRRLFDEEEEMMDQ EDELGVAVRELRKKVRIRPFYRG QC762_105360 MSAPRPPEDPTKPGDDSQENPQSPPPEQPANNTQSSSNKGKEKK KVGFTSEITAGPSHSGYYYGTPTPTDQETEARDYFSLSPSPSPSPTDGHNANRDSVNR EQLAAALAEILKPEHLSGPVPPSKPRPVLRKSTPLLAVPESPATQHQSEIEAKNRADR LAYSVGTGSAPPSGRSSAELTELEEEASRDREGLLGSSDTAQTHDFALPANDDSLRYR RNAHQEADRLIRTHTRRKSPLMHSFTPRSGTATPVDHDVEYIPRPDKYKGGILGTLLK LYGTDEKNGSASASTSTADLLIPSLPSTPSRTPNRTPSSTPPTSRPTTPDPDKGRRSK KRQSTSTLAGLMESSFMIAAPGTNKDIQNAVSEKIKLEKERYKKTAKSKKKVDEYKIK IHIAEIINRHRYLIKLCNALMIYGAPTHRLEAYMAMSARVLGIEGQFLYLPGIMLISF DDSQTHTTEVKIIKANQGLDFGRLRDVHEIYKEVVHDRIGVDEATTRLDEVNKRNEKF PIWFRILLSGVASAAVAPFGFEGRYIDLPICFILGCVVGFLQLYLAPSNELYANVFEI TAAILTSCLARAFGSIRGGTLFCFSSLAQASIALILPGYMILCASLELQNHQMISGSV RMVYALIYTLFLGYGITIGSVIYGYMDENASSAIHCSVGEEWYLQRPSPDYYVLFVIP FTLCLCAINQAKWKQTPVQVIISVAGYLVNNYSSRFFKGNSVVSSSLGALTIGVLANL YSRLGRYFENYMLDVWERTIQPRWNRFRRRVKRGPYPSPYYQSSTAMDSDESDPKAAA EREKERDLENGPPTLSTEGITAGITKHARKVGYGLAAAAMLPAIFVQVPGGLAVNGSL LSGVASANQIVRNETILANGTVVNGTFTTTEEVADLNSTAFNVLFSVIQVAINISVGL SLSALLVYPFGKRRSGLFSF QC762_105370 MRHSVFSLLLGAISLITTTGVTGLEFTVSLEENGKAADASKLQF VKIPPLKHRRRGHRNATVATGQHVTAKRGNNDISYSDNWCGASSRSNDADPIRNVFGY FTVPDLKLRAGIPPPQYAAAWIGIDGAKCNQTLLQAGVTTVVNSNGGQSASAWWEWYP GAAYTIANLPVKPGDWMSVNITAHDATSGRIIVTNAQRGYSMTLNLTSGPKLCRWDVE WILEDFYEAETNKQVPFASFQDLWFLDTEATTVRGKNVGIDGAAMVHLMNPQGKVLCQ AEKYDNANFVITSH QC762_105380 MPHNTRYPSPSSASTGCSHHSSSSTSYHYSPVQQTVTINRPNVP DFRFCMELGLVLRSRTLDHKESAGLEKELSRTLTKQNIPNSVITSPLKQTSSSSSSYA VQVLDSPSSREWTITTETSIPDHAKDHRFGIKLVSPFMRFTATKHESWLKKIYTLFHV LEANFEVTSSHQCFTHIHIVPERGYWTYGQLECLAKSALYFESCLDELVPPYRRKSVW AKSNRYNAYFGSAKSMRQCFDKFDKGGKLDINGLAMRMNWCSASSATGLSLSEDGQDF MTDTYRWSFKGLVAQGGDGCGTVAFKQPPGSTSAEDAVGWVMLVGCFARLACVLGETI RPEDKPLIKSLGEWLVYEASWCQLPRVKILKDLLRQATPEMREVAGGSRGKGKDVEAI TIDEDSRLRAKQGERELVGEKYLRMLKHIH QC762_105390 MPASNAANVRSIKIVIVGAGSVGVTTAYALLLSGLAAEIVLIDI DTNRALGEAIDMSHAAHYAQARVRVGDSYDDCAGATAVIVTAGVNQKPGQTRMDLVKT NYGLFKSIIPQIAAAAPDTILIIATNPVDVLTHAALKLSGFPVERVIGSGTAMDTTRF RHELGKQFGVNPRNVHAVIIGEHGDSQLPVWSLASIAGMRLRDYAAQKGIPYDQEVMD GCSQRTKDAAYEIIQRKGKTNYGVASVLVSILEPIITDGDALVTVSRVGTYAGVDDVA LSMPCKLNRAGAHQDVPLLLDEKEKELLRQSAESIKEVLRACE QC762_105400 MKSEQEGSVESGSGPELLDGLVSLDKDSQLQRGLKSRHIQFLAL GGAIGTGLFVGSGGILALVGPLPLWLGYLSQMFVVWCVMNAIAEMTTYLPMRGITLPY FTNRYVDSSLAFAAGWNYWYAYAILVAAEASAGAILLDYWDTPVHAAVWITIILIVCL ALNIIAVEVFGEAEFWFASIKLITILGLIITGIVIMAGGAPNREAIGFGTWNDPGAIK PYVATGSWGNFLAYWTAFVRAGFSFITSPELIGLAAGETVAPRRNIPKAARRFLYRLA IFYGVSSLILGCLVRSDHPRLLSPESNANASPWVIGIQEAGIGGLNHVINAAILTSAW SAGNAFLYSGSRILYSLAATGQAPHIFTRTTRRGVPYAAVLGTWSLGLLAYLNVSENG ATVFTWFMNISTISGFIAWIVVLITYLRFRSALKFQGLLDTLPFKTIGQPYLSWFVLV LISLLTLTNGFQVFAIKAADGGFDYRNFLAAYITIPAFLLLYVGHKIVYRTPLAKPLA DIDVVTGKKEMDEWCEGDVKPVPKNWLQRVWFWIA QC762_105410 MASPNGTGYLLPATGAQGLANYPHARTIPSTARTIFVSGTSSRR GDGTYEGCETQADGTHILDCGAQTAAVLRNIETIIRGATDGKCGLESVVDATVFLTDI RSDYAAMNAEWNKVWPDRTKAPARTCVQVAALPNERLNVEIKCQAVVSE QC762_105420 MSSSTSDRRLSELWSCVTLDEASSLIDSTSNPFSLQNFVGGQYI APHDSLTSSSQHITSFEPKTGRPIYTLPCTQPHAVEAAIKAAKAAFPSWSKTARAERS AILWKVSELLQQNREVFAIWESIDQGKTLDRARIEVDRAISNFSYFSTFILHEQTAAR MVDGVALTYEHRSPAGVFALISPWNMPLYLLTWKIAPCLAFGCTAVAKPSEVTSMTAF LLGDIFQKAGVPPGVINIIFGDGATTGAALVASPLINGVSFTGGTQTGIAIRRLTAHQ IGKHLSLELGGKNPTLVFADSMVPSVRERTIRVAAMAAFENQGEICLCGSRIYVEKSV YNDFVRDFTAYVREKYVLKQTVGAVASLQHCDKIRRYLELAAEQKATFHLGGVPPTLN EDEAQGYWIEPTILTDVAKNSAIQIDEIFGPVVTITPFDNEQEAIQLANDSEYGLAAI LLTTDGARMRRVGEQLEAGLVWVNCWLVRELGTPFGGMKNSGTGREGGEHSRDVFTVV RTLHLPAY QC762_105430 MAPPIQDCSCCSSSSSLSMSSSPDTPITPTTPTIRPASKLFRID MHTHIMPPSLPDLSSLTPPSDSTYQWPSFRPVSSPDAKPGEIDMFVGPNFFRRVQANC YDPAVRIKEMDAVGVDVQVLSTVPVLFCYDAPLEPAVVLARALNDHIAEICRQYPERF AGLGTVPLQDTTSAVEELRRIKGMKGMKGIQIGTSITENMMLDDERLEEFWKECEELD VPVFVHPLGYSLPRENKARWGKYWGSWLVGMPSETALAMLAVTCSGLLGRYPRLRVCF AHAGGAFPALMGRVQKGYDCRSDLVAMDSPEVSPKDYFRGKGGEEEGGIYLDSLTHDP DLLGFILAKLGPGGKGRVLLGSDYPFPLGEVPMAGKMIVEDESVDQFLKWEEKAWILG RNAIRFLKLGKEFESKFNSRLQEAADESWNRWNSTVSGGLPVRPKEIAHSGGRDGRPV MIRDQDWEVSSFSSESL QC762_105440 MSTQATLFTNGRIFLSGVNPGTNAGLTRSPTFADCMLVRGDKIE HVGSSSDEVISTALASRSVTTHDLQGKTVLPGFVDGHLHLMLLGQALNKLDLVRCKDL EEIRSTIREYAAANPNMPRILCRGYMHIQLPNGATAADLDDLDELNRPILIDSKDLHS TWCNTAGIKELGAENWADVPGGVIERNSNGKLTGVFSEAANITYVWPYLASVASMEER TAAIRSAVTAYHEAGYTGMIDMAMDEGAWEAIQALIATDGSIPIRLACYWLVKPLPTE AETLAQVDRAIELASQFNASTAPDCRIVGIKVICDGIIDACTAGLSQPYEHNSHFEVP LWTHAQLEPVVKRANAAGLQIALHAIGDATIKMVVDILSAHANPDNRPRVEHIELASA EDAARLGQNRITASIQPVHADPAILKAWPKLLGQHRCGRAFAYREFADHGAPLALGSD APTAPHAPLGNVYVATTRKSYREPELETAVNPHFALGLCESVAAATEGAAYSCFDDHR IGSLKKGHKADFVVVDMEWENEKLMQSKITETWYDGNKVFSA QC762_105450 MTTNVAKVAGISAGAALLGLGIPYFTRIAPPQITSFITDLPVAK VPLSLSFLKNNTGSEPVSTKAPFSCDTSHSYRTELVSLDPLIIYIHNLITPTEITQLL QAGEPEFAPSKVTKGGRQQNTKERTSSSAGLPEDNPTVQCVLKRARNFLGNMFRDGWD EMGQPQLVRYTTGQQFTLHHDWFASPLWADDGSWNTWNRLASFFAILEDDCTGGETYF PFAKSIVAPGPKGEQPGITQRESGGGEQQKPLWREHEDGGLAFRPVAGNSLFWINLHP NGTGDTRTKHAGLPLESGQKTAMNIWPRQYYAYE QC762_105460 MAFPRRVVAIIGSGGMGLASARRLALSSARTTLFLSDFSQANLD SAATSLRNDGHEVQTQLIDVSDYASVQKFASAAAQAGRLETIVHTAGLSPAMAPADRI FKVDLLGTVNVIDAFREVVAPGGSMICIASMARFGAQPSPDLAAHFATSRRDTILDRP ELKELVENNDPSMAYSLSKAANYLRVQAAARAWAEKGARINSVSPGVILTAMVRQELE SPHGETIKQIISGTPLQRGGTADEIASVVAFLAGSEASYVTGTDILVDGGTIAGNMGA MMSAARK QC762_105470 MSTIQQLKNFIRHGKQARAANADDSARTKHEHSPPHSQAHAKQH NMAPAVSEPVYGAGAPRQNHVDAYSTAGDAQNRVAQAGHVAAHHVEPAQGVSSKTKKR VPDENIAKLVAEENADKNKLPRYQGLERWQLVEKMGDGAFSNVYRARDLQGQHGEVAI KVVRKFEMNNMQSNKHLHPDFKPKAPKAAERANILKEVQIMKQLDHPNIVKLIDFSES QHYYFIILELAPGGELFHQIVRLTYFSEELSRHVIVQVAKALEHLHEEKGIVHRDIKP ENILFSPIPMVPSKHPKPKQPGDEDKVDEGEFIKGVGAGGIGQIKIADFGLSKIVWDN QTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLYTMLCGFPPFYDESIEVLTEKVA KGQYTFLSPWWDDISKSAQDLISHLLCVDPEQRYTIKEFLAHPWIRESGPTPRDEKKS AMSSDGVLRAFDATKLVDGDKRYDFRSPAAVNLREVFDVGYAVHRQEEEGKRRKQIGG KAGVDRLAELDEDAEMEEAPAGSTQQIEQSMRNTQIKDHEQRGRDRSRKPHPPPAAAE QKGYGQHSATVAAAARQQVRERQRQKGVFELNLDGATLLGRRGAKPATRVA QC762_105480 MSDLTNTFPAFSLAGVANANGYCSLALAEAVLGCGTLDIDLGGS SFRADILPDRIIISATEPLEEDAFREEGSSTTETTETTSSATGVSSLVYRFAPPAGPG GLLWALDLQQASTSTIQSTVTSTRTSTRTSKSTSTSTWTRTSTRPTTSTLTVRATSTS TARSTSTVRPTTTIRSTSTSTRTSTRTSTSTSTSTVTRRFPFLTIGRSAASSTVAPAA IAGPNFPGAPRFPPGRTLHTSSSSSSPATAPVTPPVYPTRLRAQDLLSLHGVLNTILG RRSYRAKAQDKDEPELNTSKSGSNLPGSIPPATQAEGDQPDLANNATELISSSCPRPG VSKLLDINIDLDVYLDILGGSASSSGGGGLVSGLLDGILGRRDLKAKAEEKAGEARGR AQELRDRLRADAREKKERVLEKTYEARCGAVLAVPTAVSSAAPSATSTGAAPPPRPAK GNNRLSRPELPAGEVTETVVTVEEVSEEVVVEECILTCERASVKASVEAGEMRGCLGV TVRRRAGVDNCVYFVGGVEVVDLEVVLLIEEEVQGGRLGEEVDEDEDEDLRSDSFVPV GRVRRE QC762_105485 MKPQTLLSTLLFTTTATSTTLTLNEDGNFPEICTQTCRKALHLA NAVQGWGRRLCAPGSDFMSYKFECWVCIAISGGGTTRGTEFEDVGRMCVEYMP QC762_105488 MSNRYSTCTDDKVWQWRKELEGQLQSLHKETDKLRRFDYYIFPP TIWNRIGLHTTAVYLYRQIYALIASNNSVCKNVIKVRLATCLSLRMSTVLCCARKAPK WPIQHWEACEGVTNKLGYLFCPLVTCPFGTIYEEKEELRSHFYRDHYHVHGRRAWENC PSQCGQLLLNCGQPLLNNCQPCPKSESMEHFLNHTVSHITNISFSVQRAFLFWMHGCA FK QC762_0003110 MSLTGKVLLITGGTKGIGRATVHRAASLGASIVFSYLSPTSSTT ASEIVTQSGGPSRLLAIQADASKLDHIDKLVHAAVDKFGKIDIVVPNAGIMGMVDLAH ATEDSYDAHFNLNVKGALFLVQKALPYFPPEGGRVIFISTGLNTASGVSPGYLIYVAT KGAIDQMTRALSKDLAKRNITVNAVAPGPTGTDLFFEGKSEQIVNFLKDQSPFGRLGE PQEIADVILWLASEESKWVSGQVIRVNGANMV QC762_0003120 MSQPTAPPCRRPCIFSSKHFPTGSFTRRAQDICQIDDSPIQNTN SAQSSRHFRHSTMASSTGTLDAPGTTGAPTPPPNRHHNKTDKKTTTGEASNVSLNLGD ATLNITHNPPDPSNPQNDNETYKRIRRKVDLRLCTIAGLLCSLNLLDSGVLSSAAVTS MLTDLGLDVGNRFSISIFIFTISSIIFQLPSTIAVRLLGPRIWFSVITFFFGLITLCT GFVRTWQQMIALRILLGAAMAGIFPGLAYLISTWYPRGEQQLRFAFMQSGEVVVLATG SLVNYGLNRLDGRRGLEGWRWMFVVQGVCTCVIGIVTYWWMVDFPENSDRSFRFLTRE EADIVASRIEKDRGDVIPQKFSIVEVLKHAKDLKIWAFACLFFMQNIVSTALAYFVPI ILQNGLGYSSDAAIILSAPPYYYAIVPVLLSSWYADRFRVRGPVITFNATCLITGFAV LGFAGNSGARYFGVFLATGAYVANWAALTAYQANNVVGQWKRVFTAAACTMFNGAGGI AGSFIVQNYEAPYYATAVWISIGSHLLMITLVGLLTIYFYLANRSLRRTGKVLEQTVG FRFTY QC762_105510 MKQPASPITLPPQPDPAVQETSFEPDASPMLSPHRNDEESAPNY GSVQRASPTSTPPPAPARALAPDLLRGLLMAFMALDHTSLMLRSWPHATAIDGENDSA PVHEWNRPAAYVIRTLTHLCAPGFTFLLGMGVVYFGRSRTSRPPDKRWTTGQLAWHFF VRALVLTAISTLMGLAVSGGKVWFLNMVLFSLAVDYLLAGLTWMAVAKTERLLAFALL KVLPLRKKDDVTEPLLPGPQPRLHGEEEMAPDVEIIRAADISWHIHNVFLAIGAAVMI WWNIWLSPTGGRCGVDPTPRLPGSDWIKIWFYPIMDERVKSGFPPLAWMSFAVLGLLY GRIILARAWSPKAITLGNALASLGFTLVFVFARIFHVGNLSEGCLSMAEHQQGGDQYL ASVKSFFYLTKYPPDVAFWAFTMAGNHLLLALFGALPPLFASKAFHVLLVYGTSALFF YIVHILMLGALSLPVIALIGREVSDPFTKQPVRGVDQLWGFFFSWGLLLAILYPLCRW YGGFKKAKGPDSIWRFF QC762_105520 MASPNFKIAAQLYNPIPLPISTQNEDGSSSFSIRLLSVTSAPGE PIACQLWADPFDKATTRTGYYALSYVWDNASVTEEITINGLPFQATQNLVLALKWFLN PNSPPVRYGLMLSASISAIYPSATTRFALWGRFTPHATRLFVFMAPRLKNTMPRCFAS STRFARIWNIPTCRAKTQVDAAQGQVLCRHG QC762_105530 MGDLSPQKSQSPDWSDSGSGTSRDHIDVLHGPVPTRPRLPSRKS SGTMIVSRDASVGPMETDFAPDDVRSMSPRRTSEDIEHLGKEARQELQRHAKALQDSL IVLFNRIEAVKEEHDKLDNHNKFLQKYIGDLMTTSKITASSSRAKK QC762_105540 MGHHNRHFAGDPHALEGVLESTNYFCEEDYAVSRYFAEFISTLT ILAYVYYALVYIYGPGSKVLFSPRYDFMSISLLVLGIGSFAFHATLRQSMQFADELAM LGLVWSLLQGVLTIGTKRDRILNWLMAFCTELRSLRVKMGLPWAWVLELHGWWHVLTA VSASWFMDIVRKVQEELRDGTSVAGKDSESERLKEL QC762_105570 MVDNTFRLLSNFLFFSFFPHLIPTPATPSLNSLLSKINKMNGDV GRDAGGANGTGGSGASAGTQEVRQAAVARLQGATMRRQAVGDLAAATARLSLGDPVLT NGQPRAASASTGSAPELPRILITSPDGTSVWATDALGGDGPSGSNGS QC762_105580 LFSPNPPLLSPHLFLSPSSAFLSPALFQQLCFLPSLYHRLLSIF YSFTTISLTSTLASHHLHPKHTIPQPSRSIMSTATSTSTALKRVLDLTHSNQTHPTPT IHLARALSFVALPTWPGSRSCRCTPDTGCP QC762_0003210 MVWSVSWGVIPDIEILPNIGGAPAAWKGTRADAEAEEDEMDGAD EPDLDATIHQASSVPVSDWYQCLCCGGYSTLEVLDILRRIDNDMDLSLLLSRILRGRR MGRMRQNQIEMKQALDIYLEHQ QC762_105590 MSSHGSSDEKHAISHSIEKAPASPEVTLTSAGKAKKAKKEKVVH NAELYAAIQEANINPYSKESLKLYLSVFIAFCCACANGYDGSLMTSIIAMESYQAVFP VGDTGPLVSAIFSLYTVGAMVGAPFAAILSDRYGRRVGMFCGGVVINIGMIIISTAMT TPQFIVGRFVLGLGIAVMTVAAPAYAIEIAPPHWRGRFAGFYNCGWFGGAIPAAIVTF GTNYMGNNWSWRVPLILQSAACVLVMGAVWFLDESPRFLMANGREEEAIAFLVKYHGN KDPNSALVRLEVEEMREGIKLDGIDKVWWDYRPLFLTHNGRWRMAQVLMISIFGQFSG NGLGYFNTTIFRKLGVTEIPQQLGYNILNQGISAIGALTAVTLTDKMPRRKVLIIGTT LCSFALAANSGLSAVIANQEAERGEGNFDLSYGKGALASYFLFNVIFSFTYTPLQGVI PTEALETTMRAKGLAASGFIVNAVSFINQFATPIALGNIGYKYIFVFVGWDLFEALMW YLFCVESQGRTLEQLNWVYDQPNPVKASLKVDKVVLADDGTVIETAARGVSGNADRAD AI QC762_105599 MDKIQRGVARSRALLPRITHRPLPSGASYLHFGDYGTRNAMTKH ELQRLKDQLIEANTNPQTGRQLFLPAFKPQTLGHLERSQELLTKEGKADDYAWLVSSR VWEEERKGLPKLLVLASPGFKVFSSGHHLTEIKNGSPEEVREIFALCAEVMSLIRRSA ILVMGKIHGIASGAGAQLALATDVPVASRSLTELWLPGADLGLPCTSPAAGLSRVLGT RRTWLELAKGGYVRADLKLHGVEVDDPATKTVEDKSQYEQQANILFEGPPSSEKSLPR RSAEDIVRDRLSLDHLVYRLARRLTKRDTQQTAITKWAFWTQIGLRGQPHVNADGVEV DGCGGDGFEDAVAFAGRVMALHSQSEVAKEGISRFLQENAMRKQTNKTEVEMNETTR QC762_105600 MASEEPERRPLLAATSGALDAGVAGADTRQRTGSGEEGRGETGT FKRNLGTLEAFAIVISIVIGSGVFTSPGSIDTNVPSPGAALVIWFVGGVLAWTGASTM AELGTAIPGEGGVQPYLKYIFGDVFGFLAAWTWVVAVMPATLAILSIVFIESIYSAAG VTDQGGTLLHKLLSIVVLSVISVANSISTKASTRLNNFFVVTKFVTIAAIVIAGVVVV ILQLVDRNRTVGGGDWVKQPWFGYRDSVNPDGSVTHWADVTQWELFGYLSAALYAALW AYSGWDKAIYISAELSSPARQLPLAINTSIPTIILCFITANAAYYILLPWNVVSRTDS VATAITRLLGPAFGILAAVFICLVVAGSLLGNSFVAGRMAVAAANQSWLPKPLSIVGR IGFKPEERETTSDAPVNAIIVSTILSSLYILLGNFRALLTFNGLGEYTFFFLTVVGAI VLRYREPQLARPYKPLIAIPIVFALVSGFVVVRGAVFAPVPAVVLVGLWVAGLVFYFV RRRYQERGLE QC762_105610 MWPQMWRTRDRRHIACLRDWLGRLAKYDWSQMEWGTTYSGQCRS RKSISD QC762_105620 MSIRFQTPTMEGRKPRTIKFLDGGLGTTLETIHGVKFSESTPLW SSHLLLTDLQTLADCQMSFAKAGADVITTATYQVSIDGFKNTKTENWPNGVPLPNIGH FLKDAVSIARRAAGKVGGRVALSLGPYGATMIPSTEYTGHYDIEPSQDIVDKLFHWHS ERYNLYVQVPKLLFDVSYIAFETIPRLDEILAIRRFLNADISEGVTLGPREFYHDIPV WISVLFPGDDDKMPDGTSVEDAVAAMISKEFGSKTPQFVGINCTQVSKLKGLVRQFTK AVEKLVATGAVEKWPGLVLYPDGTKEGERYNTATKEWEISGEGSKETAEDVSWERQLA MVVKEAYDTGGWSSFLIGGCCRTTPENIHRLTWAIRE QC762_0003270 MAPEVGESRVFGPEVSISDLIELPGTLFRRFSPHHVYKILPVVF HSSISASVTLPLMEMPLVAHRHTTLTTPMPWQDFEPIGFHPDGLPRGVTSSLGSHSPT GTYPKVLSLGGSSGNGWTIRHLRHLPLPPVHAFEGERGSHHNVHINLCRPSPKIVPES FCDSSIFIPPCHGKSVSLRSHTSHHLLSFNGRSIDVSVWTKPCPEYAIGLRENHPGAH GGWRSRLGRT QC762_105630 MSKCRYIRGDEGRSIQIRPALACSEILVKKQAGVPFQQLRYQTP SYGDSQQVDTMWSLEALFLAGLASGLTVVEHKPFMRKNIDPIVYPGQYVSHMHSFYGS DALTKDLPTTAELQKGCPSGENPNDLSVYWAPTLYYVNGNTHTEIYPATFKTYYENID RAEIPFPRDLVMVAGNASAKSQTDVQENLNMITWWCDGNGPEDRNRRDRAALPLSTCS AHLQAILRFPDCVNPDKISEYTYAAAQGNKCPVGMKRMPSLRFSIRYNTRGAIPQGWK GVPPIKLACGEIGVGYCFHGDFINGWYDDAAKAMLQAKGQSFMRIDGAHGNGKTYSKC KAKDQDPNNGTSDYHKSLEMMGKGGHS QC762_0003290 MADTSLQKTLRIGVDVGGTNTDGVILDPSRANDPQTRGILAWHK AATTPDPSDGISKAVTTLFTSANISPEQVATVTIGTTHFVNAIVERDEARLSKVAVIR LSGPFSKHAPPCVDWPDDLREIILGYYALVKGGLEVDGELISDINENEILEHCEEIQK RGITSVVVNGVFSPIDTVERQEERAADIIRRRIPGCDVVCSKEVANLGFLERENAALL NASILRFARKTIKSFQKPVRQLGIKCPVFITQNDGTVLSGEVASRFPIKTFSSGPTNS MRGAAFLVQGEDKANADGQGEGMMVVDIGGTTTDVGLLLPNGFPRQQAAYSDFSGVRM NFSCPDVKSIGLGGGSIVRKGDTFSIGPHSVGYKLTSESIVFGGKALTATDCAVAANP ALGIGTPELVQGALTQDEASVYETLVKKKLEKIIDTMKTSPADTAVVLVGGGAIIAPN QLRGASKVLKPEWSQVANAIGAAIARISAVVDTIRSTETQTVKQLLAEISDEAKAKVI AAGATAESVKIVEVEELPLQYVANKTRFIVRAAGDFDLSHTDTIAELSSEQASEQQET ESEQPSQQAATGGQNKKQVGKEAHQVDISTYKPDVCNRVWHVNETDLSWISTGCYILG TGGGGSPYPHMILLRQLLRAGATVRVVSPEDVEDDAAVGCGGGAGSPTVSIEKLQGDE MMEAQNELYKICDDQATHMIALEIGGGNGLQGLTLGASSNMDLPCVDGDWMGRAYPTK WQTTPVVFNERQPIWSPICIADGNGNVVVMPKASSDRQVERIMRAALSNMGSQVAAAE PPVTGAEMKRWVVENTISQAWRIGRAVARARQENRLETMAESIIDECGGSASGKVLFK GKIVGVQRTLRMGHVYGECIIEGTDVSGSDYPTTRKEREQQFTGRIKIPFKNENIAAV KIHDGQEEGVLEKQEDVLAIVPDLICVIDAQNGEAIGTPEYRYGLLVMVIALAASDKW TDSERGIELGGPKAFGFGHLEYKPVGTFVKPVSVIDEFNASDRIRTWARTKAHGPSPL TGTPPRTHAAEAGNERREGRRDRPAEDTSRNAFTSFWLTIRAILFSSIVNVLLIFVPV GFAVRFAHLPAGVVFGVNAVAIIPLASLLSHATESVASRMGDTVGALMNVTFGNAVEL IIFIIALCKDQIRIVQASLVGSILANVLLILGMCFLLGGLRFREQIYNSTVTQTSASL LALSVMSLLIPTVFHASFSKILTADDKVLKISRGTSVILLLVYLLYLVFQLKSHSYLY ESTPQHIIEMETRPGPAAHYFHSSSSLDEMDSDHNTSSDENREDEGIGRGRQLEALQL HSTGSAEDTHPDAVTDGHESGQGAPTHSHKKSKKSKHHHKRHHSKTHCDSGEQTQPSV RRVAFEEGPSLEAQPVPTSPRPLSLSFRQLPLFTPRQSGLNINNPSNLPPACPRRARS LPLNRGPPTSSSIFDPSFRFSTANAEPQPSTTSAPTTSPDDGRSTTTSKPPIWPPILV LLISTALISLQAEFMVSAITPLLASDTGLSEAFIGLILLPIVGNAAEHLTAVTVALKN KMDLAIGVAVGSSIQIALFVTPLVVILGWAMGKDMSLFFTLFETVCVVVSAFIVSFLV LDGRSNYLEGALLVAGYVMIAVAAFFYPDVAAANLLGGGQDELLNGGPLDGTG QC762_105655 MGGGYYYETEPRPQYTRPPPRAYYQSTTRTSRTAHVIDVEGPER SLSPSPTRVVVVQEKRRRRQPEPIGCWAWFCASCCCCWVCCDCLNETCCPIREYD QC762_105660 MGNRLKYSQHVFPGRRCRPRTRRLPFLFYQLSQSPKESKPFPPT SSNSSCQQTTHLTLLNFLLSLPPPSSTWKKTRGQSSHNHLATMGVPVVLATVAACGSI VTSIKSSWELRRMVKRKKEQHEADDEAPYVFRKLRQAYYDGLMTVPEYEQWYEKFLVA KVEKDLAGLRRIRAHLRIIENGAPITGNRRSRSVEPSRRRQSVTFAPTPQHPAYVDYR QPNRGNIEYYADPRARVGAHPDEFVRLERQSTCSRASSSDAQSRVSRSSSARHESRGR SRRRYDSSDSDSDDYYYEKRSYRGRSSNR QC762_105670 MATVAPPPSKRQKREEIERTTVQQDVSPLLATDLGSFKANFVDG DGNQMADVIEINFADASEKNVSALLNTMMGRDREEFTPYRFRIHIPGRDVIVDQYPTD LLGLLKKHGVNNPFETTITLSAEPQAVFRVQAVSRMAHKISGHGQPILCCQFSPLSSS RLATGSGDNTARIWNVDTGTPEKTLSGHTGWVMSVNWRPDGQQLATCSMDKTVRVWDP ATGKSTAELKGHAKWVLGLAWEPYHLWRDGTPRLVSCSKDGTARIWVVNTGRTEHVLS GHKSSITCVRWGGTGQIYTGSQDRTVRIWDSVKGTLVQSLTAHGHWINNIALSSDFVL RTGYLDHSKEVPETEEAKRAKAKERFEKAVKINGKVAERFVSASDDFTMYLWDPTNNG NKPVARLLGHQNKVNQCAFSPDGTMIASAGWDNHTKLWNARDGKFLTTLRGHVGPVFQ CAWSADSRLLVTGSRDCTLKVWNVLKGALARDLPGHEDEVYAVDWAPRDGAKVASGGK DKAVRTWMN QC762_105679 MISVIFLLAQTKTLSRQQISDQTQNLSAFDLHLATDQKLQNPPG LPPTQSLTIRLQKLVREPLTPSHSLVSVFCNAVVCQQIETSDLRSGWLSTGKFRASSL AKSLFSSPAPPASSRLFYAAQLLRPSTEKSYQALHHTRRAIPVCRSSSGRTVKLVHKA TLRHYNPSVSLAPLWSSVLSSSHIC QC762_105680 MTVFIASLFLPKTIHFTLPGTPPRGSRSEKPQPNPRPAPPERQP SLFQPPSITPPHTPTDEKKPSGLFTNEDGLRVHIPTTTAVGEDGVRAPADRSSPTWGG RLDQPMSRANSPPPPSLIQKARNLHQKAKEMGRAGITQPRTLDRSESHERVFAHANWK IVNADQGNGGLRNAAEAASREGKVGDCTWVGTLGMPTDALQGTQQLQDIQDKLATEHD MLSVCPSDKDFDGHYSHFCKQILWPVFHYQIPDNPKSKAYEDHSWKYYVNVNQAFADV IVKNWKRGDVVWVHDYHLLLVPGMVRKKLPEAKICFFLHVAFPSSEVFRCLAVRKQLL EGMLGANLIGFQIHEYTRHFLQTCSRLLSAEATPDGLQLEDRFVDVVNLPIGIDPVSL SQHREEDEVKRWLDTMRERYAGKKLIVARDKLDHVRGVRQKLLSYELFLNKNPEWRDK VVLIQVALSTSEKSELDATVSDIVTRVNSSWANLAYQPVVYLKQDIDYAQYLALLSVA DALMITSQREGMNLTSHEYLFCQDGKISEKKHGSLILSEFTGTSSLFGGNELSVNPWD YRACADAIKKALEMGDEEKEMRWTKLYEAVNHHTGSHWFSEILARLDVVYEQQHRHDQ TSVPRLSLNTLVQQYNRTERRLFILDFEGTLVSWGPVNQIIPVSPQRTLDVLNDLLLD DRNTIYVMSGRRPEELDRLFRRVPNLGLIAENGCFLRDCGSTEWTEMADADQIRSWKD SVRGILTYYIERTPGAEIEDRRCSLIFHYKSAEDYESATRQALDCASHINDACEDQRV HAIPMEGCVLVEPLDWTKSTAAQRIFSDLRDRMAPDATHTSPVDFLMVVGDGREDEKV FKWANGLGEEGLVEEVVTVSLGTRNTEATATMTQGVSGVLMALQRLGSIA QC762_105690 MASTFPPPPVNTIDWSNVGFKVREVNGHIESHYSVKTGQWSPLQ FVTDPYIRLHGMAPALNYGQQAYEGLKAFRLPGNNKIAIFRPDRNAARLQHSAEFISV PPVPVDLFIAAVKAAVALNAEFVPPHETGAAMYIRPQVYGSSAQLGLTPPEEYMFCVY VLPTGVYHGTHPVRALIMDEFDRAAPNGTGSAKVGGNYAPVLRWSDKARGEGYGITLH LDSKRHEEVDEFSTSGFIGVLREGGKVTLVVPDSRAVIDSVTSLSVQEIGRDFGWGVE KRAIKYDELPKFSEVLAAGTAAALVPIRSITKRDSNRLAGQARVSSSNGAETVTYIPE GIEEPGEICTKLLEQLKGIQLGKIEDKFGWRFEVTEQDGKSVVGESDSGGAADADSVD QLD QC762_0003360 MVQGFCLAIRLWAWVERARKLRACQERCQGQLGNRGVWNKTWRW WNFDVFIRSGLKMEGDDGLVGSLREGEDVCYRTGRRSASYISLSLMGQSMDFVFEVDA LTGHGVDSLPEVPYLSFVVLSSQTTDTYTVSSPSLNIPIP QC762_105700 MADTPPPPITSEANDEPPPLNNVPSEPTARSTGISFGPDLRGDI GSSQAGGIYNTRSITRASFDRRTAGDISQENGGPSNSSDDVEEGDDWRDKHGKTKQVF KGTTLLWLAYQSIGVIYGDIGTRYDRQTSRLLSGSNADPQG QC762_105710 MSPSTNTVPTGPILAAPPPWNTKATMYLIPFWTSSKTAANLPQK AYHPLEYQSSFSSPQASGKPLGGLSMVQIIRYHETPVGPYDELILCPGTFEYPLPDDQ ASSTKKKTGKAMRITRIYVSQKYTCSNGRKLWNLPKHLAKFTWSTPDPSNPNVQTLRV YPHDHTTPYDPTESTPSTTPLFKCTLKMIPYLPSFPFSNHWLPRLRIDVTLVQPPLPE NSQASQEELPGTGEEWVKMGGYEQVSKKCKIMWADMDQREEGEGGKEEDNFFPGLKRW NLAVVMQDGEANFVDGERWAAPRHTL QC762_0003390 MDASSSSSDSSIIRREAPPAIVRSSNFTPRRPTTPTLDSSPDPS NASLGLSSAAYSAMYDASLAPSPFATPSYFEAEEFHHHVVCAVAESRSAGVIGLATIN LNLASSQIITIANESNYTTLKQTLSAMQHKPTTFITLPRITADRASSRLAEVLEDEIG WPTYTTTMPRGCWDKALGIINIEYYAYSDQIVPLKVILSSNNFYAACAFAAVISYIES YHGLAIRAGALNIEYVEPSGVMRMDKAAIQALELLRNGRQAQPGDNTLFALANNTRTP EGRRLLTRSVLQPMTNEEEINLHHDAVETLCGDEDVFRKLRDWLKEFDGIDLESISGW LAIDHTVIRQPVQSGIVSSSGRHMSAAVGAHELSQAEGDLSRVLGLKSYLKKVQALHQ LLRAADVTDHLLASVASKTGADKTGPTLGLLASVLQEDAAYSRSAAEIGHARMWAIRA LPNDAIDLARAEHRRTREEAEAYFALKLALFQEVLGEAAAPKLFDDKDKGLCFKFKWA DVRQYVDTDEPQPGEIWGQAKIGCVRVNLGIRRGEHYLCQTDRLLELSQQLRVHSDII TKESDKYVLELRNAITEAGYVADLTSIAKAVAQLDLICSHAELTTTHGYVRPKLGKEL ALIKARHPIMEARRGFVPNDVFSGDDTKFVVVTGTNMGGKTTYIKTIALMQILAQIGC FVPAERAAVPICDRIFVRSATNDNAAGNAGTFAVEMDEMSVILHGATNKSLVIIDELG RGTSTAEGLGLAAAMAEELVKKKSRVFFATHFLDLGKMLNAWYPSQVLNVHMTSHGSV EDGHATISLPHTVVAGPVNNWDYGLELASRYFPASLIESARHWSKYHQEQVELSRKER TSAEMKSAAEIAVMHHMEQALSSQMSNMELAERLELVTDQYKALEERGEVSNEGGEAS NDNAEA QC762_105740 MSTTGNIAITGIPTTAGPDGSFPLRRELRDLQRNYPDHFNLLVL ALKDFQALNESVQTSYYQIAGIHGLPYKPWNNVGSNSDWQSTSGFGGYCTHSSILFLT WHRPYLALFEQALYNSIQKIANQFPQGPLRTKYVEAAKTFRMPYFDWASQPPSGSSAF PSAFTAPSLQVVDVDGKTKSTANPIYRFVFHPVNPSPGDFPSQWSRFPTTVRYPNPRT GQSQDNRVAPILANELASLRTNVSLLLLSYTNFDAFSFNRWDPNMTPGEFGSLEDVHN EIHDRTGGGGHMSSLDVSSFDPLFWFHHTNVDRLWAIWQDLNPDNFLTPRPAPYSTFN STEGESQTKDTPLTPFWDKSATKFWTSEEIKDTTTTFGYAYPETQEWKYRTGTEYQTS IRQAVTTLYGTNVFANFAAANVQARATEHTELIKSLSLAAPPPSAPITAEKPLLITQE MKASPIPEHLQHLAPNNKYPEWVVNIRAQKHGLHGAFRVIVFLGPIDESDPDSWQTEF NTVGRVSVLGRSTQGPTTTKCAKCITDAADELMISGTVPLTSALLQDIVNENTGLHSL QPEEVVPYLKKELKWKVTMFETGAEKDCGEVPGLRVSVTSTEVTIGEDGLPDYSGVYT VYPEITDGKPGGMRDGEHI QC762_105750 MSRPEDTLLADVHYDDVEARKYTTSSRIQNIQASMTRRALELLD LKTPSLILDVGCGSGLSGEILSDIPPEEGGPHVWIGMDISPSMLDVALQRDVEGDLFL ADIGQGVPFRAGTFDAAISISAIQWLCNAESSETSPQQRLARFFGGLYQSLKRGGRAV CQFYPKNDVQKQMITSAAVKAGFGAGMLEDDPDTKNVKVYLVLTAGQSAVANTKSGDI TGVVENMEGVDVVDNRRQGRSSGKGEIKKGSKQWIIKKKEQMERKGKVVKATSKYTGR KRRIAF QC762_105760 MWILPLVGYLGSIVGFGFMTLAIASGLYYLSELVEEHTVIAKRF LSKLIYSIMALQLLLCVVDRFPFFLTVLGIFSHFIYLGNMRRFPFVKLTDPLFLASCA LVLINHYVWFKHFSHHQERAYQNVSYYDTPDDIPTFTEIASYFGLCVWLVPFALFVSL SASDNVLPTMGSGSSGDFSSSSTSNGSKKRGQGMFKALVDGVLNTMAELGNMTGLKKL EDRL QC762_0003430 MASIRFGRGGDEILPLQPVKVDLYTRNLHSRQLSEPCLAVLLDF HDKIPLCVEVSLELV QC762_0003440 MEPSLDTHDHSQGAVRAAAQFEGTLLLLDDVMRSSDAAPRSGPD LDQALNQALLSPSAFPDTDDESFTASSVSGDGWTEIFTTAAEDDEIRTQSNRSQTTIS RRSWIRPMHELGMDDPPDGSSSPWDGSERANSNWNWTDAESIRSTNQERGVTALEVVE EDLEEDPGDLYDDPSDGMTTEPGDVRINPAPPTPHLLSNYPGPNTLLSLEPIGPSSSE KGKQREAVCRHVLNGEDCTCIDPSKLDQLQRDLDAQRDLIMEIEKDGKTRFAELPRVE VAGIEIDFDGLQRKYWADCAKAHCK QC762_105767 MDALQICRASECLFLACCTTPTGSFPVLRHFDRAGYSLFLLYLE ALHGLGELFSIDCTSDRNHLDYPEQYTLVYTSESSAPMTRIRRPLTYSPAWSLSTTHH YCPTCCPLGTPYSDAPITKTPHARRQIQTVKQRPTTHRGTHSNRGISGVTNRKGNGND DKTRESHYLFAPHKSNIIKHPNVEPDVDHIFGSVISPLLRPPPHLGLTGTACYQNPHI SLCYRFCNTVHSRRREGTK QC762_105770 MTSTWSPSFFLAMAALKIVPGQSGYMNLTSTERDTIQHVERFGA SLSLVGVSFIFWAYWMFKRVRTVPNTFILFASIANVGASIACLIGYAGIVAGDDSGLC KVQAFLLEMFMQSDPWWSLAMAVNVYMVFFMAYNPNNFHRHLWMYCVVCFGVPAVPAV VMLFHRPGDVHMYGNATLWCWIADAYNQLRIFLYYLPIWTCIALSALIYVAVGYHVFH QRNQLRNLTLSNQAKDASGTDLRGSAEKEPQFDDRRGACYGTVTTEVQVTAEAAECSS HASTLTDGTHDQQHEATPPSTPTGASSITPVLPPAHRHAMATAPQPPAATLHPWVSPA ESLDSDDFGRSPTSHSCSRTQHSNPFKTISSVSSAPRCRSASVANVNRQNWLKTRFDH VGQAWKKFRYKLVNLDPIKLAYLRTSFVFAISVLVTWTPSSINRVYALMYPATTSYSL NLASAVVLPLQGLWNAVIFAATSWAVLAEEFKTLWIRSGVRIPGRWQEEQQEGGAVVN REGVEGFKMAWHQNGRGRVSHEEGDDGDDVLVPPERVFRERHGSVAGGFDGGGGSRRP SEYGSRRPSEYLVPPLGGPGSPRAGQGQVRVIRGGSL QC762_105780 MTKTVCIVGAGPSGLVAAKSLLHDVPRGTFEVTIVDSQPRLGGL WPSQRDDNGGLIHPLMVANQSKHTVQFSDLAWPEDAPELPQAWQVGQYLDRYYKAYCL AAELCLETRVERTEKTRSGSWRVWTRSKDGTLGERPFDYLLVASGYFGKPAVPGSDVI PEHPEVPVIHSSKYRNLKDLLGGGNPKGGKILVVGGQMSGVEIAGTIATHLSSVTNSP GTSPIANPEKYSVHQIIQKPVWVMPMFLSPKASSPAPPFLPLDLASYNLSNRPHPLTN TQGHITEESAIRTSSLYETALGTDQSIYSPALAFTHKDHANPQFIAVSDNYNEFVRSG LITVTRGKLDKLSGHEAIISPTGDKVDDIVAVVLATGFKASDSISFLPQEELETLSLS PDDLNNTVALAFHGTYHPCISNLGFVGFYRSQYWGVMEMQARFVTNLWNAGGSGSVAL AQALKQALDKDKSIQRTIALRDDPRASQFPMGDYAWLMQEFATALEMERVDHLGAMPA LPPAGNEMNILTPARYPSKRLNEFEQPEFTKSLKQTEATVWAGVSSGKFVAKAVFRSL LGEWKLERKLTSKLPSHPSGHFSGTARFLLREGTRDGREAEFDKIVQEGGDIGMEYLY IEEGDFEANSGFTFRATRRYIWRYNENKDELSVWFAKTDDQKRADYLFHKVEFTVPKD DNEKSWKATAGHMCIKDYYDVKYDFRFKAVNLVDWNLAYTVNGPQKDYTIDGTYTR QC762_105783 MEEARVDGHLGTYGGASDGRGACQARCVACREPIGESSAVWARI RRSSSERLPVLADAYQPWHRDDLSPLLTSAKSDTWARSQGGSPTGHLPHGHVFRRKQR QSLALVCPLLLIDNLPASPCPRGGVLVAL QC762_105790 MISMSDDREKDSISEAQRSHDEGMEFPEDPDAHLTAAEKAEVDR KLLWKLDKKLMPWLCFLYLLAFLDRTNIGNAKIAGLSEDLGLTVSSYNATLTIFFVSY AVFEPITNIMLKRYRPSVFIPIIMVLWGASMLGMGFVKNWSGLMCARWFLGLTEAGLF PGVNYYLSCWYKRSEFGLRAAVFFSAAALSGSFGGLLAAAIENMHGIAGLPGWAWIFI LEGLLTIIVGIMSFWLVYDFPTEAKFLSEVDRARVVRRLKFDKQSSAENEKYTNSALW ESLKDWKMWLGMVIYMGCDMPLYAFSLFLPTIISDMGWNTSVIRAQLMSVPPYAAAAI LTVVIGFIADRTRQRGLCNILVSVLGVAGFAMLLASEDAAVKYVGTFLGALGIYPCIS NTISWMANNTEGVYKRGVVMGFVIGWGNLNGVVSSNIFFNGPRFVEGHAVIIAYMAVF LFGGSVLMTVLLRRENAKRLRGERDYLVEGMSEKEMERLGDRRPDFIYTV QC762_0003510 MRFQSSAQAQGKKEGGQNGTVPEGLHRCCNPRGPCGLAAAVEIN RSHWLEQSARRGTPAARPDNCVGPDSCVGL QC762_105800 MPSSNFSSSSSSTAAGEKSRIPPDYEWKYHSGHESSRLCSCKTC SCGAAVPYAGDLCDDCSKPH QC762_105810 MTPTLKSLTSSLILLTGVFVNAIPATFHSPHSPSNPNTQKSLAN EYSVQFHDGPDLSHEQFLAHTVGIHATAQKFSTAANQYAGVIRHFNIGSGFRAFHGHL DPEHVEQLKQLEFVRQLAHFQPSRALQQQQEQQQQQQQIKRVEPNALVTTQANTIFNL LAPTNSKRDSNTNEPPPKAPHFNLKTHVQPAQNWRQSRLSHLQPNQDSSPPPSNSTKS KVRFGPNFTVPPDHPDRFNSAKNDNNLTEHLSPALCPDAITVAATDQNGTRAGFSSYG KGVDIFAPAVDVMSAGFGDNHASAVMSGTNMAAPHVARLAAYFMMVYGAHTAEEVRQR LMDAALTHVVKDKGEGSADALAYNGIDYSGGGGDW QC762_105820 MVTRLEVALDAQELAGDQRALLDLIDKLQFAQLDNVKLPQIVVV GDQSAGKSSVLEAITGTPFPRDAGACTRFATEIRLRRAPQASITVSVIPDKNRPFPEQ ERLRQFGGMVNTNMPFEQLMRSAVDMIAPKNIPGRFAARDILVVEKRGPDMPLLTLVD LPGLVRNANNDQSLEDIRTIEALSDRYMKSSRTIILAVVGGNSDYVQAPILTKARHFD PNGSRTIGVLTKPDLTESIGLEDKFIDLVMNKDKRNDFRLGWYVLLNPGPREAGQPWP TPEERRRVEDSFFNSGKWAQVPRNICGTRALMQKLSVQLQLHIGKHVHILRKQIQKAL DDTNAELESLGIGKDTPEEMRIELVELFSASKELVIPAVYGFYKNPPKKTFFRVTADP RGTPAQNLRARASEENDRFSQRIRAQGRKLNFAMPPASGDLDDPAPINHAKREFVTSE VEMLLRQIRGTEFPMDAKPRAVYMLFQSYSENWPKLAQEHKDNLGVVCNEFLGELIDY AWPKRMREPLRRHFLDPQMKALMAKAQRELDLLMQDQNLEVQPYDPEYEERLRAWHIA SSQNGARYTEAEEVLEKMLIYYELAAKTFIRNTIVQVVERHLLQGMYGIFNSVEVLGL SNEIVEAIAAENKETRDRRQTLKVQKKAIEEAKDICASLAMRKELRAYAEDAPEDGES TSDDEAPKPVRRSTSKRSRRSRQPSSGEFTPQPQPSRGYDDNTRYSQPPSQAPPPPST HAPSTTYGPIRPEVTVETDHVPDTGYTTRPPQAAPPPPPRPGKVRDEEGRAYSPTSTT RTPRGAPEQYYTNGGGYSPNHPFGNDAVEFEASRRRKPVRS QC762_105830 MASQEATSQATPQKIVVASLNPVKTGAVLEGFTRMFPGGAYQVS GVSVPSDVPDQPLSDQETLQGALNRIKNARSLEPDADFWVGVEGGVNPEGETFQSFAW IAVESKEGRTGKARTATYYVAQETANLIGGGMELGHADDLIFGKTNSKQHSGSVGILT DDVVTRTSYYIQAVILALIPFKNTQLTFSLNKSG QC762_105840 MNGFEDDKKPKCDGDEYVKPGPKDLQVQLVISLSLGVSAFLAFC ILRRRWKSLYAARKRHAEIELPHLPDTLFGWMPALYRITEHQVLACAGLDAYVFLTFF KMSLQLFAVMFLCAAVVLEPINRHFDPGHKRNDTNASEFSLLREYAPYSDYQKDNLLG NAGENSGDDHGGDDESFNKNMSYLWSYLVFTYVFTGLTLFMLNRYTLKVIGIRQNYLG TQSTITDRTFRLSGIPENLRTENAIKTLVEKLEIGKVESVTLCRNWKEIDELMERRTA ILAKLEETWSVYISQKPKLPVGTQANGDGTASGAPEVRSDEEAGESERLLRGGHDMQI DRPRPQARLWYGFLRMQSRKIDGLDYYTERLRLLDEKIIAARKKTYEPANIAFVTMDS IAACQMAIQALIDPGPGQLLTKPAPAPSDVVWRNTYKPWWRRRFQSWTVTIFISILSI IWVGPVAALASTTICTIKAIMPSLAETLKDHEIIRSLIQTGIPTLVVSLLNVAVPYLY DFLSEHQGMISRGDVALSVISKNFFFTFFNIFLIFTVFGAAVAGIQETFRKSLTDSTY IAYTIATKIEELTSFYSCFIMLQGLGLFPFRLLQFGSISLYPINRMGAKTPRDFSQIM QPPMFYYGFYLPTALLVFILCLVYSVLPDGYQVLGLGVVYFVFGYFTYKYQLLYAMDQ PQHATGGAWRMICYRVILGLVVFQVTMSGYLALKSAFTVAVLVTPLVIGTVWYSWNFK WQFEPLTKFISLVSIKRGEDQEEGVNEVAILDDEREGGYEEDVDQRSLRRRGSTTVDE AREKGLRFVNPSLVVPLEQPWIYQDPPPPFTEENDSLEFAIGGSEGEYSYGAGPSIRS RRDETRVEGIATRPPGPGSGAASSNSSSSISLGDTHIWRQ QC762_105850 MLSRNSAQRLLRVAAQPSQRLNIARGFASVQDTPAQSLQADIFK PTKYGGKYTVTLIPGDGIGAEVAESVKTIFKADNVPVTWEQIEVSGLSDATPTGRTEE AFADAVASLKRNKLGLKGILHTPISRSGHQSFNVAMRQELDIYASISLIKNIPGLKTR HDGIDLAIIRENTEGEYSGLEHQSVPGVVESLKIITRAKSERIAKFAFSFALANHRKK VTCIHKANIMKLADGLFRNTFQALSKQYPMLECNDMIVDNASMQCVSKPQQFDVMVMP NLYGGILSNIAAALVGGPGVVPGCNMGRDVAVFEPGCRHVGLDIKGKDQANPTALLLS GTMLLRHLGLDDHANRISNAVYSVIAEGKIRTPDMGGNATTHEFTRAILTSMENSL QC762_105860 MPCHHTCASRRAYLTLTDHDRPLKAQIGIREVWKKEDGALQTAI RKLKDILGHEVDAEPEWHLLIAELDSYYPDKLDLVACVTGCVLVCVKSMIELLDGSEH EAWGEQLLEKVPSRLRIFIAVADSDKAATSWSEQRRGFVVSLPKKQAFQLQPTELFPI FRGNLLTCFDTDKKQTELPVRDAQATTTPGDDWAEVEVNVDASKPRAKVEFLPDAASL PRPDQLFLRPPYYLTMTASTKRIELHCSHSPTLQFLSEYLQRWCRVNHHDTTNPPAVQ ITLHQSAFGLGEMFNSLVLSTEHTRYTNEFQVTAPMVAALIEGVLGYELLPSLGGWSF RRDVEFKTL QC762_105870 MRLLDIKEGTSGCPGGPISSASSRTSSPASFSTLPHSSQLPPLN TSVTMAPLPPAEKLSLAVRKNVRDEWENNKADLEKQLSELLGTEWTVEADPKAIWPYH NDGYAKESLGSCIKAYVEGAIYQIKYLSGRYGSEFATEINDLAHAHVLTLEVEDQDPA RFSYNGCDIKDGKLRILFNETCLGTNVDYALQENSLLPALNAAPSDKPFSFHARNSIR QDYEPAIGAVKKDIADLLGKSVDEITINPNFEANFAKLSESKPSLEDWQERLGNFTLK YFEGLAYQMKYQKVGEDELIQEGLLEAVSKNEYALRIVDTLTYDSYGEVVVEDGVLYI QAKPDTFGTNIDYAAQKLVDQL QC762_0003610 MDDGVIPNLADVENSSDHVLQNVFSAFTHILLGDIGPISPDRSL SRRTSITSRFRRRMRCYTGNWSEMVGGSSKRKTALGTTRTRQRRRSPHHQLPPKTSHL TTLTMSTSLLLLLLPTLTQTSPVLQQATQTCSDASLSSLNWTAKSFHYSSLLSLLGPS LTSPSTAGTASLTFNLSNPALGPSFDQLCTAVSTTPNQFFYLDQWFTCLYTPPTSTAS NLSPLVANTSAATFRFDKLTGRLEVKQDWECVDGKDKTYPTTSFKGQGGVNVTLDCQM DVWANPEWRAGGEGLIGNQTVDCGVVDVSVGLDSLEAMA QC762_105890 MSEREYNDRELSLDPELLYTRESCIGGGSFGKVYKGVDKRTGQA VAIKIIDIESAEDEVDDIIQEIAILSELQSPHVTKYYGSYAKGAELWIVMEFCSGGSC ADLMKPGLIGEDCIAIIVRELLMGLDYLHSDKKLHRDIKAANILLTSNGQVKLADFGV SGQLSATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITALELANGEPPYADIH PMKVLFLIPKNPPPRLDGAQFSKAFKDFIEVVLQRDPKDRPSAKELLKHPFIRRAKKT SYLTELIERYQRWAATHEPEKDDVDDEPETQYENQSRTDDDMWDFGTVRLVNERGHLI HRPGMLNAMGESATNARSTRTRENSDDYGERRREASPAKLTLDTKDTLKAVTGAGNSR QMSPQRKPVGASSPTKGRYSRENSPEKPLADLNDTPRASYTSKPVPRPPGPGSPEYER ALAQQIQQEMGALQLGPGGYSRGPSLRSHTSSTRASPMKLPEIPPYRGSQQQQSQVPL QKITNQPAPMMYPDNGPYSYQQQQQVQQHYQRYGAQVPAHQPSSPLISKELPRNREPV DPGSLTPTSFPSPAPANPNGDLDALNDVIFPALEEALKRRQISLQQLFRPEPGKPFPP VTPSQQRAEATHERIRKLVYKLAHVCKEIDHHDKQEPVGMGKEVPTFLEGLLEEILVR VEPLDEEEAQR QC762_105900 MDEEQIQEFMMVTATSHAVAKRMIDMCGDSTQAISMWYSDLDLQ RNIEQSLQTPASTTASNRPRPSQGREDAQGVIHIDDSDDDMQDPGFDSDTDNVAGIAR AAQEDEDAALARELQEQFYNPSASGAGGGGAGLDDDGVRAPMARTTEVLVAPGGGFDD DDHESVLAQIRARREHGQAAAAARRRAGANNPFAQPSAWSAGAPAAASRSAGAAVSRA DRLAELFRPPYDIISDFSWEEARDEGKEEKKWLLVNLQDSSDFQCQMLNRDVWKDQAI VALIKENFIFLQYDKLDPSAERYINFYFPNRTHENPNNYPHVSVVDPRTGEQVKVWSG IPFPSPSEFHAQLVEFLDRYSLAANSKNPVTKAKRPERVIDFDRLTEEQQLELALQNS LAAATGGSPPNIDDPDALTRSTGNLAADDKGKGKAEEPPAEPPKVESAFDRIPSNQPH SEPAADPKTTTRIQIRHSNGRTIRRFRLDDTVSRIYEWIKAEPPIPGMEGVPFELKTS PSGVDLIGLLDQTIKEAGLANGTVMLEFES QC762_105910 MASSAEEEKKDISLLDGAEWTLLSASSNEKKLQERLNVYLCPIL LKAGSPHVRVRNKVISVCGNINKLIQSPTIVLPVASLLDQFKETDSPLIKHFDLIYIQ HSVGRLERYEQQQLVPKALKNIRTGSSASLSQLFNVVLRMLPTIKIPSRGSKEDATFR EAMGLSHPEDAKYVAEWLGKLLLLPANASADKPAPGLTEEDISFLTLAGKKDTWNPSA GGLNLPETRILAANFLASGAFTDLERFIPALYAASSTDYRISGIGEDLLKRTSVSLED KTLVSKLYDAHSKLQPPYRIRILGMLSKSEIATTFTNEVLAVFKRNVDLEKTVESQDP TFMDIDQRPTGPKSSGLEQTKLHRALFEFINWVARIGPSKTDFNSIGVNLIHMLRQFI HSQGWPRPHQQSLDDSVLRSRAYETIGILAKGTKMDDHRRSGLAAWLFQSLSEDPTPD VVVNIDSALSSVSTLFKPPHNDFRVELELQSILISYMLLGNETDENVVRSTRHAVAKW ANNCLPFSDVTARWINIIAVAGRLDERNDVVEEGYKGLDPWTYHVNDDEDKSKDLPEW PAMVDVFFKAGRATRKSTEEGGMDIDAHPLFRNYPGDMIQAFPIAVDYCKKIFFLTVL ADSFVFDAGWQQRLEALVQSDLETRQAIRNYHVQFTRDDALLFTDLLTAAFEGMLRDD APKIIEPCARSFVDLASFSSKVILAFLAPRSRELLPLIKSNKKELRALGAKALGILAA HPSHPVKELDDVTASLIDITKNLKTAVGAELNAVEGAFLALAHLASRLVYYSKTGAAD RAAKIASVFPTLEEVASTASVFSTQETLFEAWSQLWTAGLGEKDQGNLIQSFVDPLVV HAKKGNEKAIAALGRLALSLPSDGSWDETLEKMLAQLYALYELKQVEVHFAVGEAIAA AVARWDAEVVQLTVDVESAGREYWAPRRAAQLVAVLEKLLADCKTTKPSLLKASGIWL FCLIQRCSHLEEVQSRLRLCQVAFMRLLSARDELVQETASRGLALVYEKGDAGLKSDL TKDLVASFTGSGPQIKVEEETELFDAGALPTGDGKSITSYKDIVSLANEVGDPSLVYK FMSLATNAATWSTRSAFGRFGLSNILSSSEVDPKLYPKLYRYRFDPNTNVQRSMNDIW KALVKDPNAVLETQFDNIMNDLLKSILGREWRVREASCSAIAELISGRPFPKYEKYYK DIWAAAVKVADDVKATVRNAAGKLCMTLSTTLVRQLEDSGSSATAKSMMNEALPFLLS DKGIESSADDVKYFCVSTVVKICKRGGSALKPYIPTLMVHLLGLLSTIEPEAINYYYQ RVGEANRDKLDKLRANAVSQGPLGEAIEDCLRNVDAEVMDQLVPKLSETIKTAIGMPT KIGCGRAIWTLSTKHGINFEKHAPTFLNLMEKHTLDRNDEVSQGYARATAYLLRVAPD AAKQRFIEKFINLYLESDTDDRRQKVANVVLALSKISPDHFNALETLLVPFSFLGKHD TDEYTQKAFKEVWDTHAGTHLSVTKYLKEIVSLAEKTLSTAQWALKHGGALTVADAAE SVAGAKTITHHVNVEHVKTLWPVYDKALILKTFSGKEKLLEPFPKFVELSKELLEKDD KLVVAYKKVAIREAKRNNDVYRPHAFECLRRVAAAWDGFGDMLPDIKTIVAPYLDVEE EDKDGDAMDVDTPASTSKDSRGLDLKTVTKWKALETLSKGYNRANMSKDPLSTLKEVI TAIESIDPKFKPAQIFTAKPYITRPEFDVIRKTYWYECAKDILEAAAVAGAAGSDAVP IIRWFLSTLDLDAEDTGLESQRIARAKAVKGAIQLGKITAEGVEFKTTELGKEVEGLV TGSIEKERSLDVQKEWKESLKLLG QC762_105915 MPNNSHRGCSSSDDGSVVHHHHHKGRMRGASIIASTKRTGTMRL GPTMNSKTTTTTTRAPRVPSTQSSSTNGRSNALGKDQDQNRIDKTPGHNNQDQESQIH ESQKRESYSQVPEPLVPEPRRVRPQEARPRGLVDDHNTTETTKYSENHQHRGNDRDGE KRPHREDGEETT QC762_105920 MADYPAAGVTGAPGNGPNVNGSGDPGFASPSQMPAPSEAAKTLW MGEMEPWMDENFIKNVFSNTSAENVQVKVIRDRNSGNAGYCFVEFSTPEAAQKALALN GTPVPNSQRVFKLNWASGGGLVDRRDDRGPEYSIFVGDLGPEVNEFVLVSLFQSRFPS CKSAKIMTDAMTGQSRGYGFVRFSDESDQQRALVEMQGVYCGNRPMRISTATPKTRSS NQYGHAQGGNQMMPPVPGAQAPMWGGVPPYGYAQPAAPFNPMQPMNQFTDPNNTTVFV GGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVHRHAAEMAINQMQGYPIGN SRVRLSWGRSQNNSGVGTPYRPAPPPPHYLAAAGMPPHAGPGGAYGGPAGPYGGNPPQ GPPPSGGPMQ QC762_0003670 MAPKPHVQYHEDLRQASNFWISSGGTMRSSETRCIRTPTITRPM RGDTLGAHYTRAAVNCTLQQVVLAQTARPTL QC762_105927 MMVDEEGRRSRRPRVRAIGALGVSFNFLQVALRFATCLYEVGLI AFIAWLYDHWRREETARVDFLFPSFFPLGVGILVDAYEFVSLLWFDRRRAINPVAVGF DVALTGTGVFCFSILNMVDDRPKWNFNGPDRRHQAWVLDMRNAMIFMVVYSILHATFV VLAAAGVVKMYRDIGKTRKARRLAEAQLQMLQFSQVARPDKRTDAAAVTEVPIDPPTA VHLDTSRDP QC762_105930 MASGTADKSKPYLPLAGLAGDGWSKDGKATATCYCGTVQLIVSL DGVVNTFVCNCADCRKITASMFASNFTVKNSHFEYARGKDRLKTFSQSQTIASGKAMT NYFCENCGTLMYRVGERFPGVSILRIGTVDDFSLHETRLRPRQEHWTKDRVCWFTGVQ GIEETFEVQGGGGRNGGISNL QC762_105940 MLEDKNKSSGVTGAGKVVTSTLGNTVGGLTNTVGGVVGAASRGI GETVTGATGGLGRPLGDGIANIGTGVEGGAATVAKGVKDAGEWKTSR QC762_105950 MASKSAEKSGHTNALQGSTVVVRENVSPLTSSSDDVTMDEADRK LEAMGYTPVFKREFSTWSSFSFAMSISGVYGSLMSTWIYGLQAGGAAAIMWSWVIGGA GAWALALSLAELSSAYPSSGAMYFTLKFLAPEEQVPILCWISGYINLVGTVTGSAATE YASSQMLLAAVSITSNFSYMPTNNHVVACMAILTVIHASINTLPTLWLTRLTSGYVVF HISVLVGACVCLLVQTKEKHSIAYAFTDFQSSSGWNPPGFAFLFGCLAPAWIMTSADS TARIAEEAKDPARIVPKAIANATTFTYIIGFLFNLVLVICMGDPLELVQSPSGQPVAQ LFFNAMGRTTAILFTLCGFAVMNLVAIPGIQAGSRTIFAFARDDLLPFSHHWRRVSKR SQTPIAAVWLYAALEIIVNLLGLMSDTAISAVFNVCTVALNISYLVPIVCKMLYGRFE KGPWNLGRWSSVMNVVAVGWNTLMAVIFFFPTRLPVAAENMNYAIVVFVKDGGKE QC762_105960 MMLALAGFQLAFALLGTALTLPTIEAKKGLLSFPVLQKRSQSVN LRKRDNVVALGNVSTLTYLIRLEIGTPPQPVEVVLDTGSFELWVDPTCATAATQGQEE KCNASGRYVPGQSSTYVDKGAKQHIGYGKGGAELNYAADSILVPGSNSQPLRNVVFGV GVNTTELAWGVGGIGHGNGFNLHYNNLIDELHAQGITQSKAFSIALGGQYSETGGTII FGGVDTKKFGGKLHKFDNMPPQIEGSKEGPWRYWVQMKSVGITTPTKVVATYEYSTMP ALLDTGSTWSYLPQHIFDSLQDDFNATLSEDGSLEVPCSIVDQPGTVDFTFGDLTIQV PYSEFISQLEPGYCALGVLPRKGSYDRAVLGDSFLRSAYVIFDQTNQHLYMASYHDCG TNEQILSGEVGAALNLTGQCHSGHHLFASIPMCWIIVPAAFVLWVLALFL QC762_105970 MTQPIPLDEFGIYLRRQGPRLKSLDIRFLRHTPTAVTRFSLTGP PPPFFFSCVCPWYRKTLSRLQPATMKASSIATALAGGITMLSAAVQADPGMMNSSVLE VLHEADRRPQELIGDLQWLQPRQMSPVSHLIRSILVDGFDAQSNEHYQYVPPLRSAAC ARDTCCVWWYIAKEMSQLFRGTDGQCTDAARGAIRTGFHDAGAWSKTTGDFGGADGSI VHAPEEMLRRPNKGLQEIVQQYKFWYDRWSHFGVSMADLIQMGANVATVVCPLGPRIR SFVGRRDNFKPAPDGLLPSPFDPPDKVIAMFRAKTIQPLSLAALLGAHSTSRQRFFNP ARAGAPQDSTPGVCDVLFYRQTLAARSPPDVFRLPSDVVLAEHPLLFPAFKAFAGPGG QALWAHEYARAYLRLSLLGVFNINNLTDCTRVLPPRTLKW QC762_105980 MPPAVSKEAGQPGYLIDRRYRDRDPDYMRKLHLYRQRNATSLVY YRLGKQRLWEEECDKPELWRNYDLPLPGKAPGHENDKHKNSMINIQSLPKFDLGNKGV SNLPEEIQKELENIPLYIVSPQAQIGFKKFLGFGGNGLAAMYTIQDLKGRTRDVVFKY ALNAISGNELENEKQMHRYLARARHITQRVYLRPPERKKNTAPLSGVDPRVVAGGLVS KAGGLVSKAGPSRRTITPTPAPPPAPPPDDDPDGSTDTDDGTGAKGYPVQAFHHWNYP QDRTVERSNIGKVDDNGPTLILEMMRRGGLESWIGRISLLGQALPEKVLWLIFDCLLK AALALAYPPRFQEELYNSTHRHLGKYPINEILPPSEYEMSPDLVHFDLDATNLFVGDF ADNDSDITHTMVPIIKLADFGMTTFMRNAMRNDPKLMHISRPRGKYWLGWLLPEQFTD EWDYITGFLPAEETDPEKKSSIAGKYSYKTNLYHVGLVMWGLITLRKLPFSPVPYECF EKEVTDPDTGNPVLDPKTGQPKMRKLWGYGGYLQGKMWDGIYDRELLDLVVACMLEEP EQRPAFHELKQAIDREVKSEWEWQRNADVRKWCEQFFNEPAGETPVVPIVPVANPAPV PVPSEPEPAAESAQQPQQPPPPPPPPPPTPTAPPAPPPPPPAPNLTTPQAPQQPQQPP ASTPTRRPGRQTTRLVRRHNPIDPTQPRAKLLRRKPETQGESCRTPQAHIPAPPLPST NPNLPSPAPQQPPPSYIAAAAAAAAAAAGDDAPPPPPVFHFQSNILPPGAVLAPPAPP PSTPSRPGPTDDYITKVLTPKVAGLHLTPVPPSTPAPLQPAARRTARRVLTPVGPRQR QRYELVVPPQQALYTPDQDSLDGLRTVMSKMRLGTPEKKSTKNKQPVDWFKDGGKGPP LGPSVQARWAAPGGVRRRWEREGAVVVIDESERRGGGGGVGRSPPPGGGVAGGAQSGV VAAMADAVVGRPRVRRPAIIARIRRRGSSSGGGADDAGRTGGVM QC762_105990 MKGSIIAGAVATLAAGAAAQAGAWAQCGGQNWSGATTCVSGHTC VFVNQWYSQCQPGAAPQPTTLATSTTRAATTSAAATPTLAPGKFKWFGTNQAGGEFGE KTYPGVWGTHFIFPDNNAIRTLINQGYNTFRVGFAMERLAQNGLTNSFDAGYLRNFTD SINFITNAGAYAVLDPHNYGRYFGNIITNTADFQTFWRNLATQFVNNPRVIFDTNNEY HTMSQDLVLQLNQAAINGIRAAGAKEQYIWVEGNSWSGAWTWNVTNTNLVALTDPKNK IIYQMHQYLDSDGSGTAPACVNAQIGAQRVVGATAWLRANNKKGILGEFAGGPNDVCK QAVRGLLDHLKANSDVWQGALWWAGGPWWGDYMFSFEPPSGTGYVNYNNILREYI QC762_106000 MFATIAVACLLLLLSPLETWGLSPRVKLSNGDYIGQVLDCGVSY WLGIRYAAPPLGELRFQPPVDPLPENFTQYAYEHGPICLPTGVLPSQNNEANYSEDCL FLDVYAPSRAHPVSKLPVFVYIQGGGFNANSHPRLNGTGLVKKSKMGIVVVTLNYRVG PWGFLVDGDKLTPNNGLRDQRQALKWVKKNIAQFGGDPDHVVLGGASAGATSIAWHLT AYGGRDQDLFHAGAGESAAWGHVITAKEARYQFQDLVVRIGCVSNSSEATLTCLRKKP YREIQMHGSGTPYPGQSLNPLFMWGPVIDYDMFSLPLIEAFKQGRFIKVPVIWGDDTN GGSIFTSPFTSTVAHSNRWMRTQYPFLTLRKLWLLNKAWKNTGKEQDRCPARDCWREQ LSQVYGDMRYMCPNIYMSSAFPDHGFNNAWNYRWNIEDPDQIAAGLGVPHVSEISALF GPEYVLEPYISAPRTYREGELNGNAVDVIQKYWISFIKTFDPNTERAQGTARWEKFDS KKLSRLRFDTGGKTEMEYVGSELARRCTLLFDKIYPRRVGSGWTGW QC762_106010 MEHNELSTSSSTSSSNRQLAANMEAPMYQTYPQDAFACGQWSNR PSFPPTFPASNPPAQFDGMWHDELQHPMTTYDMPTMLQTSDDVVWPMQEQPAGGFSQP SSVSPVGYQGQDAEWSSIPSQDTGYASGSWMSNEQIQPVPSPLSEAPSFNNYTFNHKT PSDYEPHSATSTAPFPSQSSFDTRESASPAASTAAPVSKPKGKGKGKAAVTKPSKKGT EASSISRGTKRKSPAPSTKSTSSYNSKPPPPFLGIFPPDVDPREASAKVQREAWERCK NEAMVMSQRRLLLLHHERGALERETQKLQDNLALMREAAVREHGQLKEAVKKAERLNA RGYY QC762_106013 MIALRTTARVPMNLSCRKSLLMVIRMEQAFLSKELPASRGQLGT RNVDLNNLESTAKALPWSVLTAKKPQSRTRLRFARLALKELEFQADKLSGAQPGSTKG WGPVTSDAQPPMPPPHHYTTQGPPPRGIPGGPRRQDRSRGATKTQRYRFITEQSRDAG QAEVMPSVEGREESTFEQLEKNDMLLQARNKQDMVLFGAHDMRNAFDMDREKRRPGN QC762_106014 MNKQQFQGHASGNIFGPGPTFTRPPPTSPKAISRSNRNPSINGT GTNIATDLNTPPVLSHPPPAAPRAMLNLRRDPPIAPLHTKITILKDALLQEQRCLRIL RSNIRRLPPWILRRKEEECLGRIDRDEARLMELQEEARLRGLESVAIHSTRQGGAASS RDGNFEGDSGWVGRRVMTERLRSLGPRVEEEQEEKTAEMDRKYSRVLRRMERWDAKWE REDRKERRRQEAEREFFRRFEY QC762_106015 MLPYRSLGFDRNLGVPEFLHLANMLNKDSFEQPRLILKHVNPSS QIRTSAIKDNPTPICKAALPPMGTAPAVKTVEAVGEGIAPVPEGSGTVEFDGLHGSSE LPICTAKSPDFDPPADETSMV QC762_106020 MSPAQPIKFKPRPDRLLEASTFVSNSYLSSSPIAQEILARDLAE CCDDYGTHDCRCGHDYDDDVDFETEPLEVTNAQGSSFAPRSSGVAYGTLRPIITTETA ASSDVALSRDAERSLLRDNHILPPKHRRGLAEPAWKQMYRRMFSTKVPQSDEVGPIIS ASSTKALESTPLLQDIYLDPPSPTESDEINRWEAAVAANVLKTTWQREAQTLTQYSRS LIITFLLHYSVTVTSVFTVGRIGRLELGAVSLATMTANITCYAPVQGLSTCLDTLCAQ AYGSGHKHLVGLQLQRMTYLLWMLLVPIVTLWWFSGSVLASIIPEPETAALAGLYLRV LILGTPGVAAFESGKRFVQAQGLFHATTFVLLIGASVNIFANWFFVWKMGWGFTGAAT AVVFTQNLLPFLLFLYVRFIEGMECWNGLTRRAFSNWGPMVKLALPGMIMVEAQYFAF EVLTLAASQFGSAHLAAQSVVVTVTSTTFNIPFPLSIAASTRVANLIGARLSGAAKTS AKVALVAGCLVGLFNLTLLSSLRFKIPYLFTNDEEVAAIVSEVLPICAVLQLFDALAA ISHGLLRGIGRQSIGSFTNLGSYYLVALPISFSTGWVLGWKLEGLWFGIAIGLAVVSS VELWYLYQANWDHAVEEAEMRMRSDDVCHGEFK QC762_106030 MHLFQNFHILLLPQHLHSKKQLQRSRDVEEIGTWGSWLLIVSCP TIQDALQMVDAKLCLHTTFNFTFLELCGVRKVS QC762_106040 MGLLTTTLTILAAAASVVNADAAAAPAAPAAIPRITSLTFSGPG CVRDPKHTGGLSDPTFSFSNFASSLPGTTKTLNCQAHLQAAGASPGWQVAVKTNIVKG NVYLTPGTSLDYFTTVFFSQDAAKTGTARGHIAATDRTIDQAVTLVSNTGANKVWSPC TGADGSIGILNVNFRSALNGDGKAYFEALTENWDLEWRKC QC762_106050 MAVTHVHVDNMANMAAYTPKETAELMSRIGAMRGRARPDKIFFS AFMAGCLVSFTSASAVIATAAPWFQENAPGLIRMISGLIFPSGIVMILISGSELFTGS NMITGIAWMHRRLPLKNLLMHWFLCFWGNMAGALFVMAIIVGYGGVFDNEIFKRQAIA TAQLKQITPQWHQIFLRAIGCNWLVCLSCYLGLQAKDVTSKVAGMWWPIFAFVTMGLE HVVANMFFIPIGIFLGAPGISVGLYIWKGMLLFLFLPGCLSCKMLTRIVQASFPQLSE TCSVAFSSAASFTSTCTSWERILLLSTGSTISSQSALWRRAIFLPFAAAQSSRIAVAR AATLAGSSSALFKHLRLPPSTRFSR QC762_106060 MGGHGFLPPSTSRIHTANVKTYPRYLGCKSRDAEMGPDPLASTG IPPFEGPPPPPPPTDFNFTFPTNGTNFTVGTNETFLPWGPIPTDAGRSLQADIVACAV ITWLIALGFVVVRFYTRGRLNNVLGASDWCIIPALVFAAGVMASSLEQMARGAGKHAW EADWMQMSALERAAWYGILFYNLSLTFSRISILLLYRRIFTYSWAKRAIQIVLVLVVL IGIWLVVSVCTACIPLEAFWNWGLFFTQEVYCQPGNIWWANAALHIVSDLVIMALPMP VLSALKLPRRQKYALVGVFALGFFVCIVSIIRLVYLIDIVKKAGYDATYTSAQMIYWT SVEVSAAICCACLMTLKPLIQRLFPRLLSPNSGSKTQRENSSLQWIDPITGHPIRRDS RQSFIGLAAQLGRRGSRRLSETSDKTSSKRKVSLLRQVEEHEVGLPLVNNNNGLADYK LNRPVMQGDLESQQTCSVSAGARGGSSLHPSNTTDHHNEDDDDISPLDTTSGGRSPGS GSLRAPPRAHLRLSIQVTRSVKVEKHPRSPQPGETFGGVNVGRTPPPRRRSDHADDDE DEEEDSDEDEYDLPESPRGTRIMRMNQREDRDRDYRGEKVGSVARRGGV QC762_106070 MAAPTSATGQVPPYPYDSDDNAHYASIIPPEPNAASARSSADRS PETRPQIPAPAEKHGKLAGAADTRQEYKLVTFTPGDPDDPKNWSKAYKWYITMVVAVT CFVVAFCSSVVTSDVGGVQESFGVSHEAALVPISVFVVGFGVGPMVFAPLSEVFGRRI IYGSTLLLAVIFIIPCAVSKNIGTLIVCRTIDGIAFSAPMTLVGGTLADLWRNEERGV PMAAFSAAPFIGPAIGPLIGGFLSDAAGWRWLYWIQLIFSFVVWVLITFTVPETYAPT ILAARAKRLRKETGDNGHVTEAELDPRPLKERMGVFMIRPFQLLFRELIVLLISLYMS VLYGLLYMFFVAYPIIFEVRKGYSSGITGLMFIPIAVGVVLSAACSPWVNKHYLTLVA KHGGKPPAEVRLIPMMLSCWFIPIGMFIFAWSSYPHLTWVGPCLAGLPVGFGFIFLYN AANNYLVDSYQHQAASALAAKTFIRSFWGAGVVLFTEQMYDRMGDQWASTFLAFLALA CCAIPFLFWRYGARIRARSKYAFGGDDDHMDSDVEKANAREHHDMEDLRQARSYVSNP QC762_106080 MGRQPGWSRPYDGDMPRHIDQDVHSAFVEFRNGSEPKCMSVQCL YCNQTRAKNTTRQKQHLLQCAPYLAAHPEIALQASAAADEAAAAGSSSEPQHGHPDAS QYPNPNPPPGEHTNLGFMPNPRINGTPNQMPGHGGRASIGAPDGTPAAKRQKTKNSNL PEIPLREVHAAFAEFKAKDDDKCMSARCLHCNQVRAKNTSRQREHLMVCPGYQSVLKE KIPANNLRHQFDEDDVASSLALPTPSLTLDFRMSIRVKPKLSIGTANFGRESWISCVG GQWAGRFGKGILLPGGQDKQTTVKDMATRIDASYLLQTNDEQPALITCKVKGWWTGDR DVMERLQDPVAADNVAAHRYLFRVVIELETGDERYADVNTGLWVGSGCRRGAEIVYDA HRVS QC762_106090 MTMLLNPHPSTNASNVLAFDHKVRRGPSHWHSIKENNAACRGPL HKAHVDQSYDGAVLRLREQFPNETDFTAVSQKRWQIINIWRPLSPILRDPLALCSAPS VPDTDLLPASIIYLKTGKRNESWTVKKPKPSSSHKWYYKHHQQPTEVILIKCFDSDLT CPARRVPHCAVEDPEHITAADRESVEVRCLVFY QC762_106100 MEQTSGWIQNNTNQLPGEHFGQGLPSDLQHRSDTGSHGWTYDEN SSNHLGYHDPNLHHGITNPTDLSSYQQPVADARIPSPTYLHLETSFDQSSSTLEGQWV SNSPISPSAPYGDIACTLSSTNSIQTSPMILSPANDHWTYQSFPINHTYGVSLSQPDS PIVSAPFDAPHSAREMPASYFAVCMMSDTTLPVHQGSHDRSLSSSTIPPELEPAPTQH RQHSGRPASLNLRKTTKASGKGELPSPSTKTKVTPRSKDSKTVSPASSSSIQPHKQTK SNGPSLRTATRRFRRTPEEAPPRPGELAEDQRARENHNQVEKEYRTRLHKGFEELLEA LCALPEEERVIARHSKTAGGSVNGDYDDDDEHMTIIGALLDERTGPGLPANRNTGGKG KKKQRRMSKAEVLHYTCRVLKSMGDGNQKLKEEVERLRSEHDMRLKHTRSS QC762_106110 MASSIMPALEITSPLDDEAPITSQFSCQHHTMQPLEWHYVPADS NQGNSLIVAIWPTDTCYQGLQTMSLANTMPTTSRPHRNRQLQESQLMTTALIHVPHCY LNVTSHTPASHHAPGLVERIGLPRSLVQGFLSTIALPSSTADVVGLNHHHHHAAADFE LVTSAVPHIDDQDDWVFSRCLLADFTGFGEEAAATLTRQGRLCCWISVVLDGSSGVWD LNFVVHRVGVCVRDPSLSRTGACVRTVH QC762_106115 MKIIIVNSTGLVGDAIAFQCLASRDIQDVYILSPAAMPHEYSAK ARHLAHQDFMSYEPELIEKLAGAQACIWALPPKPCVDERPRPSERRRSISDTWFNCDS LADEVEALTVSDDRFVEVETEAGKRVQVDRCLGGAKAFLDQVIRHLPPASERPFRFAL VNWAANSWGEEETEEAMEVLSERLVNANIKISVFRPGMFVPARPEANVNPPVGEAIFA SQLADAHKYGRDIEAAVYPWYLWRETGGWLAA QC762_0003930 MAQSWVFAGDLSCLNAIMHADVLPASVSTSTKRSSQDICPGATW STIRSIITWPVAAAPSLGSQCTMRFRPKRNKGFETNGFTRSGGKNNHKDILEMEIKHG DMMVPTTRVKDANGCAALLIIETSSWRRKRAVNAAVDKESFEGWLGRVVMILIWFQYL VHVSYYLD QC762_106120 MEPSTNFGMPVTPTHLPSDTFDEQSQLGRLPNGVYVPMLAFFTP DDEVDIPATQRHTARLLAAGVSGLVVHGSNGEAVHMSRQERKSVIKAVADAVRHESDH AQMPIIAGCCAQSIRETVELCQESKEAGATHALVLPPGYYAGILNKDIIVDFFHSVAS KSPIPLLVYNFPGAANGVDLDSDTILRIAAHPRVVGVKLTCGNTGKLARLVVDTPKVR NGKHDFFVAGGSADFVLQGLVVGAHGTISGFANLAPRACVRIGELFEQGKLAEARDLQ HEVARGDWLAIRYGFVGVKAAMPYFHGQGELACVEPRLPFKSLAQDGDAVKEIQQGMA GVLEIEQRLVAEATA QC762_106130 MEEDESPTRRKAAIEIPLRSERKYVPGSGPAPPQISLLPVHDST GYIIDQFVLPTDQDMKPDSRRLLHYHIGFTDLPAAKLLVPCHKVLDYISPRELEEWES RNFERLDAQRKTAELALKEKKMAKKKAAEAAAIAEGKRPVGRPPKTKPRDSRSPASTT QASEALTMVEQVAGPSLSTPKKRKLSMALEREDTVSDVESDEAAIQRQLHPDFVNDQE WQLRDAEEGQWAGKSEAEPIGQSGLPSFETSGAETSQASSLAPSRRGVLPPLSQPLPP GRKETPIPPPNLNLHSLPSKAKTGVTAIPRPKQTPIPPPIPGQTSGLSTKPKHKPAAP AQTCPAIPGNIHPVFAAAFGARTEAKVGSRNGAADPKTPKSTPAKSHGTQQSKTSTPA RRAEPLKIAQWTPVAVSRGRFSRTTPGSSSTASVSQDQTQETGSQKSEKKAPKPRKRK APASQEEVYDVKELLDDRWITEEGKKTHKYLVLWAGQWPEGQNPTWEPADNIEDKELI RQYHEKKALGIVRPPPKKMQKTLRSYILTGKQYSSVAEAFEDGLDVVEPRNHQGNDEE DVNMDKEEFLKVVDNLHPQYAKSLSFHVKKEKEGGGASGKVVDASFSAFDASLARYQQ SFNGAPRGAF QC762_106140 MLVTSATSMLRAGAGRSASGLQPMLLRTTTACPYSAGLNMISPF SSMSQQPLAKFRTSPPTTRRTLSTTPSRLSTDAEVDAAAAAKASKAQIAAHPETPALN WETFFKLRKSRRRWQQGFSVLGMLGFGLAGSMALGSGAADSLVGNIPLDPLMTMGLMT MGFAALGWLVGPSVGTVVFNAMNSKWKAPMALKESQFFARIKKNRVDPTASSARNPVP DFYGEKISSVAGYRQWLRDQRAFNKKKIGV QC762_106150 MQHNSNDEHHSNSAATNGEQNGSSLRLTDEEFDRYSRQMIVPGM GKDAQLRLINSKVLIIGAGGLGCPAAQYIAGAGIGTIGIIDGDVVEPSNLHRQVGHAT SRIGMKKVDSLITHLRDLNPLPTYVPYTYPISHENAADIITLYDLVLDCTDNPATRYL ISDVCVLLCKPLISAASVQKSGQLIVLNCPPTPQGKMDRKYPPCYRCCFRKPPPANTQ LSCGEAGIMGPVVGLMGVAQAGEAIKILASALHLLQSGSDTTTEDDPHNLIQPTLLIY SYDLNAAPGPYTFRALKMASRKKNCFACGENSPQTLTLEGIKSGNPNYIQFCGLQTPK ATLPAQDRITASAYHAAREGGQLQNHILLDTREKEHFSFGSIDGAVNVPFGKLLMKAA TIKRDGGDAQEILPLKSAEDPIFVE QC762_106160 MLSLSLCDCDLSRIAISHLSHTFVGHLSHQTTMAPTVPGKRPTA RQKALARLADPTIPRKTHREDNHVTDSFINSKRDKRLIKHSSFVSKITKPSSSTALKN HKKRQAKKAKTQLKTTLDSLGDVLDDIEDEMEDEGAIDNEQALQGKVRHKSIKSRPGA LKRKERVVKGEMSRFGHNLAQLATVTSASSTATINQNTAKKQAEEQSKMETEGTAITA QEAATSNRWAALRGFISSTMEQNPAFLGK QC762_106170 MGFRNSMARRFGDTVSRTSPSLSTFSPPSSRVTGMASTKSKAQK FHLRLTTNPRTKSRQGRQPGKVEPRIKKPDMSSLRTATTKGRSSARASSPATISRYST RTSRRLTNAQLGSGSTQYLSYYDICLTTEDVRSLRNDWLTDNNIAFWEEWLEREVLIK YPEAKIVLLRPSMTFLMMQSSDVRSIASALPNFDQITHIFLPVNDARDSTRSDLGSHW SLLLVSKIDGVAFHYDSLGGANYYEAQKCTDRLSKVLGQALRFYQMNDCPQQENSSDC GVYVCILMRHLLIKKLLNANATEKVSMSMAGKAVDSRSGRKEMMNIIESLRKEGERRR SASPFPSSSTPPRID QC762_106180 MSPKAASTATGPLAPSNPETKEDTALWSLKHVQVLWSWAARPSK PTYPPISSIPPTPATRLLEADAVPTTGEPFPTEPILTRNAHHEPSKSNTVLYLAYGSN MCSKTFLGMRGIRPISQINVSAPSLDLTFDLPGLPYREPCFANTALRKLPEPPKLPPK VPDMPDLPDPPKMPPFSFSNRQRGPINWTKGLVGVVYEVTAEDYAKIITTEGGGASYH DILVPCFPLPATIGVPEKPDIPVPPRPFLARTLYAPRLPDIPDKPPKEDDRSPTNNDD GDGDKCPKPKPPSWIQKFLLPVRRPQANYAQPSPRYLSLLTTGAAEHDLPQDYQAYLQ SLRPYTATTCLQKLGQVLFLSFWVPWVVTAMFGGRLFSDEKGRAPKWVVAGTTVLFNI VWASYDYVAKPIFGDGERTLEEEETDGQRSWVGERWGGWRRERGTVGERRVLLA QC762_106190 MGQPRRSNSGQWRPPLPAPSHRPRARPLLFWLMKILRLADYKKS PNIPRLLLRTIFSAACSIPKSCRLSPFPCSTPDLPHFSCRNIHSHSGPSRYPILKAKA RPSPEARTCRQSRAFSSHLLNNPNPDHHCGVAPSPRPTPPRCHNLDPLSLAPPLGCSN IAVSLAAPLTLNNKQQPSHRTTHSQSAKMTVPDSFPHKHKVAVIGSGNWGTTVGKVIA ESTSEHPDVFEKDVQMWVYEEKVRVDGETRNLTEVINTKHQNTKYLEGINLPTNLIAN PSIEDAVKDATILIFNFPHEFINNICRQLKGKILPYARGVSCIKGVTVTDDKIELICE FIGEQLEIYCGALSGANIASEIANENWCETTIAYNTPPCDRHVANGNGQANGNGNGAY EEHRDSRGQIVKTKLTPVPEDYPPLDHEVLHTLFSRPYFTVSMVSDVVGVSLGGALKN IVAIACGFVEGHGWNMTAKTAVMRRGMLEIIQFAREFYPETIQPATLWEESAGWGDMI VSCTAARNWRYSKMAVERGVSIQEIERTELNGQKLQGISTSREVSSFLRARGIEDKYP LFKLVDGILDGKVNVNDIPSLFRKN QC762_106200 MIPKTMVSNEVYLLPLNDDGSPQVAGEYIYLAPKTNDPVTIRFA IEGTSSICRHGSLWVNIPEQGQEFRRDKFREFKLVPDFNRTLEISIPIYEAGAYAFYT TYAELPDLANNLKPQTNGNAKVQTKQTPTYYIDVAPRLSLDGQPLPLPALSVFSIISK FMGKYPNDWEKHLRGISDRGYNMVHFTPLQVRGDSNSPYSLYDQLGWDPVCFPGGEKD VKKLVDSLEKNHSLLSLTDIVLNHTAHNSEWLLEHPEAGYNLTTAPWLESAYLLDTKL LELGFKLGDLGLPTELKSVDDLVLIMGAIKKEVIAEIRLWEYYVLDVERDADAAVESW VVGRTNFPDGSIGSHGLDGLRSTSLEEQAQWITKHGLQNMDRLGERFRRRADPSVAAA LLSVLFGKYEGSKGSVADQAAARNALVKILDVVNVPFYEEYDAEVADTLQQVFNRIKY VRLDDNGPKLGPINQANPLIETYFTRLPLNEKTKKHRKEDLVLVNNGWVWGGDARVDN AGPESRVYLRREVIVWGDCTKLRYGAGPQDSPWLWEHMTKYARMLAKYFAGFRIDNCH STPLHVAEHILDEARRVRPNLYVVAELFTGSEELDYVFVKRLGISSLIREAMQAWSTG ELSRLVHRHGGRPIGSFEVDEISSADVRSGSKTNDTNGTNGTNGHYTREIIRRIKPVP VQALFMDCTHDNEVPAQKRDARDTLPNAALVSMCASATGSVMGYDEIYPRLIELVHET RLYTSESSKFPVKVGEGKGGIAGVKKLLNQIHTLMGMDGYDETHIHHEDQYVTVHRVH PVSRKGYFLIAHTAFPGYGNGNGAFNPVHLTGTKAKHLGSWMLEVDASKEAVEEVLSD KKLLRGLPSRLIGLPGVRMEVKGQDTIITVREKFPPGSIALFETWIPAAEHSSGLDTF VTSGAKAAMAELDLVDLNFLLYKCEPEERDASEGRDGVYDIPGHGKLVYAGLQGWWSI MKDIIRDNNLAHPLCQNLRDGQWALDYTLGRLERAAKKENFKRLGKPAAWLKERFDAI RGIPSFLLPRYFALVLRTAYMAAFERGISLMNNNVQKGQWFLQSLSMVSVQQTGLVKS ASLYPDRLVPSLAAGLPHFAVEWARCWGRDVFISLRGLYLGTGRFDEAKEHIRAFASV LKHGMIPNLLGSGNTPRYNARDSVWFFLQCIQDYTRIVPDGLSLLDEKVKRRFLPYDD AYFDTADPRAYSKESTIREIIQEVFQRHAEGMKFREANAGPNLDMQMSDNGFNQEIKV DWSNGFVFGGNQDNCGTWMDKMGESERARSKGVPGTPRDGAAVEITGLLYSGLKWFAS LHEQGKHDQSGVRKADGSTITFKDWAALIKKNFERCYFVPISSEDDKDYDVNPAIINR RGIYKDLYKSGKEYEDYQLRPNFPIAMTAAPDLFVPEHAMHALCIADSALRGPTGMAT LDPSDLNYRPYYRNSEDSDDFATSKGRNYHQGPEWLWPTGFFLRALLKFDLMRRGKED AEGRTEAFQQVTRRLIGCKEMIEQSPWAGLTELTQKGGEECADSSPTQAWSAGCLIDL YMDAAEEQAILEAKSLPLR QC762_106210 MDIVLIPEGQLPACVKDCGVLYDVNGGCVPPGAPPADNSVYNSC FCNDPRLAAWKTGTTGVCPDACASDPSAYSRIQQWFSGFCSNVQPTTAGQQTTSTSTV RPAQNSTGGTWIETHYQWVIFLVIMVVAIVGIWVGACIWRKRYLRKKDRQYALGANLA HATESGRVVPNESNSGSIHRPSAGMFEPAPLSAARVYEEKPKEKKRWIVKERT QC762_106220 MLRRSHKKSRAGCLECKRRHVKCDEQRPKCIICTLSGRDCSYAS PVTDGAPVSFAGDRVAVSPPGSVSGSPMSNVAVGTIGDAAPVPGVPLPELGHPSSHLC HDVNSAHMELMIRFKFSDHAPELNEELHDFASKLLFRCALQAPYLMHQMLAVCARRLV ALFPDRSDFFSEHAMHLQTRAISIYNETAAKAQIDQNNCSALLLYCSLLSRHLLADLL AKRDTNLDGFLDRYLQFLSISGGLKGVSISAWELLLESDIKHLVLWAISISQSLPLGN HCDYLRHLISESLSLDAQSKEACLKAAAYMQVGFDRILGNDVRNERYLMIFMWQVPFY LEP QC762_106230 MSSWVFRPPVAPSTGVMPISALLSPQPDADKENHGPAASKSLKR KSLDDHAIAPAGSGMSLDDIDLKGVPIDMNCDQVRRKINNLLDSAAMTKTAFAREIGV STKSLSGFLGVHGPFNGSGFAAYENAWEWFKKREMLGIPLLVGKKQKTSATTAAFAAP AVTVAPAPATASKAKPSAASSVPDISGIHLEGQETDSVPVYDSCDEIRRKINLHLKKD GVTQASFLRDIYAQLRGPSKPGKCFQSVQLQRFRGMTGSNCGAKSALYYGAYVFFEKI RIKEGKPKTKHRLEMEGLWGAAGADREHDGNTRVWVLGVASKGDSGRGGGGGGYAYF QC762_0004070 MAVHSALRAWLEDLHIAGIDLEEYGKATKAVFVDTGILQSRVWP VEPMCLPVQPEFEYVWKDIIYGPYPRDWLLVFEWDIAWEDFLRDFWEWIENPPMNIPG SWID QC762_106240 MKFSALSLGLLALLTPLSAAWTKEDREIFRVRDELLAHEGPEVT FYDFLGVKKGASHEDINKAYKKKSRELHPDKVRQQLQAQRIKANKENAKKSGGKPGVH VTKQPTSHELKVAIKRASERQARLSIVADVLRGPGRDRYDYFLTNGFPTWKGTEYYYN RYRPGLGTAIFGVFLVAGGGAHYLALYMSWKRQREFVERYITFARRAAWGDNLGLNIP GVDGEPAAAPPPPPPQQVYEDEDGRQIPINRKMRRMQERETKKEAKDKSAGRKTRRGR DTKPASASASGSATPQPQPEGQGPTGVKRRVVAENGKVLVVDSVGAVFLEQEDEDGNV GEYLLDPNEIAKPTFKDTAVVRLPFWVYDLTIGRVFKKRTADDEFEEEIDEPTETEVV NDDEDSEPGRLTPSTGSAEDFELLEKSVDSLGQAKASGTQKAGGNKASKRKNKKR QC762_106250 MAAKAGRPPNYYAILEVPETASTTQIRDAYKRAALKTHPDRIPA DHPDRPERTRKFQLVNDAYYTLSDPTRRREYDAQRKLFSGPSSSRPTPGGFDPFADAE ESASDQQQQGQQPNFYSWAWNFFTNQSQGAKNQHNQEESRQQAENEQFADVFEEMLRE EGMADPSHNNRPTGKFWGTLGGISGGALGFIMANVPGMVAGAVAGNRLGAVRDAKGKS VYAVFQELPQADRARLLSQLAAKVLSHTVGI QC762_106260 MAAVYQDIYSEDELSMLLARQLNFNNPLPAQPEQVLEPVAVAAP QPVQAPKIVYISQHYNHSAAHLAKQKEQQQQQQETPARPSSEPPQSEHAAIERVLREY SVDSTNLSPAQFHLFKTVDDPQRMHLIELWRACPPPPQHPSDVDYNSTTSLDQEEALA RMRWEQAQQDEMEAELQAQLEHEYQIQMEEQQRQQQQGQRQQEMIISLDGTPLTPVQV GDGRWIQTTAEYGDMEPYMATGYQEELARREYEESARRALLLAEPYEKDMMSGPYSQF GPALVQPGGVIGTGTGGNSEAKRATDPVYKSVGVDWAAIRRREEEYQMSMSEQYGRLM LARGVEDEEDEEML QC762_0004110 MVRLLAIFFSALTFMGVSAQTPGTTGQYVLDTIAALQANATKLQ IEAQKLNSLSCAQYLTRTGPFTAILPILEDIKAGLLVANPVMRSLTPLNDSVCTSADF AVSTSITAKLGGVTSSFVRSLTRLSGKGQLNACSLVPSAAQAIRTPLEQLSIVVLEFY LSIFPLTPFQGDPQAVQYNTVQELLALAIAAYSPVVGILP QC762_0004120 MIVCISTFSHSAVVITSALSKASPDAQQPTFSTASRLYKATPPD LKLPTLSAALFTCLDRLLIRESAMRL QC762_106263 MIRLLTVFLSALAAVGCVSAQASPNAQTAVDKTQEYFNEALALR AQTDEIGTLSCAQYAVNMGPYTKIVPTLERIKGIFDAYKQIVNFQPPLNLNIPEEAAA GNKTVYFLGAATIEIGTAFDRMTKTGTANLCTLPMLGTKIKKALQDVKAVSRPFYLSL ASFHPTAERNIGGLLFTFESNIEAAIQVYSSPIPV QC762_106270 MANNKYLDSPVSMPSSFGILKPARRAFFFSFTSKNLKMVSISTL LISAITAVSVSAQAPAEAQDTITRVYNYWTDALELRREVGQVNDLSCLLYTLFGTGPL AKVIPILDRVTANFLETSRAVVTLEPLNATIPAEEEAGKEIDSYVAKGTIEITGAFSR LSTASTVNPCTIPGLGSATLTALRNLKVESQPFYYEVRNYVPARAVEIERNLVLFMNS IDAVIAEFGSVIDT QC762_106280 MSLRTGDRQNMTLKPKMRILQPSLGGREWTTSTSNFSPESINLP QQCYVFLDHDNYPDIGSRRDRALEHFQVPAFVGTKTCFDLNGFFGSQVTYDETSSQKR MIGLTTWFRVLIKMVQKVEEAHDNLPEYATSGEKGYNWFETTYFTRWDYPDKHQVLIV DTPSDFPEQLICLLQKASLSGQINFHDPLAMHTSLIDQIIVYSDISVWRIRDPVRQME KSRMRTGAIFSEVHEMSRHAIHSSEVLEATIDTLKDLQRCRMMIHDSFPSRPPPMAAA TSASREATTPIYLTQTYKDQAIQYAQFQISLLKNLKLRADSNRERLKNEINTAFNNLT MQDNSVLKSIALLTMVFLPATFFSSLFSTTFFNYGDDGEWQVSGKMWIYWVTTLPATI LIVILWRVWLGNSDAIVACWKRVKKWTLEDQGWKGLWRGAGNTKEQMVKEEGTEMGHV VGKNVRTF QC762_106290 MTSTSTPPNLPNYSKSYLPKRAQIPPLKVYPPPQLPEPHNELLP LSYELHQDNTPKKRVLLLIPTMNADKGQLMETQLRKRLPHNTEIYCLGFEGASSGVGE QPYNEAGMTGAFNRIDRGMILNRVSPQIIELVVKRRITTIIYAAIESFITRPGWPPAR PGEGAIKEPVDYAYIVLYNPVTGVVKTGVSEGVEVPRAYFKEAQKYGFSDPVNEDLIK TFGGEKGQREVPEEAVGNHGKVTVGEIMAANIRDLDKRNWQKLLTNEKACRYRLIEDT LEKMDIPW QC762_106300 MQLSSVLSLLAVGTLAAAQASNNVTTGKLGDARPVRNNPVIGEV WIAEFDSETVKGTFKAVAAQIGINYTIDITGLPEENGPYNYHIHVRAVPENGTCADTG AHLDSYVRGQEPPCEASLPATCEVGDLSGKYGKVAGGHIQKEFHDPYTATNIIQLGYI GNRAVVFHDKSSARVACANIKKAPVSATP QC762_106310 MRRVVSCLPVKPALLYRQPSSSSSSSLRFVVRTPPNQLQPRLLT PTLFNQQRSLYSKMATPTAPEDPIVKALQEFTTCDVSDALIKLKYRNGGFLSGLTMWS PQRQDGDTKIVGPAYTVQYVPLDDPRPKHPSHYIDSVPAGAVIFVSCPPKTPNAVYGG LMSTRAKASGAVGSVIDGRFRDLQEQRGLGFPIFARDVGTAPPAELLKVAAVNVPVKL QTDEQDMTIRPGDYLIGDVNGAVVLPKELAEQAIPLMKKQVEADEKMAVEIAKGMSFS EASKMFRL QC762_106320 MPPQLRIPIFVTLPVGQDESRRLLSRKTKEQPSKEAQIVGAVLG GIFALIIIYFCTRSLWRKCLGPRGGKYKPTEREDDSPTTRQINREAQDNLEDALAGAQ AQNGATNNNLAAVDRSTSVRSVMTLPMYRPKATENEQVLGREGERDGIDVVVEMPTAE QEEELREQEMEALYQIRAARRRQLADREERRRLRREAREANDVVAMRELRERGRSVAA INTVEIEELRNEHERLKETRARAVSTVAYGDLGVARADGTRIRANSTDSERIGLLSDA ASIGASTQPESLLLRRDRSHSAATLSIDTTNRPNTPSLTTGGSAYSLNSAGLTSAGLP SAGLPSAGLSTRSRANSGANTPRVPSAMATPRAGSSPEMIDTADLADFGMPPPDYDEV SLDDITPGHSRRNSGVSALSGRNSPFNEPPPDYPGPGPARARSNRLSAAIQDLAAQAQ EDQEPTGRPGLRLSQVPQIVIEPSSARP QC762_106330 MRVIRAVNSCRAALATRNAALVGRRALPYAAAINSARLGGLREF SRTSNYRAAEGAAAALKQAKELAQANMTPEAAAAKVSPAEAARLAHVRNIGIAAHIDS GKTTVSERILFYTGRTKHIHEVRGRDGVGAKMDSMELERERGITIQSAATFADWKYKT KDGKEDTYHLNLIDTPGHIDFTIEVERAMRVLDGAVMVLCAVSGVQSQTITVDRQMKR YNVPRISFVNKMDRMGANPFRAVEMINSKLKIPAAAIQIPIGAEKEFEGVVDLIEMRA IRNDGQRGVNVKVSNQIPEELKELAEQKRQELIEKLADVDDEIAEMFLDEITPTPEQI KAAIRRATIGLKFTPVLMGSALADKSIQPMLDAVCDYLPNPNDVPNMALDRSKGEAPV SLLPYNSLPFVGLAFKLEENPYGQLTYMRVYQGSLKKGQYLFNTRNDKKVRIPRIVRM HSNEMEDVAEIGAGEICAVFGVECASGDTFTDGRLPYGMSSMYVPDAVMSLSIKPKRS SDADAFSKAMNRFMREDPTFRLHVDEESEETIISGMGELHLDIYVERLRREYKVDCET GKPRVAYRETISRKAEFDFLLKRQSGGPGDYARVVGWVEPNAEDAEKNYFETRVVGGT IPEKYLAACGKGFEEACLKGPLLGHRVIGASMIITDGATHVTDSSDYAFNLATQMAFR KAFPDAGGAVLEPLMKTTITAPVEFQGNILMLMNKRGTIVDTEVGADEFTLVADCSLN AMFGFSTHLRAATQGKGEFSMEFSHYAPAPPHLQKELVAAYEKELDAKRTK QC762_106340 MAARRALTIGLIPGDGIGKEVIPAGRRVLEALPASLGLKFDFVD LNAGWETFEKTGVALPEETVQTLQSCNGGLFGAVSSPTTAVKGYSSPIVALRKRLALY ANVRPVKTVATAKKPIDMVIVRENTEDLYVKEEKTYDTPEGKVAEAIKRISEKASTNI AAMAGEIALRRQKIRASGAPSIHKGPLVTVTHKSNVLSQTDGLFRTASKAALADPKFS SVKVEEQIVDSMVYKLFRQPEAYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVGKT FALGEPCHGSAPDIQGQGISNPIATIRSAALMLEFLDEEEAAAKIYAAVDANLEEGKY VSPDLGGTAKTEEVLQDILRRL QC762_106350 MSAVKNLRAMFEQKGENSPPDRGRSPGAPFVSGAESPRPLAKVR TSFIAIEKDGRMGLTREGSQDSVPGIRKLSGGSSEMTTPTAGKEISNPFEKFERLTST PKTFLRDQPIVESPQAKAEEKTATSPVKEVNVAQTPTAPIVKKEEVKTPEPALDGTAE KTVTPAPKPETKEPVNETSGANEEKKETTATKEATKPTTTTTITPQSIVKPMTTTSTG KLDVKSAKSPVTTKPPKSPALRPQPNLAAPKQTPERKVSHTEKTMTPKAATPAAKASA PSSVKKPPPLHASPAATGFVKPKVKSPTRPVKLPPGLTTHTAASGSKVHGDSAQYASS LARSASRTSMSGTTSKAAPGKTLKRQSSTVGRSRPSIGPPPPQPAKDHAPKKEKPVDE SFLARMMRPTQASANKVTQKVPISPPRHGPASRRTSPASKPRVKKAVSRPHSATSGHS APSASQTSLAPAAEIVPASKSAEDDAKHAALDAVAEKTAVQEVAVLAEQAETAEEAVE AAKEVEGEITLPETSPGVKAVTNSMERMSVGSADSKAEVSGHSNDNTSSPSPSATEET EASVIDNKESHSEISPIAA QC762_106360 MGIHSICNALSHLQNASRAKLGLTSIPNTKYNLRFALALHRAGF ISSVTRAGLTPEALLTFETEPVTSANVSTRRLWLGLKYFNNKPVMSNLQAVSKPKRPV TADYKELSKIARGFQAGHIKGLNLGETLFLCTDKGVLEVREALEKRVGGLVLARVS QC762_106370 MAARPGEELVATLFGDVHYFYGPPTNNPPHHRFDKGSYVYLFED PNQGRARLEIANQPGTEDQDAFDGYLDNVHLQYTYKHTCLVTLIVGQVNNQEEWHLPT YDPHNQAKYHYKLHSLDLYFWTHQDALEFVNGIRRVLPHTQCEVLDEPGPPPRQPTEA SSLVQKLEKVAISDSSPAQQANPGVPSFPGPPVSAVDGDLPPPPPPPAAFSPIPYNPA APAAPEQLRHREKTPPPEDGVANPLHQTLAYDAATPFSPGLPPGPSHLSPLSPGIPPP NLQHPPGAPTFPGPPVASPGFAPQGFGSLGGVGAPPHPGITRSVTMPAVGTPLSSPGL VSPYGGVGTFPGQPQVYNPAAVAAVSQTPTPPAVGGIGASPGVGVQGPQTAQAGLPQQ NEYAVHQQFYVPEEEYRPKKEVRGKLEENAGRLERGVSGMLKKFEKKFG QC762_106380 MKEKDSTSASDSDRTDGEISIPNDQNIAGFKPSHNTETDPASNV DPPPDVVPDGGLTAWLQVLGSTAILVNTWGLINTFGVFQAYYETTLLSSHPPSSISWI GSTQAALLFLVGVFAGPLYDAGYFRHLLITGMFLIVFGQFMTSLCTEYWQVLLAQGFC MGSGMGMTFLPSAAILSQYFARHRALALGIASAGSPVAGTVFPIIFSKLEKSLGFGWA TRVIAFILLGMSVIPVAFMKTRVPPHKGKKSLVDKSLFKDGPYLSFTAGGFFAFLTLY VPFFYITLYGTSQASVTESFAPYLVTLLNAGSIFGRIIPNALADRFGCLNLMLVCMSG SAILVFGWLGVKNLAGSVVFVLLYGAFSGGVVSLTPSVVVELSPDLSRVGTRMGFGFI VSGTAVLIGTPIAGAVLGGESANARWVPTVLYAACGLLIATFLYSTARFLQYRKKGGW QC762_106390 MAPKIAIVYYSMYGHIKQLAEAEKAGIEKAGGTADLYQVPETLS DEVLAKMYAPPKATDVPVLEDPSVLEQYDAFLIGIPTRYGNFPAQWKAFWDKTGKQWS SGGFWGKYAGVFISTASQGGGQESTALAAMSTFAHHGIIYVPLGYAKAFGILTNLDAV RGGSAWGAGTFAGGDGSRQPSDVEKELATIQGEEFYKTVAKAFSA QC762_106400 MLLRHPSLHPDETRASTANNQDIFPSMSSHPAPSPYQDQDDTEP ENGQFDDVWGDDEDNDFIPSSTTTVSSSSERERDINRLKTLHSKTGYIDGITHAKSTS VQAGFDEGFTLGANIGSRAGILLGIIEGFVAAFGLQSISTSPPQPWETAEWGRLEVLL NEARRELDVRSVFAKDWFCEDGTWNYPVGDEESGGEVLFPDVAASHPLIKKWDAIVRR EGERFGLDWNVLRDEEGVERGHEYDQEEENSRQGNQPAVAKTGNQALAW QC762_106410 MNNTEIQSNADMGGQSEAVEPLLGTPGGKQRQQQEKIHQASTLL PFKARLFLLLVSLVVCIFTLQNAIHDLEALGKISNMLASVDELLALVLTLLWVQQSCA APSCSNGGGKKFPSLLNAEIPDLIKGLDSGLFTTVDLVNAYIARINEVNSTLKAVTQI NPDALSIAADLDAARAAGDKKGPLHGIPILLKDSIGTFDKMENTAGSYALVGAKVPED STVVAKLRKAGAVILGKANMSQWANFRSFNSSNGWSSTGGQTEGAYFPKQDPVGSSSG SGVAISIGLAAASLGTETHGSIIAPAQMNNLVGIKPTVGLTSRHLVVPISERQDTIGP MARTVKDAAYLLAAIAGKDSKDNYTSSIPFETLPDYVSACQLGSLSGKRIGIPRNLIP SPLPQSFQYIVSSFNTALGVLREANATIIDDLYLPGQVLMNLGPYQMHVVNAEFISAL PRYFASLTSNPANLTDLQSLINWTKSHGHLEHYPDRDVARWEGVLTNTGHGNDSPYFW GNYSAQIYAAGPQGILGALKNHSLDALVIPTWWSATMPAMLGTPVVTVPMGKLPNDGS VVEEKDQRGDLVRWAGNLPFGISFVGEGFSEEKLIGLAYDFEQKTKVRETVKPYVKPK TELRDVVAERVQKQIAKIAGKWSKDAADGVF QC762_106420 MAATTEKSARSRRNTENDIPDYKPLPLRISFLATVVLVLCGLII ALERLLHSLPHEDSRTEIPQEFGFIPDPRDPKPAFDVLNALSPEARQKFYGLPVETSL QDDPPSSTRMPDATTTSFPAEFNKRMAPDPRPHTSYYVNTNVITTYVTWANSWYGHPD NVMARTAVFPGEEKPNECMYNYQGVIITTNSSACQVIIAPDPLTTPPPGWKSPRQGIP GLWFSDECVKSYDDWYKSQLATIPAPPPGPAIPYPNAFMKRFLRCSWHGEPREETTST RYDVKEPLPETFLNYYVEWDVQRQMERLIVRLRFPGRLYPGAGLYLWGEHNIGEPSTT SSVTLLPSPTSTKQSTFESVDTSTLQSEPSMSQSSSTSETTATETVTSSNIAVLPVTT SDSESKADGMPASVTSPIFIHSSKQTSQSEPASILETKMGIIRDSSTLLSLSWSSLKP TQLQSASTEHLENPSKSTASISTSTSYPTTPFDLRLTTSVPSPVPHQLEPSVKYVLVP VNVYVESTTQFTMSGQMWIKSIVHTSTSSILIGVPAVMVIPAVTTLTNSNGVPTSTQT DFHGELYLINTLTTLTNARGSPTLTATTQVPATSVITTLTDADGVPTATATSFPVWPN LPNSTAANLMLPNRASYFTIYFLPILLTIFLLIPVQAIDAEIKQLLPFRLLTKHNPPA GAGVDALVMQPGRISGWKLLWRYGDPISLMSDLVVLCVAGLISVSGETVGLKLRGSCL SVNLSTCFLTVAVFPVPARVAEGLLGGLIVLVMVLGFMLARWRTGTAADPSSVAGVCA LLQVDRTREVLMRGMERRAEDGLDDSAKVEQLLRRNCEGLSFRLGRLGNWTGKRAHDY GIVVSSVSVGGHKNGKTKMRSNCEIDGSDMILPVERAGLRQMRRARSGLPVKRMTFYV PGRERTFQGVLLAFFCGLLILILYYELTEYEDLQESAFEWFMDSQGFGVRMLFTGLGV VLSFMWDHLFTTFSKRRIYQRMAQKQQPAALSVLEPCPKTVFTGLWRAVRQKDLMAGA VAFAGILSKFVPALLSSIPFSSAQTWQTHEICTWTTVALLIVLILTLFSYMWLVKWPH MPAAPDSLACQIYYVCDSAMLRDFERLSMLGRRERDRRVERMGRMYRFGWMTGVSGER RVGVDYPEGEQGYRMHGLGGCGFGTTGGK QC762_106430 MRRGAGIIAAGALFAATTLAQRKDGVVQWGIQRRQPPPETYTRV RKRASTFEEIITNEEARGGYFATCRLGTPGQDLTLQLDTGSSDIWVPDPTAKVCSKSG TEGCALGTFNPDRSSTFKVVGQGDFDINYVDGSSSKGDYFTDVFQIGGATLQNMTMGL GVNTDIAYGLVGVGYALNEAIVGTTQSTASVYPNLPVNMVKEKLINTVAYSLWLNDLD SSSGNILFGGIDTQKYKGDLTRINILPTTQGLYTSFAVAMTSLIAVSPSGQDTLTSKA FPMPVVLDSGTTLSYLPTDLALQVWKEVGALYSPDFELAVLPCDMQNSEGYFSFGFGG PSGPQINVSMDELVLDLTSGRAPVFNTGPYKGMDACEFGIQNFSSAPYLLGDTFLRSA YVVYDLVNNQVGLAATDFNSTESNIVPFPSLSAHIPSATIAPDQSQATIRPSVTTPAY AASAGFTDSAGVNGSENAACGLPPALGAAQLSVVGLSMVFAMLGSGTFLL QC762_106440 MKLSSILAITLAVVAPAAVDAANCKTGLHYCGYVLLRRGNYYNQ IIEALKKADKSTSSAYVNHSLFYCTGGSNGNIKYQAKCSSCVDGGDGKSDHC QC762_106450 MVKIQPFEVEQWMNRLENTPGVLNIAETCAASVSIKDLVQLCTD KDLPSPLSTSKKLTYGAITGSKMLRQRVASLLHRGLSSPQADATSPLTSPLPAENIII TQGAIAANFLLFYTLVGPGDHVVCVYPTYQQLYAVPESLGAEVSLWELTKENHYVPNV KDLESLVKENTKMIVLNNPNNPMGSTTPKSVLEDIISFAKERDIIVFADEVYNPLYHS LPDGQTAPPSILTLGYKKAVATGSMSKAFSLAGIRIGWVASRDESIIEAVAHARDYTT ISVSQLDDQVASYALSEPVLGPLLERNMNLARTNLEILSKFVEKYHSVCSWVKPTAGT TALVQFSKRGLVPVHDPNFVLDVLDKTKVLFMPASPCFGLARDFKGFVRIGYVCETEV LKEALEVLGGYLEKFLLE QC762_106460 MASKIPRALALLLSLSCAVSAASNYSSIDMLRVQAALMEGRPKD CPPCFNCNLPAHSCGQFAPCSEYSGKCNCPDGFGGDNCLEPLCGSLSRGPDRPKREGK SCQCDDGWTGINCNVCTNDRACDAMTETGDGGVCYTGGEIVKENFQMCDVTNKAIRSL LGAQIPQVTFNCKKETKLCDFQFWVDQKESFMCHLEECDSTADYDLSGTKNSTSYKCE KIKCECIPDRMLCGENGSVDISDFLVNLIKGPAKFECVQRPGEDKKCSFQEPEMNNLI SDIFGDSSILLSCGAGECLYHTEVPGYAPQVPKINTPLIAGVIAGCALFLVGVILLTW YLSRRQFKYGPIHLDDSDDEAIKLMADHKPASLYFQNVFYNLNGKHILSGIQGMAHPG EITAIMGASGAGKTTFLDILARKNKRGQVSGDFYVNGEKVSDVDYKNAVGFVDQEDTM LPTLTVHETIMTSALLRLPRDMGRAAKEQRVVEVEKQLGIHHIRDSLIGSEEGKGRGI SGGEKRRVSIACELVTSPSILFLDEPTSGLDAYNAYNVIECLVTLAKTYKRTVIFTIH QPRSNIVALFDRLILLAQGKTVYSGPLHLCQEYFDQIGYSCPPGFNIADYLVDLTMHA SSTTSYDDGALSTDGGSIGPSSTRAVKSVASISGNSVGDESTVTAGSSRPRGPRRDSV RLRQERELYTRRKQAADTAAFSDAGDEIGAYKVQRQAPGQQPTPIAEDPDDLPPPATT GTDLDILVHSYIQSDIAGNTHDQIEQAVAAATARNGQNANGYVADGPNINLGAMGRGY TRIGYWRQFVLLSQRTWRNLYRNPMLMLTHYAIAILLAVFAGYLFYGLTMDIAGFQNR LGLFFFVLALFGFSTLTSLSVFSQERLLFVRERANGYYSPITYFAAKVLFDIIPLRII PPILLGAIVYPMTGLVAEPAKFLVFMLVLVLFNFAAAGICLFIGIVCKDHGVANLIGS LVMLFSLLFAGLLLNHNAIPPAALWLQWLSIFHYGFEALIVNEVAGLTLIDHKIGIDI TVPGAAILSSFGFDNLAMWMDIINLGVFGVVFIILAYAAMHLLLVERR QC762_106470 MPPSLPRPALTGLEAALTNCRLTPRPVSRQLSRSLSTTPSYLQQ FFPPDSPKFITIPEPPQSSEVKPPPVKGHLPKPRNLFPTRGGHRKATPAFIKAATPLS KSEAAGLPPKSAAEAAHRKAAAIRRKNLAAGTFSLYRRKTTHDRRILERSQYKVEFNI ACANKPEREDEILTRSTVKASTALKVQVEPSPLRFERAEEARQKTEQLAAQKSEARKD ALAQLFVAAQSFIVTEKQLEERVEELFKEDTFKNRISSAAKNIWEASGPPISVATRQA QISGMASGLSDSTRAATQAAVRRKLVAEELSGGKLVIDA QC762_106480 MGTGKKEKSRMERQGKPTGDPKVKGENFYRSAKKIKTLNVLKEG KAIRNKDGKIVKAASFQSRERPKAVIEPNRRWFSNTRVISQDTLTSFREAVEENQKDP YSVLLKSNKLPMSLIRDDGPKLEDGLKKHQAKMTIESAPFSETFGPKAQRKRPKLSFA TVDELAGHTESSFDQYTARQEQIKLLSGTSGTAEVENKESVYPEIDFSVSTAKEAIFF KGQSKRIWNELYKVIDSSDVILHVLDARDPVGTRCRHVEKYLSTEAPHKHLIFVLNKI DLVPSSTAAAWIRVLQKDRPTCAMRSSMKNPFGRGSLIDLLRQFSILHKDRKQISVGL VGYPNVGKSSIINALRGKPVAKVAPIPGETKVWQYVTLMKRIYLIDCPGIVPPNQNDT PQDLLLRGVVRVENVEHPEQYIPAVLSKVKPHHMERTYELKGWKDHIQFLEMLARKGG RLLKGGEPDVDGVAKMVLNDFMRGKIPWFTPAPAMEGTEDADSELIEGRQGRLGEMRK RKRDLEAEGESVADTSMAGSTLAATEDLAASDDEDDDFSGFSSDSDSEGEGEDNEDNE GDDAEDMISLGESSDEEASDAESEQEPDPPASRKRRKA QC762_106490 MFAHIFLFLVLGLSFVVADVADIETCLFHLYSVDASCSNNLTVG QLPGGQLQGSKLTESATWFRLFDGRLLDHALRGCWWAPVSTVLVCDVAFSDVEEPDML FSVTTHGDAQALSYNGTFSFFACRSGRYDKVNYYLEQPDATCPQVFLHVDPDMSCFDE PFLTMTTTPALHFSTPVPSATESTSTDDLLSTAYYTETVGESTSTAHDTESPATYNTD GPRVTTLIFQTTTPTLTITVDEPPLTSDSYPPPSTLQLTSSIRPQYHSLSTLLSPPLP SLTAPSINLTALPPTTFPSLSIPKPFTTGLFSWTNSSFPSTLKTKTLSPGVYGSGTGS SLSMQTPTVYYGTAGFSRPPRTPTPSYESMPLVTTLIFRTEREEGEGSVTTGPVVETF TVTAEGGVAVTFAVEVER QC762_106500 MALPPKFNAHRLTFSSSLTSAPEPKHTIELFLDYVCPFSAKLFN HLYNNIIPKIISPNPSLSSKVDFIIRQQIQPWHPSSTLVHEAALAVLQLTNSPAKFYQ FSSTLFTHQKSYFDISLVNETRNQTYRRLAKLASDTISDLDEEAVYNLLAIPSQPGED GALNAGNAVTNDVKLITKINRLLGVHVTPTVIFNGVVANEISSGWTEEQWKEWLDKNI V QC762_106510 MLPLSVRRAVASAAPQSPVTVVSSLTASAPKAAYKANGLHQRRY SSSKPSSPDDGARDFAARPSVPASGNSKTTGEKRKRKAKDAGPQLPSVPSTKHIKDEA LALSTFFALHRPISVTQLLPKTVTEDAFAEIFNTRSPRHRVSDVLSTLSQTQERMQQE IQETGELARRADGAPFEMSGESNVYFQLNSMAGQFLPYAPPPAPKPIADGAAAAVDAL VDELAGTTLEASEEPQTRVYKAMVTIEETVEADGQYKVVAHSPELIDSAEQGGVQPRT FLERMALRQLKYDESRRLQDRAMQAISVKRQRKLRMKKKKYKKLQKRTRNERRKLDRL QC762_106520 MASRRLALNLAQGLRGRSGGLSVPVRRGLATPHSPALKTQTTTL KNGLTVASQYSPYAQTSTVGMWIDAGSRAETDETNGTAHFLEHLAFKGTSKRTQQQLE LEIENMGAHLNAYTSRENTVYFARALNEDVPQCVDILQDILQNSKLEESAIERERDVI LRESEEVEKQLEEVVFDHLHATAYQQQPLGRTILGPRENIRDITRTELTNYIKNNYTA DRMVLVGAGGVPHEQLVEMADKYFAGLPSKSPESAAYLLSKKKADFIGSDVRIRDDTI PTANIAIAVEGVSWNDPDYFTALVTQAIVGNYDKALGNAPHQGSKLSGIVHKNDLATS YMSFSTSYSDTGLWGIYMVTDNLANVDDLVHFSLREWTRLCGSVTPAEVERAKAQLKA SILLSLDGTSAVAEDIGRQIVNTGRRMSPGEIERVIDAITEKDVMEFANKKIWDQDIA ISAVGSIEGLFDYARIRADMSRNF QC762_106530 MAFIDPALVLQGVRIVARQATETVVSTALSSTINPSPTTDITNS PTDSPTVQPSLTSLTSSTSPEATPSPSPQPSPGGNNSSPLLFFVALGFGVVFTNLWII VGVKYCFRYNARNRQMRMNEDGEPINMENMPRPHRRRREKKLMTIDEVNEKFPMLKYK TWVASRAQEGLPTRGGVSSPSRPNSVRDAEGVISELPNKERMSTEERPTTSAAAPAAE ATAKNETAATPESTTAAAQTEKPGKHASKESTSSSIGGPHPRISTDRERDGGNDGARD ADAGTLHKASTQGAHDDDEEDDEHIDAAIPPECMGTSGDTCAICIDTLEDDDDVRGLT CGHAFHAVCLDPWLTSRRACCPLCKADYYTPKPRPPGAEGPDGATVIAISLVDSRSNR MNMPNRPRRTFFGLLGSDNRSEREMYASNRHRHDNRTRSSRARDNRRGNRTRAPTSPA PVSESQASSGGWFSNMRTAISRLPRGRQQNTDSQTTPPASGANPAVTPSQLEAGVQRS QC762_106540 MSSIGPQLPPHLQKRKRIPEDDGLLASPPPKVSRRDNDDEIPLD DDSDDGFGPGAPPPTKSSIGPSLPPSENPSNPLNPTVAKPSIGPSRPPVGPTLPPTNN DEIPLDDSDSDSPGPALPPSSKPSPPPRRVLGPAPPPAPLSTRPTTNPDSDSDSDDDY CPALPDSVPSRPTPQGSSLPAYTEPEAAPKRDSWMIAPPTESSYRAPDPTKLKARKFN TGPRAVTESKSSSGVSSIWTETPEEKRRRLANAVLGRDDPSNTPQQPIGPSAGPSKRT AEDEARIKSYTEQTRGKSLVEQHQARKAERKAKSGSGKEEEEEDDPSKRAFSWEKDMK VGGVVSGKQKRELLNKAANFGGRFQKGSYL QC762_106550 MSSSQAQGSNGPGDNMADVPELAPEADDHRLGSSSSDEETIRGE RDEDYVTDAAILEEEDENRPADVPIPTVEVDTSASIPNHYRGIVGASQEAASEDGSTD AIPRLAASPMGSNLSIPDDTPSAQGSVVSSVGSSVMPSFARRPGMSPTPSFRPFDQRF QSGIASPHLSSPRPSSPAFLAGHSRTVSVSSQFLLDSGETETPSPPWEVVRWTKLRKL NSQAFSEAGKRNFGTPTCLAVTANIVMGTSKGIILVFDYNQNLKLIIGPGTKAVESGP ITSIAISADHSTIAGGHSNGNIFTWDTTRAARPFLSIPHLDSTQVQRRTADGHVSNVA ITHLGFLGTRHTALVSADDRGMAFSHLATRGTGALGRTVKTTRILGRYPDSKPPVGKT LKPSTVLGFSSCPLGNVEMATDGMGLTAMLTPYLLVIVSTTPIAQTQHKSARPKEVAA HSAMTGCLAWFPAVKLKVPDPVTGSQISKVKLVYCWSNVLTVLDVDEIPEDDKEKLPS LKFRARSRWTCEESIAAVQWLSRSVLTVLTISQRLIVLEDRSMRMTEAFDLMGKHIYH ADLFSKQLNPLVEQLDEDDDSMHGVVADAFYMSFKAYKSRLFLLGFNDVSIGALSNWA DRLIALMESGDYVGAIQLATSYYTGDANKLTVGLPEDAKLRHSMVQDKLMEIMSASLK YAFGQRQKRKDSVTDAHLQELAETCFVACQSVGDEDFVFDEMWEWYEDAEVEGIFLET LEPYILDGSITTVPPVVVKGMVTHFVSKGLESRLEELICHLNTATLDLDQITLLCKQH SLYDALLYVWNQALQDFITPLLDLLALLVPLMQNGRYSGSANPIEDDIYGVNALKIFP YLSYVLTGRVYPTGEALSEEIAQRAKAELYWLLFSGKSITWPKGSNKRLLTKPSQSQE PSFPYLRLILNFDAPSFLSALNEAFEDSFLNDSPEKQAATGSRPRDLPEEQIFGLTVD RQYIVSILMEIMNPTDYETTDTIYLDMFIARNLPKYPQYLLFPGSTLTKVLAGLCKFP GRDLAEDAQLSAEYLLSVYHPPDVNDLISLFKEAGFYRILKRIYKNDKQYGKLIETYF DDPEDQEAVFSCIEVCLRPQAGLTRRQVQDVHQVIKRHAAQFVEIDPPMAAKTIAKVA PELHHDVLQASGEQPGLQYSYLKAILEPQTEQRRIGSPDRDLVEQYLRLMCRFDPAHV SDYVGLVQSTNLRLENLLPTMEETGVIDAAVILMAKEGQAQEAMGRLVKHLGTLESAV QGLLAGSEPESNTEHLHQSVEELMEALRRYILVGIWLCQGQTKTVREANAGRRRQKPT LDDALSSDEALWLDLIDIAVRITKQISTALRLSPAETPSENEKPNLPLGDNDKITTLL RSLVQNTFTALLTCTSTPSPSSTTTAGMGSNLSFLRILRAFLTRAAASSPNLADLRSV LSSIFAAYAYEESILRLSNRLLERSLFVSVNEAVALRQRGWRPRGSTCEACSRRVWGP GVAGNVFEAWEEKQAVEEKKRKLRKALMSPNGDVGEDGTGGDEEEAYVGKGKGKGKAP SPRLRQEDGAVGDGDVQTAQDPREGRSDEAGAPRRREQPPLGPLVLLACRHIYHKSCL EELLAKDGQVKENEYRCPIDG QC762_106560 MSITSEEEVEEDAPPLSDSPSHNDSSDSNNGSSSLHQHHNHNHD HNNGSTSPSATSHPGSDYEGEYLSESDLSEEDDDDEDDGNPNSFPLAAPFSQHTFAPP FYGRPPTPLPPSPSLTSLLRPSRPTTPDASDDEHAEPLPRARPKVPTYEYYGFVLYLF SSLLFLFYLLWSYLPSPFLHALGIYYYPNRWWSLAVPSFIVMLLVYIYVALAAYNVEI LTLPMGSIETVVDEAAQVAVVDSRGRIRAVGKRGRDRGQGHRRKGSGRSNRDEEGGGG QHGGLDWREVWNEGTDAVMDVPLAGVCEVLYGYGGEEEGDGDVITRV QC762_106570 MNINYLVSSISRQTAKPREPHNLFKDTSKMDSPTSDASLKEQLK SRFVGKTLDQVPTPSVILDLAKLETNCNGMLEATEKLGLLWRAHIKTHKTTELTRLQV GNDKSTPVNIVVSTIIEAENILPLLKEYQSKGRKVNVLFSFPLFPSAASRLADLSAQL GPDSISLMIDHSDQLVSAATIAHTTGAYPPLVFIKIDGGYHRAGVQPSPSFATGESTQ GDSSHPSEVLIDAVLEAEKQNKCVLHGVYIHAGHSYGTRTDWAALGYLAQEFQICLDF AEAIRKRSPGHKLVLSVGATPTATTIQHPSIISSGDNNNGDSSVQKLKAFITAQSKRE NPFSLEVHAGVYSTLDLQQLATHARDSSLLKADDIAISVLAEIASLYPSRGKNSTTEA LINAGCLALGREPVTDKGSIPGVDYSGWGFLMPWGGNLTSNPTPGPDFPRVHPGWQVG KVSQEHGILVWDGKPEDEIPLQYGQRVRIWPNHSCIAGACFDWYLIVDSRSKGREDEV VDVWPRWRGW QC762_106580 MAQQPLPSSVQPTDVYGGDEVSAIVLDPGYINTRAGFAGEEMPK QVLPSFYGHITSSNRDVFGDQAMYPRADFEIRNYMNRDSVVEDWDAATKIWEHALVNR LGIPRPTPPSKNGLNNKPKPAAEGEDVEMADEADLDETENYEKPMAENPLLMTEAAWN SPKQREKAIEICMENWACPAFWLSKTPVLAAFAAGKATALVLDVGGSNTSVTAIFDGM VLKRSVQRSPVGGVWLSSQIRSLFEASEPKVEVVPTFMVENKKPVEAGQPADARLKTF DFQISPSFRAYEEERVLKEFKESVVEVWRGPGRYLAPGNEDYAKNLPGRVFEMPDGSN QMWREQRFKVAEGMWDDNAAFPSATEEGKITKAQTVPGLIKAALDSIDVDVRPALLAN VVVTGSTSLLPGFTDRLNHELTAMFPSVKVKLHAAGLSSERRFGAWIGGSILGSLGTF HQMWISRKEYEENGPGIVEKRCK QC762_106590 MAVDELDFANLNDEAWADVEARDEAAIKKINNAFEDGELNGIIG NVASGGAIDQADKADDAIDFEDMDLSDEDDLPEEEEATGPPLDVNDDEDDLFGDAGFR SSPEPADGLNDDNDADSNAPDADGMDIDEPAKSLEELRAMNFDFDHDPGLDETNQDPN IPAPAENLVEAVKQAYPGFKENAVLPWNELLRPKVATWISKKPLKPPKHLVPSKLSLD LEADQEKLFRIPGTAMYSVFQRIRDAEARGLWSLEEYEPLEQADIEVFSVDDGESGDE KLGGYTLRDIALVCDDWDSVVGLAEFQSQNQVDSVTEDRHIKSEPDEDDEDDEWDRMF LDNQPASKKRRLEIPKGLPPIPTFTAPNFDNYEQAASKLGKRVILDMNDPFLLVDDVE SSERAAKRRKTQHKTVRLANGRLGRELTQRFNFSSDQAYDALKENAQSKVRATLAPIP VEHSMPAQRLSWPYYKVKLTASDPHSYHRPQFHPKKDGQHLIRFSKPQPSKRKLMRAK KIPEAFRTSADLSMNDSSTAVLLEYCEEVPIVLSNFGMGQKIINYYRRSKGTDSNSKG EKRELGENCVLMPEDRSPFAMVGQVHPGETVPTLHNQMFRAPIFKHSPRKTDFLVGRS STGKSGASWYIRNIDHLFVVGQILPSMEVPGPHSRRVTNIAKNRLKMVSYRLLRNSDN VTLSDITKHVAESNESQNRQKLKEFLDFRKEQRNWTLKEGDEMLPESEIRSLVRPEEV CLLDAMQVGAHELENGGYEVNDSMVNDENEAGDELPPDALANKMAPWRLTKAFIDASH GKAMIAVHGAGDPTGKGLGVSYLRTSMKGGFLEQLQGPNATSADAIERQRKANGGHMY NVKNQDTLYSEALKDIWNRQRESLQDAQEHDDDDVLAQEDEDDRFNTQSQAPSRPAVP DGVSQISQSHASAVGGGRKLKITRQIKDENGELKTVEEVVHDPVVINQYLKRRRQQAM EKIDFDNIKLTGDKETDTLILEKAAIELERLQKNKIRTAKRTKQKDLQQRMKEGGADG PDSPGPGGEKVPGTTRKCANCGQVGHIKTNKKLCPLLNGSRPREQNPDDAAGLASGDA PVAASFIL QC762_106595 MFIIVLTAERCEPELWVDIGEVQPSGLGWNGTGVLVMAGKPPIC GRKMRRRTAYGYSQSSRATRVTSCRQGDDGYKDVDMPSCNCYEQVGVKSVTTERRTDL KIEQRIKCLVSKVGKIDAHQSRTAEHRVALLGGQGSGTTTLSAVGVAFCPSLLRSSAC VHGSLGMPRR QC762_106600 MGRRKIEIKAIKDDRNRSVAAEIPSLTMQFWRNSTFLKRKGGLF KKAHELSVLCSVDVAVFIFGSNKKLYEYSSCDMRDLITRYTYHGGPNEHKGPSDFNGG ADDEEEDDENGTPPQGDGMDAPMLHPHFQAQPQFPPHIRHHTPSASPPIPNGMFGPGP RGHTPQPPQMGSRPSSRNDIRRMGPMGGPQVGPQGPPHQAVNGYAFMPQPAIYNPHNA PNMPPNASHGLPPQYPYPGPPPHTQQMQQFAEERRRSSVPPNYPPQAQGPPAPRHSVS PPRPQAPQLPHQLPAQLPPRTMPSHMVPSPPQPQMHPMQSPPQPQQHLAPPPSHELPQ IRPPPQEPQPPAPVETMAKPELVDRPRQPPLLDTAIKKAPPRKGGSIFTPIDENRSIL SQHLAAFHPEVKSEPQGNRSLSLDMGKNGTTNSPPHLQRSNTQAQPQIKRNGSLSSIP ETVFTPPSRSNSLRIGSISRPRLKVQIPDESDGGSATAESASPRNTTTTTDATSQPSR RPESVVLPPPSPSASSLLSAGATGPPNPFARPPPASHQNNSMNIDTPVSALPSRFMTN EFLPSPSSFYPEWNFRGSDSNTLPSPLNFATPVVSTGPSFLRDDPVPQKRKSPEISGN GHGPEQHVDIGGDAKRVKVDS QC762_106610 MVSPNLIRSALWLAVLGTASALPGSENPNALAPRQPIDADLVPP PTDNDAPPAIDTTKLAGHEFAVCHNLDGDYKPFCLPKHNETFNPGVLKYITWDPAFFS KGHTHEKANHTVKIIGFYLTPESDTHQAFDSGEISCAWGFYQWSVTQDLINANPLWNE KPGNKAKHFKQNTPTTITLRMAALPEDGSPAEWHQGPTVQIVKPKKGPNKSDGKRHPA DDQVLYVALPTVAFFLMAIVMSTLCCNRGVRRIDLGNVMGRNRRSERGTVGRNRRDRG QYSRVDGRDMELGRGVGEGSGLGVRKVD QC762_106620 MAPIAQAVTVSLQELKDGTVSFEKLQQAFGPDSLGILVVKDVPP EFASLRHHALSYSSYLGNLSKSELDKLENEKAKYLTGWSLGKETLKNGQVDTLKGSFY ANCAFYVDPKLSCAAPTEEFNTDNFPEYLSPNIWPDDATLPGFKNSVEDLCRLIIDVA VLVARACDRFAEKEIAGYPNGYLEKVVSTSTTTKARLLHYFPEDPANAPPAPIKASEI ANGEGSDEDDWCATHLDHGCLTGLTSAMFVDEAKTPAVAPAFEQTLADSKPLKLAPLP ELDASPDPASGLYIKSRTGQTVQVKIPRDCIAFQTGEALERITEGKFKAVPHFVRGAR ASMSDGRIARNTLAVFTQPNLGEEVDMEQHITFGEFARGIVAKNTVS QC762_106630 MVKALTFKGDKKPKKRKRTGGASGKADDDDNRQLKTAKPSTEAD ADADADDDSWVSADVTADISGPIMFVLPTEPPTALACDAIGKVFTLPIENIIDNNPIT AEPHDVRQVWVANRIVGTEHFRFKGHHGKYLSCDKIGLFSATSEAITPLESFSVIPTA DTPGTFQIQTLRDTFLSVRASRSSKANASPEVRGDETEITFDTTLRIRMQARYKPKLK ASKEEKAREKISRAELEAAVGRRLDEDEVKRLKRARREGDYHEAVLDLKVKGKHDKYG QC762_106640 MAMTVDMHDHIRLHLPLRIRGHPKLGTANTRTPAQRQTVKWTLL GTVTRAMSSQGCGFAGEPHKAKSTVSSSSSRRSASAPRAPFPPCSPLLALFSLVMAFN STLASAELFAGNSITWDHQSLEPGTSELPTASSESRAGLDLEDARVSSHLGLGLDFGQ DYFKVEVLVFVAALSLGFKLYNRRVPRQGILSKATSLLATMALLTSISLLLTSSLLPS GTSGHKGPPVVPAKFLQTASPTTSSVPQFTVPASADKGKDVEANIDDPLAANPQLVCP GYSASNVQNTKNGFTADLDLAGPACNVYGNDIEHLSLLVEFQANERLHLQIEPRYISK ENETWFRLPEVLIPKPQNDPLCEEQNSDFVVSWSNDPTFSFTVKRKATDDTLFTTEGS KLVYEDQFIEFVSALPESYNLYGLGEVIHGFRLGNNLTRTLFAADVGNDIDWNIYGSH PIYHDTRYFTTDESGKLTYAPYADDKTARYTSYTHGVYLRNAHPQEVLLRQPGITWRT LGGSIDLYFYSGPRAEDVTTKYQESAVGLPAMQQYWTLGYHQCRWGYTGWQRLQEVID NFAKFEIPLETVWADIDYMKKYRDFENDHDTWNYTEGEEFMNRLHKNHQHWVPIVDSA IYAPNPEDEEDRYPTYERGLEADAFVKNPDGSIYYGAVWPGYTVFPDWVGAVLGEAGT IDWWIDEISRWSKNISFDGIWVDMSEVASFCVGSCGTGNLTLNPAHPPFKLPGEPGNL VLRYPEGFAKTNETEAISATKAIITQSYGPTATAVPTVTTTTTTKNFYRSTPTPGARN INWPPYVINNYHGDIGVHALSPNSTHNGGYLEYDFHNLFGHQVLNATYSALLQVQKGV RPFIIGRGTFAGSGKWAGHWGGDNEALWAFLYFSIPQALSFSIFGFPMFGVDTCGFNG NTNYELCSRWMQLSAFFPFYRNHNAMGAIDQEPYRWSSVIDATKSAMAIRYALLPYMY TLMTQASLAGSTVMRALAWEFPNEPWLADADRQFMLGDAIMVTPCLEQGADTVKGVFP GVGEGEVWYDWYTKGKVSDGVGPGENVTIGAELGHIPVYVRGGKVVPLQEPGMTTAES RQNPWRLLVGLDGTGWAEGKLYLDDGVSLEPEEVSWISFTASNNFLKVEPLGNYPDTN PLRNATVMGLEHEPKQVWLDGEVLEQEHWSYDAGRCVLELFELKEKFSGGAWVQGWEI TWE QC762_106650 MVGFGWRKPANVAGTSAPAILLSLFVATGGLLFGYDTGSINGIL AMESFKKDFTTGYFDKEGVPGMYPSQVSLIVAMLSAGTMVGALISAPIGDLWGRRLSL IAALGVFCVGAIFQVCATNVALLVIGRTLAGIGVGVVSVLVPLYQSEMAPKWVRGTLV CAYQLSITAGLFAAAGVNILTHNMKGAAAYRIPIGLQLTWAVVLALGLLILPETPRYL IKRGYKDAAALSLSRLRRLDITHPALIEELAEIQANHEYELALGPDTYKDIFFGEPHL GRRTLTGCGLQMLQQLTGVNFIMYYGTDFFQKGGVDDPYLITLIMQIINMVSTLPGLF VVESWGRRRLLIVGAAGMAICQLLIASFATANGSNSETQSRILIIFVAIYIFFFAASW GPVVWVVTSEIYPLKVRAKSMSVSTASNWLLNFGIAYGTPYMVDTNRIEGSRSIDLGS RIFFLWGAFCILSIAFVWFMVYETSKISLEQIDEMYERVDHAWNSRRFEPSWSFQQMR DFGFSDSGIPPQEPQLELQQSRSSESQSETGGSSTNTATTSSQDDKLPIPIGHVDFSY QC762_106655 MSLCRVDSCENAVLLRCLWPHTHHHINHTIVPRISMLWKRAAGA LKPLAVKMVVPQPGAAPPGLQLAVLKSFDCVFFLFPSHLLTVRRLCSFGTPRQVIATL LLASRGQQKKEMRVAFITTSKETPDIGDAGACINIPTAFPATLLQEHSDPEREGGPRS GQWANSLGFHERHGCWLLRHDAHAQPVLICAVCLVSVFW QC762_106660 MRGAGRHEVGDDLDFGFVIPIATEPEPEETIEEELPDASPLPPP PTTNRPTPNTSVKRIHLDRDGVAQSSPSMGPSPSRPPRPPRSEYSIPDGTSTERSASL PPRSPASVRAQGSSLRHMTRPPASDEVEEAENEEDHDVTMHDSQPEPEAVEEDVDMED APPPPYPRSVQRTAQKQRGPLSSVIAATKRITIHTSPEEPTPTVEEVVMESPADAPGS GKRQRTILLDPNSPVVGSSTLLHKVLEDLDDTTQQNPGGIPASSSPVERRVRTRIRKS AEMRKMSESMSMRGSTMSVTSPTSSRGKRRSPRLRSSSVIEGEENAAEDVDVPDAVEE EEPEEEEEEEEKEGEREPEAEESAVLGADDDDVADVPEPEPELPEPKPEALEEPEEKE AEEIGVQEATKRIGRKRPTRRTAPAPSPELGSDQPPMPVAESPALRKRRRREAVASPA QQQQPVKKVRTGKQPPKPQLPQHSSPAQPSPAQPSPAQPSPRQPSPRPPTRRSQSKVQ EKSKPKPKQQAKKPPAKRKPRTNSDDAEGKDSGDKVSGSVPITVQRFSKPHIDPETEA DDLDDDEIQFSHRGPGVHILDVLSKLCDEMAENYMGKLRAAEEAAEDAATRREKKVML RALEAFHRELMTKLLDHTIALDQLHGLRKRVKAAQKEKIAMRDEIMRVRAEREQVALR MDAVRMKHEVESREALRHISLSSAMHDIDLAVEKAQAAPELSAAEQKKADLANLELLV SRVADQASSKSDGGGTLKQIKEFNAFLERAAAAMEKRR QC762_106670 MWGTSFCHFTPPKFKLPQAISPPSPPQVALTHLTITTLRKSQSH PQIRPHTPFKMGWFNGWFGGSPDNSSSDPLAHLDPKLREFLQKEAPVKYTPSSESSPP TPAVPPHRQLDQKSQDDQTAASQSTVPPESLFPDGRYAHLWKTYTPQSTIEAATKTDH EKLMDVLDSYKDRKAAIGRAALENCADEQFTWATCMKSGGVKARLTMCSDEVKKFERC YNNQSRLLKALGYLNTYGRSAEEDEMIQMRADELYHQMLRQEEEIKRAKEEGREAPEF RSVLEEAAKVRQWQQEAAGEAAGKVNIPPPPAELIASWKEKLEKLPEQDRAAEEAALR ADYRANAEMAASIQGLWQEQAKQREERKKKGEETFMDRFRGMVAVGKVTEKKEDNNK QC762_0004570 MDLYLEASLEKNRDFYLLILKAIYLSSIHSTSWSLCKARISRPR HDHLVTVDRPNLICA QC762_106675 MYFSTRALVTILLAAVARDVAAQAAVQTPDAPAPSAIPPEVLAS QAASASAAASAAAAANEAAAAGGLRPLPGLQGGEAEAPAELRPLPNLAGDAAVVTPPP VAVTAPEAAEPVATVAPTLPAEEPAVEAPPALIPPPGLDAEAPAPELVVPPGLATPVV ETPVVESPPPEVAAPAPEEPPAVIESFTPIVAEPTAEETAALAEPTEAAVVPPVVEEP AAAPVIGSFTRIVAEPTVEAPVETPVETPVEVPAETPAAEPVASEATGPAGVVETPAP VPIGSFSQVIVGTAPTLAVPQPVPTGAGGFVFLNSTTAGAGVAQPTGGLLPLPGVAAP SGGLLPIPGVAQPSGVAAPSGGLVTPPGLGGAGGETGSGSDGSGSGLVNPDGSTGTET LETDPNVTAGPGSGGTGANEVLTTLTTTNAEGVPTTLVTAVAQTTGPNGAQVTGTGNL ANNGSTPALTAGGARLGEGINMKMSVLGGVVGMMVVLML QC762_106680 MAAIAPMEMPGPAANVIPLQCLICPKKPTFSDLSHLLTHIASKS HLSQKFKVGLRPDPESKADTAAYLDWEARYGINDLLAERLASKEKKTTGKKRARNFAS ASGPRDRPHDDDTALMMDSIKIEPDEILHAQAIYGWSSSSTRQGYFDNSGFQTPTTRR SRDSATLPSTPQQQHSMILRRRRFPSESTAVSGTTSELLSESTELPEDTNEGDNATKL KGIVYEGMGLFDSATTPQKKKRNQRKDASVLMKMQQTSRDITSVETVYELTEEGLKRL RERDVYDSPSIDGSQVCWRLSFHPILGQRSILIRPPG QC762_106690 MTDDFQQLPEELELATSAHVKYIQSLNTRQDEYDYWLTEHLRLN GVYWGLTALHLLGVPEGLPRSETIDFVLSCQHEDGGFGAAPGHDAHMLSTVSAVQILA MVDAFDELETRGKGKVLVGKYIANLQNRQTGTFAGDEWGEEDTRFLYGAFNALSLLGL MDLIDVDKAVDYVAACANFDGGYGVSPGAESHSGQIFTCVASLTIAKRQDLIDKERLG KWLSERQLANGGLNGRPEKKEDVCYSWWVLSSLEMIGKTHWIDRDRLINFILQCQDTE KGGISDRPGDMVDVWHTLFGITGLSLLDYPGLELVDEVYCLPKSTITRIFGP QC762_106700 MGRSKPVPQPLTLPPSDDSRGETVPNSARLTTASPRSPRSPFRF GQKKSENAGEPLQLADVLHQPEKQSPIHNHQHEHQLQQQQQQQQQQQQQQQHQPRPQY KPSVQAQGQPHVNSPGQAPLPTEQLAEKRPLDHTLTSSPPLHSPGGRTADPQNRLPNQ PPPHLGHRNPRHDDDKASKSSFFFNFGKAARPSDRPSTHQHSDSRAETMSRDSEHSTP SNQSTKHSEPPQQDSSAQRSIPQLPSRSQVSLASSADHDSQNNSANASGSKKHKPKPF NLLSRNRSFKDKENKETKSSPSPREQTLAPPKAGDSERLAPLKTAPLQAHDRSFRDMM SSAVRNHSAERPQAREIGGGKGRDQDGGHRGLPSSLREAGGSAFFSNLKNSKAAGIIS TRLFGKDSKNEPPVPREPLIDDESYVLKVINLPLVEQTRLTRISKRLEDSRDKTEFWM PAFPWRAIDYLNYKGSDVEGLYRVPGSGPQIKKWQRKFDEELDVDLFEQPDLYDINII GSMLKAWLRELPDELFPKSAQDRIARECAGAETVPQLLIDELSNLSPFNYYLLFAITC HLSLLLAHSDKNKMDFRNLCICFQPCMKIDAFCFKFLVCDWRECWKGCKNEAKYIEEE YALFDQPPPMRAIGEARRNGAPLNEEHKPEPHRPEPRNISSSNSSKSSIRGAADNQQP QQKQSRPKKKALPESESIDTGSTISTTLTINSDVETPPRRSGELRPLSPIKPLSPLNF QC762_106710 MSADTKPPKLPVTVSKPTPYTFDLGLLLANDPNPLSLPASTGPS LESSLYDIARDGTQSLINQLLTTLPISSTPAGVLLSLPGPVTPLPREKPVPTPKPETK WAAFAKRRGIKPKTREQRRNLQLNPETGEHERKWGYKGANKAGQDEAIIEIKHNSKKE LERKEGTSIRGDKRREIRENIKRNERRMRRNERHAGQRK QC762_106720 MSASNCLRCLGRPSVAGASRGVVVPVFAPRTAAPFSTTAVQNAL PAKPKSAEHQDRSVRVYRAGRKMTIKKKNTQDRGKPPLPGERKAFRKKIVLSNDNAFP VPWVTEMEASSLSNENKVGSIVSLPPALQDQLRATEAFQPTQTWGLFRKPSTLIRKET VELTGKMEKAVENKQTARIVLAGEKISGKSTMLLQAQSHAYLNNWIVIHFPDAQELTN GSTEYAPIPNTENPTLYMQQNYCLKLLQSIKKANEKILAKLLSVSNHNELPQNITVNQ PLLSLINAAKEAEGAWSVFQALWSELNAQGVNRPPILLSMDNLPHIMKMSEYRSASFD KIHSHDLSLVRLFTEALAGNTRFPSGGAVIAATNRNNGPRIPSMELALKQRLAEQIKA VEGEEAPEPPVRDPYFKGYDDRVEKVLKTVEVMEVNKIGKREARSLMEYWAASGLLRT TVDEQVVSEKWTLGGCGVLGEMERAALLTMKI QC762_106730 MALFNMKAPVEYGEQQEAFEHFLTDFKTSPQETITTALGNITIN EDDLSDDYDFMDEDDEAGQQRRRQQRRGTKEPVHKYKLMLQELADRKTNEVAIELDDI HTFEEDLGLELKLVESIEKNTKHYVEILSRAIDKLMPQPTQGLTFKDDVLDVLMANRA QRNADLVEAAERTADPAMLNEQYPAQLTRRYTLVFKPRTAMSGEPLKALSVRQVRGDH LGHLITIRGIATRVSDVKPIVQVSAYTCDRCGCEIFQPVSDKQYGPLTLCPSKDCKEN QAKGQLYPSSRASKFLPFQEVKIQELAEQVPIGQIPRTLTVLCYGTLVRKVHPGDTVD ISGIFLPTPYTGFQAMRAGLLTDTYLEAHDVIQHKKAYEDMQIDPLMERRIAKSFQSG NQYEYLAKSIAPEIFGHLDVKKALLLLLVGGVTKEVGDGMRIRGDINICLMGDPGVAK SQLLKYISKVAPRGVYTSGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCID EFDKMDDNDRTAIHEVMEQQTISISKAGISTSLNARTSILAAANPLYGRYNTRLTAVE NINLPAALLSRFDVMFLLLDTPTRETDAQLAKHVAYVHMHNKHPDIDTSDGFVFSPAE VRAYVAKARTYRPVLPPNVADYMVKTYVRLRNQHKRNEKKSQNFGHTTPRTLLGIVRL AQALARLQFSNTVKQEDVDEALRLIEASKESLAMDDGNRTGRRGLNASSKIFNLVKGL ADSGACRADEMEDDEDNENEFGVELNLRKVKERVIAKGFTENQWMTALEEYTDLNIWQ TTGNGSRLVFIVADDRAGSQEL QC762_106740 MAPRKNAQSTAAEISLVHLQNCFANLPQSLASLLANVNTPAQNV IVELTYRVPTPPGSGAAASSTKSIYLGWTGMPSKRRVAPIVDRNGINGSRSGRDQEVP LVEIDATLAATVGLKDGQKVTATIHFDPPMATTVNIEPLTPEDWEMIELHGSFLEDNL LFQIRAVPNPTYAPGGVLAGAHPLTLHLSQTSTASIKVLSLDPPLSADAPFAKIAPDA EVIVAPKTRQKQRSSKDNRSVGGASRKSGKSTGSSRRKSVKEEKRPVLFFRSVDKKNC LEWFDEGVEVDDLSVWIDTDMLFTKELKGVNYVAVSLVRPAGLQQPLDSQTQPQEADP ASKASTKIIAHLRSWEEPPDGQTAALSTSLCAALGCQGLVGGVVKIEPAPTPLPKKTP DQEGINRDSVQKIKIFPFQTTKPTTSAGLKFGGQSKAEREEGANQVKHIYGTEGNSLL AGPLTDGQVLGVHDGMTFPRGWEGAVVRFEPSQPTSQSGKKSLSWILGSEWRLPISIQ PPIPKPAWLTEFEAELATEPSDSLLVGIDSLLDKLKTHVMHMSSVLLTGGQGAGKTCV AQHIAHALRSSQLFHTTYFSCSKLLGDESRVTTIKETLNRLFMAASWGARLGGKALVI LDDLDKLCPAETELQVGNNNARARQISEAICSMVKQYCGRDSNVVLLATCQGKESLHN VLVGGHIVREIVDLAAPDKETRRRIMEALTKQGSVSPEDSYANDDDPEDISRPTTADG SATDGDGDGWMDGPSRPPRQNTRAKPSGFILDADLDFLDIAGQTDGYMPGDLILLISR ARNEALSRSVTSSLSSNVPNPNLTTIHLSRADFTSALKGFTPASLRNVTLQSSTTTFA SIGGLQETRQVLLETLQYPTKYAPIFAQCPLRLRSGLLLYGYPGCGKTLLASAVAGEC GLNFISVKGPEILNKYIGASEKSVRDLFARASAAKPCVLFFDEFDSIAPKRGHDSTGV TDRVVNQLLTQMDGAEGLSGVYVLAATSRPDLIDPALLRPGRLDKSLLCDFPNEEDRL DIIRALAGKVKVDEEVWGDEGVLRELGRRTDGFSGADLQALVSNAQLEAIHDVLGDRE TSATVVTRRGAKGNKGTGRARDFLQFKYGEEEVNGDVGVPKTRQQMLAEQAAIVGKLE GIKAARKRSKVAPAGQKQLEDKKEVDAGGNKEVVIKWAHLEKALEETRASISRTERAR LERIYREFVDGRSGEMKDGQGSMEIGGRSSLM QC762_106750 MSQTSTPHPRTQLQQSFVLSPITALEIYHAESNSSYLLAGEDTW LRIYDVATSRLVSQLRVFNSQPIHGIHAWKSEDGTPTTEGGFLIWGGQSVMVLPPSSV ASLIQGTAPTELPTEFQAPDWIYDGILFSCNGETLGALVTAHNEIVTLKLSSDGNLLE LGPVVSPSRPILYSANLMFLGDNTILVAGGTVFGEIIVWKYYLDSCRPSQWEVLYVFG GHEGSIFGVTISPELEFSPGSKFRLLASCSDDRTIRIWDITNRHLIVRENGMEIDSKA LGEGRETGFGGNSEVKEENKKDSTRCVAVAMGHLSRIWHVKFGEYTSRSNGSINVYSF GEDTTRQKWELSLDLTGSEGPKGALKHRTTDGCHNGKNIWSAAVSNREGRASIIATGG ADGKIVVSGGLSNSASEGGFEDFDLNLSFDDVLEHIQKNSETSLMSPLAKGDPRQAFQ KYAFLSDSRVVSTTSPGRIFMADIQGKPKWREVQLPAEVVADLRSYNVMKTVAADTVV LGSAAGNVYVLQSEKPIRSVGQLPGKIQDILPLVAADAQNWQAVVTVLGADRAYILSF NASTNEPSFGDVTLSLKENYIPTAAARVGSVIMLGSRVGFVTSYPNSGDATDVLFPGC SCRDPKTKDAITAIVPLPGCSREFLTTCRDGIYRMYTLRADNRTFSLRHEISPPMGMI EGAWFTTAENPELILHGFKGKQFIYYNATTSAVLASLECGGAHRSFAIISALSNPNAL RLVFTKSSHLRFYSQSSTFLRTIKEGGHGREIRAVACSSSFKYIATAAEDTVIRIWTH SPTGQGFKCLATLEKHSSGIQSLQWKGDSTLVSCGGSEELFIWDITRLESDYETIAVK CEAVWNFQSKDKDLRIMAFSWSTNYIGEYHNEFAIALSNSTIQTYAYGERTQEFEFGS EAKYTGACPTQLRFLSNGDILVAYTDGHIAIWTRTDLNINPDVGHANNSPLRLKLLLK VHQSSIKSLDVVDLEDCHYVISTGGDDNALVITDLRMEENDVKYVVSGRYRVSSAHGA AVTGMKIVRDDTDMIEVVTVSNDQRIKLWRAVPGEGGQGMEISLQDNRYSGIADVGDV EVIESGKVLVGGVGMEVWDFNPFK QC762_106755 MATFHNPNVVPDNSLLCQIFGKNVLLRLATVRQDGDRCVFKALV LKKLDHGQNSCFVRLRALDKPAPSFYTVAAMQKIAASCIKHLVPATIKIGEATNDQAR KFQFWVMELDKGIALDNIWEKMNHENRKSVVKNLVEVLFSLQSLKISDYKVQNTLQES LGEHRKEELTEAAKASFGGPLSGFLETEAFFMAFLLRVFGFDQPFKNIFVNRFNSMKP VSDPAGIVLEFAAKHVDSFRINDDDMRKFQEAVFCLNDIRPQNIMVLGVETDDGRVKY ELTGLVERAWAGFFPPSFQLSLQDSLVGVTNRHLSFYLLLKQELTGFLPRTPPHMSLA RAMFHLLEAQQVKKLAEADMEAQIRKRYMAVMRVSRDKDPYVGWKYDVEGPIPEVSAE AFDRMEIDAVNSMWD QC762_106760 MSSGSFVEVPKDLLKEIKRLEELFTVDTAKLKQITDHFVNELEK GLSKEGGTIPMNPTWVMSFPTGYETGTYLALDMGGTNLRVCQITLTEQKSEFDIIQSK YRMPEELKTGVAEDLWEYIAECLLQFIQTHHGDVAKLDKIPLGFTFSYPATQNYIDEG ILQRWTKGFDIDGVEGRNVVPMFEKALQERGVPIKLTALINDTTGTLIASAYTDTKMK IGCIFGTGCNAAYMEDCGSIPKLAHLNLPPDTPMAINCEWGAFDNEHKVLPRTPYDES IDTDSPRPGQQAFEKMIAGLYLGEIFRLIMVDLHDNHNVNIFAGQDIGKLRRPYTLDS SFLSAIEDDPFENLSETRELFQNQLGIDPNPSELELIRRAAELIGTRAARLSACGVAA ISKKKGYKECHVGADGSVFNKYPHFKKRGAAALREILDWPAKADPNDDDPIEILAAED GSGVGAALIAALTLERVKKGNMHGILHPENFH QC762_106770 MPVKVITTELGHSLPPEQPHNITFHIPGWETAKALRRGDPNLLS KLSSIYPRFGPWCEVRQLSTALHKALSLPETYGLIIFVHPDSFTTAQRYSSDGKWRKP EHLVPPSDLWFRVVEIPISSEDKVRLYITAFPQKHAPGVVGTWQNYGCGISSRLAAAC LAHLDSLAILPFTATGADDVSLPNIPEPTYLPLTEAHTGLKSRIVELAQRSPLDKEKA NLLSPENVFLYPTGMAAVWRLHNALITLRPEGEIVVLGSVFHNSYHLFEESPNGMKHF GSCDAKSNLFDELEKYLEGEKQKGGRPAYCFAEFPSNPILVSVDLLRLRKLADKYDFP IIIDDTIGSFANIDVLPVADVIVTSITKSLSGYANCMGGSLLLPPSTPLSPSLSPLLT TTFRNEYFHPDASLLLSNSQSYLARSATLNSNALSLATYLNSEAQDPSSPVKAVFYPP FLDTKPNYDAVMRAPTPEFPNPGYGCLLAVDFESLDLAKTFYDNLSVYQGPHLGAHLT LAFPFNDAIWGVEPEAAEYLKTFGANPEQVRVSVGLESEEELIDTFKYAVEKAKGEKK RLTSQN QC762_106780 MLIIHDLKTRQITKQYARNRKLSKSNCRVRPGVVVMKFGEQLRS SAIREYQWYYIDYDGLKADLKHPSGSVQPVGDNSTKPNNRQQSRREWTEDDESRFISK LEAELEKVHTKQQVKAMEISRRIAVSEREVRDVVNRLNERGLSQDGPSEEEFMLLEED LSDIIADVHDLAKFVQLNYTGFYKIIKKHDKMTGWHLKPVFETRLKAKPFYKENYDAS VVKLSKLYDLVRTRGNPVKGDSAAGGSQASFIRNTTKYWVHPDNVTELKLIILKHLPV LVFNASKEFEAADSAITSIYYDNPDTWELYEGRLKKTEGAEAIRLRWYGGMQNETIFV ERKTHREDWTGEKSVKARFAIKEKNVNAYMRGELLPAAIFEKARKEGKKSEKAIAEDE RLAKEIQWSVLKKGYKPVCRSFYHRTAFQLPADARVRISLDTELTMIREDNLDGVTRS GDNWRRMDIGIDYPFSQLPPGDIVRFPYAVLEVKLQTQHGQEPPDWVRQLISSHLVEA VPKFSKFIHGTATLFPDRIHLLPYWMPQMDVDIRKPASHDFGIKRTEHSATTSTSDDE DEEDSDDEGGVLGAQNGESIRQGAAARNGRALLAASDVEDQTVDRPTNDDVYLYDSDD EDDEDRLAEARRVGGWTYYQTLASTTAHTVGHAVWTGLKAIVPRPRATTVPRNARLES LLGTGEIQQKRFKAPKGKKIYVPVRVEPKVYFAAERTFLGWLEYSIYIGTIAVTLLNF STKRGDKASFIAAGAFTFLAVMSLCYAVVTYLYRSKAIRTRQAAKYYDKVGPTVLCAA LFVAVALNFGYEGTSRSMW QC762_106790 MILLDSERDAISARISEACPPEIQKVLTAAWAHDVATVKKLLDT PEAARGQDPLTGETPLHAAIRSCGPPSEDDDEADLAAARETVQELLLWGGIWNDVDDR NETPGCVAHRLGRTELYNLCVDAGVRAEMLFGVLEGYEELESDDEEEDEGDAHQEAGE SEMVVVGEDGEEAPELINVPTNGTAEEASQGFVPPQDDAVDVNSEQYLRSKLTYSDGK LVDDEGNGVMMAWETDIMRQSVDALLPSKEPGKRILNIGFGMGIIDTMFAETKPAKHH IVEAHPGVLEHISSPDSKFGPSWEASAPEPGAYKIHQGKWQEVCVKLLQEGNVYDAIY FDTFGEDYSQLRMFFTELIPGLLDSNGIFGFFNGLGADRMICYDVYTKVSELHLADAG LDVEWKVIDVDMSRLAEEGQGEWEGVRRRYWTLDKYRLPICTFLG QC762_106800 MLSKKQRQRELACAEDISLLSILSPPSYSPLVNKPPFLKSQPDS SRVLPFETEVSLASTLAFLSGISDDPSHVVAASVEELGNGNGICVRVAINKDHAGGGD DVLERIQDGLQRVLKCLAPKHTDSDDHTHDQTLTAILDMSQPRLLSRLGVQRAGIKKA PKDKSFFGSPIQQIINAVSQYKYTKKVETEARRFIKLAGELLTHLEQLKTCKVVALTA CFKRVTYTSSQLIASIKFDKLFSALNINPLMKTGFVTRLGKIARYYESSHFLVQLAKR SILFDNTEVKTIKLNEEAFLRVPNSETIHRLSECLSRCHPGAQLPLNINKICQKVKTD PTMANAVFGKATRKILAESKVHAEVQIVAYYGLHPVARKPRVICSNKDACYMCNLFIQ VHGMYYVPKSHGRLYTGWRVPPISSLSDAHAQLDKALQNKIRDVVQEFKDVGDRERVL DLNQNESTIFPFTTLMSSLEGISLPVAKPPQAGQVQKPPRPPQKLHEPPQRRLPERPR SEQRQPEQSQEQPQELSPATTQLSKPHIVKTSTPRIERRDERITDQQPTIEPTSTTPE SSSTPVFSPTLVAPSPEDLASPPTPSESRPAPVNLVPIAIRTPPTPTEKPFALPNDIP EPLDQLSGPIMKPDSSQQTTSIKKPARIPNRNQGSPPRPNPPVKQDTPIPVKTLPKPT LANLSLLDQQSPDLLENQKPTRQTSRTSSELAEVTPSLPTKAQNSRGSEGKRVESSEQ KGKVLLQRGKTTSIWMDEGVLPPVFTAGGLDIFLEKVTECKRGRKRESTGKVVRVDLT WLGGEGERRPRMKRGRNYHFLEGLERGMEVDGGSGEVVVLEGGGEVVVVEVVGEGRGK GLSGSKAEWV QC762_106810 MTSIEAPILILGGTGTVGSRIASQLASQGIPTLIASRNPPPSSS LSHVNFDWDDPYTWEPIFLSKTNSTEGTTTEPEQAQQSSKPQPAPQPIKSIYLVAPPS LSGHRPMIEFIEFARSRGVHRFILQSASSIEAGGPLMGKVHAYLRELGQRGDVEWGVL RPTWFQQNIAEQPTHKESIRSESKIYSATEDGKIPWVSADDIASVAVRALTGEEAPNT EWMVLGPELLSYDEIAEILTEVLGRKIVHVDLSSAELEKRHARFGMPEEYARMMSAMD TAIKFGAENRTNDVIFSVTGTAPKKFRDYAMSVKDVWETRMEGMGLEGKA QC762_106815 MTYTVPQFLLDKSNIEEVILKVPLYYDLKTLPPLLNEVYAPNLT IDYTSLLGGTPLTISSHDWVHNHLAPLIEVYSSSQHVTTGIILPDLPQPGPDSSRPTT VKVCAQVAGNLVPKDGKGPKLIQNGGLLEAEVERFESLEREGGNGWRITRYKVTKGWD NGAGVMDVVRGEEEGDN QC762_106820 MTVEATGRVSIHLLEKNRRLSTLLAPAIAKRSKPPPLPPLPSGP LPPPYIPPNLGGLPGQTPPPKLNVVIQIVGSRGDVQPFIALGLVLRDTYGHRVRIATH GTFKKFVEENGLEFFDIGGDPAELMAFMVKHPGLMPGFEALRQGEIRRRRKGVEEMLE GCWRSCITQGGEGDRPFVADAIIANPPSFAHVHIAEKLGVPVHVMFTMPWTPTRAFPH PLADIIATNADDVLTNYVSYTLVEMMTWQGLGDVINRFRTNVLDLESLSLLWAPGLIS RMRIPTTYCWSPALIPKPADWGEEVSVSGFFFLNLESGYEPDPTLKAFLDAGPPPVYI GFGSIVVDDPDALTKTIFDAVKRTGVRALVSKGWGGIGGDALSLPENVFMLGNCPHDW LFKKVAAVVHHGGAGTTAAGINAGKPTVVVPFFGDQIFWGNMIARSGAGPAPIPFKTL TAENLAAAIEKCLEPGTQTKAKELGQKIRAEKGADVGGKTFHQFLNTDNMRCSLAPSR VAVWRVRRTHVRLSALAAAVLVREGWLKYTDLKLHRSVEHDTDEQPWDPVSAATAALV GDFSALTLAVADFPREVFKGAKENKKAKEKSSYSEASSSRSTIVGDRNSVLVDDAASI SASTISPSSSHQRTTSHAADAASISSNSTTPTAFTRIDTGTGTDTSSKLSPPPTRASG SSSPNREPFNLDLAVGAGKGAMRILGVGAKVHTNFCLGVARGFRNAPKLYHDETVRPV EKVTGFSSGVRVAGKEFSLGVYDGVSGLFTQPWKGAQKEGPVGLVKGFGKGVGGFFLK NSAALWSIPAYFSQGVQVQMRKSYFSKTEVMGYIIASRAKQGEEDLEFSTEEERRDIL ARWREMRQDGHDLKGLYSRYKDIKNEDRELSGEGSSNNKEEEPKTGWLRTRGLSFDKR KELHRQKEEWRRTHQGGAASPMSPGDVTPPERGMSRGSASSSTNNMLMALDDHEAIEA AIRESVQRTSNGNPEEDAAIEQLVRASVMGMRRQAAERALAAAENQAVDNRGASGQNT PPERPPQFDAGWPVDFKGNYISDEEFTNITDEEYQALIEEAVRQSLMEEQADQQGQSY QPYYADEKAALRNLQRKPVPSPRAEAFELPGNSGGTPPQRNSNDRDDEEEQLRRALEE SEKEFRKDKEKLQRQMTEEEIVLEYVKKQSLQEEEFKRATRQSGSREGKGKDVSESWE VLNEYSDEDDEDLKRALEESLRMANKGRDGGPSA QC762_0004760 MTGNDNPGRPGPPPVPPRSPSRLSRQRLSSQPQQSEAQQPPSDG PRRTNAIPTHEDLMAGEDENLDVAPPAYGEGEHHDQLQLSQNGFAADAAVTSD QC762_106830 MAPLFPAFDTLQKTFGSGPTPSPTNSRTPLEARLDLYPAYSIAD DAKSKAKKLSEEASREFNAASKKAQSKTGSIELYSGKYYAACTFGGLLACGLTHTAVT PLDLVKTRRQIDSKLYSGNFQAWRHILRTDGFRGIFVGWSPTLVGYSAQGAFKYGWYE YFKKTYADIAGPEAAHKYKTALYLSASASAEFLADIALCPFEAIKVRMQGTIPSQYSG TLNGLSTITAAEGVGGLYKGLYPLWGRQIPYTMMKFASFETIVEMIYARLPGQKSDYS KGAQTGVAFAGGYLAGILCAIVSHPADVMVSKLNAYRKAGEGFGAVTSRIYKDIGFKG LWNGLPVRIVMIGTLTGLQWMIYDSFKIFMGLPTTGGAAPPEDDQHS QC762_106840 MADVMSQPSQPFVSKWASRYRGATVEDLDPPPALSLTPSDPISL ALLSAFERDYTHLTIVSSSNRALLGYISIPHLQSLLESGKCKPEDEIRSAMIRFQRKG ARYTVITMNTPLEELEGFFEGKDNGGQKQDFAVITDSGRRFVLGVATREDLEEFVKRR PA QC762_106850 MAAPASKTIGDLNGKWVMNKTLSTPIEPGLALQGVGWMTRKMVG LATVTLEIKQFSAPASPPAEPSATQFTHVEIEQTGTGGMKGSTEKRCLDYTFRDHSDW LFGHVKGQSKWISTAEITDDFLKSGWIETDAEKGGPNGETHLLSYVESYDAGWTATQI WGFKECSDGKRRYARNVVIAKGSERVELQLYYDYLE QC762_106860 MAEKPSVLIIGGLGYIGRFLAQHIHKSNLASDVRIVDKVLPQLA WLPSEFEEACAGAKFVQADASREQSLPRIFDRADGKQFDYVFNCGGETRYSQEDEVYK LRSFDLSLNVGREAAKRGVKCFVELSTGMVYKPDSSPSKEQDKLKPWSKIAVFKLQAE EELAKIEGLNLVIVRLAHVYGPYASQWVATALCMARVYKALESEMKWLWTKDLRQNTV HIDDVSRALWAITGWYTAGKAKWDDGKMGKVPTFNVVDKGVTTQGTMADIIGEVFGIS TGFQGSLISTFAKLNLDSVVDDVNDELLGPWADLLAEAGITRPGPLTPFMEKELLKDT DLSMDGSRLEEVVGFTYEKPKISKELVEEVIESYKKMKWWP QC762_106870 MASQDPFDCALDLLRRLNPKHTGDHLSNIISLHPDLAEELLSSV DQPLTVQRCKQTGRDYLLCDYNRDGDSYRSPWSNQFDPPLDEAGAGGVGAGGSNEGAG EGAVPGERVRKMEIKANEAFDVYRELYYEGGVSSVYFWNLDDGFAGVVLLKKASPQGD ATTSGVWDSIHVFEASERGRTSNYRLTSTVILTLATKGASLGEVDLSGNMTRQVEQDL PVDSDESHIANIGRLVEDMELKMRNLLQEVYFGKAKDVVGDLRSIGSLSQGQKDRETQ RELIGSMRR QC762_106880 MASQNLPSEGAVVRKNEKASGPGLDSNGPDTILSASIPALILVH LIMAPYTKVEESFNIQATHDVLVYGTPSSNIHSRLSHTYDHFTFPGAVPRTFVGSVLL AGISQPIILILGFQHAQIIIRALLGLFNAFSLHIFTRNLRQAYGPAAARWYLLLQASQ FHVLFYASRTLPNMFAFGLTTLASSYLLPNPKNIKSTPRRQRLAITMFVFAAVIFRSE VALLLATNLLYLVLLPAISIEQIIFPFVASFAIALASSVPIDSYFWQKPIWPELWGFY YNVVGGNSSNWGTSPWYYYFVSALPRLLVNPLSCTVLIPYSLYHPALAPAAKKLVIPS LLFVAIYSLQPHKEARFIFYVVPSLTAAAALGAATLSRKSQVLTLILFASVLASFAIS TGMLLISSLNYPGGEALSYLATSLAESPSTSSGEVVPIHADVLSCMTGVTLFGSSSAA GSAFPKLEPDGGLIFHEKGSRGKGVVIKVDKTEDEGDLAHEEFWRGFRYVLTEDPDKV KERSKGVEWETVGVVRGFGGMEVLRPGQEGQRGNVGEVVGKGRVVEAVRDKVRGLTGG WWVGPGMVDRIWILRRGRGGKAGWKVREESS QC762_106885 MNVSGESHHPLDRFSNVSSLYGPGNMGGWLCILLSLFVTWTFNP KYSRSNTISPDFIIALTIPAVAAGHVYNLIFFQAPSSSTSSLKTLLTSSDISSQQFSS ALEAPLTVCETFSGFGLALFGLATWKGQMKRALIVLVVTAFTWLPEWAILIKTGWNVP IDESNMVRPFCHNFDVPVITGIMTTLIVAVALAVLSQITSLASHQCDILFNASSSNAT QERIPLHTIQKWLDLARVKLALTVKGWFDAIWPVISIPFLVIQVAIIILGGATETKYM SSNQSLALRLSMALPKSSYKIDELDQAVCLFLGHVTLVFSLWDALKGSLKERVAAHRI RGEQEENE QC762_106890 MPSRPVSRASRTSRSKLRPSLDPPASRSPPSAPRPPRSFREHFF HSLTPYSGPYQVAFLEIEVPVRSPRHFSHIKRNGSYALKLDTVLFAVYYPTDNSDLPR SERRSLHRRSRKPLSRVPWLPRPRAETCKGYARFVNVPYIPITAYMAATSMFTKLPVL RNGRISSRWPPAVEEDEEEEKVTTDNIPPAEGGIEAKEEPKNGYSLSQNTLVDGGEAC EADKQDGKPKFPVIMFSHGLGGSRTLYSSICGELASFGFVVVALEHRDGSGARTFVNK AGSEPDLDSQGLDRSPGPPKDEKKQHKKNSGQDKPYYKVDYIFPKDNAQDTSPHNSRG VDTELREAQIEMRMAEIEEAFHVLQLINNGKGDAMRARNLRKKGNVGASSLGLDGIDW DEWTERLYLENVTMMGHSFGGATSVQALRSERLDWLSQGILLDAWGPATPESTERERL RKPILSIGSEAFMHWTENFERVERICHEARDGGAPCWMTTIRGSTHLSQTDFAVLYPK WMSVFMKTIVSPKRAIYLTVHSALEFLKITLPPQQTRFKKSWADDQLLSKADPGMEIE LDNRPNDKWVAARLRIPHEFRQRLKRTVKPKKKSNVPRDASGKPLKGLVSWGFGQEIW CHQRPEQDVLDRYMEENGWSPQ QC762_106895 MCETRHDNCSFNSPSAGRCVNTSRKRYYSGIMTMSWLPVSEPHS LLWTVRKRRFVGPVSPTWLDTH QC762_106900 MDILFSAYGSGPLPKTALEEVERRTEELLKSGTFNHLEKKSKLD QLQETYPPSVPYSATSQGILPSLQLPRFDSPPTDRSTPMLSPETKGVTLQSLSITIPQ SPESHSPCPGTGEEDSGSETPTANLVSPHKQVKFLSPGNDEEAMSDQSSICQSPSWEN YGQRKREKKLEAERRKKEKLLAEKEAKASKKRTTARLSKLPPSASTLNTGARTTGFIS PERSMSDPSLITQHSLLHLQTVQRPEGSGKAASTDNLQPSRRHFLASSDIVNGDNAVR PKTATQTAIHNTPGDSHLELRRSISEGPLPNVAAIPSSLSLHQDGRVPRDMCPPSASR TPMLRHMSPSAHTRSNSLPQTAASQPRGRDGHKINLTSAGLADEEALANSKPQPSSRS SSSNPRHSRRSSFTQDAKAAAMKLIGRRGTSATRSDNTIDTQPSGIEADYFGNANHPL ASGSSPIESHETATRIPPSTSHSIGSSGGFSMTSASQSKRSRSLKDAAKAALSIGKRP QLQTSATTHIAGPPYFSFRDRKQSKVSMSQEVGSPSVQDEPPTLTSVTSVSQPGAPGS VASNGTTSQTGSRASEGSSTSTCSTFEDGSSLVSQTITPDTSRPQSSGGDSTLENILT QKDPNLQGTDYTQSARSSKSTTPRPGNPENSGLSSGGDDDWWNREAMPVDQDNDAQSF MTSRSTFDDTDEPSPNSSNNTAELPLRNRTEGLESRLGLARKLQSADASGSPTYPTPL PGSSVAPAIAIPPRSMKRNLSISDSGHTSPYTERNTETAPAHYGPVEDVATRPLKREG ANRKQKRELRTREYGSNQSAWHGNEHSAEPHPLYLSTDLPSPPLPPRSGTRRSKPVMA AEFQIPSSPYSEDFPGDDSVFGPSSHVEPSPHPSSRFTHHSAARPSSQPRTHSAPILS PAPISALRPHTPSPLTSPGAQNSGGASKPGPVSILKPPKHSEISLSAPTSPGQPPTLS SLPRHMQLKPGTSSRTPSTVTESRMAPIAKMFVECCSCKFYHDMPSKIYECMAKPDAV VEDRNLGISGAITTAVKCPWCQHSMSTGCCAGYAAVVYLKEKLH QC762_106910 MGLLSSEAQTPDFRQNHNSMAGHASPSVVVGTSTDPVEITTVQK MLSATSGSLITGLLVTPLDVVRVRWQAQGLSKPPPQPLTADFSKLSLSSPTPFRPSSL GVTACCREVFFANNNSEICVVGPRVHGSGATAIDCAVEHTQQRTFNSTFDGLRKIARN EGITTLWRGLSPTLVMAVPANIIYLTGYDWLRLNPASPIQRTSVRDDMAPLVAGITAR MVAAAVVSPIELFRTRMQAAQGGSSSEHLAETLRGVKDMVNTHGYRSLWRGLTLTLWR DVPFSGMYWWGYETIRGKLTDARERGRSTTLEMDLDRDAQRSSKARARRRSQSRENHA DTFTDSFVAGAASGAFASVATMPFDVGKTRTQVFRDSGTLAKSAVGNAAVAPEEQSMG RLLWHIFRTEGMGGLFKGCVPRTLKVAPACAVMISSYEVGKRVFRGVNERRMLKEVGG GEEPAAAA QC762_106920 MGNQSSKESGSSAKGAANGDRTGPEGFQSYPSFSRSDTRESSRS FRSLRSKIPGSNRTDSPRSSALIVNGDANEKADVTGGRSARSSQSRISRADSIPSPSS PSSVDTAVPTSPVADDLPPPSPIQSASMKAGYHDVSAAQASGEVDHVSDQPPSGAGSS ALPAQPAGQSILVKRDDAVNLVNNSPNESGKEDAGVTMSEIKDIDLDDFIKRLLDAAY AGKVTKGVCLKNAEITAICHRAREVFLSQPALLELDAPVKIVGDVHGQYTDLIRMFEM CGFPPNSNYLFLGDYVDRGKQSLETILLLLCYKLKYPENFFLLRGNHECANVTRVYGF YDECKRRCNVKIWKTFVDTFNTLPIAAIVAAKIFCVHGGLSPALSHMDDIRNIARPTD VPDYGLLNDLLWSDPADMESDWESNERGVSYCFGKKVIVDFLAANDFDLVCRAHMVVE DGYEFFTDRVLVTVFSAPNYCGEFDNWGAVMSVSTELLCSFELLKPLDSNALKNHIKK GRNKRNQILNSPPASVYPQSV QC762_0004900 MCTDPRGKPINPSTQQWQASLHRQHRSIVPEQHILLTYEHTIFT STHPGFDVLPTGPSVIRYPSAFVRPR QC762_0004910 MGDSGRIMACAHKNSVLSSDRYVRQLQYTASQQQDSHGEKHGQF LTGGSKDINPGPQRPAIGHTSRRLIKRKELHRTASAERRPARALLKIIARPSRETMG QC762_106930 MANFGRVVCVALPFLLTLASLISLLVAGLAGVADKSLYMFQVNT TNLSIDPLTAANLISKATGGKDVETVFNDAVNDALNTRQDTQTTNITAADLSLYDLYD VGLWGYCYTPQNGSRECTRPAFDWATNVLNTTTGDLNSMLTLTGQNVTLPKEITDAVK AFSTVSKWTQVVFIISYVALGVALFFGLFANCSRAFSCITWLLAAFAAVAVCASAALA TATAVVVVGAVEGSAKIYGVRADFNTRFLAAVWIAAAFALAAALFWVFTICCCAPEKR SSHKRNRSSDEGEKLMGTGPYQRLDQPQGYQGYQQQWPAATAGNGGYGRQTGGAYEPY SHSRV QC762_106940 MVSSGRIVVDLTADSTSASEDGGSEGGDGVADETGVRTTTLNHP HNGDRLRSQPASQPYVSERPHHHARNSAPQHVKASRGPSPSSLSDVTATSVPASRRRT PNGPPVAKSKSGLDGTPSKSIMRFSTRDEIRDSQSPTLKNTAQHPTPQSQTSLQLSEF RGHETPKSMTPQKVDDWTVDSIADILRSFVNEVSEDHAHLVHFLLEEDERNAPKPQHI SSVDAFADMKPLTVDHDESAGNEIETMTLKFKQHSGEQGKAIRAHGKHIDFPVVCIAS DRQSVPRYRFHHVEIRKNILAPNSMLNFVPHLRDVDPNSAEEKRYAEWLNELETLDKL SGFKALGRVQRLAKRAQNEYAAILSTYLEPWLERLAIDGCTKTTLIRYMASQPESDDA ITPQQRSHLLDTYNEGAGSPRGSRAAKLFTEAFDKVFGDPKNQRPITLSDVLKLDKAV EPILDNRKTKNTPSAQRHQNRELTQKVVESLGSYSALGCLICFSHDCEHGEIDGDNQK RCLSLDEIGGVASALRAKWMAQLQDHSSKQSSLVLKSNPQPCRNSCYRTHDTGKPGYV EKPWSGNEVTVLEQVFATLGYSSSLMPQCFVAAVLNRPCWDVHRKFRELSLALPAVPE AFETVKGPKPVTWYDRRKKQLLSGWEDATVTHEHSLREIWTPCHHEGACTAANGCQCA SKGRHPVLCERFCLCTAETCALKFTGCACHSLGKTCIQRQKEGKPCICVQLNRECDPV LCKGCGAKERADPENAYDEQLHSTGCQNVPMQRGATKAVVIGSSQLEGCGYGLFAAED IAQDEFIIEYTGELISHDEGVRRENRRGDVFDEENKISYLFTLLEQEGIWVDAAIYGN LSRYINHANDTCNITPKIMYVNHEFRIKFSALRDIKAGEELFFNYGDNFPNLTKKLVE SRESGGKEKGSGNGAPKRKGGAQRAAPRKTTSKSSRHLDYRGSDDELFFDELRRRQDD EDGVDYGETPNKKQRGKRGGARPGAGRKKKQAQPPEETGEYQNATEISDSQGESGALE ETPSRRRISKHPYASTAFGANGAISGPGQEPVKKISKRGGARPGAGRKPKHRPGTAKT TSSSKIGKTSPGSSTTSPSNSSEKSINNIGRHGTDSEDHPLAYRNRFSRPGPSTLSNS ISTTAAGKKRKASDFEEEAQSPSGDGDHHRDFESHIQSNRKNSAGLYRQSIFQPIDSS TSSHSDQSVVNGRGGGRYDDDDNEGDEDDDDDDDDSVRGSRKRQKPWRYRDEKE QC762_106968 MSGFPKLIPAFTARIAIEPPTAISPHLLHVPFVPSLGSLISEPS YPLKLNAPILHGADYITTQPDGKTVKLEVQSVAKDASTGATIRFNYTGTVSLLGAAGK VLKGDPSAATTDFGEAFIHPVFQTGGVPELAELANKVYVGSGRFILEEGKPVIVEYKI SEVVA QC762_106970 MSNRQPSRVVFVGNIPYGKSSRLYYVFSKSIANKPLSAGLTEEQ ITEIFSGAGRVLNFRLVYDRETGRPKGFGFAEFPDHDSASSAVRNLNDYEIMGRKLRV DFSNETVSDEDGRDRDAAGPSAAGYSAPSNGNNVAAPVVPAGGSSLPPLPQGKDLPPG VSCTDAISQTLRTLPPAQLLDIIQQMKTLATNDPARCAELLNQAPQLGYAVFQALLIM GLVSPDAINSVLDTGVSVAPPPAAVPANYGGYPVPAATGTPVGGYAAPPVAAPVVPVP GAAPPPVTAPPPAQDPEALMRAVMELPQETIDMLPEAEKQQILALRAQFSLQVTAGND DNYTGRDQVTKLTAELILNIGKVGESQPAGGFDNSKLPCFDIYGKLKGGINRKFKNND HDFGTANIRKSTTVDNGSDLGSHDFYSPTGGSVISEEDVDDLVLEITPLAAPVALNTF IPGHNLLRSTPNNSKSPSINEKPSDYQDEDSFDAEVYGDSEPGVDGDFQRTLRSFH QC762_106980 MANRNPFQDYMNKLQYAAQQTRSGGRMPGGGGGIAGGVAALAVL GGGALLFQSALFNVDGGHRAIKYRRISGVSKDIYTEGTHFVVPWFETPIVYDVRAKPR NVSSLTGTKDLQMVNITCRVLSRPEITALPQIYRTLGTDYDERVLPSIVNEVLKSVVA QFNASQLITQREMVAKLVRENLSRRAARFNILLDDVSLTHLAFSPEFTAAVEAKQVAQ QEAQRAAFIVDKARQEKQAMVVKAQGEARSAELIGEAIKKNKSYLELKKLENARSIAQ IIQEAGGKNRLLLDSEGLGLNVFDEENK QC762_106990 MGLIHYQAAPSEPSLRLTHQPHTNRPTRGPTINLPAPLTPPCGR LLGQQLPSMVGGSLGDCCLSSLPALLTGVVFFLFLPATTFTTIPRVLDLEEQQPTDKQ LSLISPKNLLVERHKSQTPRCSVRTLTGKEIELNVEGSDKVSKIKELVEEKEGIPPVQ QRLIFGGKQMVDDKTADDYGLEGGATLHLVLALRGGL QC762_107000 MELQISTGPLRRNGPRPLLDMALDVAIRNIQDIPSLGDMPTHLL QPVLRAVKTAEHLHTLEQETDERIYEVSPSHWKHLIERDFKTLAAQYSWQPKDPKSWY KVYKKYETVYNQQLEEATNAFMKKMEDVNGQRSSRQAKIISVPESRRLPLHYSQRQRE GPKAGHWSSQPRPKKTFIAKAKRQVAAETSRLKLSNPAGRIPVRQSQIRQAPIAMQND VRINRQFDAAATIVNAPIRKASDTTASDRDRKERENRLLSIKGKGAAIAKPANIISFS DDEDDHIPSNGGDDLFGDDEPASPHQRGSLSVEELEAVVERVVSPKKQPVARPRGLLS ATPGAIKAPVAARSPPKSFTGETSPAPAPAGASNSPAVGSRGPAAPIVRKRKPVDIFM RPSKRVPR QC762_107010 MERPEGWGLLSEKEENELHKARLLAVEEKAYKRITKRINTLYRF ADPILMREPENHPSQKDDTSSPAPTPAAVPDVTTPRLDYARMYQDITLDFAAFDSSME RLQFLFTANEKDRQHYAQERERIKRDITSVRANIIHLNQKLEQAKETQEQRKQFDKLA DEITKNPALRAREEQKAAIRKLQDEIAELKAESSTYSDTWVERRNQFSRIMDESMALR RLIRDEKEEVERREGMDESNEAEAGQTPRPGTPGGNATPRGESGLKNSIEAGDVVGTP RAMSTAGGRTPARESPAPSTQDNGSFLKPGNALGASFGSGGQSREGTVEHRTEQEERE GRDQGDVEMEDEPPRDEESEPDSPLSPPPADLPQILVDGQGDSMDTT QC762_107020 MAPPTPLERATNSVLRLVKEESYYHKELAHQETRISKLQTEIAA GKPDLDSNAPYMLKQEQTALEETKAVFGPLRDKISEAVQNLEEQIAITESDGAEGKEE ELKKAREAVESGKKVAEQE QC762_107030 MDNAFARSSQEVLSTLGVNPATGLTDAQVKSLQAKHGKNAIAEE PPTPLWELILEQFKDQLVLILLGSAAVSFVLALFEEEGGWSAFVDPAVILTILVLNAV VGVSQESSAEKAIAALQEYSANEANVVRNGQLHRIKAEELVPGDIVDVSVGARIPADC RLISIHSNSFAVDQAILTGESESVGKDSEVVVKDEKAVKQDQVNMLFSGTTVVTGHAR AVVVLTGSNTAIGDIHESITAQISEPTPLKQKLNDFGDQLAKVITVICVLVWLINIPH FSDPSHGSYAKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSLP SVETLGSCSVICSDKTGTLTTNQMSVSKVVYLSASGTGLEELDVEGTTFEPRGNIRSN GKVVTDLVQESSTILQMTQVAALCNDARLDYHSHTDSYSNVGEPTEGALRVMVEKVGP CAPADCNPKDRVHYASSWYEKQFSRLVTYEFSRDRKSMSVLVQNGNSQKLFVKGAPES IIERCTHTLVGRNGKKVPMDRNLADLLLKEVVDYGNKGLRVIALASRDNVQGESLLHK AKSTSEYAQLEQNLTLLGLVGMLDPPRPEVAGSIQKCKDAGIRVIVVTGDNRNTAETI CRQIGVFGPDEDLTGKSFTGREFDNLSHSEQLEAAKNASLFSRVEPTHKSKLVDLLQS LGEVVAMTGDGVNDAPALKKADIGVAMGSGTDVSKLAADMVLADDNFATIGVAIEEGR AIYNNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPATALSF NPPDHDIMRRQPRKRDEALIGGWLFIRYLIIGTYVGLATVAGYAWWFMFYSEGPQISF YQLSHFHHCKTEFPEIGCAMFTDVRAKAGSTVSLSILVVIEMFNAMNALSSSESLLTL PVWKNMMLVYAIALSMALHFALLYTPFLQTLFSILPLNAAEWKAVVAISAPVVLIDEV LKFVERKFFMQTPVSSSAVVSKDKKDL QC762_107040 MVGKDCVAIACDLRLGLQALTVSNNFPKIFQYGDNVFLGLTGLA TDVATVSDLFRYKVNMYRLREERQIAPRTFANLVSSSLYERRFGPWFVSPVVAGLDPK TGQPFICGFDSIGCIDFAKDFIVSGTATEQLFGMCESLWEPNLGPDQLFETISQSLLN AVDRDALSGWGAHVYIIEKDKVTKRLLKGRQD QC762_107050 MSAAHVEVISTDLRRAKVKVNPGTYLVDVLNEACKKLNVNPDKY DVKHKQKIVDLTSPFRTSGLVSGAKLELVQKSKSASVVSIALDVDGKRFTKKLPNDFT LWQTLRQFESSESGLNLTGRATPKKDTQNGGQLYHEAPIVNIMGREYSALEDLNKTLS QCGINSGSMVIRLSFKLTEKTLFEAMSDIGQFLKDVEPDQPKEKEPKPAPVQQEPKVE SSTTDVVEEPKVEGNIESSIPPTETTIPAVTEQEETKPSADLMDVDETQPQPTSPPSE PVDRFLPTSVFVAPTSTTPAAVNIQEDDSAYEPTIAHAQLRQQQLLQKAQNTRLKSDA ELAAIKVEEAAKLAKVTRVEIKVRFPDQTSATWVATPEETGGWLYQAIRATMAHPDQP FKLTIPGPRTHVEDGNKKLIAGYKLKGPQMFNLVWEDGASGEARKGGFLKESVASRAR EIVIPEVPEVGVVGGGSEAGPSGSSSAQAAGSEKPKREIDPEALKKKMGKFFKFGKK QC762_107060 MAPTKKQETEKTGLAKGVNKGTKTIPIISKPRPSRRKGAQSKRT NFVRSIVKEVAGLAPYERRVIELLRNGKDKRARKYSKRKLGTYGRAKAKVDELQRVIA ESRRAGH QC762_107070 MLPVWSPRPSLYLVSSYVLLFTELKKRKDRTVESTPNVLGLFSR LFCSTLLNAEFCCHYANGCGRFKHISQSNNPTRVPSCDTANGCGQRKIFEAFCVTNRW FSVRGTSSSNLAQEKPFE QC762_107080 MILPAFPTALVAGLLPLAAALPAFSAMTDYTIPPAVIKTLMESD KECVMPWGFNITNFMIFTPAPGNNHSQLISFDFFDDPTKIATSCAFNESSVNVAPFDF TPRYPCDDWRVTFMWNNATQGLEVIERACPDVLTTSMEASGSIWVNGTLSCLEDDAKG AYGPGLDCISFRKYEAKYYSLQPTPW QC762_107090 MKPLISPLLGLLYTTTAAQANPISSPTASNKNGISPQLFSSLER LSRLVDISYCVGTPGTGGLSPPFSCSSRCGDVDISGRLELIRSWNTGFLSMEDSCGFV AFDHPGVQQDRKEGKIVVAFRGTYSLANTIVDLSTVPQEYVPYPADPDGDGGDEKGKG PRCNNCTVHMGFMASWKAAREIVVPAVEKARKRYPGYGVELVGHSLGGAVAMLAGLEM RAGRGWEGVRVATFGEPMVGNKGLVEFVDEVFGLKGDVGGGGEDKAYRRVTHKGDPVP LLPLSEWGFRSHAGEIFITKGDLPPGPKDLRLCEGDRDKDCLNGEDGDDEEGEESDKG WFREMVNGLGGNEEGEMWETETGWPTRFKLWQLLFAHRDYFWRLGLCVPGGDPIDWGR GRYNLTESDDEMRDL QC762_107100 MVGYMKEVAKLGGELTVDERNLLSVAYKNVVGTRRASWRIISSI EQKEESKGSDKHVPTIREYRSKIESELEKVCQDVLDVLDEALIPNAASGESKVFYHKM KGDYHRYLAEFASGEKRKGAATAAHEAYKSATDVAQTELTPTHPIRLGLALNFSVFYY EILNSPDRACHLAKQAFDDAIAELDSLSEESYRDSTLIMQLLRDNLTLWTSSDNGEPE ATEVSKEEKTEEKPAEEKAAEPAPEN QC762_107104 MDRSGEFSFRGSTTPHRPTPPLALSEFIRATPYSDDYSYVYDAP ASNPDIVKVGFTSQEQKSLGSQVFQVQADQQDGACESPVDSTTRTAPTARTAPAQTQE QRNTPPTPQETSNPDWLSTRQQLLPSRNQGKKADWIRGWSEGVGMAETYCQCSEIMEV DGGEKGRKKEAAERNKSKAQGFGPTPNGDARQEAEDVCWNCSRPPSPPPEEDGSRAGS ENSKMRPGLGFGKKVTDLLRRVKPNRMSSAHKRDAEIRELTRPKSQPKWLSNQRLVST PTPRPAQKSLSMDIFPGRRPTAQAAVITPPSDSSLTDSSAAPRPTPGRMSSRLARAAA LLQRSKPNE QC762_106948 MDYSTSSSALWAGQPPSPEKSSQILIRDYPHRAIAIASNTHALI LRHSTAANDGADGFGLISARTRTNTFDSLSTKCMVEFSPKSHNLLDDYRPLTPRPIYG TLGLISIGRDVFLCVITQASRVATIRPGETVERIEAVQFFCLNSAEYDDIVALDPYND ADSDAASVYGQGLGRNQIVEHPCQELQKLLSNGTFYYSTDFDVTNRMQDRPADAEAFN IDNFDESFLWNSFMIRPLVEFRSRLQEQAREDLDASRILTSAIRGFCRSWAIPQSSAP LRAGKTGLPSYLTVTSRLSCKRAGTRFNARGIDDDGNVANFVETETTYWSPRGVVFSY VQVRGSVPVFWEQAAVLLPNQQKITITRSADGTQPAFDKHFADLEKAYGAVHVINLLA TNKPGEFELTNLYRVGIHNCPLSRPEGVQSRDHALLRDTEYDFHAETKGPQGYMAANE IRRYIEGSADGFAYYLAQESDESGDNNGSKKAEKSRRYVVVLQQEGVFRTNCLDCLDR TNLIQTIVSQMAVESFLGHRGEYATSDFWSRHSNLWADNGDSLSKIYAGTGALKSSFT RHGKMSLAGAIADVRKSATRLYHNNFTDKARQTTIDTLLGRLVGQAPVVLYDPISDYV ASELQRRSGEFSTNETINILVGTFNLNGRTDGIDEDLSVWLCPPEARSKQPEIIAIGF QEIVELNPQQIMNSDPTRKQMWEQAIKRTLDHNYSREEDEKYVLLRSGQLVGAALFIF VKASVLHQIKNVEGSVKKTGMSGMAGNKGAVAIRLDFANTPICFVTAHLAAGFANYDE RNRDYATIDQGLRFQRNRGIADHESVIWFGDFNYRIGLGLESAKELVRQRDLERLFEN DQLNLQMVAGLAFQYYSEARIMFMPTYKYDVGTDDFDSSEKARIPAWTDRILRKGTNL RQLAYNSAPLRFSDHRPVYAIFECKVDIVNERLREKISRQIYERRRAEVGGETANLAT EESDEEDLIGYEAIEPGLPPSSSDRQKWWLENGKMARSNISPPKPESPAYITILNPKR PTNPYAPTDEPDWVNVPRSESRLSSFSSMSTSPFEHVNVSALLSSSASSTTPRKLPPP FDPSTLPAKIGRKPINEDSRPTQSDAAPPPPPPRRQTGLSTGSTVNNPTAALHQKRVP MGNGLPVLPLTSKPTEPQQQQQPTQQSAQQTIKHKPAPPVAKKPAHLVASSSPPNADD HSSSMSDLKALQDSLETPLPGFPPRRSTSTVSGSFSNGPPSSNVSLRDEFPPPPQLPR RANTGASIASSGRSTPAGGIPLPGLAGQPGGAERRPQLPIRKPLGTAAQPQTVKQTPP PPPAPRKSAMTSTVDLLGDDTGVEVGGWEALKPST QC762_106950 MGDFNNYGGTDEENSEIARLTADVEADTDNFENWEKLIRACESL DGGLNRNSSPQALATLRNSYDRFLLKFPLLFGYWKKYADLEFNIAGPESAEIVYERGC ASITNSVDLWTEYCSFKMETTHIPHLVRELFERAATHIGLDFLSHPFWDKYLEYETRQ EAHDKIFDILKRVIHIPMHQYARYYERFRQLAHTRPLEELVSAEELARYRAEVEGEAV HLGLQKTELEVERDIRGKIDQFFYLTFQNTQTETTKRWTYEAEIKRPYFHVTELDHSQ LANWRKYLDFEEAEGDYNRIVVLYERCMVTCALYDEMWFRYARWMAGQEGKGEEVRNI YLRAATLFVPISRPGIRLQFAYFEEVNDRVDRARAIHESVLDILPDSVETIVSWANLE RRQAGLDAAIEVLRAQIASPTVSIYTKAACVTEWATLLWKVKGSVDEARAAFSKDADA YADSRHFWQQWFDFELQQPTNSEMETKHLELVKRVFTQMCTKSRLSLGIKQELGRIYM NYLQNRGGKEAMKDFLDVDRQMFGPRSVSLDAKAKDGKENGVVPELDPTSRLKAEGRF YNYYELHADPDENAQGLADFN QC762_106960 MASATRTFARALRTSARPAINAAPRAAFRQSARFYSAKPTGGSS SNGLLYGLAGAGLLGGGAFYLYSNDSNVAPKLFVPKFEDYQKVYNEIASRLEEKEDYD DGSYGPVLVRLAWHASGTYDKETGTGGSNGATMRFSPESDHGANAGLKAARDFLEPVK AKFPWITYSDLWILAGVCAIQEMMGPTIPYRAGRQDRDVAACTPDGRLPDAAQAQDHL RNIFYRMGFNDQEIVALCGAHALGRCHTDRSGYSGPWTFSPTVLTNDYYKLLLEEKWQ WKKWNGPKQYEDKKTQTLMMLPADMAIIQDKKFKEWVKVYAADNDKFFEDFSAVVKKL FELGVPFKEGTETWTFKPVNA QC762_106962 MPFKSTYPSINISENVDLWALLFSDDSPYKREFPPTKEILTCPV TGQSYTWSALRDAALAFGNGLVEKWQWKKGDVLAFYTPNSIDTPITTLGALHTGATIS PSNPLYTPHELAFQLTDSNAKALITHPSCLSTAIEAVNKSNLPLDRILLLGPERSHPQ FQHYTSLFVSRTNPQTPVPISPKTDLAFLVYSSGTTGLPKGVPITHFNTLSNLLQASS TEGRQFPWETSVQLAILPFFHIYGLTCCVLLSILSGWRLVILPRFDMLQVLKAIERYK VSFMYVPPPVILAFSQHPDVGKYDLSSLRVLHSGAAPLGRELIRKVWERVKVPVKQGY GLSETNAVVCCQEIGDWERRMGSVGRLMPNMEGMLVGEDGREVAETGGEGELWLRGPN VFGGYWKKEGQEGVFGVDDRGGKGWFKTGDVVKVDGEGNFWVVERVKELIKYNGYPVP PAELEGILLTHPDVLDACVIGFEDKSLATEVPRAYVVVREGIGRIPTKSQELVDHVTK QVAPHKKLRGGVHFVDQVPKSPSGKVLRRIMRDQAKKDARRSGSKL QC762_107110 MASLRPPMLRHLLSSAARTFRASHQRRWAQVHDIRLLATTQQPL NTLEKYREKLARKAQEEGHETIDSLKAAYAEKIQKLRKEADVVVPPTPPPSPQSPLSQ PNSPAQSPPPPPPRTPSKKLDSSGIKPLSSVLDLPKVSSLPEKELTAIWRFHHASKPN SLCAVIPSGTYSQLEATARQNPHFVLPVPHPDQGAEIHFVQWTWDPATNSSTVLFTQL AEYKARGEFASPHTTVTHYKDLAKDKGVVLMQGTVMEDRGVKVQDAQFLVMCLQRFYG GWDGAGGQAGMERAEERRRLLEWFGRGDERFSVEKLLEEAERMG QC762_107120 MFFKATFLFSLLATSLALPTGTGSRNVEKRGILQVQNYSQFQVS GGVAGNALAEVNAKFPINLNNPGSVDAEDLAILKAARKTAENAETKAGGFNEAIEAAG GEKTTTGRALQNGKIKNKVLKLQLQVMIAQIEAAQGKDTAAKLADVTKKLNKNVETDQ KNAGQLSTTVNFQGTSQP QC762_107130 MRLLISVAHKQVILEENDTLVRWAIRHKHNPVAQAATDERKFTE PLMQSIVHGDSDSAMKLVGEIPWRYEEFNYQLWAIAAKRPFFCSGQLLNNMLRQAVIL EKFKGPEVLGRLLKTGIRLDNIALLRLLEEHKYDLLSLILKENIDVECRSVEGGQTLL YLASCGLSHGIVEMLLQAGANVSSVEAIHGETPLIGAMRRQRLSTVELLATHGAELDA RDRHGDTALTKAPHYGTVISSKSF QC762_107140 MAGDRIAASLAANPHSDRGDKKRVVTLGNVRLRDQDTNEIILIP TPSSDPNDPLNWPQWYKYYMATVICLAMMICNFLAAGPSIAMVNITMEFFVGAHPGRN PKLFHEAVAKVAYFFTTCALMQGIGNFFWVPVANKWGRRPTYVFSYLIYFASAVWLCF ERSYGGFLAGRVIMGFGAGAAETIAPITIADIFFLHERGTVMALYSSFLAVGVAIGLI ISGVITIDHHWRVIFQVASALVGLVLLIAFFAFPETAYIRETPPNSDDSSSTGTPNQR SSTEKNPTATISDPESRRVGSSPLPKKASYLSTLKIFHGTITHESFFKLTVRPLGLIC LPPVLWAALVEAATIGFLVAMTSNVEIAYEATYRFKSWQVGVCFVSALVGSLAGIPLG GWWGDKVADYFTKRNNGIRDPEMRLPAMIPAMITAPLGLVIFGVGIEKGLHWMVPNFG IALLNFAIVQGTNVALVYVIDAYRPVAGEITLAVMGFKSLFGFLLSFYTNTWVQQAGY LNAYGTMAAISAAVLVCWVPLYFWGKTIRHVTWGWPVIGYIHWSEDREVGE QC762_107150 MYPIRHLIDPPTSNPPRGQPQPPVVGIDPNASLFTPPVLPHSTS AAGPLTGTAPASAPAASPSPASFSHPSSSINASTTSQPPQQQQQQQQQQQQQPPQPQL PTSLYQCAHCLRRYSRPEHLQRHIATHTLGKRFVCDICSKAFARADLLKRHRTNHQDD NSNKRKRLSSAAPGAGRVAHACQACAKARVKCEEMKPCTRCKNRGITCEVASSEDAAM HLLHLSANAHGFESHLPPATSPSASSQYPQPISAVAPEFQQPTFNPALKSFASSSRYQ QPSLASNSLTPDDRQFKEESQLPTPETLMDQNNPDNLNRPQATYQNQGLATVEQDLEK APFSEFLRDVLYDQSFGNSARMAEAQGLAVLDFCDDVNLDFREFDFGLLENWNPDATQ HVPDSTTQVDNSAEVAAMRSTLVKIWTESPWRWVPKRTDTGYNEQSNLPLLSRDVHGS KALKPDRVVKDTLHSSNRDKILAIVLSTCRENSMINRVASSFPSAEMMDTWIHVFLAA HMCQVSSWIHYGSFSMNHQSPEWLAIATAAGAVLAPVTTLRRFGFALQEAVRISIPGR FEENNTNIGLLGPVQALMLVQDVGLWSGNRRKMEIAECHLSVPMAMMRYRGKFTKTAY PDVIIHPSDEGKVLEEKWKKWYQLESWKRLVFHAYLRDAQVSMTQFNNPSMSYAELTL PLPCSKDLWFARTAEEFKIRYLESRTNCEGNKRPPSLGDLFRDINLLATNHHLLDVQY AISIYLHGFWSLIWEYRQLKSILSSSPLPTTDPSLSPEMLLTQRHGELRRQLSLFQSV TRGWHEMLSAQESMILHLLQMNLHVSLIDLQLLTGKEGEDQARRVYPLLQKWCLESSD SRQALYHAGQIFRWGRNFPKGHLKDFWAIAVHHAALCLWTYGIIIRASGRRKGGGMGA PLVIDGEGLEGAGLEEWLVYGGEGREVAVQGMGKRGVVSVEDPRGVMEVARGVLEANF VEGGTGEVKEGGLPPVSENIVVVLRQLGNAAWAVGFG QC762_107155 MIIHPPLPKLTIYPPLPPSPLLHSPLLSSTPPPPPPPPPTILSR PKSKPQLHTHLHHQTYNSLLSLPLAFSLSLPSTLLSPSNLRTAPLELNLYFPHNRSCT LLRDLDDFFTLKNGCGWVPPDEGQSAAEQVAERIDRLKELLFQWERIIPLHGPQDQAG IDEEGWKEWWEERVHLSQRKVDEEDETDEEDVPFVPDCRMDEEDVPEHGKDAVDDDTD DEDTPFVPDHRRTIAIDGKQTVIIGIDPSDRPAGVPPPSEQERRKQIDAINKARLRTI RGRNHHHRFKTSELESLLQQFLGQVLQKEMGARLAGARSDNTSLEHFLRRREGDCGGR QC762_107160 MALQAAYKQFLAAPTPTVLAQDASLHYITSTTSFNGPDSIIKHF STFRNQSKKKKEDVLFVIEGQNAVVLEAELVIEFISSGGPYLPGLDDNFLADRTVSFA VTHIVLFDADGKILQIRQNWDQGSLLKQLDVIGKSGRNWPIRDGKDQVSLIAKCVKGG GAPGFTTDLPMHNRTKSTNPLRDPHASLALFAPREEQEEVTVISPYAGRRPTQRSFTE ILGDEPEEPASPSNGRERSVSPSKAKAGVSKNFQAVRLFDRDDGTAEADTPENGRSPE RVIRPNPKKYQHFDFDDGHSQEAPKPAPAPAKSSKHGASWGFEDFVTPQKPTASRTLH KAREARNWSTEDAITEEQPAPKAQQAKGRITAEAHFDFVDDGETPAGGRFRGPPRGRG QNEGQHLYEMNLYKEDGSAPTPGPAPLGNITNQAGRHKSFDPHFEMTDESPRDSNDGK DKAEKLGDDRKKAVRMMESNWETYDVSPAALKENNNPNGKTRGIVTAGDGMGNKKGGW GLAEKKERGINTAGDGMGGRKGAGRGWALGDESDEDAPTQPQKKGGRGPPAKAESFWD F QC762_107170 MPKPNTPHPSTATTLNTSGGQRSSLLRRDFLSSYPEDDDDDSNS TTAHPHTPSHYVQSWVNSFRRDPGRRITPATVVHGVGNRKRSSTIAGGSSRGGGNSGG GGSSREEKERHIGGHYFDLHAANVNTANTQLSRELKGRHLQMIALGGTVGTGLFVVSG STLTAGGPASMLLAYAFIGGMLYCTMQALGELAVAFPVAGSFSAYSTRFLDPALGFSM GWNYALQWLVCLPLEIIAGSMTVNYWREDIHRSVFVTVFLVTIMVINLVGVRGYGEAE FCFSILKVIAVIGFILLGCVINIGGFPDEGYIGGRYWKDPGAFNNGFKGFCTIFVTAA FAFTGIELVGLAAAEAVNPRKSLPTAIKQVFWRITLFYLISLALVGLLVPYNHPDLLG AESFADASSSPFVIAIESAGIAILPGIMNAVILVAVVSVGNSAVFGSSRTLAALADQG QAPKIFGYVDRRGRPLISILIVSAFGLLGYLADLDQPSAVLNWLLAVTGLSSIFTWAS ICLAHIRFRKAWAVQGRSLDELSYLSQAGVTGSWIGLFLNILVLIAQFWTAAWPIPPT LPDPDAVDEFSTAPEGARRVVPTVPTGNGSGVTINNQGDVVHNFFLQCSCVPIIILFW AGYKIWFRTKVVRLEDIDLDTGRRRTGVVYWNSHSAGGSGGARARCLPVFSLLTKQEL EWERERELRGMPRWKRVYRYLC QC762_0005220 MNVRDPFNIRGLNRDPTANWRPKRNTTTHDTSNITTTLQNVIRT AAAETERLRDKASEVLEASTSLLPTTNTPTNTSEIRFSSEPGVGDQEKEDMSSFSIPK NVPSFSNPQRQYEDRLWAAATNKNSKPGNILSGVQDIISGGNRAALPMYKDKPYMYPP GRGGYGGGGGGFRPRRKRTLGLLLLVVAGLVWWSGVFSGEQEGAVVSGWGWWGQDTGR SRANWLKRRERVVEAMELSWDAYERYAWGMDEFHPESKTGKQMVPKGLGWIIIDSLDT LMLMNLTSRLSHAREWLAKDLTWEQDEYVNTFETTIRMLGGLLSAHYLSTTFPQLAPI SDDDPGKPGEDLYLEKAKDLADRLMAAFDSPSGIPYASVNLKEFKGIISHADSGASST AETTTLQLEFKYLAKLTGEKDFWDKAEKVIQLVDDNGAQDGLVPIFIFATTGKFHGEN IRLGSRGDSYYEYLIKQYLQTNKKEPIYQEMWDEALQGVRKHLITYTEPSQFTIIGER PSGLSNELSPKMDHLVCFMPGTIALAATGGLTEKEARGLPTWTDKNEADMQLARELMH TCWGMYKYMATGLAAEITYFNLPKEPLPASAPHQAPAEFDPDPEAEWRKDFDVKPQDS HNLQRPETVESLFYMWRITGEEKYRDWGWEMFKSFMNYTAVEDGGGFTSLSNANIIPP RTRDNMESFWLAETLKYFYLLFSPNDLLPLDKIVFNTEAHPFPRFDMGPLFSTGWKRK PRDAAGKIAE QC762_0005230 MGTYQTYLTVFHFKAATLPQPTTWWTARWWRPRMLMRLCSTRSQ SQRQVLGRSCMKYISTQHIKRPVCGSVYTTSPLMSKLSTSILSFDVSYQTSTKMDSAV LGKLVASRPTITQLQGSPVSLYILVFLVKQCQHLTAPRRTISWYGWASWGVVSAFGFR QRWQSNRSRLSPRSCAPTYPRCIMPTWRVSFIVAIILQFHLCIRVALFFFLLLFPWPF CPARFSSGVRHGMIRRGWLGGKTAGGHICRRPW QC762_0005240 MATRALPPGLPAKVASVPPNQTLYVTNLPSNKIQKEDLRTALYM LFSTYGAVLDVVALKTMKMRGQAHIVYKDIQTATQAMRSLNGFEFFGRELKISYAKSK SNIIAKLDGTFKPPAASTAAQVEVTDLQQSIFNAPLPGASANVTSRGLPPKPSASDHA MPDAAAETRGTKRPREEEKKEEESDSDAEMELEDDSDDE QC762_107210 MSAPEVHHLFHNPIADHSFSADRQTLAIARDTTVELYGRVGNSF KLKDELKGHDKTVTSIDIAPNSGRIVTCSQDRNALVWEPTPQGYKPTLVLLRINRAAT FVRWSPNETKFAVGSGDRLIAICYFEEENDWWVSKHLKKPIRSTITTVAWHPNSVLLA AGSTDAHARVLSSFIKGVDARPEPTAWGERLPFNTICGEYLNNSAGWIHSVAFSPSGD ALAFAAHDSSITVVYPSAPEQPPRAVVTINTQLLPFMSLIWNGEAEIIAAGYDCEAFR FKGGLNGWQLSGTIEAKSRPGLGDAREESALNMFKQMDLKGKVKDDTQLKTVHQNTVT MLRPYETSGDAVAKFSSSGVDGRLVIWNV QC762_107220 MVAKGVDMEALSPRDANAQRLPRTELKTKAAAQVLKAAKDKEHP PPPPNNVVEPPSSDRREGMVYEVGKALGKGGFAICYEGAPAGSSKKFALKIVKSQMPT KMEQKFQTELQIHSKMRHQNIVQFHRAFTFERCTYLVLELCPNGSLMDMVKRRKGLTE PEVRFYSVQIAGAIKYMHAKGIIHRDLKMGNIFLDKHMNAKIGDFGLAALLLTGKDMQ IMRRTTLCGTPNYIAPEILEKGKKGHDHMVDIWSLGIIVFAMLTSKPPFQSSTTDEIY RRAKDRDYEWPAPSAGRPFISQEAKDLVATMLQEASQRPDPDTIVGHPFFTSGYMPSS SEITPKLREIPPQSAAFYEPLSSSKAQAINLRTVQEMCQECGVGPWQQSQLVFKNIWR EMAEEEHYGLTPVIPLAEGIVYRPFDEVRNEQKLQRLAAQQDRATQQATEKLSQLSVS DDLSGLSQSTQSQSQRVPSGLLRAPPQSFAAQQRAQHRPATTVAPAPVPLVRSQTVPE PVSRTTTSSLRARPRRELSAPVSSTAETAEEAPKRTSRTLRSQPTRSRTTAALPAEEA APQTRPSVSKPAKPQEEMLSLFGPTECQEHVPGTQPDVVLDRLQKLQAELERALNART MAIVSTKEQTPAPPQIVVKWVDYTNKFGLGYILNDGSVGCILRSIPANEGSRSGVLPP ACLLVHGAERHCQRKEDPTYRDRHQIVPMNEGIYFYENNGEDGISRVRVPPQNFAVTV NSEGSVGRLSAGKDMYDHRKRERVVLWKKFANYMIAYGREMENPEEVNIRPPTITDLD AAPNDVVTFYQRFGDVGCWMFCDGHMQFNFPDHTKIVLDSTGTWCHFWHLPQDAAKRL YETGSLAESALDDRSVLSYPVQTLLNFSTVPKQPAPRAGTRSTSSSSRRRPEISPEMQ GIPAANMFRQKIEFIRDIVREWNGNGGIGNSDMTRERRLRWTGMRETKNVQIPAKHVW VSIGARWGDQRLSAYVDPRRPEEIGEDIDESKKR QC762_107230 MYRRLATRYCSAITPFPRLSRPRSLTQQHSSSPISRDSQISWPT GGQPRFAMVSLPLFKIAALFVRHISKYGANHIKHQAHEHPRFRAFAARYGQVIHQINM RLSVATMRNTEAAQKAKEKAEAPTVKTEEQVKREEELKAKYGTTPRDSHPVKEPPKSI WRRQFRALPEAKAVDLFADVIGDAFILAIATGLVTYEYWRTSQKPDKNKEMIQELEKQ VEELKQRGEELEEAEKQQRERVRLIEEALRAFKDPKTKQPLLATPAA QC762_107240 MRNHHPVETPTSLVCSTLRSRQPWTTVATMLLQSDSRKWRESTL QSHDISLVVEQEHAEVFQVYKFILISPDDVETGEPTAMARITQLGRDLGDAKAGVIFL LDQENEKEHGDAIKAFMSLQIKLMDVHPSVPILPLTSFDALPSTLKTFQRGYSEGLDD GIQQQVNIDVSRDLLGCCSAGERQLSRQAVDTMSQSGEFFSFRELLVDGQLAEREGQE RMHDVLGPEDGKRFVRFWLR QC762_0005300 MAKSMAGDMAGRFKELLDAKLDYGPSSDYKSPYSEKFLIRCLDE LNSVQAPPSSSPRNTTSSTYVCSSSGMNTRDRYSSSCVADREAAEHVQTRSAPPDNSR FDAI QC762_107250 MGKLIKNHWARLIILASATYQIAAAIEGYFWPKILWDFLTKTLD GAVKPIPVLQTINLICGLFLLAWEWPLNFIAGTSIHRSLEARLAFLPLSALAAALLYQ GANAAIYQVIGLGVYFWAYSEGEIICAKPWTLPQRGGKGSRA QC762_107260 MTRGEATRAKVFFKGEHDDFVVVVDSAEDYQKWVSDRSTPLAQV VSSFKVFATHRHGPHGRLEGASNALLENEFGTSNEDEAVIKILEKGTLQEFEVCET QC762_107270 MSLTDIHTISFSSLLPSGTLHGLTQSDRDRLTDYAEGETRAERT KDWCALDKIDFMDAIGAMGTVVQEQDLEKKVFIHQGTTEAGVCQHQPPASEPIKPFQK WIKTLHKRALRRQELFGGDGNNTPCCLDMEGRSSMTWDSGHYRHSSDSSFNFVSAVKS ASISLTSVSVLTRSRKNTIRSSRGHSRTDRSSRASVSGARLSEDSFCQERQVVLDPAV IERSLQRRRILEELISTEESYIGDVRFLMNVYVTILASLPTLPVAIRSSINQNLTDIV ELHEEMLGELHRAVPHSEYSQLDVPLQAPQANQAARGHQRWRSLDAVPEDKDGVAWLR DVPGLLAEPQTVAEVAKIFKNKASGKPQMNRFFIYEEYGAKYELMIKDVASAHRTMPG WQSYQKGLEVLAASVGSIDGQGDHSKKSLTIGDLLVKPIQRVCKYPLLFSELLKHTPV VDCPYSHMEIENTLIRLREATAEINRATDDPRVRTVLEKTWILQDRLAFPNQQLDATT KNRIRSFGHIQLCGALHVCWQSKEGVNGQYMVALLYKEWFCLATASKIDQVYTIQACI ALSNIKVEEVDNGRGLQCHTAPYSWKIVFLCDNQLYELILTACTPKEELEWRTRLSSR TLSVPDQDLMQPAIFSSLSLDIKTLGTVFRKPGTIARRISIHRATTVGPRSPLCQVIL KNTSVIKDAPTASYSAQINRSQSLLATNHRIPVLAPSRGERARLEALMSDVWTRDILP FPGITARSRSEHLVRSSASSVMRKLSVVSIASSFTKRSASLASLQKNVTTEEEVTTRN NKEGGVVQTAAVMATTTSVRGRSEDDCMSFLSVIPDEAERSSSFLLATGPEQQWESTA TEVFATTTAKERVGDITAETTTRTSVFYGGERNQSRPCTPMVGVLQPSTNSSRRPSLQ KSHFSEASLSREDLKKENRLTSPPDSVGKTSSRSQKLASRWAKVGVLHREAVVGIRSF LFR QC762_107280 MHNSASQSRDGVWINCLHIPRNRIEGVNVGVSGCWIDETGLTGG DCCRGYPAVPPERDALPGWWWGGGWRGGSLAQKAPWLRSMIATPPNLNIPELQSCEIR RTRHWWEADLCDGSFPILISIPANHRHLHFSYHQVSPNIMSNLHAPTVPSGPTTVPVT NGHATHLSIQELQRKKDNIEAELRALGGVLDSHGVDMNTSLLTHDGFPRADIDVAQIR TTRARIIHLRNDWKDLMALIEKRLHEHFASLEDNDDDTTVNDPNSVNVALPQDSVPET FDPAFAKVNTVVENSPAATAGLKPGDLIRNFGYVNRENHDGLRKVAECVQGNEGQNIL VKVSRTNGGGGGHAQELRLTLTPRRDWGGRGLLGCHILPL QC762_107285 MVIDSQTPKLSTKLLRCQLQTSLCEPHGEQTPLFAAGLGTAAGV LRHVLQKQIISRVGAYLGAYHTL QC762_107290 MAEAQTIPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYMATLGV EVHPLAFTTNYGQIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPN WHRDLTRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPF LWLARKLVGNPGLEFVAAPALAPAEVQVDQALLAQYEAELNQAAHEPLPDDEDDL QC762_107300 MKSSPSKTLLSSLLAASFATLSLAQLSVPATLPGQWQYEGCYTD IPGRTLTGGGYVNGTHMTAETCISYCQTRGFKFAGTEYSVECFCGKSIAPAAAQVADS VCNMACSGDATQPCGAGSRLSLYSTTEDLGPKANPGVNGFTHMGCYSEGTTGRTLTHG IGSIPAGEMTVAKCTAACAAANYILAGVEYGGECFCGNTISNGGAPAASGCSMTCNGN TTEFCGGPSRLNVYNYQNQYTPSSTSSPPAAATTATTATGTVSTGPPPPPSPTPSGPS QPAAVGDDYVWYGCYTESPGPRALSGATYASDDMTLESCQAFCSAYTYFGTEYGRECY CGNSFTVGSVVAPAGECSMLCAGNPFNYCGAGNRLSVYARNGTSIPSGTNTSAAPTTT SPPLVVTGLPEGWSYQGCWIDGAQGRILPVQLVDSPTNSQSECASRCVAGGYKISGVQ YTQQCFCGNAIFNGGVTTSESQCSMNCPGNPTQKCGAGDRMNIVSEGEPEIYQPPAPQ VRGLNGSWEYQGCVEDNVNNKRTLSWQLFFPGVMTPNMCLNRCREFGYAAAGLEYGEE CYCGDPPNIAAAGATFRPETECAITCAGNASAICGGLGRLTTYFWTGTPLYSWGFPQD YRAGQYQHLVNGVNCPLITQETITGKVSFISKGGTGPGNETGVYELDMQTLTFRELHI KTDVFCAAGVTLPDKAGRQLNVGGWSGESLQGTRIYWPDGSPGVPGTNDWEENVWELS LQRGRWYPTAMIMTNGSILVIGGSIGANDAAEPTIELLPATGAAPLEMEWLTRTHPNN LYPFLSVLPSGGIFVQYWNEARILDPVTFATIKVLPNAPGAVNDPKGGRTYPLEGAAV LLPQRWPYTDYLGYLVCGGSTEGTSNALDNCVSTYPDAPNPVWTIERMPSKRVMSCMS PLPDGTYLIVNGAQHGVAGFGLANTPNLNAVLYDPTKPVHSRMTVMANTTIPRMYHSE AITLLDGRVLISGSNPEDGVYPDEYRVEVFVPPYLLNGLPRPTFAITNKDWTYNQTNI PFTLGVAARNGPITVTLLASVSSTHGNSMGARTLMPRVSCAGTACTVDAPPNVNIAPP GWYQMFVLDGGVPAIGKYIRIGGDPGQLGNWPEGPDFSRPGI QC762_107308 MTDQHPPQDDNTTTTNNNNTHRPSFVSFWKKGKELALGQGRKKK EVKFVEAGGSAVGSGGEQSSDNQGNDGSGVPESKAAHRRAQVRRAQIQHRQRKANYVK ELEQEVAKIRKQIEDVDKERRVLRVENEGMKAELRLRNGVSFPGPQPAPPQQQQGVAG PWYMSEEMDFTMTMQLGYDEVLGAPCYMVSGLSSPGFEAVTKATTAATAIPNSSILPT PPTTATFAPASSSSITTPTKNPITNTPDHPPELPYMTPPQIQTAINFILALEHTCRTH FHPSHFSPSTSSPVTTPPFHSSQGHSLTATSLALSSAPSSIFTAAKRTQLFPGSGINL KPSSSGTESLEWENSALTLKNLYRLSKVLEKEGEDRTEITPVQAWFEILAKYGVERVM ERVERLKGELGGRRVVRCPHFGARVDRGEWEVVVEGVMG QC762_107310 MTTNTPLSRLAYAPTVASSPIKPILRKPTASVLGTRSRADDSDG ADEEEHPMKRQKKTVVFNENLNMVREISGKSFEDAKREVRQALEGKARGDEQDYDNLK DLFAPSNRNSSPDEDEEDPRHQELLGYVVALTGYVPMLGRSCTGLVRSVLRCSWLDRD ENFAKAYIQLLAALSSVQASFFAEILRMMVEKFLETKSSSAVPGFPPVDLETRKKRLH AGIKYLLDLFPAGSKMIIKLVTSKFPYTDEPKAVHMSYIDHLLRLKTSRPDLERDIME LILAQLVKLDVEMTLDLENDEDDTTRAVMRSIQTDAKDDQEDDESDDESVMSDDDDLP EETKRVIKIKNKLETLDAIMDLVFSIYDPIFEKPDSDEAVACFENLLSDFKNVILPHL KSRHTQYLLFKFAMKSDQLMEMFLGLLLSVAFSSTEAPVIKQAAAAYLASFTARGARV QSHTVQLIVSCLLDYIDYYRETHRHCRGPDVRRYSLYYASFQGLLYIFCFRWRDLLDQ GALPDNVDWDDPASFLGQDLPWMPDLKKRMHANIASKLNPLKCCSPVIVEEFAQLAHH LGLMYIYPQIEKNKSIHLSQFYTGSYAQGGALRDTGFEFDNEKWTHLEACFPFDPFQL PIARRWLDLENNYVTWSPISVLRKQGGEATDEDEEEEDSDEEGSEEESEMGEEELEDR EELFEEDTATDDERAD QC762_107320 MSDSPQSNSKDVEHGAPSPEDEAQMNDPQDPLSSGHAYEFDVKE QDRWLPIANVARIMKNALPENAKIAKEAKECMQECVSEFISFITSEASEKCHQEKRKT VNGEDILFAMTSLGFENYAEALKIYLSKYREQQSTSNRDGQQNRPNSQGYGAPGQSNP GGGFAGGDLGGQPEGADAQGYNIYGAQPGHNGAPGEY QC762_0005420 MELVVMVLGVLGLTGVALAWRTRLTRIPHSLFSPDLQKHSSHEA RNNLSCPVPTPQQPTTAIASPFGVTATP QC762_107330 MINGVLVERTVKDVIPALQTNAEGLKKVLDDLVKQYKTKQDELE KWKESSKDEGLDDTARNGKLLRSPLWQDTSRAL QC762_107340 MHPLLHTKDNVACKDLMIALEQCHMRGFLWKSMGMCNDAKEELS ACLRAERWKTQSFNRSGVADKKDKIRQAWKDVDENS QC762_107345 MGLEFPAYVLSALTAVGGTIGYVKTKSVPSVAAGTAVGLLYGLG GYRLQNGQPLGLELALLASVVLGGASIPRAIRLRKPVPVMLSLFATYGLITFGDAFRH TL QC762_107350 MSGESSLAAVTAILKSLATDPTTPPLLNLRVNTRFNIPGADTSE KLELELELAALVLRVQHLQARANTTTSSLLPDTPDGNGHLSLSDERARAGNSGYAAPL PRDELLDEALEGLREHVDDQSKLLDSQRQELDGVNAQLLEQKQLQERALAIIEQERVA TLERELWKHQKANEAFQKALREIGEIVTAVARGDLSKKVRMNSVEMDPEITTFKRTIN TMMDQLQVFSSEVSRVAREVGTEGILGGQAQIEGVDGTWKELTDNVREIASVTTAVAH GDLTKKIERPAKGEILQLQQTINTMVDQLRTFASEVTRVARDVGTEGILGGQADVEGV QGMWNELTVNVNAMANNLTTQVRDIIKVTTAVAKGDLTQKVQAECRGEIFELKKTINS MVDQLQQFAREVTKIAREVGTEGRLGGQATVHDVQGTWRDLTENVNGMAMNLTTQVRE IAKVTTAVARGDLTKKIGVEVQGEILDLKNTINTMVDRLGTFAFEVSKVAREVGTDGT LGGQAQVDNVEGKWKDLTENVNTMARNLTSQVRGISTVTQAIANGDMSRKIDVEAKGE ILILKETINNMVDRLSIFCNEVQRVAKDVGVDGIMGGQADVAGLKGRWKEITTDVNTM ANNLTAQVRAFGDITNAATDGDFTKLVEVEASGEMDELKKKINQMVYNLRDSIQRNTQ AREAAELANKTKSEFLANMSHEIRTPMNGIIGMTQLTLDTDLTQYQREMLNIVNSLAN SLLTIIDDILDLSKIEARRMVIEEIPYTLRGTVFNALKTLAVKANEKFLDLTYRVNNS VPDHVVGDSFRLRQIILNLVGNAIKFTEHGEVSLTIQKASHVQCAPYEYAIEFIVSDT GIGIPADKLDLIFDTFQQADGSMTRKFGGTGLGLSISKRLVNLMGGDVWVKSEYGKGS KFYFTCVVRLANDDVSLIAKQLTPYKGHQVLFIDKGRTGHGSEIVRMLKELSLVPIVV DSEKSPALEKARTQQNSPYDVIIVDSIEDARRLRAVDDFKYLPIVLLAPVVHVSLKSC LDLGITSYMTTPCQLIDLGNGMVPALENRATPSLADNTRSFEILLAEDNTVNQRLAVK ILEKYHHVVTVVGNGEEAVEAVKRKKFDVILMDVQMPIMGGFEATSKIREYERSLGSQ RTPIIALTAHAMMGDREKCIQAQMDEYLSKPLQQNHLIQTILKCATLGGQLLEKNRER ELARAADAVTGGRRDNAALNAYQQNASSNAGAAAAAAISAASHVRPSLAATRGMTASE ALTAGLESPSIVTADAEDPLKGGVGRSSTSLSEPNIHHHSTTAPRGQK QC762_107355 MQYAFFVTMGGLTARHQESDIDEGHKMRTCIISVHLAKEVADQD VKALMLPRSFKMHQSKADFFKKSLVVIQVSWVIIECAARKVYGLPLSLLELRIMVHVV CAILMYAFWFNKPLDPQGSYEVKDRNAMRTIHKVAKEQTHFSIETMAIFSTFSTNSYP KTPSTSSSKPWPMSIVTS QC762_107360 MAFRSLSHHSRILSRLLPTVSVPAVARVTAAAPTTTTFAIRTSN PLRTMASTAAEVAMPPTEPDVTIQETTSPANAVGRTPEEAAAAENAVKWCISSLPKDV LARRVPIPPNGVDYRGKIVLAPMVRSGELPARLLALKYGADLVWGPETVDHSLIGTTR RTNPRTSCIEWTRPPSQAHNKAGYDENVIFRLDPTREKGKLVFQIGTSDPDRALAAAR LVAGDVAGIDVNAGCPKPFSTSGGMGAALLQTPDKLVAILENLTRHIIPEFGIGISVK IRLLETPEKTEALVRRLVGTGITGLTIHCRTTPMRPRERAIRGQLRMIGYICREAGVA CVVNGDVADRRDGYKLMEEFRVDGAMIATAAEKNPNVFLKEGEEKTTWEQYARELVRF AMEVENKMSNTKFTLSQIVPGREPVYKTMCAQGKSYEELVKVMGFEEMMEMARRTDEV LRLGGWAPKKEGKGKKGQQQQVKGKNEKKRKSEDEVDGAKEVKKEKVVVVAEPVAQQD GPALAQAA QC762_107370 MSWPDIPPLILVGHSLGGAVVTELAYQPLLPPSVSLLGYAVLDV VEGSALDALQSMQTYLSTRPQGFHSLKEGIEWHVRSRTIRNSVSARVSVPALLVDTTT TTTQPQQQPPSTKVSKPWKWRTDLSSTQPFWQGWFVGLSKKFLGQGATGLGKGAGKML LLAGTDRLDTELTIGQMQGKYALQVFPEAGHFIHEDLPEKTAVALVDFYRRNDRSALV LPPKVSELLAQGRRV QC762_0005510 MMHNTFVGRNQLPAAEPPKRLQSTVQGSSTQRPFAAKPVVEAQE TSGVNRLVKGSPNCSGVRDEANRT QC762_107380 MKTWIFRAGLAGLALANGVLGADILETVGFSNCNGTAAVSVQRV NIKYNNEDKSVTFDVAGSSSQIQNVTAVLNVTAYGQNIYSNAFDPCDAATFVDQLCPV PAGTFSAKGTQKIPEEFANLVPAIAFQIPDIAAMATLQLQSKESGERVACLEAQVSNG KTAVVPAVSYVAAGVAGAALIMSGISAAGAVFSGASAAASGASAGGMGTISPSFTEVF GWFQGMAMNGMMSVNYPNVYRSFSQNFGFSTGLVPWTQMQLSIDQFRNMTGGNLTEDS VEFLRGATLVYPDGSSSTPSGSALVKRAFDNFVHLARRQIEGIETSVNTTEPGVVPEG GAPTETIRVAVTGMQAYVQELSVPKSNTFMTVLLIVALVIAVIVVGVLLVKIILEFWA LFGNFPKSLSGFRKHYWGSIGRAITHLILLLYGVWVLYCVFQFTNGDSWAAKTLAGIT LFLFTGILAFFSFNIWRTARRLKKQEGDVAGLYDDKSIWVKYSLFYESYRKGCWWIFV PTIIYMFAKGFALAATDGNGMVQTSAQLIIEGVMLIVLIWSRPYERKSSNVINITIQV VRVLSVVCIFVFVEQFGIAQTTQTVAGVVLIAVQSALTGILAILIAWNAISACCKKNP HRQRRKEMEKMQRDTLTPLDARNSLLLDREKTAQSDLTDSFSLLKTGAPLGTVDTKQS LTRPVSSASSRYTPPPSQPQHTRDGSNDSSYFRSNNRESRQPRLPISVNGTVYHSQPN PYGGGAMGYGGGGHGPGGGYGYRG QC762_0005530 MKMSDGEGSNRTSPPRTGQHRSSRSGSQEDSRRSSEPPASRGQR LGSVVSMSESQYSTATTPGASHASPRDEPIHSPTISKTERHERSPALNSMEAPSQRTQ NWRDEPPNMQRHLPSLSDVFEGQRFPGGMHSVEMNGYRFPREPLPSPNGHPGRIEGGD NRPPTLKSEQSTSGSTSSGSPFGQPRTPVDGQLPIHALLTSKPDHFDLSQPQHQQYPL QQRPPHIFQGGPYPSGAGTLPAINGYARPVLSQPPVTAQSSSAGYPSLASAQAPAHPR QSRHPHPQAGNPDAARLDGMSALLQAGEIVNRRAQ QC762_0005540 MTQLLSTVFGELGLAQYLDAFLEQGFDTWETILDITESDLRIAN TRGIAPDASLVSPTQPSIEELRLQDAQRPDASRQDARDAGVLVVTKRKYRRHPKPDEN APERPPSAYVLFSNKMREELKGRNLSFTEIAKLVGENWQSLNASEKEPYESQAQAIKE KYLSDLAEYKKTPEYKKYMLYLQEFKAKHGSPPQGMLRKYLHLG QC762_107400 MASPNSPPENGASETEPPRNENSSSSSSSSPLPSASVGENLAQE FVHIPPLHPKSFGTPVQLLATREEEKPQFKDDEISLYYGISPDLDGGPAKHRSGEQTA TALENDLTKLESKLDEILASLGVNIDDDLEEGKDSTNADKKSNGEVDGKK QC762_107410 MPRVSAAHRRSVSRVPSGNMAASPFKSPVKMPLNDDAQEKAQRS HGRKALHEAHVNQLRQAATEGAARATTPRRQSLALVDLDGVDSPDNSQSNPRTPNNNR RRRHSGRENFLDDENDVVIGGKAVTPMKRVPILANFEEWMKMATDNKINAANSWNFAL IDYFHDMSLLKEGDGVNFQKASCTLDGCVKIYTSRVDSVATETGKLLSGLADSRDSKR KKGEEEEDEEEDEVDEDGNVRKKAKKKTQRSSEATLAPSFASLQLKKFELEFAVDPLF KKASADFDEGGAKGLLLNHLMIDSQGRIVFDSSDDANDASTSRTRKKKAEEGGVEGGE DEGMDGSMQDQEDTEMPEEEEDEGDIEIDVVGLGQRFFPDLSRLDEMDVCPSLKEFDI GDPSGSLDIPFLRAPEDWRDQEKEKTPGAGNKSEMYIDDENPLGFDDFDGLGTFDLGG DVAFGEGGEAWAREAALEPQMMRVFDAGLGLGNDGGEAEGEGDAVDLMDTANPDYVIS MTHAQKADKVHEDILSYFDQALQKNWSNAEHWRIRKIKDNNKTTEPAKQRKEKQPFEI DFASPLDPASADAIYTSATSNTTISMAKKDWKSKTRNLLPDDKHFNSKQLLSLFLKPK ARLHSRKKLTSGHHDAVSARRAEALDSNGPVELNEAFWASQKAPESNPLSDDLPQGDY DANFFQDDGLPFAGGDADDDDMDDEFADAREHFSPDLPLGNQGGMTEVGMTGAFGGMT VTNPADLAFGTMLVTQSRRVRPEYVQYARVAKKVDVRRLKEEIWKGMGLEKLEEAPPP APTPESPTPEDPELKFTDVMNGLQRVYPKPVMDDISTSYCFICLLHLANEKGLVISKT EGLEELTIRKDWGAEISIGGE QC762_107420 MPPPLRTRSRYSSRPVVTAFLLITIITTYYALFSPASDIASRQQ LHRRSSKLVARHVDDNVARVENVDCRMVHSAEDQCAFILANCEDDEAGLVHYLSFYYC TLGGAKPVAFAILASWLGLLFTTIGIAASDFFSVNLSTIASVLGLSESLAGVTFLAFG NGSPDVFSTFAAMGSNSGSMAVGELIGAAGFITAVVAGSMALVREFKVSKRTFVRDIA FFIVAISFTMVCLADGELHLWECLCMIGFYLFYVAVVVGWHWFTARRRRQRLRDVASR THYFGPSGRGTEEFEPYRDEPEDDSAPVGGRSSSAPEVADISVLERAPRIEVDGVEVP AAPSPEDQEDRELHVATEMANSMRANRPRWTRSNTTTIAPIRPSLVGVLEFRSVLSSL QKARNMHMGPLPTRANSGHQRASSTAELPRGRPRNSTLPAHLPTNSRERALSHGNNPL NLPNDALPQPEFAHGRPSTRMSSASCTVDGMLAPPLGSLTAAIDHAMREEQSPGQSPM PSPRLQLQIPPSHSRTSSGHSSPSLSPFPGFMESPALLTPASPEHPPAFPFSDSLDMR RQSISGFPDHVEEPKPVRWWPYSVLPPPHVLLATLFPTLQGWREKTWWDKILSVISVP SIFLLVTTLPVVETDAGDGESSEVDFLDSPPFGQPGNTAVSVSVMEANLAEPETEWEE YRRRTKSVSSRSMISPSASQLSLQQPPDSSSTLVSGSDRQQQPQFPNSTPVTPQVNKP VSLEPDRVTTASEHPTGWNRWLVALQLFTGPMFVVLIGWANTMEDYADPTKTLLMLVL YSLVVSLCLLAALLLTTSPDSKPKYHFLLCFLGFVISVAWISTIAGEVVGAMKAFGVI LDISEAILGLTVFAVGNSLGDLVADVTVARLGYPVMALAACFGGPMLNILLGIGIGGA WMGITKANKRHRKHPDRPIEYKPYHIQVGGTLMISAATVLMTLIVLLILVPGNNWMMT RRIGWVLIAIWSVSTLVNLVVELTGVWADVS QC762_107430 MATRSEIQTYPPLAERPIKNTIVLFDVDGTLTPARLDASPEILL LLSQLRQKVAIGFVGGSDLPKQQEQLGDPLRVPVTSLFDFCFSENGLTAFKLGQPLPS NSFIKYIGEDNYKDLVKFVLHYIADLDIPIKRGTFVEFRNGMVNVSPIGRNASNEERQ EFERFDKERGVRREMVERLRERFGHLGLTFSIGGQISFDVFPTGWDKTYCLKHLEEDA KKDGGIEYTTIHFFGDKTMVGGNDYEIYEDPRTTGHSVKGPEDTIRELKEMFDL QC762_107440 MASRSRDVPDDELFMSRPAPSGEDCDSPTVEPLRIFKPLSPAPD KASNRPKYPAPPSSSSSYSSSTAAGPSSSPAPKPAIASLPPLPSFPFGGSSSAAPLPY PDDDFHKTTQPSKPSRLPYPDDGRKTSSPAPVGRLYSPPPVSSSPKPYGDSASRPTLN TGDKKAGLAERRGTAPKPLQSPDSPGDDRDELFAKPLRNPAVSISTSTSSSTSSASPA PKISNAYQQKPYYPPPGGASNINRFSSTASTSTTRASRGSPPPPETPIVEPGAIPGGG IEARYAAAGISGTATLNALQAQQAQSAAAQSRLAQYGGQRPPAQPQPTRPWTPTEAPD QQPFGPPTVYQGADVVPKPTPPQQGGYNLPQNPNPASQAPASSNLQVSVLEQDFQRMQ ASTPPPAYTSVNPSANSSQYPNEKQRPVPTSSNSAPAVPGVAQHPAQNAAPSPAPSAV SQHAVASSSRPNASPAPAASSSPAPEKPKPLANTAAAVPAHNAGHPAFANDHPAFANE AKPAAVQNGQPALAHTPSLLAAASSPPPLPEGWIAHLDQNSGQYYYIHLATQATQWEF PKGPTPLNHDAAPLSPTTSTYGNPLASPLLGGGKSALASPMFHPQGHPGYAESIMSVT ASVAPSTAGFTGPPPSAGVDVYKVMPTNGVYFGPYLRYVNMDLEKGVWLGSIMIVTDA PQPPTIHIHLSMDLSPNPRQLVPNNIHAHQRWTFWKYDVALQMSDHGTERWTYAVTSH LGCTRYEFVVAGRYETGWRMLAHSGNDFAPSTNQNERARLGGVGFMWKDILQKNIDCG GFHVQLGLGAQIYGDRLWKEVPLLRQWLAMQGRENRKNAPWTARHQEDVSHAYFHYYT SHFDQPFMREAFAQIPHVLQIDDHDIFDGFGSYPDYMQSSAVFKGIGRIAIEMYLLFQ HHTTVEILRNVSSDMDLFTVTGSGWHFLKYLGPAMVVIGPDCRSERTQTRVMAGPTYQ GIFPKVATLPPSVQHCIWMISVPVIYPRLETVETLANTFATGKKAVNTTYNILGKVTS SVAGVVGGKEMVQHGFKEVKKAVGKSGLMGNVLNQFGEFDIAEELKDLWTHESKDLER TYLIRTLQGIAQQKGIRMTFLSGDVNSCGAGLVHDPSHPQDHKTMYQLIASPVVAAPS SNYLMKMLHNNKLLYVPQNGHKSTHEVSDTKEDMMEIFHTDASGAAREHKKLMARRNY VAIVAYDPEVIANGGQQGQGQPGYAGSVHSGNSGGLSKLSLAVDFVVQGDGAYTATTK YGPVIVPHLEFGH QC762_0005600 MVGGDGIEGVHAHTDGSYPYAATASKSLNHCQWIYVPTPPGDKI TACREVVLGYHTALLFRRELSGIGWVGGLFCLRYNSHQTYMVNEHSIMPTALVLHLKM NRDGSYQKPSALSVQFRVIVTLASVNQVPYHGCAHAMLT QC762_0005610 MWKSRCALCDCWSPGYLEDGRHRSFRPTRLTNLKVFGADPSLRC TRTQESGTAFAGSSLYTILLQLSGLPQLTRLPPELTKMIQNFSADNLVWRLTRVIGIA ENGPPLSVMPQAFHLSKISAWERGGEPVLAANGCESAMPQIIRLTVDSRGLQRVERLQ EKPVHRPGRSESKAFAVFDERDVRGAVLWFKDKPTAYG QC762_107450 MSLHRVASLETFAILPTDQRSRRSSDASARARKLTFNPLPQEWD PPAGLDQLHAVGAFEVPRWKRLLQVAAAVFYCLFASGVVFGYAAIKPVLKSEGAYKDI CSAPGGLVSEDTCIEIHLNLMFTVAAVATNVAALPIGAILDHYGPRVCGILGSLFLAI GAALMANESRLPFDGLLFGYLFLALGGPFTYISSFQLSNAFPKNSGLILALLTGAFDA SSALFLVYRIVFQKSEGAFGHQRFFMVYLIVPVVIIILQFTLMPSQSYKTVGELVEEI EEPVGEEPDDQVDEETALLQEEERQHRADVIEGLQNVLGSAKADKQAKREERKNEISG VWGVMHPYTSWEQIKSPWFILICAFTVIQMTRINYFVATIRAQYEAIFGSIEKAAEIN EFFDLALPIGGILSIPFIGMILDHTSTVTVLACLVTCATTIGVLGIIPQTWAAYGNIC LFVLYRPFYYTAVSDYSAKVFGFRTFGKVYGTIICLSGVFNFSQSGLDFLFHQTFKGN PLPVNVMLLSLGLAVGLGLVGFVAIKAKMIKRKMLSEEAYGAFSDPRWNH QC762_107460 MMDVDSYRWRPPKTNYLPHNIDMDPVVEEFEIPLGRASDHENAA YFEKMKLAAQEFNIVRPKGYTVSYHATSEMEKHHFGQTHPMKPWRLTLTKSLVTAYGM PFAMDNYNTRHATYEELNSFHSSDYLDYLATAAPEDQPRDLDNPDKDVKFNLGGSDCP LFHGLYDYCSMSAGTSLDAARKICNKQSDIAIAWGGGLHHAKKSEASGFCYINDIVLA ILQLLRLYPRVLYIDIDVHHGDGVEEAFFSTDRVMTVSFHKYQPEVFFPGTGGLNDNG PKSEHNPGAHHAINVPLNDGITDEQYEHLFKSIIGQVNTTFRPSAIALQCGADSLAGD RLGRFNLKVEGHAACVRFCKSLGIPMILFGGGGYTPRNVARAWAYETSIAIGADQNIP AEIPQHAPWRQHFVHETLFPTLEQSMSEPRNNKNTEKRLRDIVAHVHEQLRFVQHAPS VQSSIIPPDLGPVRDEVEERLKEERGEREGDEVERRVKEQGLGVEGEMAV QC762_107470 MPRDDLSIDFVRKMPPVEQLDPALVLDEFINRAQNLPEEVRFMQ EELRDKELRYTALNKEKDELDERLQKWIKAHGSHQPNPKEAEIRAKALKNYDLLQQLS DEKLALSAKVLQAIEKHTRHLDIQIKMLYDRNEPGFADPDELPSLIRASAANITNSPI VRPPSGTNGSSLSHLANSVSQSSMRGSNSQIRNTQAQHHGSASAPATPAASMIMNRQA REGSAGPPKRGPRLNTSLSNLPTTSSGLARHSSLGPGTPKGHTTAAGNQRAGSAGPRA SSKASGSGVANRKAGTPSSSSGRISASHKKGSSLGGNDPRSGTANKSGLSRVKRAAKN SPSSTAESELSDAESAVSGEESDAAPSRNSTGNNARGTPSLPRQNSGSHQSLSNQPTS SSTKNSPHAAHVHKAGGGGPGNHHGPPPPSHNRHHDDDDAMDIEEDDAGDDKKYCSCR NVSYGNMVACDNDDCPYEWFHWGCVGLKSEPNGTWFCPDCSRAAAGGDNRKKVVGGGG GGGGGHGSRPGGGGVGVGA QC762_107490 MGSTSDDPPMTSTTNTTNGDATTSSPNGTNSSEEQSRKDEEDIP YWNVNVPPHLRTQTCPSYLLNLNPKDLSIISTPDSHYEIESWPTVLSKIRSYRPDLFQ RIPSDLRRYHEFCYNIKQQYGSVMNFILSQRLGWEHPIIPKGDEPFECEEDVKILRND WPYGIDGKIVHLVVWVKFELEAEGEKGDLTEKETKVVGEWVRKKFVVEGGLEEGRVVW FRNWTCLKSVKSVEHFHVMLFDPDKELVDGLTGGDVPLCQRVKLSI QC762_107500 MSTPTSILLLPTPALRSLTTADLLPAAVSVKNLSSTLLRAATDA WDRPLRPQPLLLSAEISFTSPFETASATDKLGEDTIHYGNLSKALLDTVETYFGPNST AAISSLEEDEGDAISVLNQIFVHLTGLSIDGVRGDGTPFLGGSLDRIGFLGVKLTLPK ASLLGEGVSLTASAGFDNTGKMTARALGMEITRLGVPTLVGVNPNEREARQMLVVTVG IEGIKVRGDRYVRVEKAVVKALEESSFETLEALGAHLIDKVEEVFVNGEDYTVRVKIE KPIAVPLAECPVVEVRRVVKDYYR QC762_107510 MSSIHTIYPSSVPDTTTRRRDRIDEPRRPHQQPQQQPQQQLHFQ QYQLQGAPSTPSKNSHLSAYPSPQQQYSSPSLNVNTPAESESTLSVHYQSSEFSEVDD PFFGASFNSIGGSPSFLVDDSLDSFLVDQQTSQSNISTSSQHVPQAGAVTFPLSPDKT PSLSTASPHADGKSEFATFPDLSQPSIGPHELSLTAQSSSVDLTPRTSNSEESSDSGL APAASIMHSHSPRVTVSHWEQDNNVGSYEFNTSQQAVHEAEPSPTVRDLSGRWANQST GPSGLDPARRPNVEVPSMNELALDRDREQQNRRVDSWLQKHTARTTPSEFVPVMPQDA NTNVPDREIEVSETQNVLKPGQTYYNENAAGGLTEEDVDFIRQNRNWADGPMVSSISS TRHQPETAQAAIARYTRMCDNESVVSRAATWGTRRRSLPSIFDYDVQATTNLFKKLSL SRGDSSRRPSIIGVMRDKLTRKPSVNNSKRSRGVENDDASSGGTDTSFERRDSQVKLA PPSPTPWNRKNSVPSINTALVAMGGSVAAIGTTHARSGSLSATPVHSPVVRSPSTLSV KKPLMNRLRSKSENQPPGIVDMWKMTGGPPVSNLGNAKSNAGDLDDDDDEEDDGFEEG DKTESTKLIDDITPNMAGFKDHVLKLNPQLSTVNTYLVERIAHQQIIRYKGLLNSRVK HIQAVKAQNCNCGSMCIALGGSANILNAGGTDPLSARFDGSDGEITPLEGAINQESFP QDIPMPPTSTLPAEFECQLCFTSKKFQKPSDWTKHVHEDVQPFTCTWDRCRDPKIFKR KADWVRHENEGHRHLEWWTCDVEDCRHICYRRDNFLQHLVREHKFTEPKVKTKAAIKR AGGNDPTWQRVEACHKETTALPQQEPCRFCGKTFPTWKKLTVHLAKHMEQISLPILKL VAKKDLDEDTIISPVQDPPPRQFPPTFNTQTQWDRSPVGQGPMVRQQGPMPVYTNAPL PGQFVYHHAIPQQQQFYPQHHSDFSDLAQSMGQTNLNMQPVGHHPVTGFTSLNTPAFG GGLPVTTSPYMSTPPNNYVSTPEIEPFPAMPAMSMNALGLQDPSAGVQMGYGGNMLDI HATGPEHQFTPQGSVSPFSHSPHQPQGPFYHPQ QC762_0005680 MDELASVIQSQATAQAQERTPTVPPLGLADSATVRTRENAATKY GETVNVVDTNRRPADSGKSGTFLISLKASTE QC762_107520 MYRSAAFLTFASLVLGQQVGTYTAERHPSMPIQVCTAPGQCTRE STEVVLDANWRWTHITNGYTNCYTGNEWNATACPDGATCAKNCAVDGADYSGTYGITT PSSGALRLQFVKKNDNGQNVGSRVYLMASSDKYKLFNLLNKEFTFDVDVSKLPCGLNG AVYFSEMLEDGGLKSFSGNKAGAKYGTGYCDSQCPQDIKFINGEANVEGWGGADGNSG TGKYGICCAEMDIWEANSDATAYTPHVCSVNEQTRCEGVDCGAGSDRYNSICDKDGCD FNSYRLGNREFYGPGKTVDTTRPFTIVTQFVTDDGTDSGNLKSIHRYYVQDGNVIPNS VTEVAGVDQTNFISEGFCEQQKSAFGDNNYFGQLGGMKAMGESLKKMVLVLSIWDDHA VNMNWLDSIFPNDADPEQPGVARGRCDPADGVPATIEAAHPDAYVIYSNIKFGAINST FTAN QC762_107530 MMREPTARRRSPESSRRRRAERRHSREQLAAAAAVAAGVVAAQP APLLPQQLPPGPEVGDRAIIPPVSSSQTHTPTQHMTALSSSQTQTPTQRQYHRSRANS TTSASSSTSSSLVNVSRRSRFGIRNFFALSSVKKVKKRRSFKRKNYSTSSVDSDLAYG NGYVSRSSIESTHRPSPSQHQHIPQYPQHHQPYTPGAFSHVSGAYPAPPGPYSPHHYS QHGHHSGQGYPPPLPHQYGQPHPQQGPNVGPDGPPVLKRVQTDEEIIAIGRKLSDLAR AENLRDLERQGKSRPSRMVATATAVSAFHRKNSGGTRGISSSRPNKSQSSSSDEEWES ASDSDSDSDSPSSDSDSGLAYGSMPRFSDPILPPLTALAGTAGVMGAAIGAGSLAPTI ISERPSEAIRPPDRKPSAVDPAMFGPVNSLRGYVNTPCGFRPGEYVSPVQSQYQSPAL AHRVTAENPIPLPGSASNEALVQRQRQQQHGFDQPITATNLGTPVQIQAPRPRIPVSP QVLEERGHHREHEISTGSPKKRRERERERDKESSISAALPAVVGAIGAAVVAGAVLNN NKDDGRRSDEKRDERPLRDDDYDRERRRRYDDDARRSTIDINDKRDERYNRRDYDRPP TSDATRSDERYRERERERDRHYDTPTGRDRDDDRYSRREPESKGGVSEVITPAVIGAV AGAVLAAGARRDSKTSPVHRTSPSLERDDGYKERMRLEQEVKRHREDSERHAREEQRL RDEYEVRMKEIREKAEEEERRAREEKKKQDWIEQQERIVREQRKIIYQQERVANDERE KAERDRRSRDANQKREREEKRALEASGGREPSRDKERSRDRRKDVIVVVEGRPDVADS VPAPAPAPAPAPARSEVSKGKEREIAPPPSAPALDEKKPPGADSLAPAVKKKSKEERR QAREELRKLLAETQKQIEAEKERARRLAELEAIQGAPSAITVEPPAELSRGEPSGSSS SSRAPAVVDPFQFQVPTDAFPTPSHTPPTDRPLTPAILTVEPDWDRLEPEPEGEVRER LSRRESYELEIARAQKIVEETNKSTIPADPATIAAAIAVVESSENDRERSRSRAPSRG RDREPVRDPVQEEANRVYRERKIAERIAGEESRSRSVSPSPSIMDKYEDNGEDPVVRI VTPPEMKRAPKKSVFDGPNADVRIDNVIMPHDLVKYQAPPAIPGVNPAMMMPIFKSRD PSCERERPMLILVLPTPAVSPNPEKMMRSVSSSSQAATVVDAEPPKDKGEPATPPRSP KKETKIIMTRRGEAVEVPDDYDTGRVRESPESRDASQERKPLKFKFASPGKKTNAWSS TLAAAIAGATALAAKRKEEAKAAEAAAEASGSRAIEGESASAAVVEEKPKPAIVTAEP PSEPREVVPEREQRDTSPGPAISEPPSTPPQQRRYVPIDLEDETPPRVGPKPTSSSPS LSRMPGSFEEDLDFNATVAAGLQASGFDPNIVIKNEDFRRRDSPRGSTQPFYVPPSAE TVTDPGDSSRPSPSVVTAAPTELPIVPAQAEQDFDSSPRRKSNSSEVSRSSSGSKKSN RRSHMSTRKDYVDIPEHEEPPDRPNEEDLSGKAPSNLSREVSSFQVVDQPKEESFRVV ERELSSTPSDPVGQTNDQADDAKHITGNSQQTAENKDKQSFLARAGTSGDGAGLMEVQ AFASPYSHLNAVLPSSIIEEVFKGLGEAKDTQEPIWRRERSISYSSQKVDPEVQVHRE IKPAIDPQFGDLLPLPPSEPNSPDDPSFYELDDFFPSLPESRPNTPPQEQRTRSATVS SRRQGQGHVRTPSRTAVPLPLVMGRRSVPSSPRVGRSSPVQGVEQPYSPPKSRQARPT SWDMGKEYKPLWLIEKKGGEEKRAPNVVDYPALPESESEPPSSAPESPGFDTVDRDED VDVGYLQRGGLGLAGASIGALGALGAGRMLQDQLKIDVPLARSFEQQSSGFGSGETTP RAFAADEFAQSPEARRNDIESLEQMSPVRSVHLESQSTTDKEDDVQDLEQLSPVVVPF KAPARQLAFGDELELLPALPESLPNSPLEKGPSPSSAPLVFGEPLEELPSLPDSPIQQ LAKKEASALTLSESRALSPLQDASTLDVPVETLELPSLESSYGTSIHDKNSPHTREDG TELLLASSGPASPVPSTMPQTTESPEVVELPALPDSAPASPVHNVLPGHFEEMEELPP LPESRADSPVHMELASPPVAVLPHAGEFEDASALPALPQEQPGTPDHERFPALPRSRA PSPVPSANLPALPASTPGSPVIDTLPGLPASRSPSPVSSGLSVSDLPLPADNRVGSRS FSDLSVVPERMLVPDVFADLPALPDSEPATPVHTDLPVLSETKPLSPIVTAQPTTSES QPAVSASPTLPESRSATPVVSDVLASVEDGLGSPVFEDVSVFPDNKPLSSVLSALPPL PDSTPESPVVPAEAISVEEEAVIDDEVDHLDCKSAVVESEPSSPVSLTQQVVASSRSL GPVFPGLSALPKSRPASPVLSPLPARPDIKPVAPVLSATPNFAESRPASLAPSFTPRP RSGSHFFTTLFSLPVLSGVQSLSKSRPSSPLQKPSLANETLEEIPALPLSESGPVSPI EDLSKSLAEALEKVSESRFEAESRPESPVELAKSPVQTFEDVSQLPALPDSQPESPLV LAESSARTVLDASPTLPPSESRSISPDPKPVDFSDLPALPESLPMSPVLEHLPVLGNS QPATEDLVDSNPAVVSKETPTLPESRPPSPLHDVELPTLSESRPDSPIFDTLQQQSSP VFTPAVPRGLESLPALPESELNSPIFEPVLSRGHESLPALPESTPISPVVEPTLAQAP EVLPALSENALPAPSDSLPASPLLEVLPISELDSLPALPESKPGSPIIESVTSHELAS LTVDSGDTSTSPAPEPVRATEPESFSTLSETASPSPIQHTMVRQLPDEILNNLPALPE SPLASPVERPVELQSLEALPFPESQPASAIQVSASSKHHEYNLDQLPALPESRQDSPL LEPVLSEHQEILPAVPLGVSSSPVQVPVPRQFPQDDTDELPALPDSQPVSPAHAPISR QFPDEFADSLPALSKTQPTSSAQDSLSSQIQEAPLDTLPALPESQPMSPVQDVFPALP GSRSPSPSQLALPKLVDARDEPLVDTPALPVRHSFFPIEVSEPRQLPSLDFDSLPALP ESQPTTPVEDSGSTQLHGQDFSDLPTFPDSLPVSPAQTSAPQLPAQDLDNLPSLPESR PGSPTVRSMPAPGDARPLSPVQAPIEQVFNEGVETLPALLDSRPVSPLETTAEAAVEI PVEAPVEAAADAFFDALEAPDEVAEHDPPEEDFKNLPALPESPPTSPVPDLASPQLPR QESDDLSALPESRSVSHNQDLEDMPVLSESQPMSPTQAPATPELPKEDLDQLPALPDS PFLSSAEAAQVQLDAVEVNLADLPALPQSRASSPVHKPVLPLIVTDLEKAETVPSPRS TGREIAGVQEQDFATLSQVTDGNDAQIYEPPSSYSDVKTPPSPITRRALEKEKELDDF DKGKSVFVDTAAAAGPASTLVVANMRQNGSQPDIQRLGSEMQIPPSQEKSESVYSFNE DGTSTVAASEAPTYLSGSTFYESQLSEPTKKKDSPRQTFSSLLGLWSKREKTQPEPPA VSKVVAKVVPATTPEEEMSEDGLVPSPTKKDKKKKKQRKGEKEGSESGSGSQQEQQRG ESSGGLNILSSNQSTNDQPASSIVNKPVVSRYLPDDEPSPSPDQQHDEPSHDADVAPE TEEFPVPDEILPAEEVPATEEPPTPGKKSKKKKKKAKKAAAEAADVAEEEAAPEAPPP EQDIAAENVASAETQAPSSGELDDSAKDQGPGPQPPVRDDVDDVEPAEKEEHIAAAPS RSGSISSPTTPTLTRDITKKGILSMLPAVSPFRPGFWGIKKQEPATQTILEEGPELQS QQTEQGLGLGESSDRSIEVGPAEDVHKPEAKEELGLNIASLALDTAVSLVESPILVQD EAKIEHEATKELSNEREELVKAEVVDVDVDDKEESKDTVRNDEKESTSRVVDEPVVAH EPVQDVLVPEITAAASEDVVQEEAVDEPLPITASENVEVVEPAAKKNKKKKKKKKAAA TATENLETSNATNATEQSVSELVPEPSASSGQVEEALTETAPAEEVALAEDIAVLSEV TPTAEAVPPKETAAASEAASAQVKEIPASTTTSSKKSKKKKKKKNASQSTENLTTVPI VEEPPVPDTTVQHPSTDPEPETKQIPEDAAAISISADDELQKDADDSLIDKQEPKSME QPLEEHNIPGQVSRDSSEQPNISHGSDSTTPRGEDDNKPHQTEAHPVSEVFSSSFSPA QLPEKSVQNNQETVESALNEISSDRQDGVPVTIPHDLLLPDNNDAAVQDVSKEEPGFD TISLQQEQDLPTAPPLTTEATPELEPVIKEETDTGDGLAETKSTHDVKSGIVERGFTA PLVAADSTIEALTGGASGRTAVTQSEPIAIEEAAALVPLPEEVESDFPTDTTRAEEEK TPPIDENKAASSVAQGEVQQWPEEGRAETPAVPAATPLQEQQQLGDIAVPPPQQPHLQ QQENSFQALQPIPTAASPIDATQLHELRLASSPIGARPRSVSFALEAISPGAYTPTSS GAMSPVTEHESEDASGPSSRYTLRSKKREKRKKSTALKAVASPTDEEHGWLKTNKPAS LGLVDSPKQQASPSQESFDHQLGTVAEETTSAIENDADSISDARSVLSLALGKRAETP SEFGGSMADSEGTVGEKNEEAGPSVMNGLLLATRLKRVAGRFRERREKAAAKKKSGIA WPSSEQEEKQNVEEEVGKEDEVMVEVAAPDSRSWEVKENVKEQAVATDAPYQQAEQTT QEKGVAFGTPLFQLTEQLAQKQASEQQEAVEQETTTAVVPRDLSPIPEESASEPETVA AEESAVQDSTPVEDTTHTAEFTTAEEVSALPEQVSNGESAVEPTKTRELEVAPEQILP IAEPVSASDEAAVEQSPLVLGAIQEGQIEEEESVVALEPTAEPVAEEAQVEVVEEIAP VDKAPAEEPAVAEAEHRSTEDPATAITEPLLETAVAAPESLVEPEVPVRVELPVADIG EPAPTFEKPSTVPEEIIAPSVEGVTPAVEVNIPALEQSIIKLDDSTPKTQGQESVVGR NISLNAEPQLEPEVVPLTQEAELSISEPELTPAIQIAPEAQLEPASAEVVEDVPEQSP AAIKLEAEVGSAVTEHEQLQHRTDELTEAMSIPLPVEVEDNSDLVPVAEPEQRQPEFD EFAEAISIPLPEDPESISEPTLVAEVEQPRLQQDESAETLTTPLPDEAEEIFDLIPVA EIEQAQVRLDIWAEALTVPLPEDSESKVEVEADTPPVMASDDVRAVAVVEKTAPVAVE ELIPTVDESAPVVEEPIFISESEQAVDVTPTPAETSVEEKSPLPEKSVSVAEDIAPAS EEPINLLTADEESAPKPDFDRELAFAAEIALPEATADEIELPATPPGQLLVDQDLVWA TETPLPEDTADEVELPAIPVEELWGGQGFALAAATPLSDVTEDELDLVPTPTKECSVN QDLALTTETPFPEVTEDELEPVSVPAVDSVPVHEEIYTSREVLAPETEEIALPTVEEL ITTVVEEAAPATIEESVTVLAEESRSEVQPVATVETVEGPLEVSEMPVVEEPVVQGLV LEKVIVEEVVEEGPAAIEKPVAMAEESAATVDEPAVVVKEMPVPEEAVATVEEPKVNI QEPAIAEEPAVSREVPDSEQVLIPEATKIDEEVLVPEEEVLVESPSLPVVETAPEEPA STPAPVIEETFELPAKKKKGKKKKKKAAENEAEILAVASSASIEESTLTIPDLTAEPT IEEPTIEEPTIEEPTIEETMIEESTPEEPTIEGPSVTTHESVEPVIATPAVEEAIVLA SQQSTARRLPLLEYVPEPIEPSVPAIQEVTKPSKKSRKKKKKGSKAGSSEPTSTIATP SQEFSNPLETTVEEPFLMPKSQYEPTEPMTVNEPKSFLSEATAVALPESDTEDELIPA PEPEITKPSPVIDEFDASDAALSELPKALDVEGEPATLTAQQPKAFEAVGIPEETTPI VKEPASEALVPEPSTDKHSVGAVEIEPAQEVIRQQSKAEQLPVAASQPEVIDGPVLEK QTIEANTLVVDPVQEPSRDRSLEISNNTPSSPTLNLEVEMQTETLPGVEPPTVAASPA ESIELVSLVPEELVQEPGQDHILDISQTSEIENAPLAVPQPDTTEEQASPVVEEIVNL PTQLGVAISSRSIPQTEQEVEVPAVAEPTLIEDPLETSDKAEIAPSIDSPVSIEEQSI PPETTECSVALSEEPITEFRQISAEQQDEVFVLPVVESKKQKRKKNKKKGKKIEVENQ PESSSEVTPAPGPSSGTTLEPALEPTSDLVIAPAAESTPEISVQLVKDPFDDPVQEPT TALEVAPVHSTREAEVAERPIDRQLPVEEPLIQQPESKVRDFADEEFFPLPTKKSKKN KKGKNVPAVIDDHDGGSGVTTPLIIEEQKHALFAAPEAHTPLVEKASNGSPDEAILVE ERGSAVGDASIEESPVPEETPVTETPTVEVSVVETSDEETPSVEDAPIVEVEILDAET APVVEVDVVEETPTVEQEPVYTEVQEPIVINMEEEPITIALPKPISRQQTPDMLTREA EVTPLPPSRPQTPVLEKVAVPLPSTPASQQQAGNHTVVTPAPVTRDLGLLPEISLLPP ISLERTPPWSVKEQVDLTPAQESSHISHDPPFEYEGRRKKIKTVEAEQVELDEPAVPA GAEVDESSNKGKNKEEAVVAASALAGGVSLFDKLKEKEKEEWRVMGDANISVLTSGWD DMGSVLGGAPVYEEYDEKGKKAVGSLYDEEDEDKVEKKARRSWKIEAGLGRMDSETIP RSVRELSKAKTEDTSERGRKMERGKSSPSPEPVQRSFSFPDDIADEEAFETRDTTGDE KKEHVREMVPVADQPVRLPRMSSFGDFMRSHASLPPVQEELSEEDEPKKQKTPVRLNT PAHHALRDSGLGGESPRVSRGLQPGETEHLRDSGVHLTPRNSTEVERQQKRRSPLGGS VRLLEPSPPPHTPEPEKSAVASAVPSSSSAKRIGPNTSIQRLRTPEPLRPDSPGSAGS IRSHNTATPPLRRMDKRTSGNLRSGTSSQTELAAKAREQGHGQNSSSTDLSPAIAITG AAALGALAAATTTTTTSTGSSSTSPPIANEGRTRAAKDMSDVFEAYGEGRIGSPRSPT RPHSMRRRQSLQVIELEARVEQLIHENQQLANSRAQAESQLARGSLSSDSDREYEIEQ LKRALNEANGVIERLKQTNEGLRNSTSAIAVQHHEEVRRYEAQQAQAAKELQKQARSA KEKDAEIAHLRTQLEASTEEIKRLQQQILEQSRASVDSDFLDVRDIDYFDHRCQQLCA HVSQWVLRFSKFSDMRACRLTNELSDEKLIDRLDNAVLDGSNVDTYLNDRVRRRDIFM SMTMTMIWEFVFTRYLFGMDREQRQKLKHLEKQLIDVGPPHAVRQWRAVTLTLLSRRP GFKRQRDQDTEAVVQAILDTLAKILPPPSNLEDQIQAQLRRVVREAVGLAIEMRCQRA EYIMLPPLQPEYDDAGELVEDVKFNAALMNDGGQSNLTNEELESTAATVRVVLFPLVL RKGDDEGRGDDEIVVTPAQVLVARSAYASPATPTQSIRGKNVRLLTPISDAGGGASVG GRSPKQERGVMGPPPQPGLRGQGSDVSMGDHGGYI QC762_107540 MAARYQQHPTVPVGFQPSQGGYATPAPHHAAHMSPSHLGVGLDG TAHAGSRRGSSTTVPPPHQQQQQPLPSANGEGAGTPNTGREGTTTPTGQNPNGTSSST TGPKPIRRRLRMITSCLECRRRKLKCNKGATCSNCVRFSRECLYLGPKLDEASQIRLT EIKEKVGSLERQFERDVARGATGGGGGRSGGSRQQRILADDVEDDFDEERDLQISSMV ALDLTYDDYPDGVGTDDLIDLGIRVGKMRITERIGGLNRPRISEEIQAGIAGSPIATT PSSATTPWFNGASQMLGGDGTSEASFDMLPDFLKPGDSYLPPTSGFFFGQAGVSPPLE QLLPGSREWGQRLVNRYFEAVHPIARCVHRPSFEALYQSFWDDIAQNWEPRPSVQALV FAAWFSAAVSLDEVQAQNEFGNTKSAIVAHMKVATETALSKANFLRTTKFETMQAFIM YMLPLCRDEVSRAHSVLVGAAVRMAECMGLHRDGEAYGLSPLETHVRRLVWHQLCFLD IRTCEAQGPKPAIRREDYDTKLPLNCEETDLTANMVSVPPPADQWTSTMLVLMRFEIN EMMRIIWADRRKLETHKTTLTAVLTKIENFRKRMFEKYNRFLNEEVPAQKYAKLVMQL LIYRLHAMVLHPYHSNATSPLPERLNGLLITSGILIIETAIQLESDPRFRDWSWYLGA YQQYQIALLLATEIYYRPQNKECNRIWRCLDYVFNLDPNEARDQKSLKILTEIMGKTS VYLGMRKVRAPTGIARAVPYKQAVKESPIQSHAMVPTGSFPQHQQQMHQTVNGPGHGL KPDPSMPQMPVNAIPTINMPMPHGNMAHSVPSSMPARVPPFGHSPMMFPPQQPTIMPN MVFAGVSNGEVLWGFPHMNPGSPENSSDGGSVVGQPQRHGSIAGPGAAINVMDNIDWD AINVLFPTDPNTGELSFNSFQVDHSAQMNHNLGAQMGHAHTPHHQQQHSQTQWGPN QC762_0005720 MLLPILPAVSCSGGREKVDPSLDTYMRRWTGPDLGIPCTHFGAV LGQETLNPQTRDKRPPFPLRVSAREPTHSGRTV QC762_107560 MISRPGIRHNVIANSMLASSFETYRLLSKPLARGASYIPGPLES QWRLGRRRMGFSLQCPPTPPPWAFMAPLDLSKWRWDPPKSLADIIVSDLTHEPGTSLS FLARSIKASLGLGASSTQHVVDDSVSALSVEQPPPKVRRSNQVSMFLADVELFRTAAA EVDEHMIMPLTEEISNKLYWYILQGDLAPVGLARVADHLYTALDSRLRATSTKATTEL DEKADEAYNSQLLLITTTIIRALSNSRVYPLSSLGARFWDALGERLAMLPACDETCNL LAPFVSLLCDLPHISLTVGGQESRFERQLLRLLNKHFVLWACTSSRTEAAQDDALDWL SPKTSQMRQARALGAVLDVLLNHIGGLNDTGRYDRHHLLSKINDTVKRVLVRSSSLAE KCGLRYAWLTTLAHARTIGEPNFVQTARLFTKEEPSLPPLSGAELGWLLLAQWNSRQM VRSDQLVGIYNYYYRERRQIQDDAAALTSFLKAVYHHNILYTSPDLAVQTYAINAVPK WEREEWGITPLALFHAYGRTVWRLLDALGRVGDVLVSLEAYICPRNGGMVGKNFLEIL AESSGMRHEVRIDIAELFNFELRHPDAYEFNPCSIEHVAEKIVKDEKLPPDTIWRCLG LYSWVGGMLGFSGGYAKDRSCYSPRLYKMAQYRIITNAATWFAERTDLSYSQKYRHVY LCILFLKRRGKKTLPASALKALYDVAVEDLKAGRWGRTRLLLHFCRLVGEIQGPEAER ECRLAFSLWRQRLARLQKLKGIEEHFLQAEGIEELETVVEKTEKLLREKHVGTHVFDD DIVEAQETQRETGCHDQYAEDGKNREWWPRGMNPNW QC762_107570 MAEHSFPPLKNDLLLRAARGETVERPPIWVMRQAGRYLPEYHEA KGGRDFFECCRSPEIASTLTLQPIERFGGLIDAAIIFSDILVIPQAMGMTVEMVDKKG PHFPDPLQTPQDKQYIELMERHVDVAKELDYVYKAITLTRKKLDGRVPLFGFTGAPWT LLCYMVEGGGTKLFKQVKTWIYKYPEETKALLQKISELCVEYLALQVKAGAQIVQVFD SWAGELSPSSFKKFSQPYLAYIAKHLPLRLKELGLEQVPMVVFPKGAWYALDACADMG YQVIGMDWLHDPAEAVKIIGDRPVVLQGNADPGVLYGSHESITEVVTEMVKGFGWAER KKGWIVNLGHGITPFVNPDDLKFFFQEIHRLTKTD QC762_107580 MPPLSPIVETHRPTSAPPSLLSLLYSKPYFPFSLPVLRRIQFAE KFADRGGCTSHSRVVHVYHHAGARDPDRFVTGYVDLSKGPETQVWLFCSLEIQSGGEE GRVWDGLLLRFFQVAEGLWEGGRKKKILVGSIHEMVRKRMINLGMGLEKTALAGGQEW EFDYKFVFRVGDLPELEGGMSDKRWEVEGREFYWDEVRKGDVGLVKSRTAIDRQEMCR ATLLMVPSLAVRLGATGEAVGWGFLGLDGTLMSLHVEEPYRRLGFGKAIAIKLMRERL HEYGDDGLGAADVWVENTKSQGLCRAIGGKPSWIVSWGILDLESLQNIKL QC762_107590 MSGLASSGRGGAGNMRDQSKTPTVQPEDLETPTLKGSNVVTTGR GGSGNMAVNLDEEEKRRRQDVQPVARRPSHGAINTGRGGAGNVVNADKAGRPSSEEAV DTSDLKKTPSPKTEEEKKSWTDKLFGKKQ QC762_107600 MNQPPYPPNMHQHPSQHPHQHPHPHQQQHPHQHQQPHPLSHQHQ HQHPHPQHQSPYSTEMHPPAHPVSSYPPHQIQPLPSMPPPSFQDGLVQAQAAQAQGAP PPGPASEASPQSGSGGQERPPLTAEQLEEIRKNLIPFSSRDAQGRKYTLDVVQQPQRA RMCGFGDKDRRPITPPPCVRLIVTDENTGKEIDCNEIEYGMYVLNVDLWDEHALKEVN LVRHTTASPSISSTSPASYAQMESTPAYSNILPSNIPPRDVGYGQVYPPPGQAVSPYG MQPAYGAGFVGAPNSGYGQAPYFRDYPQEVGMSYGFGPPRVFEPSYGIGGQRMSIGGT APSGMFTRNLIGSLAASAFRLNDPDDKIGIWFVLQDLSVRTEGCFRLRFSFVNVGIPM SNPGSGANGVVNQGSAPVLAAVFSEVFQVYSAKKFPGVCESTPLSKCFATQGIKIPIR KDGHEKKGNADDDDDYGN QC762_107605 MYCAIHTYIPTHTYIPTYIHTASDLQSASSQSTPVRVYLLLVSF GLLDSVWLVSPSQITHSVPACQSGHRLAVLLWPSFIPKFLSRHTSTSSRTPVTHHGPH GSSTHCWSRVSFGKLSSSPQGVAPCEQDLGVCSGAYPLQAIQFIERCKDTASILFL QC762_107610 MDDGSLGEEAPASRPGQLFDHHHHHHNPQHHQQLFEYQQQHHTQ HHHSHDPYDPYEHHFHPGADLDRDLDPRPGGQIPPLASHAAASNLQAFAANSSLDDSL DPDDFYRSYQGAQQPRNESPQTVDLMAQASSSSRQTPSTRSNGNGTASRPSGLPTNRD ALRPSQRAVSNPVDDRSSKAANSFPTSVKEMKKKFDQTATQPGAAARKPLPRSSSSTN GRATGTGSNSSTYGSSRGTSSVRGTSVTRGTQRPTDDQQSFASRISRPRTATSSHPQP SKQNNHASPRIPAVPKGPEPGLSRQPGLLFGEILPEHNDSTTVGFGIENARPRRTSES SIHHSRLQMRSLSDPDIEPPSPTDWYRGAAPSDQQASDHPSVNTSIPKNHNRAQSDFA GSKLGMRRQFPNESAVASPISPTSPISPTSRLPIMVKKISNQSDSPASTRSNSPSLKY AAASGRTSRQHVPSSRSRTPTTSATVSTPQIKTPTARKGPPSSITTTGSRLNAHVAVT PPKLSPSLRSSRPRQSVATATTAASRMRAVERERGSSPQRQPLRPTPKAEGSGTRRRK LSVGPIDFAQRRETIKLAYSKSIRDSQAKEARQAAADKRKRELEVVAKIKLEAEAATM AAVANANAAAAAAAIRPARSTDNLRFVEIGIEKEAPSSHLQPPVGDSPAPPVPATPAP PVPLEPLRLEIPGSFPNVGSSHLEPEEEPMSACSASTATTEFEIDDQPEPERPENTAA IDEALGMTMPGLTQVTFVPDSFQHETSSPPAEQAVVPVLHKKASYQSPFDEDEEVDDD SVSIKISLDTSTEPQMSPQLTPTRTDFQPEPTSTAAPSQDEDDEYVPRPYTFTSDNYE TTVTILGPENDFRPLHHNPPRVAMPAADLPRQDERQKASNVTIEAPETSHLEDVVRFE EPRIVLDKLDRLEDFYVGPRLSDNVASLRDSTCTSSDSGTPFDTQPPLAEYEKTPNTL HSLTVPSLLAPGNRLSQNSAWTDFSFGSDDQNAGLQSTHSQQSLGYESQKSGLEEFRS HSPDKSPLDRSGAATPPMLAERQRSSYQLSAHEKGTDRVTEEEEECQTEERDEDVPQP QEEHVAARPQRQPPPPPSFEFVDDDRETSVYGQETRPTSFLHPQQESRPQSEVLSPDS GSQVTFETLDRSNISVNDSETLTESVVERPSLSAEAQEEQKRLRQRQLVIRELIDTED AFVRDMSVVEEIYKGTAEACPNLDSKTIKLIFRNTDEIIAFHAAFVAEFKEGASTVYT SKARRSPILTPSAAPSIKDSDSATLNSVTSSAKPELDDEKDRLTSIGPVFSKSIEQLK AVHEVYLRSSDQSSKRLVQIQEDEGVMLWLNECNEVAKELTSAWNLDSLLVKPMQRIT KYPDIITHLLKYTPPDHPDREPLVAARSAVIDAIDEINKSKKNYELVGQIVSNRKRKD SDVRGIARAFGKRVDKLQATSPKTAEDEDYKKLQQQFGDDYLRLQVVLRDVEYYTRNV STYVHEFLQYLSSMELVMRLQPSRDYAHIESKWVQFNVSMRDIEKIALERHLSDVRKH VIEPFEQVIKCYGNPGLAMKKRAKRRLDYEKFAQLKANGKKVDKQLTELVEQYEALNE TLKKELPKLSAMTARIGNICLGKFISIQTAWYKMWQDKVKAPLQDASHVPEVSEIVSG FQREFALQEERAMLLAILNPTLRPRFSHSTIDGGDSSSLLSRTKSRPSDLQPTRSRGL SINSEHAPTLPTPDFVRRNSGQFSLSPASSALPSPAHYYRDYYSGINGSHARVSSNSP ITSDPNSRSAVGLPMRPGTGRSFDSNSLPRQSTESTVMTSAMAGGGPSRRGSNSTYNS SAYPGPSNSTGDTRRLSGLFHSALPPDDAEEFYPPQVMSRASSTQRSRDDSGYRVLWL AASLFEFHIETSKMEAGYPYLTYQAGEIFDVIAEKGELWLARNQDDPRGTVGWIWSKH FAKLADS QC762_0005810 MAPAFWAAAQLATYTIKYFESLSILTWDRAQKKVVFLSSRIVRG VERRLMPANTSRAAAEGQHISLIPKRAAGRCPKGALASRAARVRCSEAFAPYFFALME VDPGPGVDGGVSFAQGCPQSLVQTANPRARQHINLDEPRHLLSLSLPPPHPQSLTSVQ LPSYGRWISMIIEFRTASRGRSVCMHAVHDVTLRTSTTGQTT QC762_107620 MTAILASRPAKGKRSRDNSGELTRNGSADHPAKHQRTGHEPRDA VVKHALLSQYYSETQTLRQYALSKLPTSSRIRRKKIATVGLSGSSVQKSSTEEETILG ELLDTTLVAWRHDAAARKNSYRWEKWIAFSQKGKGDESYVTLSDGLRRSMYSQSDSTN LHGRLSKIVDFVIWLLFSQQEAGVRLKHLLCDGFRRSVNENAHPKEAAAPSKPIPGLF AVERNQQVEALREKPWPQLLMLLGKEGERIMIDLLVDCAIFRAVKAGKGNMQQLCGIP ISELSPLEAKPIDKENPVRPSEISFVRSRMLYARAALNARGAVHFGLRHIHVLNRFPY KAPTPTEEDTNHGNVVHILVYMFPRQFGLHNPFTSVVDRQKTTQKFQDYTLREEEIAE KFSKLDTHGKPIRHVPKRLRGQVQHLVERLQILHGRCAYAGMMKHYCPVPGLTESRKK ASTSMERSLVPSSRPAGKASRGKKKTRQNAAPDWNLKYSSLTELATPTSSVSAFCQAI LAKVIPNEFWGQGDAQEHNKACLLKKVHEFIHLRRFESMCLHEVMQGMKLQDIDWLAP PGLANQKCSQSDMQKRTEIFYEFLYYLFDSFLIPLIRSNFYVTDSSVDKYCLFFYRHD VWRYVAEPAMAALKTRMFEEVRLEDALRILGSRKLGLAHLRLVPKETNMRPLMNLSRR TLRPGGKAGLSYSINTNLGPVNSVLKLERTRNAERLGSSLFSVSDIYPRIKAFKTSLG QGNHKLYFAKVDVTAAYDTIPQDAMLKLLRQIPKQAFYKIKKHAEVSPLEMVLGKGST AKATSRWPSVAQPNKDPLPFSQFIETTLALTKKDTIFVDRSNSQKTHNTRDLMSLSTS HIKDNLIRIGKKHYRQKSGIPQGSVISSALCNYFYADLERTHLSCLFSDDNTNDSLLL RLIDDFLLITTSLPKATQFITTMHSGVPAYGVTVNPSKSLVNFPVTINGTTLPTLQSK NNNRPAQFPYTGLFINTKTLEISKDNTALTGNPKSVFNSLTVEHTRRPGRNFSRKVLA SFKLQSGIHLFDTSLNSRPKVLANAFAAFGVCARKMWAYGRCMGKKRQPGGGVVKDTI RSLIDVAFLLLTSKSRKERWPGYECMVTKGEVAWLVMVAFRQVLVRKQTGYREVVVWL QEETVNLSKGKKGVGVAGLIKIVRGVGI QC762_107630 MTTTTLNPNDPLYHLPTAQIFHLRTLFYTQLSLGKIKPLVLPSA FFGTLFLPLLYLSIPHKSRPWLYSLRWVVAFLIVYIDYTLLFTTSGSNVAIGYAVGLI ACHGTVWGLTLVLFTRPQWDAARVVRDGETGGYTWEFYPEEGSWWKRVGWAGDLLLNF RGVGWNYAVSTIPSPPFPAAGGGQGQTVEMGRMEKVTRQGYWVCERGREFVRDRLWQV GWSWVVIDVWTTFGRADPYIVLGPEYVHSSHWKIYSLPPALEGVPMGVVSLGRSILGI TGVAAGLFYFHSIYQLVNYCVLGKGGLDLLGVQGELWQYPSLFGGFHMVKEKGLAGFW GAWWHQSFRRGFAAAGEWVFDVVATGETVPALRVRNTNGELNGKGYDNDKERRAKKPT RAKTVVTVLIAFFLSGLMHACGGLTSMSPTTRFWTPIMFFATQGVGVLVQGFVCQYFR GFIERNFSTRWRRVGNLVVVAVWLQLTGWGLVDDMSRAGIWLFEPVPFSPVRWLVKIL GYGDMLGGNNGNVWRLDEEYGLRWWWGPEGRWWESGLRI QC762_107640 MDKSNNAMTSGYNAKVEAFRDEEYPMLKGSVYLDHAGTTPYPKS LMDSFVRDMTSNLYGNPHSGSSSSQLSTSRIEDIRLRVLQFFNADPADFDVVFVANAT AGIKLVVDALRAAPDGFNYAYHQASHTSLVGVREEARNSLCLDDRQVEEWATGQTPFE GHEDRPTLFAYPAQSNMDGSRLPLRWSDTIRCNTDVSRNRTYTLLDAAAYVCSSPLDL SSVERAPDFIVLSFYKIFGFPDLGALLVRRQAEEVFNTMKYFGGGTVDMVVVCVKEQW HAPKSQFLHERLEHGTLPIHNIIALDAAMDIQKQLFGTMKEVSQHTAFLHQRLDRGLR SIRHGNGGSVCTIYSPEPNPQAGSGPVVAFNIRNNQNAWVSLAEVEKLATLKGFHIRT GGVCNPGGIASALGLKPWEMRQNFSSGFRCGTDNDIMAGKPTGVIRASLGAMSTISDV DAFVDFITEFYQDLTLPPTLTSHTTQQSSRADLCVHSISIYPIKSCGHFQVPPGVDWE VRPEGLAWDREWCLVHQGTGQALSQKRYPKMALVRPTLDLDRGELRVRYAGQLPPHQP REISIPLSKNPSLFRQPTTLGSRSSRVCGEEILAQTYISNEVNDFFSGALGVPCMLAR FPPGGQEKSMRHSKAHLQKHQLPLATPSHQTPRLPGSFPGDRPPSPPDSDTERSGQER RILLSNESPILAITLPSLDALNEEIRRTGNHKGEISPDVFRANVVIAPASQGSQDSIE PYAEDSWSHLKIGDGGHRFEMLGSCRRCHMVCINQDTAEKSQEPFVTLSKTRRFDGKV FFGVHMAVTSQSQLPTLRVGDVVVPSWTLV QC762_107650 MVSPTLGKRTRSSKENSESAITFDLPAKRTRRTLRSATIADEPE AEKENDIVIAEDEDASDETGETQEKKTTWQEKTFKDVQKTPSKSLARRASVASTVKSS AIPPVTPSTPRHYDVFSKGVTTTPRHRVMSVGKFSKRMTPSTPLTPSSVQTVYHQARQ LFSRSADPGQLIGRDDERAKMKGFLSRCTTSKPSGCLYVSGPPGTGKSAMVNRITDET VSESADSSIKKAYINCMSAKSSKDLYYTLLDQLVTPEDQETDLSETDVVEALQKLFVP KKKSTSNKVYLIVLDEIDHILTLDPESLYRVFEWSLQPTGSRLLMVGIANALDLTDRF LPRLKSRNLKPEILPFLPYTAPQVKNIITTRLKSLLPANHPDQNFIPFFHPAAIELCS RKVSTQTGDLRRAFEVCRRAIDLVESETRLKHENEIKENMLQMSPSRKVLGEKHNFSL PKPGQQSSVSGQLIKALQVLTVETAPRVSIGHLNKVTAAAFSNGTMQRLKVLNLQQKA ALCSLLAIEKRNRERVSSQVGGGTPSKNLPAAPTVKALFESYTRLCKTDSVLHPLSSS EFREVVASLEMVSLIAEVDGKMGSFGGSGTPGGLARTPSRRGKKKDVFGMGDMKVGLV ADERRVASCVGFREMEGVVEGEGRGQGILRRVLSGEGLE QC762_107660 MRRFASRAAVASSHFSSSQRSASALLGSSSPALRMAPSKNSTHS ASAAAVRRIHATAQHLRPAGTASAYGLASTATNFPTTHEKLQTAYDTPWFVNNEFVKS ATSQYIDLHDPATNELITRVPQNTDEELKAAVAAAEKAFKSWSATSVLHRQQIMFKFV GLIRENWDRLAASITLEQGKTFADSKGDVLRGLQVAEAACGAPELLKGEVLEVAKDME TRTYREPLGVVAAICPFNFPAMIPLWCIPVATITGNTLILKPSERDPGAAMILAELTK KAGFPEGVVNIVHGAHRTVNFILDEPAIKAVSFVGGNKAGEYIFSRGSTNGKRVQANL GAKNHAAVLPDCNKNQFLNAVVGAAFGAAGQRCMALSTLVMVGETKEWLPELAERAKA LQVNGGFEEGADLGPVISPQSKERIEGLIASAEEEGATILLDGRGFKPPKYPNGNWVA PTIISNVTKDMKCYKEEIFGPVLVCLNVDTLDEAIDLINENEYGNGVAIFTRSGPTAE TFRRKIEAGQVGINVPIPVPLPMFSFTGNKKSIAGGGASTFYGKPGINFYTQLKTVTA MWSAEDAISKKADVAMPTHS QC762_107670 MKFNVAAAAASAAILAGGVYADDQKVLKEESSSTAAEASTKSVP PLPTFTPTKLKAPFLEQFTDDWEQRWKPSHAKKDTKGSEEEWAYIGEWSVEEPTVYKG MEGDKGLVVKNAAAHHAISAKFPKKIDPKGKTLVVQYEVKLQDGLECGGAYMKLLRDN KALHQDEFSNATPYVIMFGPDKCGHTNKVHFIFNHKNPKTGEYEEKHLSSAPSAKIVK TTELYTLTVHPNNTYSIGINGEQVKEGSLLEDFTPSVNPPKEIDDPKDKKPEDWVDEA RIQDPDAKKPDDWDEDAPYEIVDEDATQPADWLVDEPLTIPDPEAQKPEDWDDEEDGD WIAPTVPNPKCADVSGCGPWTKPMIKNPDYKGKWSAPYIDNPAYKGVWAPRKIKNPDY FEDKTPANFEPIGAIGYEIWTMQKDILFDNIYIGHSVEDARKLAEETFFKKHPVEEAL EEAERPKEEDKPASPNDLKFLDDPKTYITEKLDLFLTIAQRDPIEAIKFVPEIAGGIA AVLLTVLGLIFGIVGVATNPAPVKKAAAEVKEKAKEAKDKVAEAAATGAETAKAEVTK RTNTRKA QC762_107680 MTPPTTGLPAENTTDNNDHLVQSDDPEHPANLIPSLCAKFWTLG WVTGTGGGASIRDDDLVYLAPSGVQKELMKPSDIYVLSLAAQAQSLSRRQRVYLRSPP VYKPSQCTPLFLAAFTKRNAGCCIHTHSHWAVLVTLILEQQRSKEFRINNIEQIKGFG KGFQKSGNLGYHDTLVIPVIENTAHEEDLTEFLEEAMDKYPDTYAVLVRRHGVYVWGD NVHKAKTQCESLDYLFQLAVEMKQLGLPWITDIEPTIPTRKD QC762_107690 MRVTSLILTGALAALASAQGTSTTVSINTSIDPATAAQNSQQAE ILRCINACTPGDVNCTAKCNPVPNPGEQDVEATNKCVSECPKGNGTEADNLAYGQCYN ACEA QC762_107700 MTATMASPDTTIVLITGANQGIGFEIAKKLATEHKDYHIIMTGR RKQPLEEAVSSLKSRGLSGETLILDVTSDASIAAAVSHVSSTHGRLDVLINNAGISEH AFDNIPDISPRLKWAIILDTNVTSVAMVTDAFIPLMEKSAKVRRIVMMGSVMGSLTCR ADKGHHCHVDTYTAYCASKTALNMLSLHYVIRFEKAEGEDGDGKGWKVNVCCPGYCST NLNKFQGLKSVEEGAVNAVRLATMGGEGETESYSAKEGRIPW QC762_107710 MDIDDILREVDPTFHAVPQEKRNLQELTRAWIAERSAPELLPWP ADGLFERVNDSIKRQIEKVEEMTGDMDPKTNFALIVIQTELERFKYLVRSYLRARISK IDRHTLHYLSTDALRARLSEMELAYATRHQALLHNHYLSSFLSSFPSALQNLNDSAGI NMVETPDLESAVFIRLLKDTLVEGRGVDSDGAMDGRESDIVILRWADAKTLVENGSAE LV QC762_107720 MSSVLGRLPALITILFLALAVSQALCFIAADDDSEADLTRYMAI RSDPVPGTPALLGLTEHIFGVGRRDCLANGTNFCFDNNVDSCSGCGNCCRDGDRKYCC AAGRPCCGSGCCLAGQICSPKGSCVSSVETVTITKTIFETTTRIATQRATILVVKIES STVVSTVDVTVSSADTQTNIVWKTVTITAPPAKRSAVLLEVPHSNRNNHPFKVPSITR LFISLVLEKNSSGSRNKRRGGVGGLIPRQNPSTSTITKFVTEIADVVSTTSTTVMVQT TSTFVTTVFQTNTRVLHALATVVETSTLTITSRPPVVQTITTTASLILPPLITSTDVS STPSTSSSPTTTSTPAPSQSLPTTTIAGIAAGTSVLALVLAGLVIFFIRRRRYQTQRP ASALFPFDPNDPEHDYQKTIPTTTVTAEPTLPRILPHFAPAAPAHHHSQPPALTPAYQ SPPQDQQQQHRRNSSGFTTLVGTPPGSTGSSSAVLAKGKAKEYRKSGSSSSPPPIAEV QGSEVPVEGAVEVEGSPVGCSGSGSRNWGGRGSMG QC762_107730 MGYSKSADNRAAASALVSAQASTSVDDTSSSRRSFFSRLSLPLR QRARHITDFHIRPAEPYRKYGAGDHVLGAVILTVVKPVRITHLTVALHGFVRVYKGPG APANEPIVNPAEIPTNTGRGARQKSHSVGRVNLFEDEQTLSADGRLEPGRYEFNFELL FPSKGLPSSIDFERGTISYRITATLTRPPSITTKIDQRSAVECPIHLIERVDIGPLKP PPSRTIYLEPISKRPRKKKQPGPTLEKAATTVSHDPPERSSDLDSTRANENSTEGSLS VLGDDQGHGPPANDGPGPLQSDVRSISGDSAHTSSTTPSRNGDIMYTTPSVISQGGKK GPALKDRTITATVELLKGGCLPGDVVPIKISVQHIRQLKSMHGVIVTLYRLGRIDSAP TGVLSRELSQSQSRSEKEEIYPKSKTGLGGLSLSSAGSCSVFRKDLSQAINPLWIDPA TMSASFTTLIRVPEDVFPTIKGVPYEMIKFQYHIEVLVDLGGKLAGQIQGNKVASGLR MSIPGVPLGPTSSAHDTGSPSVATWGSSIIDTDRLRREKGVISVLFEVIVGTTDSERL KAKGLSKLPSPVRTVSVRESDYHNQAPDHVHPWPASHEPGGYGPESAYPQDYPPFPTP YPAQSTQQIPYWETVPAQPPPPPPVPHYIPPPDVPDESSLDEKERIRRAEQRLLPSQP PDAPVAAGPSNSSDVVNGENIYDADDTPSAPPDLALPAESPPEEPPSAPTLEELSGGA VTNSTEDKQELERRRLLAEASAPPEFPEDYDDNAMAGPSGSSIPPSAPPIVSAAVADF EPTAPVINDEEEDYGRHFSYAGASPGAGRGPVDAVGEELPRYER QC762_107740 MKCSPQSASGSDTSNMRDITELPQDLFLIVISHLSPRTCVRCRS VSRRWHHAFTDEANCLLLLRWNFPRCREMRLAALLSSPAPQKSADNLPPNLKAELVRF AQVRRVWSSAFAHVARRYHHLNRATARVVEKLDMAPSQNNLFSFWGVAPWNRYLRLHE KTASFHYPDPSWWYSQEDGVLVYPATRPEGGSPPLETTGHIYHIVDLSTKLEFPVPFD VRQKHIRRVRLAQGVLVFEWAEVLPYHQLNDREVVHRHYVSIFDVLRHPSTTTTHCTW SITFRSEFKLHFLGLPLNRSDRFFSAHTATHYAVYFWQPNRSLYQDDPIEQLAVWDIS SPSPYRPSEDPKGRKRPSVERTPSLPTGLWSGKSSSSTATTRSPPQADVSNDAASSSD MEQPRRRLSASQTTTVPGPFVIRRIAWPQLDFYGLRQRATPQLRNLSLDGKNLYFIEE EHRWADGQHSSLSPPRVHLVRSTGIPIIPFDDQDDSSQGQGHVVQGPVFLDSCGADGD VNMNFCRRVAPASSVSVWPPPSIGGLNAARGRGLIEQLRPREKKEETSEWGGIAKHLA PCWRHEDFPYLTVSEMVDGEAGVRVSARHCFMLETLSVHVRPRLTVGGEGLEEGKGKV DREEIVRKTSVKEFGVTRGDTGEEVNMWGELLAKGHISGDERWVVGEDEQGLITVLRF QC762_107750 MVFETPSNDLPSGLKTEGPLQPPRQTPFFLSPSVPPPSQPPSGS PAAMAPAAVLEKPTEDQIIEDRMETAECVDRLSLLRSMPEAAIECQVCVVGAGPAGLM LATNLGRWGIKVEVVDDRADQTPVGRADGLQPKTIETFRQMRLADPLLQRGVRVYDIA FWRSTPEEPLHRLGREVHYPPVIDVLDPYILLVHQGMVEALFIEDLKKRGVEVRRNHA FDSYSVCDSKGGPLQVNCRANVTQDRKTLLTQYLVGCDGAHSKVRKSIPDAKPVGMSQ SSVWGVLDGELQTDFPDIWSKTLVYSQEHGSILIIPRERNMTRFYIELKAGPKGDRNQ LGQEFVMQRAKEIMAPFEVGWKYIEWFGRYQIGQRVASRFCDNHLRAFLAGDASHTHS PKAAQGMNTSMHDAWNLAWKLNLASRGLAKQTLLASYEEERRKIALDLVNFDYEHANQ IAGGDAVALAENFKTNVRFISGIGAEYGENAINMVEAHSWVMGEAKPGCLLPPAKVTR YLDSNPVDIQLDIPMLGQFRIYLLMWDVHQSRIFLETFCQAIASPDALVNQLSAAASV SYAKQPRVPAPEDIYLRPERYTAVSHLFTFGLITTMPKSEIEVSDLPALLQDSKWTFY LDDIPEQDTRGTLCTNKWLGSLGPGEVAIVNVRPDGYVGSIGRWDSSIDDAGEEAAKW LDTYYDRFLQVPAAAAS QC762_107760 MPFHTGMLPREGFKGDALISLIKNTAFNPKILLPLFLLAKYTKQ GQDLTILHPTAFARVRKLLILSLLGWGNSWLTRRVNNSWVDDKYDWTGKEIVVVTGGS GGIGGLIVQLLAERSIKTVVLDIQPLTFHPGPTVTYFKCDLTSPSSIAHVASLIRAQV GNPTVLINNAGVVQNKSILASTPRDVQFTFDVNHFSHYSTVREFLPYMIEKNHGMVVT VASFAAWVSVPNMVDYAASKAAAQSFHEGLTAEIKTTYGAERVRTVVVNQGYTKTALF EGYHNDSPFLLPALEPGSVAEAVVRQVLKGESGQVILPKMGNMLPFLGGLPGWYAGRL RVKGVGIMKQFRGRKVVEDVEKFYEEKEKKEEKGVGESTVLVE QC762_107770 MALTRPLSKLLPKRPLLSTPSRRPYLTSSTPSHSWLRIHPEVSS AVTSNTPLVALESTIYTHGALGNDLNLEQIVRDHGAIPAVCGIYAGQATVGLTPEEIT TMCDQGAKKVSRRDLAYLIGQGICGNKIHGGTTIAGTMILARQAGIRVFGTGGLGGVH QGGENTMDISADLTELGRTRVAVVSSGVKGFLDIPKTLEVLETQGVLVATFADGKDQK EVDFPAFWARESGVKSPAVVWDEKEAAAILLAQEKYDIETGMLFVNPLPKEFEIPRSE MEEVIRIAVQEAEEKAPGNENTPFVLRRIRELTDGRSVIANKALVRDNVARAAKIAVE FSKLVDGNPVTVGMASASNATVTQQPTSSKAETKPRVEKPKADHTVDILVAGSVALDL NCDYAGGGKTVSPALNTSNPASISQSVGGVGHNIAIAAHKVSEENSVRLCSMVGDDIA GSTILSSLSAAGLDTSYIRVLGHEYPSARTAQYVAVNDAHKSLVLAMADMAIISTHSF PNYWNSAVNASKPKWMVVDANWAEHDIQTWIQAGHKHNAKIAFEPVSAAKAARLFPKL KNHHKKPELGLFPRPSVHLSTPNQYELLAMYEAANENGYLDTHNWFPIIDAFGIMRGA REQFVDIAGAAATDAGIPVQSVNLLPYIPTIITKLGSSGVLLTTLLEKGDPRIRDPEH ARWVVSRTLSDHPSVGGVYMRMFPAAERVKEEDIVSVNGIGDTFTGVLIAGLARGGEV EELIDIAQRAAVFTLKSSKGVSDEVAGLRSELRRIVAKQ QC762_107780 MSLLKQAALGLLLTSASTTALEFTIAGGQIFTPGLAVLNSPQPG TPLGGDLIEISLDITTNGRLPLPPYSPDSPSQIHNISIFLSSYATGKNFTITNGTATS SNFNENASLGNILFQEPGSTVKHVKWIWPDCLVGDGQPQTLDSARGAYNVSIRQSFRL NGEDFYTVFDVPISVTNRIGEEAPNGVARPSCEELENEMMEWEEVREGADEMGALFAP GDATVLETSGDEGGDGLGPVRPGAGSGSGLGSGAGGLKVGLGWLVGLGLGVVVLL QC762_107790 MSRRRQQQKEDPSSEEEGSFAQFSNEEEEEEDDISMSDVASDSS GSDDDESDRSPQQKEINLVKDSDEEDLERLVLGTKSADDFRAKLFAGDDFLLPDVTGS KALVSVAADKEEDNDQFKNVDDSMLFMVDTVGGGEGVIAHAEKKAEEAVVDKPAWEDS DDERLTVSLAGVGRLRKLREFEGEDVVNGTEYSQRLRAQYMRMYPVPEWARPAAEKKT RRRRRSSAAGGSDESGLSGEEADSDEEGGDYEDALPLEQFLRDVNAFAEDDDTRLSKR RRLRPETLDIQRTRDIPDTHKAGVSCLAFHPRHPILLSTSVSSVMFLHHVDAAAYPTP NPILTSVQVRRTDLRRAAFLSVKGEDGEGQGEEVVFAGRRKYFHSWNLATGAVKKISK IAGHQKEHKTMERFRTSPCGRWMAVAASDKKGGGILNILNAATMQWVAQARIDGRGGI ADFQWWSSGEGLTIAGRDGQVAEWSLESKRTVGVWRDEGSIGGTVLAMGGRHGPRAIG EDRWVAVGNSSGIVNIYDRNELLVASKDKKDVEIKKLPTPARVLEQLTTAITILSFSP DGQLMVFGSNLKKDALRLVHLPSCTVYRNWPTEQTPLGRISAVAFSADSSILAIGNDA GKVRMWEIRG QC762_107795 MVRKNGNRHKNTKDQSQPPAIVARFDEHFGAGDLQDWQRLCSDI FRENELKSFDLCSKTKCRKLLKTVFINIQDLVDCIEKNLTGEHPKVYPQRFASLNQLV KYTMKEGKVYPKKWVKNGLGPVKALMRDILRG QC762_107797 MIKHYSNIMNFILTLSILATLATLATASPAPAPASALYGCTEGL NYCGHTLLNMGWSRDNIIDDCSGRPDWLTANSVDNVLFHCTSGDYLTFIESCNAPGTC VDAGSGNSDYCS QC762_107800 MAWWDSRRQSRQAPASLPSASLDDGLLAAARPSPRLRKRFSAGD AFPRLDSINITTTQRHTTLDMDERAVLSPVAEENAESSRSPALVIQVEIFFTDPLIRS RYARSYASSPTFEANNRICRGLVRRIERCSEELITRKDSSALTDFSDESHEPKPSRFE LTFRILKRGRGEWAERTYRSHQKQPLTVGHTKEIISATHRIVGLYLRRHDPDFRWLDH PVSDQEAEEDQVADPSREAPLSLLCIPNPRFIESTQTFEFVSGYKIELTFQSRNPQRR VPVVRKSITLDSKQDAPLTLFMSEDLLWKGVQAINTALDAKKREFDHHFSRQQDGQHS CQGALEIELRISNNLGPLHDNIHRDIKSSLLLFFDPEARDCTAFLDNIEGILTATRDE IDAKVNDMDDFVFRIRELKGANWKLTDPARFKLCSKSSCGRRTIQAVLDRIQTGVGDI IRGHNISIHIDAHKRGHLVLDKAIVAHEKRGRTKENFASPSEEEATFLSRLKARIQQD IDRVLEDTCAIDDIPDIDEEEVFIRPFTPARQSAPVATLASAKSSSSLRPSTPLPPLV PAKSSSSLRSLVSLRSLASGRSFAPTQPPTPRQSPSPVRFERAPSDVSVGEQHSPERM PSSPAPLNPPPTKLARPISIPVQPTPQPSPPKRPLVQRIFSLSRRSSESVKVVDHLKP KLSNDPFVANSSSQPSTPASSAVSDRSSQSAAGENSTVEDQATPTAAAKTKPSKRPFS LFRRRSRANDVSTLAKGIEKIKQSGRNRAAPAEPSKATPLEVLSESPEPGPKTSGDVL GVMMTSSEVDESAATRPAGSVPVAEAPITSSQNDDRNEAASRPTAREPTKAKMDTPEA FEDAREFAISPAIEPIAKSGTSSSFLTGDVSPRFDEYSTAPSTPDLSLGSKDSSPRHS LLTTPIYVRTSSGTNDIAVRKFDPEVEAEVDDSDIAVPKTELPAPLAAEELPSKHTAL EASVQDDEKEGEPDQRVAQPGQTQGPGFTMSEPVEPQPTTSAPTPLTTKAPNNNSNAN STNSPSTAEKDFGYENLGAEKTAGSEGSIPRRPDFPTKRLRNPAPTPNHDSPKASENL DARKTSSQDVDQATGNQVGPARGAEGQPVAHKDKSNVTEADPDGHRAQADGANPGAVH KVSPDTGSTRAPSAVTGSEEKGTEQAAEENGPAESQNSSIPDDVGSDKHADGSNIEFE FPEGDVRDELWERYGTQAQAEMRSKRLGSNIEFEFPDAGVKEHLDERRGSRQEPEKVR KGSEIDFEHSEATVDEYLARDRGYGVKHDDSVAKNGAAPEKIGASSEPAPCPGKVSDI PEKTAVKSSEPADLPTGADIEKPGPARLFADVEVAIHHVVPEKRTKDSDIPIPEKRVR LSVSETAVVGDVLAAEPASLPAAAGDVETEKSTTVPTDSQDVEAAAEEQLAAGLEVQA NDNVKDVSPPVTEKTIEAEPVKEPIVPEVAKELAKEFEVQVSPEGLSPEEVKKAETRT ETATAPQDITRSATDLGRKDEPEPERSGAEEQHPPVVTPPVISVKEFDPTTTTTETES PSHPVVTPDISLLNPNPRYSTTTFSSVYTTLSDTSSFISRGSVDTFRPSFDEFSTPFG DEIRLPHLDSPHDHEHTHPTSRPQTAGYLGLNTLRTESRFIELGLRGALGDQSKRLSL PLNQHCFFDHPLPHHLGVEEAETGSIAGSTKSGKLRKKGRHRKVKSAKIFSQHAEGED KTGEKGGVKDGPDAAAIPKMMMLFAGAVALGKFFRGGQ QC762_107810 MATIDTLSERLSALQETTAQLQELIHRLANLKFAPGSVPLTAEG EVESDNVATELSAEISSILREEEEELELLQEEIIDLRGGRPGSESEHRKQRLKEGAQR LENELKTARTTFRKAQLSAHHSLLAAQKLERQLLVASYAASASLANSIHSLASSSSSS SSDLANQQQQSSSSLEGNKDPRTQLFTPKDLLRHRKPKPTNPNDESSAVVNASSDLTL SLRRTHALIAAEVQKSAFASQTLAESSAALAELQKNYEGIDSLLSKSKNLVSTLLTTQ KSDTWYLQTSLRLLLVTLGWLVFRRWLYGPLWWVVWLPLKLSYKTTRGVVNLAAGGGG GGQAEMEVVLPGGTTTRVVMGGEESVPTIEVAGPGVGEKQRVEGGEESYVESYVERVG RMVEDTLDQREREEGNKTGEGAVEEEEEREQKNPMKRMWEEDVDGEGEKQQQVELVRD EL QC762_107820 MAPHFQRRFESSNRNPSRHSESVDRDSTPSRYYHDIFAHLTTNK PPHPPRRRFSDPITCPPQRTQYPNHQNDNPLHNRNPNRLQPLLPPRQIRPPLPLLPPT KRPLLGHEHHHPTAPPVLHRPEQALCQV QC762_107830 MLALQRLRQTQQSPARVARIFPTSKTAVTNMPPHQQLQPRPSQS QARSLSSHSLPTSTAGRGAPPSNPSTSFSQQPLARTAQIARHLSTSTSSQPFDKMAYS IRKVGAPYTLEHRVYIEKDGVPVSPFHDIPLYANAEKTILNMIVEIPRWTNAKQEISK DELLNPIKQDVKKGKLRFVRNCFPHKGYLWNYGAFPQTWEDPNVVHPETKAKGDNDPL DVCEIGELVGYTGQIKQVKVLGVMALLDEEETDWKVIVIDVNDPLAPKLNDVEDVERH LPGLLRATNEWFRIYKIPDGKPENQFAFTGECKNKKYAMDVVHECNEAWEKLITGKAP AGGIATTNLTVAHSQSRVSPDQLPPLPQNQELPPAPIDASIDKWFFISGASA QC762_107840 MSHASRASYSQTNRRVGSLGRAPSRARAPTISYDDAYSFALRIA FLNYLLQPRKKRKEYVNTPKPPPRAHTSSMSELMKDLTGSASIKLPHAFRQFLERRMS GVLQGIERLPGFSDAAVKRTFAEAYTAFTAKDFQKSIDKDRKVEPLVLIFYSAATKAQ GRGKAPDDHSWKYMVDRHLAMFIRLLSSILKDLGSEKSDLIARLNTLEKKLMTNDQNL YLDTGQEEHKYVEKDIPLTYEVKDMLMVQTVAKIFGVTNSQVQSDIDRNMSSWTEDNA LKDFKGYQFRLSAGMAGTLRKSDFDVNEAFEEWRRQEGPHLAKIFSELLSVRPDLKGG SGAGNDKVLSARPTSMYEDQAYADLGRMLSNNDPGSPVTGFDPSFSFGSLSLEDTSSI RSVDEPSYTFIPQDPRAVYKIITQYVLTYDEMHAADASSPLNEESNDLLVELAVRWRI PQFTRHVVLIEVATRKFLDTEITAEQLYTYLDFVKEPQPEPKKVPPIYLFTSGLSEIE SSKWTMVDFAGYQQALRDLHEALLRELYTLLCQCYAPKPPSVGVVMALLMNHIYNDPA FSQRPEDEAEFSQQLENGLREAAGDAYRGFLDVHIPRNQQEWDFANVVNLGKAVVGLA EKIKKRYRKNPEVMGVSPFKVLVETTFPSFEEDTHEIIKRVLDMAKQQNVEIDLQDGF DLYKELVEIRKIHLESLPDKPFAFHIESLLEGFVWRWIQNAEEKMTQFVESAIKQDQF KVRVRHEGDIPTDEERHSHSIIDTFTLFNQTVDQVYQLGWNDEVHLARFMTSLAKAFA AGIGRYCEIVELQFAREMDRQSAQEAARERTAQEKFFQYAKEAWNTKERVEPFQFYPE SFVKFNNIEYAMQALDKLEKLMNVDRCAEVLDEVDGPKQMVKRPAHYVFTIKIVEGED LKACDPNGTSDPYVVLCDEYQKRLAKTRVIPRTLNPRWDESVDITVSGALNVIATIWD HDMFGEHDFVGRTSLKLDPVHFGDYLPREFWLDLDTQGRILFRVTMEGERDDIQFHFG KAFRHLKRTERDMVRKVTSKLTMHINASLSHEALRNLLSKGIAASMASLWKKKQASAP PVTAAEIENALQPLFTYFDENFAIMKQTLTDATMMAVMTRLWKEVLLAIENLLVPPLS DKPSNQKPLTQTEMDVVYRWLELLFNFFNARDPETGEVLGVPADVLKSPKWHELASLN FFYFDSTENLIRTSERMVAANMERAKLQAQQAHPALPNRLSAPASLGGNHLSVANAGF GSLGTIRRGKSIMMSRNLGTMRKAKEEKRKEMQADPSDDMIMRILRMRPEAVNYLKER QRQKERMAARQQAANIVRQSVHQGWNNGPAFGGALYGRNNLPQR QC762_107850 MGNVSSSPEEGASLYLRDQNRLSISSVVITSPRKRTSINIVPNA YPATRISAMRPSGDNGPVDFVLDPESISSAAGPAFLLKLNNEDDLVFTFTFVLRRSQQ LVRSPSRSADTVAPVDTNIQGLTFVYAPTAREVENLVTREFHADPNLHKNPNVELVGT YSTEGSPSVTFDWTWKWKPPKHNEDKGGGWRNSCTFVEYDQRAHRLEPLAIFSFYVAN TSPYLSQPSSPIPPILLSAPPKVRVVSSQSVEARISPVPELEELVSPLTISHEPLPAP SPAPTQQKEQIKVDCPRPGEDMSVSDDGPVFRATMKALEQKTGNMRSQMKRLIKKAEN VHAAQLEANDAFAAFMEALKDVSSTNANAVKPAIEHYFDKIAREILSYERQNTANIQR IVIEPMSKLYQIDIKQAESKKRDFEEESKDFYAYVSRYLGQRHDSVKAKQSDTKYQTK RKNFELKRFDYSSFMQDLSGGRKEQEILSHLTKYADAQARCFLNTSKKIEDLLPQLEA LSTEVLEADKEYQYQRREREEKRRLLEKSNLNYNEPDIQPPLTSAGPREGGAPNGNPA NSDSELGRANSTGSQLKPASSGNMGASPADLTRSPGSLTQHVVGSPQQNAKFKGIRDL EERDPGHIAQLEKETSNRKEGLLWALNRPGGHVDPRNLNKQGWHKFWIVLDQGKLSEY SNWKQRLDLHMDPIDLRLASVREARNAERRFCFEVITPHFKRVYQATSEEDMNSWIMA INNALQSAVEGRSFKDRPPSTAPGDSSFSGMDFGSMFVGKSPSLSHGNHHNSGGIPTR RTTVGARPATARSSSFEERPDRLLQLLRDNDQGNSWCADCGSSNKVEWVSLNLAIIVC IECSGIHRSLGTHISKIRSLTLDTTSFTPDIIELLFLVGNRVSNMVFEAKLDPAMKLT AQATREQRLKFITSKYVDRAFVEPISTTLSRFATADETLLAAIKRNEIQQVIYALALK ANPNVTDKSRGTHAVYLALAAADPAPMSPPVTPGPSPTVDKLIPFPIAELLVQNGAEI PAEMPQIPLSHAAQGYIELKRGRKAAIEASGAGGAGGGSYDGVGSLPAGSTLSPGDKL QREREARLQKRVSAGGRLAKSPIPER QC762_107860 MYPLSMASLSYIDMFRRLISLLLQGSTGDDAPSESFKKPRRSER LSQRTDNDLVKTPVINKQHLPSPVTHLTSEGTDEFAKEATATPSEGRVSQRRDEYMHS QVAALSSPPQDTQAFSQTHVDPNAPLSDEVEDEVKEGVWGYLLPMDTRYGGTCVVMRK RGSCPPSETVAGAVSGAKQPARKGRGALLKEQEAFDQKQKSGKGLSSGGYLIGRHPEC DIQVDDPIVSNRHCLLFTEHKGNDTVVIIEDLSSNGTYVNDQLVGRNQRRELKEYDEI AVMDKARFIFRYPKNRHANAFLQQYTPIEKLGKGHFAEVYLCIEKSTGQRYAVKVFTK TPGVEERSKNEGLQQEIAMLMGVSHPNVLCLKDTFNEPNAVYLVLELAPEGELFNYIV KKQKLSESECRKLFTQLFQGVKYLHDRNIVHRDIKPENILLVDRDLHVKLADFGLAKI IGEESFTTTLCGTPSYVAPEILADTRNRKYTKAVDIWSLGVVLYICLCGFPPFSDELT SAAFPYSLSEQIRKGKFDYPSPYWDPVGDPALDLIDSMLVVNPEKRFTIDQCLAHPWM TMKTPGVNDSTNGLVSGIQGLDVTRRGVQRERTLLASINTVQVVNQIPGGDKPDVKVY TKNPETTPKKEPRPDDARDPDEFSQLGGKGDQVLFGDDGDSRYSVNDITNKPKAKGKA NGAK QC762_0006080 MWRKEAPFHFMMSVEAGLTSGAQRFEACLLISSMASFEPDVSGQ HLTLLAGVVAEEGAIVAPTIVAMLLLTKVTSSESSGWRYCPAFYYKTSASRLTIFLSP SAIFT QC762_107870 MALNAGQQDSAASGFDKAKAAEGQESTKKVLPPVRTLPPGPLPP YESLDAISPPAIPEDFHDIGRSKPLSDLHSDRTPSDLSDYSDHNTTKYTDSVVKGAAL FLEFYGLSYTPHADNTARMASPQLIFVDGTFAELAQEMADYIQANSAKTEHAVQVGDE VRPLLEKEKNEDALEAIVKASGVLNTVPEKEFTGAINLLIHLVLQSNEPKRHLPAVCS NLLKPITSSPSHGFTLAASALSTIFNLLDKTNPVRYNVFLQIIRFIRQHGQYELLKPR LKNLEAWFADWETNAEDQRKLYIDVADAAAEAGDDEESYHYILKALSTFEREEAEGEE AQKYSLKALKMAISSPTRFDFQDLRALPSVQALSDSQPIYSQLLDIFTEQDLEDYNDF REEHEGWIEKEKLDHEKLQRKMRLLTFASLAASTPNREIPYANIAKALQIPSEDVEMW TIDVVRAKLVEGRLSQKQKVFLVHRTTYRVFGEKQWRELGTRIDQFKLVVDRLTSTVR RAQAEVEQARKAEEEQLAKKLAGAGISSGNPGDRRRQPRQRTDDDD QC762_107880 MVAHLITLSTTSSTQVYLNSPPPPNINTITSIVPQYSLFYAPQM DTPPPRNHYTTLSLPPSLFSSGLTPEEIKQTLKKSYRRALLAHHPDKSTTSSPSVTID EITLAYTTLSTPSLRQAHDLSLSSSGSGPAALGRHKLQTGIETIDLDDLTHHEGEEED EWYKPCRCGNPKGFLVYESDLEEAANGGLEEVVVGCQDCSLWLRVVFGVVVQDQDQEG ETPEQKKGETPAAERG QC762_107890 MGHEDAVYLAKLAEQAERYEEMVENMKIVASEDRDLTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNSTQVGLIKDYRQKIEAELAKICEDILSV LDKHLIPSAKTGESKVFYHKMKGDYHRYLAEFAVGDKRKDSADKSLDAYKAATEVAQT ELPPTHPIRLGLALNFSVFYYEILNAPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEPPAAAADAPAAEEAPKPADAPAEEAPKPAE QC762_107895 MLRTSFTKVARPALFSRSFAITSRAMAAGDTGAPPKTGGQGDAF QRREKAQEDFAIRQREKEKLIALRQKLREQQDHLQKLSDHIDEITKEQGGEHN QC762_107900 MHTLSLVLCATLGGCLAPWARATGEADGAFFLARFDSCQKVQSI ATLCSALRFCIEKSTIEATLLQQHLNPLSCDQSCGTSQNSINKANCINKARHRDQRVL SLRSPLAASPSDWGCVGLLGALIASLLSRQPQGPVLFPDLPSSIGSITTVNMSFTFGT PSSNAGSGAANTSAAPAGGSIFGAASGATGTTGTPSFSFSNPSSAAAGSTTPAGGSLF GNTTAAGVAKPAESLFGTAGASTAAPATGGGLFGGGAGASAGGSLFAKPAGTTGTTGT TGAMFGGASTAAPAATTAAPSSLFNSATAAAPAKPLFGGAAPAASGTTTGTPTTAAGG LFGGASTTPTTAAAAKPAGSSLFAGAGGSGGSLFAGATGAGATSTPAKPLFGGAAAGG LGSTTPAGAPPADAAKPAAGSLFSTANTTTKPAVTAPAAGGLFGATTAPAASNLFAPK PATTAAPATTAPAASTPAAGGLFSNPAATTAPAATTAAATTAPAAGGLFGTGAATTTA PADASKPAGTLFGTGTPATTSAATTSATPAATTAPATGGLFGAPAAGTAAPAATTAAA TSSAPAAGGLFGPKPAATTTTTTPGLTPSNPAQTAANLTASTLGPASQLPRLKNKTMD EIITRWATDLSKYQKEFKEQANKVSEWDRMLVENGEKIQRLFNSTHEAERASNEIERQ LQGVESQQEELGAWLDRYEQELDELYAKQGVNSAREEQITGPDQERERTYKLAEKLTD RLDDMGKDLTKMIKEINDMSGSLGRGGMSDDPLSQIVRVLNSHLTQLQWIDTNAKALQ AKVQAAQKTTGNMGGGSVKETESNAAESFYRSYRGGGFK QC762_107910 MSSERRNSLSPPERRGTVDPGAHDLAESDSEEHYSDAQSVPVGS APPSPIPRTRVERVDDKPAYGEVPGTEAYSKREGDAEPDEIAIIPDPLDPTPAEPERH ARSPTPGGHPIPKTVVEEAPDAEGSVTHPEVEERHKSDPHPDVTIKADGKRVEDNDAP ISPSLSTPVSTRSRRKSSNASKRPAPLSPTSLGPSEGGDDAVEGDEDDDDDFGDDFDD FEEGGNDDDFGDFDDGFQQVEETPPAPPPPAAAPAPAPAPAAPALSFPIPTFDDLDPS EIHTLTEPYLSALFPPPDAVDPLPSLSNENPVFLTPRSASLWSQLVAPPPLQPPDWIR SRIRRLFLVSLGVPVDLDEILPASKQKKLVLPSLHRSTSNGSFQSGDSRSVSRVRAAA STTSVDSQGNLKPPRASKKQPQTSEGVDSKEKSLDLLKARQLCMTTDEAMNGMTDKEL RAHVERLEKMQFEAKDVLDYWQKKTDEKIGDREAFEKVIENLVKHARKARK QC762_107930 MSANDKFGNDIPESDSDSGESQVDIHDSDNDLLDKPKSALKKSA PAPVVQRPPLPPQTDPKDLDIKSLTPLTAEIIARQATINIGTIGHVAHGKSTVVKAIS GVQTVRFKNELIRNITIKLGYANAKIYKCDSPECPRPTCYRSYKSEKEVDPPCEREGC TGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNESCPQPQTSEHLAAIE IMKLDKIIILQNKVDLMREEAAKQHHESILKFIRGTVAGKSPIIPISAQLKFNIDAIN DAIVNTIPIPPRDFSMDPHMIVIRSFDVNKPGAEIEELKGGVAGGSVLHGLLKLGDEI EIRPGIVTRDERGDLKCTPIFSRIVSLNSEANELKYAVPGGLIGVGTRIDPTLCRADR LVGFVLGLKGRLPDIYSEIEVNFYLLRRLLGVKTADGKQAKVDKLAKNEVIMVNIGST STGAKVVAIKKDAAKLQLTSPACTKIGEKVALSRRIEKHWRLIGWATIAAGVTVEPVT A QC762_107935 MVDQNQHLNSTGSTEQAKGTSQANSSLQFGKRHTEFSCGFLPAT RQTLRVWAKNPD QC762_107937 MLVADWMPAFKQSEPHRVRRRSLVAATTGVAAARQGESNLNSTT VTLLPPNQRLSRPGRRPTSRSFGRNGPARHRPPSNRFQALAYAPAPPRVATTLSAKHR QRMSPLSSLSPWNRSSITTRPAMFHEPEHMFLQPLMGRVASDDTVKRLPRMLLVTALG ICS QC762_107940 MGLDEKRRPTALNLAPIRTKSAGSISSTDSSSTSSSLAKPPRTP RFAEATAVNSPIEPRLGPFSDKHEITQAQPGDVGFGYIGNRGSTVPMTPKSPLKSAMR VPGTPGRGLTNPLSPTFREEENLEAREKITEKDQARDLKIKTRVRMAKFALRGVNFSC SLIILSMISASFAIFNATKALAPMSGLPAWSNNTNTWPQKVVLACAGVSLIICVCVFV GYCRGGHRRAEKVGVYYTLFAVGWFIFSMAMWAAAAGILQHSKSNSGNQDMWGWACVE NRRSELFGGQVDYALVCRLQDWTLICIIIELVVEIISITLYSVVFYRYWSKRKLHKSM DMRDKARSDLYLAQLRSQSAPNTPGFGPKSPAFSQYALSPRFPPTTYKSLGDIQENTS DSPFTPGGNNLVVPQSNFTPQQAAFKLQAPPTKANPATPSTPKSGYKPPTAADLSPSS ITAPAFPAPTVQHAPMVEGEQQYEAVPIPGAYAGQAIKSPPPVQTTFNIPR QC762_107950 MSIAAAAVGRQAWRKLVRNNSTAAIRRTISLLPKSQQFRPTFSV PAFTANASKAAPRFSQSQQYRSYSSGGSSGDGTKVKFWPFVLVLAAGTGGWVLLINRQ KIYFFFGGQRMGAQRTWMM QC762_107960 MATPTNPSTFIQLAQSLPPRLKTFLARYPPLSILPLGAAHAPSK ALTFYQRETPNPFLPRKHPVTGKWHDPKYSLRRQAELVKLARDHGVEELLPYTEKGTE TRLAKRVEFGLRVKGTGLGEKVKGHKHERVLVAKMEKRRKAMLEMPGLIREWKKVGKR NWSKFPR QC762_107970 MASRREPPDLAALLRQKKAQEEAASKPRFISKKERERLAAEKKA KEEEELKRKETTLENGHSNGSSQPLRPRNEIPTGPKAMRADDRGNRQGDRREGDRRDD DRGPHRAGAKRGAPMDDEGRRTKMEMNDEAELRAKYMGPVVNQSTFSAKKKRRRTAAN KFNFDWDPDDDTSRPDDPIYKDRLEPVFKRGGEESTDELVRRKAEAIRRGDPETGEER ARQLLEQHERAKQAAKRKALGSHWSEKRLEEMKERDWRIFKENFGIATKGGAIPNPMR SWEESNLPRRLLNIVHDVGYDEPSPIQRASIPIALQARDLIGVAVTGSGKTAAFLLPL LVYISELPPLNDVNKNDGPYALILAPTRELVQQIENEARKFATPLGFTVVSIVGGHSL EEQAYALRNGAEIIVATPGRLVDCLERRLLVFTQCCYIIMDEADRMIDQGFEEPLTKI LDALPVTNEKPDTEEAENPQLMKKYLGGKDRYRQTMMYTATMPPLVERIAKKYLRRPA IVTIGNAGEAVDTVEQRVEFIAGEDKRKNRLREILNSGQFKPPIIVFVNIKRNCEMVA KDIKSWGFSTTTLHGSKTQEQREASLASVRNGQSSILVATDLAGRGIDVPDVSLVVNF NMPSSIESYTHRIGRTGRAGKSGVAITFLGNEDTEVMYDLKQIISKSSISKVPDELRR HEAAQSKPQRGQKKVEDSGGFGGKGGWQ QC762_107980 MEPAVTLKPEVPPAPTSSSTTSPCITTPVILKPKTAVMEAVEDI VYGSVAGVVGKYIEYPFDTVKVRLQSQPDHLPLRYTGPLDCFRQALRSDGLLGLYRGI SAPLVGAALENSSLFFWERIGRDLTYGFGLASHDKPLSLQTLWLTGGFAGAMTSFILT PVELVKCKIQVPDTGGKAAAPLKPIPVIRDIFRHQGISGFWHGQLGTLIREAGGCAAW FGSKETTTKLFRQWNESRATSSQHLEQIRAQDALPLWQQAVAGASAGMAYNFLFFPAD TVKSRMQTTPIGEVGPKKTFMGETAALWKQAGLKGFYRGCGITVLRSVPSSAFIFMVF DGLKKYLPMQ QC762_107990 MTTYIPQLTLPESIFSSFPASILLPITLGSAVGWSTRPKQVPRT YLSLNQPPLRPPPQVFGPVWTLLYGLMGYASHRAYSIGTSPLNLPGIISAARQGTTLY TIQLGLNLLWMPLFFGWNRPILATADVLALVGVNGYLAWLWGTKVDATAGWLLAPYVA WLSFATYLSAGTGYLNNWDLSGAYEKADGETKKKRS QC762_0006240 MTILLELTIIVTAQQSKNFCQQTVSSDRRQQRQEQELLRKWARA ESQPPEPPRGPCLGVESITRDSQRVDLSGTLGILRFRNTRRRRDTHVNLERASAAAQE TTSLQPSRIVRILHNSSDKQVSPDNRNNATSVDGPPAIKSHKHL QC762_108000 MDYYRDSARSPGDRAWSGRDEPRIKEDRSDSFYRSRSPGNDRSR RRSRSPPAVDRYEPRSRGGREDYASGRDRGDRDDRRRMVSPPANIDRYVPGQEAAAPM PLANPIQDPLKLPYQVGFSYFGEWWRANEKIKEEKERLRTGRRREPERVRSAREAQEE RDKEKAKIQVAYDAYKEDLQAKMAQNFVKLHKEEQWFRERYVPGIRDAFRQQLQEHRR EAYAQWEQDLNNGVFDELSLEGIPKSESNGAGGVVEKEEGEATAASEVLGVGDLVPVS SDVRDDSLYQPTLLIKTIAPSVSRQNLEAFCKEYLGEGEGGFKWLSLSDPNPSKRFHR IGWIMLNPASEAPASEDGDTKDEDGDIEPPVMSTAEKALEAINGKTVKDEQRGDFICH VGVHNPPANPRKKALWDLFSAPERIHKDLELATDLIHKFESDFGSDFNASLHIEEHVE QLRANGQLQPAVAAAPVKKPKVERSLDADEAMDAEVEEGEEGAVDDGEDEDEGMVDDE VDDIDLLVAKKRLDLSIEYLRRTFNFCFFCVFESDSIHELTRKCPGGHLRRPRSTLSS TAKAVARASANGDPFPSKKRKEAEDVEEGEAPEAERKFKTSSKTEQQLQRAYNWVRTF EEKIKQILAPETVDLRKLGGKPVEDAVNDELGKYVKQEDEHKWRCRVPECTKLFKEEH FWKKHVEKRHPEWLDKLKEEFELVNAYVIDPAHIAPSRTDANSNGHFPPSGGQQPTGT PRGFNLQNYAMNNMLNFGTFPAMPLLNMMGGAGNMNAAGWQHGGGDDRGGGPIRRGGP QGGNRPQSRSHPYERRGGNRPYGGPEGAGGPPGGRGRGGPSNRWGDGGVTTTGPREAV QGRTLKSYEDLDQVSGGGGGELNY QC762_108010 MAAVAPAAAGPSNATFRDKEKPLAVRSANIVAARALADAVRTSL GPRGMDKMIRSGKGETIITNDGSTMLKSMAVMHPTAKMLVQLSNAQDVEAGDGTTSVV VICGSLLGAADRLLAKGIHPSVISESFQRAAAAAVKVLHEMSQPIALTDTSSLLQAAN TSLSSKIVSQYSNLLGPMAVNAVTKTIDLKTADNVDLRNIRIIKKVGGTIEDSELVDG LVLTQPVIKSAGGPIRMEKAKIGLIQFQLSPPKPDMENTIQVNDYRQMDKIVKEERMY LLNMVKKIKKAKCNVLFIQKSILRDAVNDLSLHFLQRLGILVVKDIERDEVEFICKST GCKPIADIDSFTEDKLGSADLVEEVQSSGSKMVKVTGCKSTGKTISVVVRGANALILD EAERSLHDALCVVRCLVKKKALIAGGGAAEIEIAAQLNKQARALTGTEAICWKAFADA MEVIPTTLAENAGLNSIKVVTALRHKHEMGEKNAGVSIKSGGVNSDISKENVLQPLLV STSAIELAAETVKMILRIDDIALSR QC762_108020 MPSATGANWEKYQKKFGDDEIEEKKITPLTDEDIQVLKTYGAAP YGSAIKKLEQQIKEKQQSVDDKIGVKESDTGLAPPHLWDIAADRQRMSEEQPLQVARC TKIIQDDKDEAKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQILLPLP PKIDASVTMMTVEEKPDVTYGDVGGCKEQVEKLREVVEMPLLSPERFGNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFALPDLEGRANILRIHAKSMSVERDIRWELISRLCPNATGAELR SVCTEAGMFAIRARRKVATEKDFLDAVDKVIKGNHKFNSTAAYAQYN QC762_108030 MAKGNNNMPSIAQETTNTSSPSSVPCKKRRRDDDRDNSTQQFTF SHHHHNIYPDNYHHYHHGSSSSSSSNLSPLATTTRKMIPLSSKRQRMTSVDIELDPKR QHDITSKFHQKEEETLPAKPRPHLVSKTSLLTPCHICSRKPTKKSDLDSFADCQGCGQ RTCYVCIRECLGWSPPSQQPPTPLSLQTEPSFTMIDVDSAEEQQPPPPVESGGWTTKG GGAGHRKMVCSRCCVERGQDGDVVCLGCLPFVDG QC762_108040 MSRANKLGPEVNRALFVKNLSYNVTPEELFDLFGKYGPIRQVRQ GIASNTKGTAFVVYEDVMDAKQACDKLNGYNFQNRYLVVLYHQPDKMVRSKEDLDIRK ENLERLKRQHGID QC762_108050 MTPTLSPISSPTATGTPFSPSENPTECRLLGPFAILVQLALGGL ALLSLVYKRWRERPQRPVKIWFFDASKQVFGSVLVHGANVFMSLLTSGRFNITTIAPP ATVSEAARRGVLMLLKRTATTTTTVDEYVPNPCSFYLLNLAIDTTLGIPILILIVRIT TTLVTYTPLGQPPESVQSGHYGSPPNAWWWLKQSFIYFCGLMGMKLVVLVIFMIFPWI SELGDWALKWTEGNERLQIVFVMMLFPLIMNAMQYYIIDSYIKKQEGKGEVEGKGYDE VDQEEGVDGSVASEDSEDSESEEEEGQRTPRAGKGARDLERDEYDPDVDGDSQTVVGS SSSRISSRGVLTEDLLPKE QC762_108060 MKLAMASVIFLRLFILANLVTFCLGHHHHHQQQQQQPRPTAYNY GFDVHRRVKRQFAEPLTTVVRGDAGQQAGDIQVRREIRELEQDRDVWTLYLLGMSMMQ FTDQTEPTSWYGITGIHGMPHQTWGGVRPTPGNEETGYCTHSSILFPTWHRPYLALYE QVLTNVMRMIANWWPDDQRQRYLDAVQRFRIPYWDWATYPPSDGSVLPTSVGGSPFVD VDGPNGVQRIANPLFSYTFRPLNTTAFRQAPWNTWTETLRGPTNWGPGAQSNNSMVAM TIDQNQRSLSQRLYILFSNYGNYSRFSNNAWIPFVNNASYDSLESLHDTVHTLAGGGG MGMPNIQGGHMSFIPYSAFDPIFFLHHTMVDRIFAMWQTLYPQSWVTPTAAVLNSYTT SRGQIQDSQTPLTPFFADANGGFWTSDSVRDFTKFGYTYTELTDLAGASNVQAGVRRA INRLYGASSPANMFLRELRAQGIKGGRGKGASSRIGQQSSSKHRPAGREVGGLQDDSY REWIVNIRAKKQALDGPYSIYFFFGTPPEDKADWAQAENHVGAMGVFATDGAGMGGMR EAMKELEVSGTVPLTTGLIGAVERGRLEGLSQGEVVKFLREELVVVILGSGGREYGVL DVEGLRLGVRSFSVKLPQSEDELPVWGEEEEGFDLL QC762_108070 MAPERTNTTWEHGYHTLRREKLFRNPPTDQTAYPALQEAVNPHI EAFNALFRNDGKPSLLDHAIAEIGTKTFLDGDERADPASRNKLTVRYKSVTLQKAQVA QSNKFAKRREIFPAECRERHVSYRGKLTAVLEYRINNGDAHEFVRELGQMPIMVKSNK CHLENNSPAQLVERKEESEELGGYFVINGIEKIIRLLLMNKRNFPLAITRPSFTNRGP SYTPHGIIVRSVRPDETSQTNVLHYLNDGNVTFRFSWRKNEYLVPVMMILKALVETND REIFESLVGPPTSKGVENTFLTDRVELLLRTYKTYNLYTKKQTRAYLGQKFRVVLGVP DTMTDYEVGTEFLRKVVLVHLGCNDVTEEQDTDKFKMLLFMCRKLYALVAGDCAVDNP DAVQNQEILLGGFLYGMILKERLEDLLSTALRGALRDHLRRNPTDSFVSESFRKQFPG HIFRKTNENIGNALEYFLSTGNLQSPSGLDLQQVSGFTVVAEKLNFLRFISHFRMVHR GSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHFAHKCKITTEAADVSAVPG VLLELGVNNYSSAATTESVVVMLDGKVVGWCAPQNAKPLADTLRQLKVEGGHGIPLEL EIGYVPTSNGGSYPGIYMASNTSRMVRPVKYLALNKEDMVGPYEQPYMSIACVEQEIV PGDSTHVEFDPTNILSILANMTPFSDFNQSPRNMYQCQMGKQTMGTPGAALAHRTDNK MYRIQTGQTPVVRSPLHNTYGFDNFPNGFNAVVAVISYTGYDMDDAMIINKSAHERGF GHGTIYKTKKISLKDDSRTRSSKTTTKMFGFAPGSPIRASDREMLDEDGLPYVGRLVR EGDIIAAWHTVSADYSGKLVNRDGITHWERYKEAEDAFIEEVRVIGSDTGNEPLQTLS IKLRVPRSPVIGDKFSSRHGQKGVCSQKWPAVDMPFSETGIQPDVIINPHAFPSRMTI GMFVESLAGKAGALHGLAQDSTPFKFSEENMAADYFGHQLMKAGYNYHGNEPMYSGIT GEEFMCDIYIGVVYYQRLRHMVNDKYQVRTTGPVVPTTGQPIKGRKKGGGIRVGEMER DALLAHGTAFLLQDRLLNCSDYSKSWICRDCGSFLAVQPTVSPFIGKRKQVGTVRCRN CAQRLDQIEDLDLMKLDGEIWEDGQGVQWIGGENTTMVVVPGALKYLDVELAAMGVKL KYNVDSKDQTRRSALRPTAPKLLPSGVAAA QC762_108080 MHLFAPLYHASTRCLFPTDFPSVPAVTSLLVRRQTKCEWISREG LNRLLDLLPNLRQIHYEPWRSLEGRNSVRNTRITVEGLHRATFSHNLAKVVVFGDFNE DFDECWRADKRWHRVPISSSRTNPRMASSEIGQAFAQISRPLVHLSVSFAADASDFFE TCQRSWVWTRLTSLALTSNLLAPNKYPKIVNDMLEKAGIVALQMPKLDVMELWNGRRG LACVFRYQASRDRAGHNAKITWRSTWPLSHGDAIHHLQLVSEVIRPVSLWKITYESRF LIDGARIGREAPCCLLNLPGRMV QC762_108090 MAGLFKRVYDWLLRTFWATEMDVTMIGLQNAGKTSLLRVLSGGE FAIDSIPTVGFNMKRLQRGHVTLKCWDLGGQPRFRPMWERYCRNVNAIVFIVDIADVD VLPMAREELHSLMSQPSLDGIPLLVLGNKSDLPNKLTVDELIDAMDLKNIAHREVSCY GISAKEETNLEAVLQWLMKFANSKK QC762_108095 MPGNTSQPSRQHPRQIISVPSGEIQTSRYPFPSKRARRTDKKPK PIYPSTGLQFSRWWWWWCCSVRRW QC762_108100 MANEKKAVQVFGKKKNATAVARAVEGRGLIKVNGKPLKLFAPEI LRAKLYEPILILGTENFAAIDIRIKVAGGGHTSQVYAVRQAIAKAVVAYYAKYIDEHT KNVLKSSLIQFDRSLLVADPRRCEPKKFGGKGARSRFQKSYR QC762_108110 MAPLVSDQLEKRQSCPSGYYYDRGYCYRNSAWSWWGRWVFAGLA VLFVLLVFALLFRNSRRRRKQGARPLYGTGWMAPAPQYYPPPPQYTPQDQNPAPPGGY KYNGNDGYYGNPQAGSSQYGSPGPYGNQATNPYGQQEGIQLQQPEHAYHRGGDADYAP PPGPPPNAATKP QC762_108120 MPAVDAAMRRSVGEGFLDLLARAIHPALVRRNLEGQIGDVRATF ASWDSCMAESYCKWLVIGLMILGGIIIFSVLWCIIRCACCAKSCCCSCFKCLQCCGNC CGCCDPPRGDRRQYLDEPYIPPNQGYKSQEPMHLGYDSRPTAPPVFSGGGVGGGYAAS TGVTSKPQYAEFDVSKNKPGNEDALPEMPSWEGAESKKIVLEEEEEAVEMNQLKKPEA NSTNAQSPTMMNGVAAAGVIPGRGSTSPNPGNRSPYGPPGAGAQSNGYFPPGAVANDP YNQTAQSYNQPAGGYGQPGQGYGMAPGANGPGAVGIGAIGPGAMGPGAIGPGRRSPGN VGGGYNTGYDNNDHGQPGYGQQGYGQQQQGVTPRQTGQGGGYDNYGDIYDSYGTGTNQ PYGGAQELDAGSYGQPPSAQPAAGPQAGYGGAYGQGQRRTPGPQADAGYGNPNQRRTP GPQSDYNNGYGGSPRRTPAPQDSYGGGGYDAPAPAYGSSLDRRSPGPQQGYGRPPPNR QYSSSDSRGPQRQYSGNDVSSSSDNMGGFDFGTGYSRPPQQQQQPNSGYGRPPQAQQS SGYRQPTTPVVEEQSAAYPGYKPYSPGPPN QC762_108125 MEFFLLLPCWAARNTPTYLCSVFLHGPLDGRSITRELTDTSNIL PSSGGCQRLEHGPGQCRYLVEDKPDLLLCRAMFINASLHNFPSELYPLAHRQPRQTSL PDNCKSPQRLAQGIIITQAANKARMHHKASIPALNSSFANDISLYNPTPVKTAHPRT QC762_108140 MSVFGLRLPAFHFEKESQDKSEGETDVTSLGSSASSELSAKTER PATPRSETDSGSSGSPVTEHCYSHRRFPSQPQNRPIHRKPVPSKSYTPYTPPNNTNAA PTTTTTTTTTIKNYTNSTGPFEPLNEPVSNVKSNDSPLQPVTNFAPSSPTHPHHNVLG SPFQPKKAPTMPVSKPPPQQAIPAIHPHLASPPRGVPNVMTTAATPAATTEPRKLQRN RSPSPEGPPPSAAANKLHANRLHPRHQSPGAAPRGRSVSAQPPTGGGPRGLSVDASRA VSNPLEFRADSQPRSSEAGGNLSPTTAAGGAAPPETKKKVRKSWLPGARSRSNSNDLG KPKGTGAWIMSPDNQVDYNVAPLFTGDKVPELWNEQGNVLVYLHPKERGLGPSFRVHD YAFSSSLILNELLVQEMMASAAMSSDFLGVDDAERRQQRPGLQSRNSGGGGGLVADGH LYLPLGNNEVDCLVAARNLFAFLTNQPLVGTPQQPTLFQALIQISEQLRQFQFTNYDG TSYGESVDASFDILLDQFHIADVRHSREKTIEGLVLGEHMKSWNLYNEAFSHAVGKYE SLLELKLPLYNSISAVTRERLERAHLSLANRQANVQGRLENFEYPSLFAGTASSTSNP DYRIVRFKEWRAAFSRMRTFVLGYYKDLFGSWPPKARSKKNHFTQSGLNRQCLKMLYS DLCALYDLLVDRQSITPRVIDQDYYGADSKNAEGKEDKNKPSMELIPACVSALRQMLS EFEKSSPPVLPPIPFDIPKIPTMTAIYETYDNLPDKKKAKFDKGLQAHELQLIMIKSR NMDTDALGMPFLQAYKEFELKEAKGVHPHDLIDHRIGHWLFLYVTLQSLPMLVVDAPG LHYTEGVEYFLCEAPQGNPPWRAEDVGEVRKMWYQTADQKTVELSADVVLFSVEGVYM RSHCWLAGKEWEAQNKEGGVNGNGLAVPGPTAGQIGVALGGEGGGMGTDDMMMAGGLP FASPLHPPRAVFADMDPFNAAVGGGHSRRDSDGSVVAPGSSQVRARSGSPAHRARHAY RASIAMGLEPLPHVGSDAPPLPGDRTSRVPSAGSGSSSPGFTGGIYGPGGLRASRSAV NLTGQQGQQWHVGDTHMGMGSRKSSYGGAGGLPGGPPPAIPAAGQGHSREGSQGGSTF DDILKGMDTGKKKKKGLFF QC762_108150 MSSLMLDRSRIRGAGGFPWGEPAAAPRSQGLDRDSASTSSQSDD FSDLFDWTAYERHGTSDVTTQSQHSAEGAKGVPAFPRSPQSSPQSPGPASDLDGDLPM PDVESDPHVLVWPVLGPEPTREMSPIELRPPHLGQPDMAAPRAVQNERPQSKRPRVLE SPEQTKEVRDAGACYHCRMNKSKCSPTSACEPCLKHPKPELACVRQLLSSMVASQSAR WNLSEPPRREPMNEGGSFVIFISFSDKTNSRCLPLTVAPFIHPDQGTRFGISLSSQPL QENALLAWAQDDMQMDDRIDDFESLLNHFHIHHVRGEGLKRAMDRATAGKPIKAVESQ KKLLSNLLELKLMWKIWSCKDFFGRRQEAGAGRPLGLQFSSVQSWLRFTAASAISRLE KNILEVFDEYLKKDAAGLTTATKPILELSRWVSLWQMILVYRQSLRLLQEHNEAEPYV PGVETNEKRRRFRTTTADLFRSVVVIYWELFHKPKTIQNIQNPEARLFGDDALHRGFQ SVVAAVPTFYQQVANLSLPADEFFRAHLVDRDLKKPKTKRR QC762_108160 MSSTVDIVTIGNYKEKLPRWDPNSKNHRYALVDMGSNGIRFSVS DLCPPRARLLKCLYRERAAISLFDALNRPSTSAHGDHPLVFPDATIKQVSQTLARFRS IAVDSYDVPPSQLIVFATEAMRRAENAASMLEAIRAEAPDLSVHILSPQVETLFGAVG ARSSFVDVKGLILDLGGGSVQMTWMDTSGTSGHQEDQGQPLPEVEAAVAGQSLPFGAA RLIKILDTAHVDVQTSEKAKLQDGMTKAFQTLCATFPTLAQLAAEARGKEAGIDIFLC GGGFRGYGSMIMHNNPIQPYPIPSIGSYTASGQLFNKTKEMLKVNKSFEGKIFGMSKR RRAQFPAIVTVVEALIAAVPPIRSATFCSGGNREGALLMMLPREIRNSNPLSLPDDIE SSIPSGPAQGGTTLQALLSTLHSAFPPGFDLTSVASVFSLQLGPLYASHIWCRMGESG AANASSALHDAINHPDSPGLTHLARAVLAVTLCARWGANLGPIDQQLQRNLRELVDAA DPNAGFWADYTGAVTAALATVIPAWPKSYEAIRDKISFRSSAEQGKKYKLHLEITISK EASRGLDLDDLRELFKKVEKHGDEKKKVIVTVNVLA QC762_108170 MLASPRLVYLLKFAGPALIVVWLVAYMVSDQPAYNSVVRQFKSE RDIFITDFLDHDVGGQLDGSSISELCASKTWTPGLMLSCDPQSGGFGQVKDAHLNCIR FAIEAGAELVLPRIIKRDDKNVANTRPKNGGGPYIGEFIDYLFDYEYLNQTLSEHCPQ LKLYRSMNELWDVPQVQSARKISLQDVGAKLNGSVIEDMNTLSEQIKSYIDRTEDPET RPFPIRFRSDVISSVFPTAYGNPELAKNFGRLLRPRKDARVLAAIALYNLHKKYQLNL DPKQGFQHSTFPGLHLRTEADATPIFPPFDDQVSTLVELVTNSTAGFAFVATGETEER RRKLSKKAEAVGVTMVYKQDLMLDEPEGRELLEQLTWDQRALVDYEIMLRAGLTVGLS GSIFDWNIALRRNSVPGADGEPPSLATSSPIQHQDRYSILLGSSEKADTLRATVWP QC762_108180 MNQHSSSATLPRGFRFEMPRTPEPFAENDEAQIPSPPKPRLRLV KRRVVSQLTAPTQQFLASVAAADVPIPSIEEPETSSHDFAMGNADSFPARMRHEEMDA SFLQPIGRTYELPKTPAPDFIPCLSPGQYPNWTLDSTASSVESTPEPDYESSRPSTAR STLTSASLFSRFSMLSDDDNCASPLLESKEHYHQEEPELPPAAQPSAAAGKAKARKAP WTKAMSDHLWSTFILYLQDPKVTPFRMGKSCLPPDGVCLRVAREAKRSWKGAKALTKK TNVSDGRKSGSTTPTAENSSTFIQWPHTCAATRVHLRELCRQKAAAGAKNPRFLPRST TPFAQAAARHSNMRPAPAHEPLQFATQDMSLSLALSTAESMQPTGPLAQLTGSTPEPV EEEQSNATEPFPLAPAFDMPIISPNIETFEGGPGFAERQRLGSPFGAKSYGPSSSGSL ATVLGLSGPMPRRQSQTLGSRRTLQSPVRMSRSGTQKRRHTQSSVPRIRPSIGADLWL DPNFSMNSTGDARADREFCSTASSHHDELFIPRIPPVPTLSSSTSMPNVGGQLLEPPA LQPPRLGSPFKGHRATRSSFSFPSRMHRAQSGSVDLGMLGRPFATIQQPSTESSTSPI RSNLAGRLAYLDQRLKEIRQREANRRSQSPL QC762_108190 MGPRGAFLEIPLSVLATSLCAVSWRSFVVFVFISLFAVFIQTNG LFGTRITALTMAIGSPLFRKGACSPLLLLFLILFTTTTVYASVGDRLPEFQQCVQVCK TENCLPPNTTPLPLHLRLLFWTCPSECDYTCQHIITSTRLSRNEPVVQFHGKWPFYRL LGMQEPFSVLFSLGNFWAHHDGLYNHILKKIPATYSMRPYYVWLARIGMASWFFSAVF HTRDFRVTEELDYFAAGASVLYGMYYTVVRVFRLDRVSKRGVRKSWTGTCVGLYLAHV GYLKGVGWDYGYNMGANVAVGVVQNVLWTWFSVRRYNREGKGWMVWPGLVVMWVVAAM SLELLDFAPVWGCLDAHSLWHLGTIGPAVVFYRFLVRDSEEVLRREGRLKA QC762_108200 MSTSQKTPVLRLPSTPPPPILTLTPKTHTTIEIRPFNIFDARPV ARIANSPRIASCMRNTFPYPYTSKDANHWLLIATRSYSDPETKPTKSGKPLLLDYAII VNGVLVGDIGLKPLWDVESDTFEIGYWLGEEFWGVGIMTVVLKEFIAWVWEQFPTVRR LEAMVFDFNEGSKKVLSKVGFVQEGVKREAVRKGDKIHDMVVFGLLRREWTTQVLSVS AEP QC762_108205 MNEHLGLPEITDKITLRSVGYMKRGCRAFELPVDMSSLHLQLHV QLRSSSFLPLAAIPSSWKCKFD QC762_108210 MAAKSRFTKLDAFTKTVEDARIRTTSGGIVTIVSLIVVFFLAWG EWQDYRRIEIHPELIVDKGRGERMEIHLNVSFPRVPCELLTLDVMDVSGEQQHGVQHG VVKTRLRPLSEGGGVIEAKALALHARDEEAAHLDPNYCGPCYGAAPPVHAQKPNCCQT CDEVKEAYAAQAWAFGRGEGIEQCEREHYAEKLDEQRNEGCRIEGNVRVNKVIGNFHI APGKSFSNGNMHVHDLKNYWDTPVKHTFTHEIHHLRFGPQLPDGLAKKLGKNKALPWT NHHVNPLDNTHQETDDVNYNFMYFIKIVPTSYLPLGWEKTWQGFKDQHHKELGSFGQS ADGSLETHQYSVTSHRRSLSGGDDGSEGHKERLHAKGGIPGVFFSYDISPMKVINREE RPKSFLGFLAGLCAIVGGTLTVAAAVDRALFEGGMKLKKLRSKDL QC762_108215 MAPTVIADESVSNVLRYLKVLHRHICHTPITYDPSPPSPPPPPY SHSPPDPPLTVPDPKIILKTYDQLDEWYETLIHVACLLNLAPLFLDPPDFFTGHTDKM TWDDERMNNQTEFAGCYDDDGGQTYLLTILKKPEYPVIWERFPGVFLHRLTVLEEESL IERVERDDERGIWGFLGQGNGSRYTIVWKEAPSRERERRLGWDKLEREEKREWEGVVW ALGLMRAWLGGAIDRGLLRKVMDPDEGVVFEVRKRGGRRRDDDVGDWGEAGGLRKLVM GCMRVLEVEEDERWKVEEEREEEEEEEEEEEEEKEENEENEEERRREIREKKRKAVD QC762_108225 MGALTNENNDTKNPARSAREPVTSEIRDGECCGDCRVLGES QC762_108230 MANRPDFIDVRSKSSASVGRPLRSPRLHVAGEAPPELSPLDAFA LQSRLLAKQLQESQKSGRRMSRLPPLTTESPLIMQGRSEYFRSMSHDSASEEEFAAQH SAGSGFRTEVETDINNRPVSVHPRMSHIPPTPEQNVPVPKLPPTFDSQKEADQEQEQD RESLFGVGARREHSPGPMDTAAPPAQLTRTVTQESIPLPASTPTRSITSSPERISKTT SHEAGGLVPPRPLFTKRSSSLMSSHDSSADDEGFSTPMSTSFHSQGSRKFSTSSAVLS PGFAPYQRSPSISSDISALPRPSFNFSRPLSRAGTPSLDPPARQASSDSHASFMLTTD DAAHTPISMSSEALTESTPKEDVFVLPRGKALQRNSVVLPDMKAPARFSWEHPVENHG QPPPSPPSRPASRPTSSTGPPNPPEANTRPSHERSKLSTEIFRSGPEPFPPLGRPSTE STRVSGESQRGRAPFAHLHDAAAGRSIMSNNTSDSASTIKPGPATPANPPTMADLTAE EHVNKAIALHQEGSLPESAWHLRHAAKQGHPTGMLLYALACRHGWGMRPNPREGVEWL RKAMDSANLEIKEDEEHAKEGKHVDVNERKTHRAQLALSIYELGVSHMNGWGIEQDKV LALKCFETAGAWGDVDALAEAGFCYAQGIGCKKNLKKSAKLYREAESKGMSMVGNSWI HKPKYADDPEKDKKDRAKSKSRKSIFSRKTH QC762_108240 MPGFDFSNYNRNAALHARGVPLPKATSTGTTIVGCIYDGGVVIA ADTRATSGPIVADKNCEKLHYISPNIWCAGAGTAADTEFTTALISSQLELHSLSTGRK PRVVTVMTMLKQHLFRYQGHIGAYLVVAGVDPTGTHLFTVHAHGSTDKLPYVTMGSGS LAAMSVFETQWKAKLTQDEAVKLCADAIEAGIWNDLGSGSNVDVAIITPEKTILKRNY IKPNERTQKLKSYVFPTGTTAVLNEKITIRKQDIGNFVSITDLTEAEAGDKMEVDT QC762_108250 MAFGFGNASNAMMGAPSAGGVGGLSQGSDLEVIQTEGLGFLSLA GDSKVQLTSKWQPAPAPTASLLSIAPRKGLVAAASPDAVHIATTESVRKAFEAPKNGD SDVRPFTPQAKVPLGIRISQLAFTVDEQFLILSAESGGGLAVYNTDTLTQGGTQSAFE IPTNSESLRALVPNPSPDLSHFVAIVTDKGNLLMANLAEKKLVSGANGPVLRSQVSCV SWSTKGKQLVAGVADGSIYQMTPEGVEKAHIPKSPSVGDYHVASLAWIENHVFLAVYN QTNGQDPSVFNLITRHQPPGGTPTFTYQKITDPVEPFVADKTPHHTILRLKDFPPNLD ECLLVASTANEGIGLLTRSKTPLTQDKDADKITNVFTTTEFADDSKRAQLPMGEDLTD TFPIGATLDLSSKEKVYKPIPTDEIENSPGPLPGLWVLNNEGVLVSWWVVYNESIRAG TTYPGIVGGSAAQAITPAAPASSGVSAFGSPAVASSGASAFGSSALASPGVSAFGEPS PAPAFGIPSSPAAFGGSSALGAKASPWATASGTSAAPAFGSSAFGSKPAAAVPAFGAP AFGQPSAPAFGQSSLGLGAAKPSPWATGTTASAAPAFGQSGLGSSAAAASKVFGSGAA PPAGGFASFASKGGFGSLGGASSGSSIFGSKPAGSAPAPEVSMDTPTAFPPPAAKTDR PAFGASPFVLGSTFKADTSSAASAFETKKPEGSSMFGSGFSSALEAPASKDEDMDRVT PAPEEKPKSVFGDLGSTTPTSTPAPSKFGFQTAGAEAAKTTLFAPKASAPTSSIFGTP GPASSGLFKPKPETDLFGQPKPAANPFGTPTPAAANVFGSPKPAENPFGAPKIKQEES DKENVKSVPAAPLPPDATNTKAPVKAEEAPLPPDFMKPGPPSKETSKSPGFSESDTGR MPPNLLSTKPKPEVYDAKPPTPEEAPLPPDFIGKPATKLPDVPAVPESPDGSELSECD AEDQTHELEEGEVDEEEEEYDEEESEGSGVDVTKEFSPTTGGFESHTPGITPHGSFDG GMTGSAFSTISRSEANQGRPLFGEINTRNAPSFPKPVPTSPRSPSPMRGPPRASLLRP GPPDTPRSFAPGVASDLLGRRSAPPATSPFGSAPASRARPQEVDPNIKAQMRLAAKRE EEAKQALVDPEDEGIQQLLRSKIEPTLQIDEFLATDTRLKEIEKRGENEIPAACEALW RDINRMIDRLGLNSRALQSFILGHTTLRKEDGRDQDDLEHLDDWVIVEAEDLGKIIDN QLSRRLEEGRIQEIDRVEDEISGLMRDLTKLRAKEEDMRKIIMAHVDPDQVNVAKSLP LSAEQAAQQNELRRSFATFSGLLAEAEEALTLLKAKIASAGGASGRAPVPTVDAILRT IQKMTSMAEKRSGDIDVLENQMRRLRLGTPARSREGSPFVGGGGPATPTPNNRRSLLM SPGRGESPFGASVRMGSVGPGGGGGVGPSPRKKLSQFSEEEKKVLRERERRRKNVLGL LRGSLERTGGNVSRLRDDE QC762_108260 MAWNIFRIAADLSHITAKCILIFSIHRNRSAEGVSLLTQLFYAL VFVTRYTDLFVETHAWNYFFKVFYLLSSFYTLGIMRFVYPRTREKEIAWKLAGLVFSG SLVLSPFFMLIFDKKREWAFTEWLWVFSQILESVCVLPQLLLLRQTTVPTVITSFYIV FLGSYRGLYLLNWFLRELDTNGRKPNPISVIFGIVQTALYADFAWVYWTRQRVKLRNG GVVDADDLRRGWLLSKIFGSEHLRGGSNAVDEDEYDEESAPALGPGRHEVGRDARPGR AKWGSRGISISADEGVYDGESGGSRQEQGVTSSNSRGGFADESEDDLAGGADPDAKMQ DPDDLARALNDDESESDEEDKNKKQKAAQQNGGQPSGIRNGDEWDDD QC762_108270 MASNTVIVVGGGLAGLSAAHSIYLAGGNVHVLDKQGFFGGNSTK ATSGINGALTRTQVDLGIQDSVKQFYDDTLKSARDKARPDLIKVLTYKSAAAVEWLQD VFNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELAETEPHRVKITKKA RVVDLIKEGNVISGVKAEHDGQTLTIHGPVVLATGGYAADFGEGSLLQKHRPDTMGLA TTNGSHATGDGQKLVMKIGGNGIDMDKVQVHPTGLVDPKDPGSKWKFLAAEALRGEGG ILLNGDGDRFCDELGHRDYVSGMIHKEKDKGKYPVRLVLNSKASKVLDFHTRHYSGRG LMKKITGKELAKEIGCTPEHLQKTFQTYNAIAEGKQKDPWGKKFFHNMPLDINDDFHV SLMEPVLHFTMGGIEINDKAQVLNKEGKPFEGLFACGELAGGVHGANRLGGSSLLGCV VYGRVAGDSAANYHFQQALKGNVGAARLGQIALHIDPSTPGKISVQWGGEQAALPAPG AQTGAPAEKAAAAPAKADPKAFTIPDKEFTLEEVAKHNKKEDLWVVVKGVVMDLTNWL DDHPGGPQAILNFMGRDATEEFEMLHDDEVIPKYAPGQVIGRVKGQKVTLEI QC762_108280 MSLTVTSEPSKTMSSLPADPSSQQQQPTVNMVIRQDPFYVTLDA SALLAVSILTVLGMHFVDHLLLEFLIIAVPIALLIHNDYLNFLKLGPGGTPPTPSGYA RLTFYRLFTLRDPFTPPPRDVVSSPSTGILSKLPYRPGPRPTVAGLAPQRQLNQHGSV ECYNRLRAALENLSKKHPSVFVTATSCLEKHGFALFARHPLNVCGNGEIVHIHSSDRS MHMNLHPDDIKEILEKGWGQRHPMAWSGWVRAPLPETFSMVYAPRDESDLKIVCRIVE AAIWYVIAEKVEIELE QC762_108284 MPKMFRQCYEIWPGDAPAGAEVREAAQILVDMSASAWERDNAAE CPPPLTKGPRAPERPHPEVLEQDEALDPDATDEENYDDVAGPSNHQLQPSSSKRGRAE QRAQRLQRDGVLQTGDARCETCKAYESSSKPSPKSRKSGFQCRILEGESRACARCVAG RERCSLVGRK QC762_108287 MATPLYPPERRSRIKTLLDKLLGRNPKSFKVIRTRKLKSANVPH PEMMEDASYDAALPDPSFTTFASSSTNYTAPPNNQGCRSLLCFTNHPNSSNSTTSSTG ARTSAFYATGPSRSCAVLERTLIPTAIQPQTFFLRGVPTPESTSSASFSRDDDDVNGL PDDRRTLPSQRRCQPGLGRTGNMRDMKQAYLDSDWRDKAGPCEIHHHGQTGVT QC762_108290 MKFSTPIALLAVAAVDAAVVQEDKRWCNTEGQACNTVARAAEAF TNAIKASGVVARDDSAAAQVAARQVDQLALAISASQADPITFYTALGLGDQFTLEEKP HAEKREAAPQWCLRFVGQSCWKRNAAPEDVKRCTAEDGACTKAKRAAEAVINAIEASA DNLAKREAAPQWCLRFVGQSCWKRNAAPEAACNAPDGACTKATRDIHAMYNAARHIID ASA QC762_108300 MTAAQSLPRFLLPRLSWTGPSGSITGASAALLQQARDSSNTPLS RQPPHKRTYHTSGPSKGTPTTIQKRSLTAHFRASPPCFSQNQGRPFSTTPSRQRDHHF DTLRFVQRLQEEGFTEEQAVAMMKVLNDVIEESIQNLTRTMVPREEAAKTTYTQKVDF AKLRSELLSADSTESNTTRAAHERLTNDIAKLGSRLRDEIGRTQASVRLDLNLEKGRI REEAVSQELKIKETETKIEQEVAALRQQLEQVKFQTLQWLMGVCTGFAALMLGAWRLL M QC762_108310 MGVGRRMKKQGPPEPLSEAHFANLKRKKGIPVDDIPAEEHSSKK RRTASKKPEKAIKSATGTKGTGRQANGSKKTASAPSNGVKASKTKGKKAPEPQSDSDE EMNDEFDASDLEDEAGSDEELKLGSDFLGSDDDSVYDSDLDQDAGKKEKFVFSDDEDE DDDEREEKLTAANIEGLSRKLDQQREEEEAENEAELREDAMQTNIDGDKPHVLDSDDE DEDLAAKTKSLLAPDLQMLRTRITETIRVLEDFGELAEEGRDRAEYTNQLLKDVCAYY GYNEFLAEKLMNLFPPREAFAFFEANESARPVVIRTNTLRTHRRDLAQALINRGVTLE PVGKWSKVGLQVFDSNVPLGATPEYLAGHYILQAASSFLPVMALCPQENERCLDMASA PGGKTTHMAALMKNTGVIFANDPSKARAKGLIGNIHRLGVRNTIVCNYDAREFPRVMG GFDRVLLDAPCSGTGVIAKDPSVKTNRDEKDFQQLPHLQKQLILAAIDSVNHASKTGG YLVYSTCSVAVEENEQVVAYALSRRPNVRLVETGLPFGKEGFTSFMGKEFHPSLKLTR RYYPHLYNVDGFFVAKFQKIGPTPPNAVLANGKKDKAVTRNVDDDAMVIDKTPIATEE AGKEAKDDFGGFDDEQDADYIERAKRNAMRRRGLDPRALKKGEKKEKKGMKEETSEEE PTKEVTREKEAPKEKAEKAEAKSEKKEKKDKKDKKEKTKETLKVEKAEEKTTEKAKPK EKKEKVKAKK QC762_108320 MAAPNLPIKFQELLQLSSLGVGPTAITFNTCTLESDSYICIRDK KDEASSPEVIIVDLKNGNNVIRRPIKADSAIMHWTRQVIALKAQSRTLQIFDLEQKQK LKSTQMSEDVAFWKWISETTLGLVTETSVYHWDVFDPTQAAPVKVFDRHSNLTNNQII NYRTSADGKWMAVVGISQQQGRVVGAMQLYSKDRGITQAIEGHAAAFGTIRLDGAPED TKLFTFAVRTASGAKLHIVEIDHPETNPVFQKKAVDVFFPPEAGSDFPVALQVSQKYG IIYLITKYGFIHLYDLETATCIFMNRISGETIFTACGDSSSTGVVGINRKGQVLFVSA DENKIVPYVLESHGTELALKLASRAGLPGADNLYQQRFEQLFSNGSYQEAAKVAANSP RGFLRTPQTIERFKRLPQQPGSMSHILQYFGMLLDKGALNQHETLELAQPVLAQNRKQ LLAKWLEENKLECSEQLGDMVRPHDMPMALSIYLKGNVPNKVVAGFAELGQFDKILPY CTQTGYQPDFIQLLHHIVRVNPEKGAEFATALANNEGGSLVDLERVVDIFQSQGMVQQ ATAFLLDALKDNKPEQGHLQTRLLEMNLLNAPQVADAILGNDMFSHFDKAQIAKLCEQ AGLFQKALELYEDPAAIKRVVVGIAGAPNFNPEWLIEYFGRLSVEQSIDCLDAMLKHN IRQNLQSVVQIATKYAELLGPQRLIDLLEKYKTAEGLYYFLGSIVNVTDDSEVVFKYI EAATKTGQIREVERICRDNSVYNPEKVKNFLKEAKLSEMLPLMVVCDRFNFVHDLVLY LYQHQQFKSIEVYVQQVNPSRTPGVIGGLLDVDCDENIIKNLLSTVNPVSIPIDELVQ EVETRNRLKLLLPFLEATLAAGNQQQAVFNALAKIYIDSNNNPEKFLKENDQYDTLTV GKYCEKRDPNLAYIAYSKGQNDLELVNITNENAMYKAQARYLLERGDNDLWMFVLSEN NLHRRSVVDQVISTAVPESTDPAKVSLAVQCFLSADLPAELIELLEKIVLEPSPFSDN PNLQNLLMFTAAKADKARVMDYIHRLDNFSADEISNVCIEVGLFEEAFEVFKKIDNKE AAVNVLVEHVVSIDRAQAYAEEVDIPQVWSRVAKAQLDGLRVSDSIESYIKAEDPKNY EEVIEIAVAAGKNEELIKYLRMARKTLRESAIDTALAFCYARLDQLAELEDFLRATNV ANIEESGDKAYAEGFFEAAKIFYTSISNWAKLATTLVHLEDYQAAVDCARKANNIKVW REVHEACVGKKEFRLAQICGLNLIVDAEQLQALVKQYEREGYFDELISLLEQGLGLER AHMGMFTELGIALSKYHPERLMEHLKLFWSRMNLPKMIRACEEANLWPELVFCYYHYD EFDNAALAVMERPENSWEHQQFKEITVKVANLEIYYKAINFYLEQHPSLLTDLLQVLT PRIDVNRVVRMFQKSDNLPLIKPFLLNVQSQNKRTVNDAINDLLIEEEDYKTLRDSVE NYDNYDAVELAGRLEKHDLVFFRQIAANIYRKNKRWEKSINLSKQDKLWKDAIETAAI SGKTDVVEELLRYFVDIGNRECYVGMLYACYNLIRPDLILELSWRNGLHDFTMPYMIN LLCQQTKELAALKADNEARKAKEAAEKTEDDNTPILGMNRLMITAGPAQGRASPASFG GQTNGFAPQPTGFGF QC762_108330 MAADNDKKYEDVFEEGDVPKEAQTVHRIRANSTIMQLNKILVAN RGEIPIRIFRTAHELSLHTIAIFSYEDRLSMHRQKADEAYVIGKRGQYTPVGAYLASD EIVKIAVEHGAQMIHPGYGFLSENAEFARKVEAAGLIFIGPSPDVIDALGDKVSARKI AIAANVPVVPGTEGAVEKFEEVKAFTDKYGFPIIIKAAYGGGGRGMRVVREEASLKES FERATSEAKSAFGNGTVFVERFLDKPKHIEVQLLGDNHGNIVHLYERDCSVQRRHQKV VEIAPAKDLPASVRDAILNDAVRLAKSVNYRNAGTAEFLVDQQNRYYFIEINPRIQVE HTITEEITGIDIVAAQIQIAAGATLEQLGLTQDRISTRGFAIQCRITTEDPAKNFQPD TGKIEVYRSAGGNGVRLDGGNGFAGAVITPYYDSMLVKVSCHGSTYEIARRKVLRALI EFRIRGVKTNIPFLASLLTHPTFIDGNCWTTFIDDTPSLFDLVGSQNRAQKLLAYLGD LAVNGSSIKGQIGEPKFKGDIIIPELLDDAGKKLDVSSPSQKGWRNIIVEQGPKAFAK AVRNYKGCLLMDTTWRDAHQSLLATRVRTVDLLNIAKETSHALSNLYALECWGGATFD VAFRFLYEDPWDRLRKMRKLIPNIPFQMLLRGANGVAYASLPDNAIDHFVKQAKDNGV DIFRVFDALNDINQLEVGIKAVQKAGGVCEGTVCYSGDMLNPKKKYSLDYYIDLVDKL VALDIDVLGIKDMAGVLKPHAATILIGTIRKKYPDLPIHVHTHDSAGTGVASMVACAM AGADAVDAATDSLSGMTSQPSINAIIASLDGTDKQPGLNPAHVRALDTYWSQLRLLYS PFEAHLAGPDPEVYEHEIPGGQLTNMMFQASQLGLGSQWLETKKAYEQANELLGDIVK VTPTSKVVGDLAQFMVSNKLSPKDVIERAGELDFPGSVLEFLEGMMGQPYGGFPEPFR TKALRGRRKLDKRPGLFLEPIDFAKVRKELSRKYGSVTECDVASHIMYPKVFADYKAF IAKYGDLSVLPTKYFLSKPEIGEEFHVELEKGKVLILKLLAVGPLSENTGQREVFYEM NGEVRQVTVDDKQASVENVSRPKADPGDSSQVGAPMAGVLVELRVHEGSEVKKGDPLA VLSAMKMEMVISAPHNGVVSSLQVREGDSVDGSDLVCRITKGDKK QC762_108340 MAAFVKAINAKIRAHPVLDYVCSTHFWGPVSNFGIPLAAVMDTQ KSPELISGPMTGALCVYSATFMRFSLAVTPANYLLFACHAVNEAAQLTQGYRYLQWHK WGGKEEALKKGALEGKPVEAAAATPAAR QC762_108350 MRVTLNDGRQMTGQMLAFDKHMNLVLADTEEFRRIKRKQNKPSA PGASSSGAQTIEQEEKRTLGLTIVRGAHIVSLSVESPPPADPSARLGKTGGPSVASAL AAGPGVARPAGRGAAAPISLAGPAAGIGGAVPPPAFPGFPGAPGFPGAPGRGAPPPPG FGGGFPPAGFPGAPGGFPPGFPPGGGPPSGFNPPPRR QC762_108360 MSAEKAQNPMRELRIQKLVLNISVGESGDRLTRAAKVLEQLSGQ TPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSETGN FGFGISEHIDLGIKYDPGIGIYGMDFYCCMTRPGERVARRRRAKARVGASHRITRDDT VKWFKQRFDAIVR QC762_108370 MSVMSKPGRARDSTMAVGLDKLERLEKRFRLFSTSKKKTTSRTP EHESEPSSVEPWSANGGDTSQTFPSPSFIRPTSQRMMARDEVVLSPRQSRRAQSLPDA PSTPRMNSLVSISISPRPSNHSAKSPRVPQRTSSLSPRNSRTSSSLAELLDFSFDGAG ALALGDNDTMERRSRSNSKVNPRSTSPSVSPKAPINRKRCPSEQEGSPSLSFGPAPIP TPPCSPPASPPVSPSLVPRPLAGAYRAKLGLIEPLERSRSVHVFGEQASGQLEKEPRK TSSLSTLRQTKPMAKSNTILKEPSLDDFMALSDDEILDGFPVPPQPNRPGPNPSSRNP PSFPLPPDPPVSPTPRRPSATYPLLTLSPPLASRPATAAAFEAARIAAKYNFDLVYVV NLWPNDMGLSRPSSGRSPYPPSPPQTPISGSTPSVRRTPSRRITGRLLAAYGLSSIKY PFRISAPVHEKVLQTEGWLEYRSDELKQDEHARGYMCSFHTCYHPSKQESLGAPSTKK PNRGIVFAAYRNTPTDNNQAQLELLREDAETLVDLLIDIHSTQRERKPSAARRYAAQE TGPLPSPPVNPISMNAL QC762_108380 MSSSGTPNSEASMSSATKEILGRVRQLIPPMLERFHKGQMGRVA VIGGSEDYTGAPYFSAMASARLGCDLSHVICTPTAATVIKTYSPNLMVHPLMRSLPSS PAKPAGEDSDPSTASKHDTDPSEIASRIIPLLSRLHVLVIGPGLGRDPLMQKTVALVI QAAKKQNMPIVLDADALLLICNDPDLVRGYQEAVLTPNVVEFERLAKALNIEKEVAKE GKETDRVERLARELGGVLVLQKGGKDHLSNGTVTYSVDLEGGKKRSGGQGDTLTGSIA TFLGWRKAYHEGLWDVKHKMKEEETAGLAVFGGSAITRECSRLAFAKRGRSLQASDLT DEVHTAFLNIFGEVDEDVSKL QC762_108390 MFRNALRQSTRAVGALSATSRLAVRNAAPAAIQARTFAEAKASP TEVSSILEQRIRGVQEESNLAETGRVLSVGDGIARVHGMANVQAEELVEFASGVKGMC MNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGPELLGRVVDALGNPIDGKGPI NTKEKRRAQLKAPGILPRKSVNQPVQTGLKSIDAMVPIGRGQRELIIGDRQTGKTAVA LDAMLNQKRWNSGNDETKKLYCIYVAVGQKRSTVAQLVKTLEENDAMKYSIVVAATAS EAAPLQYLAPFTGASIGEWFRDNGKHSLVIFDDLSKQAVAYRQMSLLLRRPPGREAYP GDVFYLHSRLLERAAKMNDKHGGGSMTALPVIETQGGDVSAYIPTNVISITDGQIFLE AELFYKGIRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFAQFGSDLD AATKQTLNRGERLTELLKQKQYSPMAVNEMVPLIFAGVNGFLDQVPVAKILQWEADFL SHLKTNEADLLATIEKEGAISKDLEARLKDVISTFTKGFLG QC762_108400 MGPLQNRENNCKRVAIAWRGDLDGEVDGRLESRREENCREGLVG LGSQHRVGQKLRGNQSTDGENFAQSRLPSSPPGIFKNLSWIELPDTALATTKDMVV QC762_108410 MSSSIQMVDKPDPLVCPERTSTNTSKTTSSTEGHTSLEMNVPEE PARRGSWLFGRLSAAPVPLSAPATPPPELEEGPDNQTMTGIGCLFSQLLPSRPPSLTL GSESNTDAPSTPSQASDANDSNDQEKTHRPSKDSLETPRVTTSSHTPTVILSMQALIF GQVPPLTPDTPIRTGSKRAPGSPCAPRPKKARRSMGVDVEDEGYSEAQYQEPATGQSL QHIQQLTIDLVGSTRVAIDPGNTPITETKTNTQAELQLGSHSSCQAISTEHSANPSFA LANEWFSEDEILEQLSVFLAAYRAFHLEPDAAEQPRVRDPEAAQMAKQTFEAIFHPKL NSEDDKKFLLQEEEEDVLTVFAIWVRDMKVSSDVDCEPFENVADCMQRVADLSAAPFI RRLVVFGEPLDIDLAVREISGTLMPTYQEDGIIEWEFDMFSRDFE QC762_108420 MAPSRSANASASVTFEQPPSRLVKRSTTAGPSVSFAQPATGKRS AGHSIIGKPSVVSFEQPPQRRPVPQHKHHQSLPAYPAYPTHAVELDSKEIGRAFSTSI PSTTWTSSPQPIEQGKLRPQLLPRETGGLYGVCISELLDNGTTIPLEPSGGRAWVVSS VVDLGIRMLESPRGRQALSNLAQKSLLVWRERPISNHEPSIPKKINTPSAVDDFLYTV RHNLPLIKLDPKRNGFLACPSTTSLFHLPPSFSSRFNPKSAAILHLNTHLVTKLYHSR LKSDISRRHKRFDASEAQTARFRRLAFHIAAMVTHHLCHLFVNYLRDHAQEGELRDKV TDADFMRLVTRYDPGAEWEVEFFGGRPKLFVDWDGGDDKSEKGVSFVIKRGGGKNGRR MAAGVAGYKVESYLGGDFSVPLITEVEGEVFPMEKYQDVKRRYGGSRLTDCHHGGKKV SSSKENSPSVGSEAEGQFGEGKGQEIGSRMAVMDQPLGLPWNIQGQEYQLLKQACFDP AVRVVSPMRVRTMM QC762_108430 MAPAPGMAPYSDEPTGPFHRPEHNDESTGRMSHESESSVSTTSI VFDRIEERLAAKEGHFELDDHDPMKEADDDDNDLETGRFLGGRSSTQEEDFPAKNDGM NRGMRRTLIIVAGLLISAWVVGLFFYVSHKSYKPASQIEHDPQATVVQGTGKQVTLDQ VMGSYWRAESHSISWIESPDGEDGLLLLKDGPGKDFLVVEDVRTQNSAGVNAAVDVAS SRTLIKERHFDFGGQTHTPGRVWPSKDLKKVLIATNLEANWRHSFYASYWVFDVDMQI AEPLIPGEPNVRVQLAQWSPTSDAIAYVRDNNLFLRSLKHDKVVQITKDGGAEVFNGV PDWVYEEEVFSGNSATWWSEDGNYIAYLRTNETGVPEYPVQYFLSRPSGTEPAPGEES YPEVRQIKYPKAGAHNPVVNLKFYDVARDESFTVEISGRFADDDRLITEVVWAGGQVI VKETNRVSDVLRVVLVDVAARTGKAVRELDVKAIDGGWFEITHKTKYIPADPSKGREQ DGYIDMVIHDDNDHLAYFTPLNNSEPIMLTSGHWEVVDAPSAVDLDNNIVYFVATKES SIQRHVYQVDLSGNNLKAVTDTGNEGYYDISFSAGTGYALLSYRGPNIPWQKVISTPA NAHRYEHMVEENKELAKSAREYELPIKIYGTIKVDGVELNYVERRPPHFDKNKKYPVL FQQYSGPGSQSVNKRFTVDYQSYVAAGLGYVCVTVDGRGTGFIGRKNRVIIRGDLGKW EAHDQIAAAKIWASKSYVDEERLAIWGWSFGGFNTLKTLEQDGGRTFKYGMAVAPVTD WRFYDSIYTERYMLTPQTNGHGYDTSAINNVTALKQNVRFLMMHGVADDNVHMQNSLT LLDKLNMVGVENYDVHVFPDSDHGIYFHNANRIVYDKLTNWLINAFNGEWIKVANAKP QKKRSIQPILPIL QC762_108440 MSSSTKPQNQQADIPASIQDLHCFTETNGVITTTMFDVPGYRVV KVLGAVYGITVRSRNWAAGMSMVLKSVVGGELKWFTNLLYSARNDAISRIVAETQSRG GNAVIALRFDAGDMGGFAQVCAYGTAAVIEKIDQSVETHPQLIRTS QC762_108450 MHPSLLLGLLAGTALAGTIRRPGRPRPQAPTAETLCPIVFDGRP DSSLEPLDFDDWNTSPFNPDYVKGAGLPWSSILQFPDISPPARFDDPTYQKPFEVTIN DSSIFNNQRGFRRAGLQFQGDTNRDSPGSSGIKTIHFSLKWDAQRPLNLSHEYLNVWH ETADYSANQFNFQAGAILGQNSLARDTWKVLNRQNRQVWSTPILRNEWQNFAISLDFV RNTLRVYYSRGSEPLRSVTNALTNNNAGEGQYQVGILRKPTGTSDVVNSGYHQRNLNE GLIYGSVFVEDGEGGCVSL QC762_108460 MRLPTALLGALLVGRAPQNNDGRPPPQPVRIDPIPDIQITNFRA GAVILSHRFYVNFNITFPPNTPLESTPLTTYCHTIGTSLTETIGEVHPLWCNRNTDSP PTPQDVFWSLDFNVEQETFPNKTVKTPLNAELLLYRVISNETRMEGRALLSREDMPMV GESYPRQIYQGPGNFSVNGRRVSGGRGFIPGDGDGDDA QC762_0006770 MSIQVPIDLLTSRFSMGERFSSLRANTIGNRFANLKPLSEFLDI KRVNKPENFVEMQSRVNYNLGHFSSNYAIVFLMLCVYGLLTKPLVLFDIIFVTVGMFI IGKLDGQDLEFGTQRFSTMQLYTGLWVIAIPIALISGVFGLMMWLIGASGVVILGHAA LLDKPIDEAFSGEVV QC762_0006780 MNIVAREDGEETTDDSEDGTDDDYDDDMPMLSPREEALAEAAMA RVARAHSRGKTNVKLGKEELAAYQKKLAIMEYQRTRPPRRERVAVPITALGPAARSAN RLPSDGSTPPSASSPDPNSEREPAQPPMGYFPPSSSRSLPRSGSSASRTPSQTRVDRD RDLSPFTYSYIRKGDSEIRSSSRQPSDSSDHPRGPQRRSESQDPFQYMTDKQPSPLSG ASASSRTLHLDPLSGAPYYGSSTVVRRRTGGHEDSASGSEDAFDPAPPGRVNSSGTTR PDSRGDDKLSPSAKKSSSSSSAVPSRKKSVATRTSILGTARRKAK QC762_108475 MGLLDDASSVISGRTAHSTSKRHHRSHHRSSRKHHRSRSKDRSS PREYHREERSRSRRRSSKKHHHRHAGEESGSVMGGITSFFASPSSDDDDLIYGDHEPA PSRSQRNRSRSRSRHRGSVDDDSRSFFSQSNASRALFNLAGGNASRSSFFAGFGGRPS TPSSYYKRSPRPNLVTKLHKKIRRLLRDLLNHAKRHPLKVFMLVIMPLLTGGFLTALL AKVGIRLPKAIERLIGVAGKAASGDSVGLVGEAVKLAGAAGGAAGAVKMARSTVERST RGYDGSSWEKTTESFTRELGGGGGWGDGMVKGVTKFFSD QC762_108480 MRSLLSSTYSSSSSQQQPSPPPLKPPTEQTPLLLPLPSTGGDAA LPPGPSPTINNDPPEEESEPPFPASQILLLCLARLLEPIAFFSIFPYINKMAQENGAL PDTDVGFYSGLIESLFSLTQMFVMIFWGRASDSFGRKPVLVASIVGVSLATMLFGTAK TITEMIVYRCAAGVFAGNVVTIRTMIAEQCGQRSGNHQAKAFGWFSIANNMGISLGPL MGGMLAEPAGTWPGFFEGGLMERYPYLLSSLVIGGMGLGCAGVVGVWVEETLDRGTEG KREVERMTVGQLVRSPGVGIVLVVNGWVMLLAYSYTAILPVFWFTKVELGGFGFSPVQ ISWLMGLTGLSQAVWMLAIFPRLQARIGTNGVMRVCAKAYPFFFALGPVFSMLLRTGR PEFVTVFWVCAPVFFCLGSGVSMSFTAIQLAVNDVAPVARMLGTLVSISQAVVSGTRS FSPALFASLYALSVKAQWLLHGYSIWVLMVAMALVFTVLSLWLPDYEQLKKEREARAE GESERLLA QC762_108490 MMHRDQNYHRRGFAQTPTEFWSFQRLPAEIRNMIWEYSLPKSRV YEVMDAPSSKQKTPAQKGLMFANVHPEPPPPLAAVCRESRCFVLHHYKPLTLGPTTKY VDLSRDILLLEPYLLVKRLHRTLHFMSQIPLVRDNINRLALGTSYGIYPGIFHPVLSW KVSKTNMHKLMTSLAKFPKLQTLVFVVHQEFQFEFDFGHPSGMAPLSNHQQHAQLQGA AATGMGGYPSPAPSSAISGTGTPMPSLSPSASRLPTPMSSNAPSPSPPQSLPLPSLPP LSSSPPSTSASSSSSEPQYRPQQIHQAYRFKFDIEANINHQPRRPHHNELSYYPLPID EEKDDWDLGEIGEEGEWCDPRPTNDDWRRFRKRFVWAMDKSTAPEEEKPEKTGAKRGA EDDLRGLAKKLKLKGASLLWRYTSQRNRGYAGYAGLSSGVQGRGGYAS QC762_108500 MSSTHDSKPIEVTSSTQFQTILQTNALVVADFYADWCGPCKAIK PIFEKASEELSHENVLAFIKVNTDTQKDIAQAYNVTSLPTFIYFRNGQITSRVKGADV QKLSGMLETIRGHFQEAIENPGGAAGGSSSSGATWRGAELPRGYTDITDQIEVNRCEL LNVESGPEGVRTLLDKSRPSALSGQKSATKDWVESDTDEQLMLFLPFQAMIKLHTLQI TSLPPSDDDDDEAPMRPKTIKLFTNKSHNLGFDEAEDMSATQVIELSEKDWNPDGTAN ISLRYVKFQNINSLVLFVVDGDGDSEKVRLDRVRLVGEAGEKREMGKLEKIGDE QC762_108510 MPDPAPRQVSISITIYHRHNIHHSPVMALYERDKSRHKKYLYLD PPRPGLHERRRKVTTIVPARVPTPPPPVVLQPLPPPLPSVVVEPCPPPPPPPPPPPAP IEEDDTIDVIAVDVDPSETSKSSKSRKSRRKRRSHSPRRETREREVIIERERLVPYEV HVPYPVDRERIVEVEVPVPYPVEREKVVEVEVPMPYPVEKEKVVEVEVPVPYEVQVPV PYEVKVPVPMLPAPRTPSPPLRKRETYRYVEGLESKIPMREVRGVEGLESRRPESGIR GVEGLESRIPMREVRGVEGLDRRGPESGIRGVEGLESKRPESGIRGVDGLENRRPESG IRGVDGLENRRPESGIRGVDGLESRRPESGIRGVDGLESRRPESGIRGVEGLESKVPT GGIRGVEGLESHVPKGGIRGVEGTESRVPRESIRGVDGLESRVPTGGIRGVEGIESRV PRESIRGVEGMESRVPRDSIRGVEGMESRVPRDSIRGVEGMESRVPRDSIRGVEGMES RVPRDSIRGVEGMDSRVPRSSVRRLSRMRDRSCDSQSSVDERDRVRITVAERERERIR RESSVSSAGGREYRHERRGGCDCHHYYGHGRHGPDCEHIHIHSRERIYERDRNSDVSL MREESRGRYGDFRC QC762_108520 MSQLDHDQHHHHPDGRSSVASTPPRSMDSSFQDSPTIGRTQVLT HGYSSNSPSSSPLSAANPRSRPKPAPSPLHSSSVVSPPTSPGPTRAEFPSAASVPRIV TTAPEDRQAQASEKTYFNSDSSGSTTPEERSPGPAPSSSQQFPNEARSASDPVVAAKG PSGGLTQSQSTPSIMAGPSSVRAEPAKGPARNSSMDSAISQISSRSTPNKTPQDAAGS TDIAHLIKTAGSAEAVIQYLLKEKQSQSQQNSQLWRLVDKQRAMILGLNKDLERALKD KEKYRKKLKEVLGDAEAPFIPAVPAASSMQGPARHETGEASAIPKERVLEVPASPGLD APKHSPIDVSMAPYPITPPADQMTLLLPPSTVGDLLDPSHSMPKASEHALDQYDHEAQ EREAEEAAKETTDDQVDLRINLDLPPSRQTPREPPKMPPPKLPVGLPDRSPKPEDGVS KFPLPPAPPPRKPPPAPLQLKNMNPTPAIVAPEEAETDTDYDDILEVDEIPHHERRGR RRTREEDERDREIMAQKEAEMRSLSKKSKKSSSRKNTPEEEPPLPAEMPEMPASPRLV QTTKVLPPREPASLAGVLSGNSDSLAPPMALMSPGLPASPRPMPLKSPVTSPPLSPLG ISTFAGAPLSPRPPREPLALKSPRPLLIVKQEAQISGEASPTSSTMDSPLERTKIFKG FVTEEYPDLLLPPNALPHITLKVASSRMKPSRASLLSLTQLEEDPVFTLAVSSRADGG ELWRVEKDTASLAKLDSRLKQCPAFTAKTPDRSLFSGHAPAKLDARRVALNQYLEELL NTPLDTATALELCKYLSSNTLPPNADETGSSRSDANPESQRTGPGGRPFRTGYLTKRG KNFGGWKARYFILDGPLLKYYETPGGAHLGTVKLQKAQIGKQSHHNNDGSPARPKDDE AENQYRHAFLILERKKKDPNSTTRHVLCAESDLERDQWVDALLRWVDYEDPEDEDNAK KEHPHDRHSAHADRANASKKKGQGKQNSIADDKLIGVSYEATRQGDSPQGVPIKGAPS GQDHESTHSQSTASSYTISGPRDPQMMTSSESWGNKLAMATQQLSQEEKKARKRSFFG FGPKARNSGDGQDSIFGSDGGSIANGQTGNGYNGPVRQVFGASLAEAVRYCAPADVRV PLPAVVYRCIQYLEHKNATSEEGIFRLSGSSVVIKQLKERFNTEGDINLVTDPQYYDI HAVASLLKLYLRELPITILTNDLRLEFIATIEITNQKQKHALLAELVDRLPQANAALL KYLISFLIKIINNASVNKMTVRNVGIVFSPTLNIPAPIFAAFLQNFEPIFGVDPTEYE LPTTEPDNLQPQPRRPSLPSSFTSDAPRRPSDPRPSTSHSDSPHRHRLMESLDSLPNR STPTPPPLSMQQMAQMNAATMHSRSTPTPPPQRPGVVYEPQLMAPPQQQQQGGHFSMR PAYEGSFNAPPPNFDPTQMQHPGALRPSPGYDRPVYESGLSPAPYEHSYKNRRESSMF MGSLNQQPSKSRLRE QC762_108530 MKLNFRGCVTAGVVRNLMGGFHSRRGATSELGTLGWSADFLRPD IRPLSIGDRPQKSRQHQQDRRQAEQTTTGMDISALDPMLRSSNGGSGSGNGSTSTSSN GPGNAPTPPAPVHGALSAQPPASSLNAQHHHDRLPQLQAHHPQQQHQLRPQQQQWQPP HHHQPQIPHTNHPTSAFSPQTPASTATVNTSSTPANTTAPSSIAVNTPNNSYHHHNSP GDEHHSPGGAGGGLDPKKPRACESCRGLKVRCDPDPADPDGPCKRCAKAKRECVITQP TRKRAKKTDSRVAELEKKIDMLTASLQATRPQTSGTSTGGLHGPGSDPQAHQAAQALV SSADWRGTSHDQSGGRPGTDYGRYAGGGERYGQQQSMSTSLPPLSSTISSSTPAVAGQ KRKMTQVTGEEERAAVALAGANFKSEDGAAKVADVVDRGILTMNTAEELFMRYTQQMC YHLPGVVFPAGVTVGEVRANKPILFLSLMAAASSEIPSLQKTLTKELMQVFAEKIIVD GHKSLELVQALQVAVIWYWPPDRFEELKFYQLVHVAAVMAIEIGLGTKKMSRGGFKRH VSQAWRDHPMRGTPLDPTTLEARRAWLTCYFLATNTAMALHRANLIRWTPFMAECIDV LESSPEAVPTDKYFCHLVWTHKLAEEVGIQFSMDDPSSTPNIADSRTQYALKGFEREL ERYSENIPRELQQPSLKMSFHVISLYMHEIATHSDCPDDCRLGPNPDTPLASDAPLTQ AHINALSACLTAIDGIFDTFLSLDVHTTRCLPVFNFVRVAYAVVVLIKLYFAASSTKS ELGKVINKDHMKVEQHLDKLLEKFRATAAEDRSRPAAKFLLVLVMLRSWFQKQKQNQS GTGSNGSNTASDTPQSSLQTPSYPGPSGTDSKHPNVPTPVPSQTPQPTYPPVASTPLQ LLSEMAAASANGASSRPNNDQGILPPSSSSSSGAMQQNWGNYPPPQQQQQPDTSNAFE IPGMGNPAWMLNNTFFPGDTFDFPGLGDGFAQAMDMTLGGFMDGTLTTAEDGVLRYEQ PGTGGQQQQWYGGGDLMNGAVAGGAGGGGGGPAGGGYGF QC762_108540 MFIKLASFLALAATVTATTPFHTTNATSLYQDNGHGIKWTGVIT PGQPKTTLFGTVDEIYNYILAINPNYVAQPLDKSDLALLASPWNEPGTTKLVARQRSK YLDKFPSPVCRVMATGNSRSLDPIINELDILGGICEAPKDACRRVGCKSTTAGYLCGE YGNAAVVACTDVSRRLEVIKRDCCSVIADFGGKPVSGHSKRYDYGVYAGYGNCNHGED SSPADYPYPGGGINGKC QC762_108545 MDQNRPTLSTLPNELLAMIIEELVDESSKHSGGPSIKACRLVSR QFCDVSSRWLIPSVKVGHSNDSLARLDAISRHPAISRGVRTIEVVLSSYSTFWNPLGG KREFIDFQRKVLANRAKIFEVGKIITMTEKDNAILRKVHEVLRCWLYFQEPNRQPEPG GDLALHRECMDRYFGEFQRLAYEQKVLVDQRAMGTAVAEALSRMPWVAGLRFDDTCHY GRRRRGLSWETPETVVEGIYENTLLPLTRRDLEARGSVIVDEIPFYHHASGPVASPRP LSETIPRVLAAVGGVDQVELKSLVVNVDLIDLRGVFREVSDLREKLTAATRRLTDISI QQKAGYDDRSFWGLCCTSPNLKHYSVVLELGSHALDPLLEGPGSNEERKNLTVIQVKG ARMTVSTLKRFLDTIPDVLDRVELVGVEVVGGGWREVLDMLRAKTYRQTGDWPYPVRI SQAVSPHPDHELHSTFEWVFQSDATWEPSDADVYVMRQSGVNPFAPEAGKFPLGGIVK W QC762_108550 MSSDNSSSNNSNNDMARQQRRGSVTSNTLTSLFRSNSISQPSVT GFPTPLATSMLDNQRRRVSVATFGLPGTSPTNTSAAFMRRASISTNSDSINESAIEDG DDMSHTAPTTPFSRRMSLGAAQAMRGMRGGNSPGANDQGFNWSDQLRSRAESTVAQGA RPSFSFASGLSASPPRGGPPAVSASSINPRHDRARSVSDMPAPPAQPRPRAPQKPDHF QERILKGDFYMD QC762_108560 MNSRDPPARQPSLSYARILKGDTIKNRKVLASKSKPATKDTKEP PTTTKEGEKECPEGAMRAQDQLQKHKQNHKPGRDRNTAPGPGPNRQVKDTKDNSSAEF PQLKKPAREPPRNPPQPKPQSPEKHKAKSAPTVTRTQSYAQVASGKKVQVLTKAPKTS TTKPADTEYPSIAHEPTAKMPSKSSQSSNWRNSSDAKAKTPGSQPGSREKTLHQGSLS SQPPPPALPVTDKTTPKSKSRHKGHHKRNSSSTSATKPTDRKRHNSAGVCDSPTKKPS TSESDMVIARQRARRFSAPDIPSPIREEPENVTSEAPAVDAAQLDFIKQRAERTATVI AAHDNGEYSLWDTQYEWDVLVVCKDKSWQVHHDILSRESEFMRERLPPKDPAGYIRFE LDGHDAMQLGYALQFMYLKSYPDAYYDRNAPLYGEPLRFNTFLYIAGASIEYTAMMDF AVARLNEATAIIGEYLPILQERSPNSLQEPNPELFNLYNPLGWALSMMYEQGTNVLMR NLRLAMAKLIDVSMLYLILDRGFKQVFSMSWVGFLYPNLVNDAIFFGSVGAMEPLKES GMIAAQQQSQHLQESHHQQPGQIPIQGQHQEQHQEQHQEQHQEQHQPEAQHTAETARN TNVLWKASWDQSQARLKAQLPRKQESVQPPVETPAPISQPASAPASRLPNDGVWGNDI WERIDRTHVNGIGSGRRGRDREFGGARCARDGRMGGSKYFYPRGCSRARADNDWRCPW NRDWRKRDEPEEYHQPEEVEDEDGNLWIIGNPGPTPAPQKQPEQLEQVSQPDQREQSE KPHEDLEEERRERPHSVSDDLVNPWDEDTWRPATKEQRKAGGSEESGPDDDDDECSTI TAFTPVTSMAGDDVMSGSTMIPVVFEDDADEAGGEERTGNDLVVTAIASKEGGQDGGD LTPTPSAIH QC762_108570 MGLAGAKNKRRLGNDPNNNRWMRNTESFGQKMLRSQGWEPGQYL GAKDAAHAENYGAASASHIQVTLKDDTLGLGAKRNNGDECTGLFDFQHLLGRLNGKSE EALESELKKREDLKMNSYLERRLGTIRFVRGGWLVGDVLKEEPQEGADKDEVNGAQES SEEFTEESAETEASEPSDPPSKKRKADEDTEKDDEKACKKEKKSKKRKTEFDVETDSA GSKEKKKDKKSKKRKVDSSESDTEPTKSEKPSKREKQKSESPEKEVDTSAEDAKKAKK EKKKERKEKKEKKEKKDKKDKKKKDKPVSESGSEAGASNSKEKKRKKEESVTPAVESD ASTPTGSGYSTPVTTNTRYLARSRFIAQKKMAFADSAALNQIFMIKS QC762_108575 MAIMAKERGTRNLSVKEPRPSCNRRRQSTKIDKGLSKRNAKLKR RTKSLKKAIKKNRRLTEHLNEQLTRLTTTIEQANTHLPDTSQINSEMRNLSNGMGVLL GGMAEYKEGMQQLGDEMQIAEHNLELFSATIRRLFDNGTEAFMDDFQPSKRDFGVIYR LTEAIRQRTQKSDVGRGISRPVDRLFGCMSILRRYLDDSMETRLKNFEGRKTNQLSNK LSSFVTREEGACKVGGGNGRQRPGRDRYR QC762_108580 MSSAQATASSIASSAPVAPVIAQSAAAAADKPKPCCVCKDEKAK RDECMLFSKAADPQKDCLSTIDQYRSCMAGFGFKV QC762_108590 MDDFLTPVSTIRITDMKESETPQGLASSQPKSSTATSGKPAPAS PEYALELLKQQPSYDELIASLRFLSKHQSENDIPSIKRPSPISAQLVQVLVSEIVTNH WILLQEDAGDSKNSGFKLLLYCLSSITGINAILVRLRALIQEYKAESEGPGKLKRPHI HLNLETLLDLLEGLLKGDGWILEAWQTAKASSGPDAATSAVRMRPRAQEILTLFGGGR IVSLAAEAESIVKANKAAKDGDYEIWVADAQQYTAWLGRNIVTWQLSNGPTESPKFGS DLFSKGLKLGHGEILTKHVLGELVLKKGADPSKFGMLLSNLPPTEQRKVLFSILKLFS SEYLDRLGHCDSEESKPIVSAVAGAIKSIIGESTSLKNYLVEWLTSSSGAGLGEGVGI RRTVLAVISQNRDDIVAVLEKSLSQFGDQLYIKHSPMLQQEAHAEVLLLSAGYVHRLS PIKLTLMMRTSVWLNAISNRLTAPHQKARLLGMWMGEALSNLVDKGDKRLNFNTEGEF DEMATWYKGLTQVSDEIGSMEPLFESPPTIRPKRKTSPSKAAKTAPRPPGQHPQTGFI IEELSDEEGPEEDDLVPYAKPESDEEDSDDDPTLIRRDKPKAPVYIRDLIRYLRDTES YDLQRLALTTAPTLIRRKAEYGTEVKEHADELAGHLIGLSDKFEMEDFDDLRLQGMIA LVIAQPKVMAPWFAKTFFDGDYSISQRASVLIVLGLTSRELAGFETSTYSAASAFPSK TLPEKVEKLYLPQSTSIYQPSSSSTLEPLPPNALDGIATSITDAFLAPMAAEAADNAT GPNALKLSTFTERLNNPEKVRYITKTKPRIRSIPNLTAGVLSTYFFSPLISRFQAALH SSSSRTRGILFNPYLLSLYLKTLALIIHASGPSTLSLPQMTGEFWRLLLDTSVRAQAV GDLQLTGAVLFGFMALLDVNEDRMREVCREMGREVVEAQDWVAGVFSGLRGGDEGGEE ERVRMLAAGVLVRLGEAVERWRLVLVGDMIGF QC762_108600 MSAGPVHPFSEPARWKYLDRIRTRPGPFTTPEMFSGETSAEAMD KMKILVIGAGGLGCELLKNLALSGFKNIHVIDMDTIDISNLNRQFLFRQSDVGKFKAE VAAAFVEKRVKGVKITPHNCKIQDFDEDFYMQFQIVVCGLDSIEARRWINATLVNMVD ETIEDSYKPLIDGGTEGFKGQARVILPTITSCLECQLDMHAPRAAVPLCTLASIPRQP EHCIEWAHVIAWDKEKPFPQLDKDDPEHITWLYQKALLRAKEFNISGVTYSLTQGVVK NIIPAIAATNSVIAAACCNEALKIATNCAPYLGYPENNYMMYSGNDSIYTYTFKHEKK DDCPVCGVSARELAVDPKWTLQELVDSFAARPEAQLKKPSVRAEGKTLYMQSPPSLEE QTRPNLEKTLAEGLGLVDGQEIGVTDPAFATVSFKFRLKFT QC762_108610 MPHKPPTKEELEKRKIVGVNLETVTDVSSTDFPGHYPGEDHAWD IERFKRGFSVEFHQNDPHEASFSLIGIDASIANAFRRIMIADVPTLAIENVFVWNNTS VIQDEVLAHRLGLIPFTGGREGLTDFLKWYRKPANGDPAECKDFNTVALELNVKCEHN ENADPNETDPTKLYHHAHVYAKDIVFKPIGRQVDYFSGEDIIRPVNPDILIAKLRPGQ EINVQMHMHKGVGSDHAKFSPVATASYRIMPTITITQPILGRDAEKFQRCFPKGVIGL EKVTAEEAAQKGSGYEGHEGELKAVVVDPKSDTVSREALRHEEFKDKVKLGRRRDHFI YLVESTGQWKSDAIFLESVSHLKAKAKALEKQLVNMVR QC762_108620 MASLYRLAGRSAKRLCQRPSSPFLTTPAFPASRAFSASALRRAG EITWEGTRLTPTNPDFEAVADPYKHIIGAREEAPSKVPLDTENSVDDRKVRHYTVNFG PQHPAAHGVLRLILELSGEEIVRADPHVGLLHRGTEKLCEYKTYLQALPYFDRLDYVS MMTNEQCFSLAVEKLLNVEIPERAKFIRTLFGEITRILNHLMSVLSHAMDVGALTPFL WGFEEREKLMEFYERVSGARLHAAYVRPGGVHQDIPMGLLDDIYQWATQFGDRIDETE EMLTDNRIWINRLKGVGVVSAAEAINLSFTGVMLRGSGVPFDIRKSQPYDAYDQVEFD VPVGVNGDCYDRYLCRMEEFRQSLRIIHQCLNKMPAGPVRVEDYKISPPPRSAMKENM EALIHHFLLYTKGYAVPPGDTYSAIEAPKGEMGVYVVSDGSERPYRVHIRAPGFAHLG GFDHISKGHLLADAVAVIGTMDLVFGEVDR QC762_108630 MCIREYIVYQCAHRSPPVLVTCPLTTEGHVNPVCDKRPDRAYYA ETCCAACERCVHSRWVIIRENEHRWLHEHGACGCEVVFQGLLNTPRAIGADGVKSEEN DEKDGAPLAITDGSVGSRSSDPGVDAVKPAKSKKSKGKEREVISTGADIPQGSSAPPL YRESITEDGAAHVQLRLKSLYAGEWRADHRILHETGKCNCRIVFGPFNPQISDEELTA EDWKLIQWWRDQEDAVEGQKTVARPRLGSSTSEAEVIAQRIKEIESVFGSFEVKPKEE GMPYPNNRAVKFCHGSVAIPQPITTFAESSEQGYRRTGRRRGQHKSQSFFNRRNSQGE DLGRDRSQKSLLPREPGFSQDQTQYTIAQYASMAPSSTPATQQGWVYDPYSNQYVQTG NVASQSTSYYPTASSSSYQQPQGYQASYQASYPPQLQQLPQVANPAFATIATYTNTIP EGAYPWLQPHSQSRTRSKAGGPYHVVGMDYSSFDDPSHVEHVGTPICGIPIGGGAHMP PWKDCVQRQPRPAPPRELPTIVITVVEANPVGTIEYDYDQTLDSKSDMAYGAADGSAV DDDDDRNLLEVEVPRVPQRRHSAGGVL QC762_108640 MEALLRRRAVYVCQSCVRSARQPLWRNYSSAPPRPDIYDVVCVG GGPAGLSLLTALRANPNTSHLRVALVEAQDLSKIRSWSLPPTKFSNRCSSLTPSSVKF LEQIGAWEHIARDRVQPYQEMQVWDGVSGARIEFDWAGAAPNTGTTIAYMTENLNLTS GLLKRLEELGGVSTFDNARVENITHGEETEELDLREWPVVQLSGGKQLSARLLVGADG ANSPVRSFANIEAKGWDYNRHGVVATVELEGEGWGGEFTKIAYQRFLPTGPVAMLPMP GKYSTLVWSTTPSNAALLKSLSPKDFTAMVNAAFTLSTVDLEYMHTQKSGQEEEYSWR MEHTPVDHRAVPQVVTGVQEGTVASFPLKLRHADTYVAERVALVGDAAHTIHPLAGQG LNQGQGDVQSLAKTIEYAVTHGQDIGVGMSLESYVSERYAANHVLLGVCDKLHKLYSF GSGPLVPLRSLGLSAVNALGPLKTFIMNQAAGNGMKVF QC762_108650 MSFLTTTTNLSHSSTLPEGTTHSLALSMLSDYEFFLSCDPVLDS FKPLPPNPSPDLPSSITSQIRTDTHQQRGISYTVIDIVHTTIWDSKVVSTYEFTDITN GVFVRIKSPMGIIMDTVWQVREKQDKKGEWELVEDLEIRCNRLLVGVVKGQCEEGWGK IHGKMIKRLEEDINKADGK QC762_0007000 MTLGPFQINAQSDHVGEAHLLSWEFGSTTLKNLFGALTLLLPKI GLHRGRSQPPSSQNAKAGYSCLSDNIPKE QC762_108660 MSNLQVRNSDYFSSATRQKAMEDAKKMQASVQDECIKAGKEVPP YLLQELIGKGSFGRVYKATDLNTKALVAVKIIDIEESDTLNPKLADTYSEFMKEISAL KILSDSGARNINLILDALPVGQAMWMVTEYCAGGSVATLMKPTAPGGLQEKWIIPILR EVAEAVYWVHNEGIIHRDIKCANVLVTESGAVQLCDFGVAGIVETKLDKRSTFIGTPH WMAPELFDPVPSYSTPVDIWAFGSLVYEIASGLPPNVMQGFNIPQLGNYIKHNAPRLE GDQYSDQIKDLVAFCLVEDPAKRPTIEQVQRHPYIANTEGTHPTSNLANLVKAYKLWE GQGGIRKSLFAPVGAQGPSDYTSTALANDEWNFSTTVDFDQQLMNTDAQAVYDVYGTN VEFDFNEQFAPPPKQKARRRLPPQLQPAVKAPLEKLFDPNTISGYEENSRAYYGRPPP PPTSTSDLPLRDDSLHSTLRESLIDLDMSFDGDDLSQFVDIETNMETIRAGMRAPSES DFEENTLTAADSNNSNDLNKPPRSDPADFNPNRRTQDWKFPSMAAPASATSEMFRFPA ISQDRPAPLAPAPTNDRPPLIHHQTDPLGMHSEPYVELMTPNQFQDNRASVGSLIDLD ESLAMPEYTRPSTANSDVASMAGSDIGGANPFDLERHASLYQPFQTNSTNGSFGGGVG PPSGIREPSIYISDDTDFSRLSLASAPEDQIVIPDFSTPPPSSVNGGGGGSRTQSRAH SRADSYDEDGYSANEYGGDSEYLTMMGGGGPTGGNGRRSRAQSNASVQLQLPRMLGMS GNGGEYIDRRGERMVNGGGMNMNGGGMGMGGEMPALPGPPNARVMQGMASREETREDV MRLLSSFSEHLSFANVHVSALPVRAGRRGSETYAPS QC762_108670 MSTTNPPPPPPKLKTRILTIPPSSPLGSWSSPNSLQTWTLPPTP LIPASLTLAATALTTSTASSFSTPVAFPTETVYGLGADATSSTAVKGIYTAKGRPSDN PLIVHVSDLSMLSTLSTIPEIYHELISKFWPGPLTILLPVPTSGQLLAPEVTAGLTTF GARMPDSPLARALIQLVGKPLAAPSANASTRPSPTKAVHVLEDLEGKIELILDGGDCA VGVESTVVDGLCNPPVVLRPGGVGIEEIRQVKGWEGVVKGYKDRSEVGEGHKPRAPGM KYKHYSPRARVVLFEGHKPVNVGKELEGGGQKKVGVIRTGEWEGLVGETEGRIESEEG GFAVRQGSLRDEEGKELATVLDVDLGQDVKGVAHGLFAALRELDRLGADIIFVEGVSD GDDIAAAVMNRLRKAASEIRP QC762_108680 MAPGMSLYSVNAILILSSEDGSRIFSKWYNPPHQAANQQPSSTA APASAQNPFADKTAQVRFEKALLQKTAKQTGDILLFDNRIVLYKMEADVAIYVVGGVE ENEILLYNVLLALRDALHLLFKQSVDKRTIIENYDLVSLAIDEIVDDGVVLETDPTII VQRCSKAPNQDTNLGRIDPFTEQGMNNLAQIGKSKILEWMR QC762_108690 MSSPSKRSTRSTRSSQAAQSSPAAENSRTPRQTRTSALASSPMV YDSSSPNNAPSSPLHQMSNTQSTAGNNAASSPLRQQTETQSTGDRTPRASGRGLLVGD SSPIRYDESSPGPNLTQQSDLRSESSALFVNERTRPSRSHRGDINPDVIRTPRMPRRI ILDDAGRVVRDGSQVGSDAASFSNNNPNTSEANALGGMSQGLVWGTTVSLEDSFAAFK EFLRNFTKKYRMWADGATEADTMGNPEADSKPYWEALENMLLLGSNRLYLDLRDLKAY PRTLKLWHQAQAYPTEIVPVMDQCVHDFMIDLARAEMASQRQASLAGGNMPNPSQSSD LNFPSSDRGEEPSTPRPTQGQQMTLEDQVSAETYVVRPFGIEKNTNLRDLNPSDMDKL VCIKGLVIRTTPVIPDMKDAFFKCSVCGHSVTVELDRGKIREPTECPRNRCKSKNSMQ IIHNRCTFTDKQVIKLQETPDDTPAGQTPHSVSICAYNELVDFCKAGDRVEITGIYKV TPVRVNPRMRTVKSVHKTYVDIVHVQKVDKKRMGNDPSVLDLAEEEEAHISGQSLDEI KKISPEDEARIRETAARADIYELLSRSLAPSIYEMEDVKKGILLQLFGGTNKTFEKGA SPRYRGDINVLLCGDPSTSKSQLLGYVHRIAPRGVYTSGKGSSAVGLTAYVTRDPETR QLVLESGALVLSDGGVCCIDEFDKMNESTRSVLHEVMEQQTVSVAKAGIITTLNARTS ILASANPIGSRYNPDLSVPQNIDLPPTLLSRFDLVYLILDRADEKQDQRLAKHLLSMY LEDKPDSAHSNNDILPIEFLTSYISYARQKVNPQISNEAAKELVDSYVEMRKLGQDVR AAEKRITATTRQLESMIRLSEAHARMRLSETVTQNDVKEAVRLIKSALKTAATDAQGR IDMSLLTEGTSAADRRKKAEIKDAIVRLLDELTAGGQSVKFAEVARKLSDGASVPVES ANFAEAMRTLEMEGAIMVSGEGARKSVRRVTAQV QC762_108700 MLNRALSIRSQNRSSTGTTTSTPSQHHRKPFSFPSLRSPTFTQP DLSKRLTRLLKSSSSLTSHHESAAKERLSIATQLSEWGEATQDDSVSDISDKIGVLLS EIGEQEDNYAHALDDARAALKVIRNTERSVQPTREGKKKIGDEIAKLKSREPQSTKLV VLEQELVRAEAEGLVAEAQLSNVTRQKLKEAYQAEFLATIERADKQIILARHGLRLLE LLDDTPVVPGDVKPAYHHAGQARQILNDAEDDLRDWRPSGLLGEEEDSKVSGSGAAVP QVDKGKEVDRGSMTETVVNVGNGTAAAN QC762_108710 MCFGTPSSEKYYYHEEIVPARRPSSHHHHHNSRSSSRHPPHHHH HSSSSHHHHHHHVSPRTSGTYLCPPKTRTTVIVPSPRASMTSYRGESRGRSHGERVVE IERSSSRVETTRRY QC762_108720 MSDSLGSPGSCGSQPAKRTGVFQQRKLFGDSCLAEGHGDAQEGL LRVQQTSAVFSAFLSLLSLASAASSDKSTSKSTLPSTFKPPQVFKNANLVHVVSVEKN YVKENINVVVENIDKKPQNEYYLPFTADQISRLGGVEVKDRKDTSAGPFVAENVEFDP ESDTQYLRIRLPKPLAPGAQQTLGISYYILKAYSPLPAAIKQEEAQFLTYTFSAYVPS VYTTSKQKTEVKFPSANIPDYTKLPGSGDIKEFPQKQGTKLTYGPFDEKPAGAYEPIR VRFEFNRPVTHVARLERDIEVSHWGGNVAFEERYTLYHRGANLSSLFNRVKWQQSQYY QQGNTHALKELKFPLRVGSVDPYYTDVIGNVSTSRFRSNKREASLEIKPRYPVFGGWK YPFTIGWNSDAKNFLRKTAAGGLVLNVPFLEGPKQNEGVEYEQVEVRVILPEGAENVK YVTSIPAQSITSADVEIHKTFLDTIGRTALVIKARNLVDDFRDRELVVSYDYPLSASL RKPFIVFSSAIAFFVAVWLVGNIELKFASSGHVAAKK QC762_108730 MKVMDKSMGNRDMIPVEIQEWLKANAVGNGDKAWAKELKRAMKY SKAKALKEKGVKLQASVLAKLISYLPRSGEPTGQSAWRNLDPNPSGEPTSSRTKLTFD NLRALVHDTHDITLSLGQSISCLVWHNQSAADRYANRINAWLDSLSAELASKHAVLPP GVGSSVGSQSGHGSSISHSVRFIEAHPASVDNSEQSAPSPFSINSGDSLTSSGARRLR ADVEEMNERGAEWQDSVNDSAMGGEYEYSPSEEWDHTPSSGWGQWGDPLENSRGYSTP SRQTDTRVALLKCA QC762_108740 MASSARKRPRQEPEDNRAECPFKIDVVNPDDKDSKRKSKKRKTE GEDEDATAKVNLQMSPFAPCGKFKKHETMDLYFKVSPPKKWTDMTRYNSFVLNGVKYF SEGFIYVANDSSIERQKAANDNKPMQIRKKSDDDWVARILEIRASDEHHVYARIYWMY WPDELPAGTLDGKKFVQGRQPYHGMNELVASNHMDIINVVSVTSQATVRQWFEENDEE IQHALYWRQAFDVRSYELSSVDLVCLCNTPANPDRKLLGCTVEACKKWMHEECIIEDA LQSTYKRLGADKPHLPSEPIKKAESEIEGQRPLSPSDTGAAVSAQHSIDVKAEAEPAQ NGSGNVDVKQTEEEDGPTAPEDAAPSSGRRSASAAAGTGTPSTKLVLKSASGRKGGKP KKKGEANGETSRPWEGLFEVTLNTEKNGPPVLEFRDLREGIVGGEKTWTEPVKCLLCA TTVN QC762_108750 MAPRNNPDHHQLLRPPPAPRLFSRLSYASKKSFRSHSSSFEADD ERSSDHSGEEYLMSVDNSRRSSMSSLFAHSYRPPRYPGEDTRPTSQKELAGWYAYAFA AEVYVICASFIPILLESLARENGVLLSDRTTPCGPSNDKNSADGGQCVVYVLGMEINT ASFAMYTFSISVLLQALLVVSISCAADHGNYRKKLLLAFGWIGSGSVMLYIFVSKDLY LFGALLAIISNTSLGASFVLLNSFLPLLVRHHPEVEHVAALDESDADEVEDESTADTD AERAMVDSTAALLPRQTSLGTPISKVRTREELTSIELGLSSQISAKGIGTGYLAALFL QCVAIFILIQMKNTTWSQRIVLFVIGAWWAIFTVPAAMWLRPRPGPPLPASSNHTGVR ALFSYTIDAWKSLWRTMKLAGRLVDIMLFLGGWFLLSDAVATTSSTAILFAKTQLHME PWALGMINVISTATGVIGAFSWSFISRKLNLQAHQTILACIALFELIPIYGLLGYLPF VKNWGVFGLQQPWEMYPLAAVYGVVLGGLSSYCRSLYGELIPPGSEAAFYALYAITDK GSSVFGPAIVGAIIDASGEIRPAFWFLAALVGFPAPLIWFIKVERGKREGKKLAEVIE GFKVGLSETDTSEGEGEGQRGILEGYETDR QC762_108760 MAAPPEVSHGRGGAGNINPDDTKYVDGEVVRVGAEGSHGDGAFS TGRGGAANIADTGKKQTVRADKDLVPEAAIRPSQDTDYHTGRGGAGNEYKDASKEHAK KVVDGETNPVGLADRLKRKILGVFKK QC762_108770 MPELLQAGVFDKDTLPLLQVILWAALQAMALAAVGDMTRGPNRP IYVETTPGDDDPLRIDPPDETPNAQGKEKGKQANIAVSLPQNSPTTWLPPPQLENEEL NDGEHFLVDYPVPETLSSYPPALKDIPDTIRTVVRLSIDAVFERARSEKEAAVALPQR VAQDTTRQEEEEQATENQQKTEENELPAESLTTAVVVSPASRVEDQSLPSEAQTEPER PTLKPSRSWRRRVFSHRHLERYISQTGEKNKNQETPGSPSAFTRLTFKTLNRPSDGTK ESREEIECTACLEPTKRADSVKAAICNHTYCKPCFEQLVLTGLQTEAQFPPKCCLNPI PCRTITKYTSRSTRKLYNEKSTEYATTDRIYCPIPDCGRWHDKTTIITPQTGTTTTPY LKCTKGHKMCPTCHQKAHKKTEYCPQDPDYLCTKAFIQESGWQKCHRCKRVVEHVSGC RHMTCPCGGQFCYVCGARWKTCFCTDRQVDVMKSKAAARRHEKQQQQQSEPASSSSQA SQPTTTPADEPTLARLTQISEHSSLLTFIMTSITDHQSDILITSHSRETSQLVKSHAL RRRSLNLNQSTALARMQNSHIAGVASLQKKHEGVEREFSLKVGEFPSDSIPDVGKSPE ERKLQTGYVTLNQKMRSTQNREMGMLKLEQIVEKGGKERELMREREELEQELGHEARE LRRRQVMEAEWGRLVWEERGRMLREMEEREREVVILEGGGE QC762_108788 MDFDVISFKVQHVNNLKSLCIIQLRYTQHPKMRLSGLQKEVLGL YRQCLRECRKKPEATRKHFQTFAREEFEKSISLDKRDFSAIEFLLRKGRRQLEMYASP GVKDIRK QC762_108790 MIRKSMNPKQREEAATTRTDPKTDSERTLDEFVRELEEFAKAFE GTRGSLLLSTPSTTASVRTVRELLPWREEFWEVGLAVTSCEQKGQVRRYDEGGKKLGV CRTRRLDQFGGSNVPKVGAKGKGGLGMGVVKGVSSLDITLGAKELSFGTGVMEGVASL GSKESSSGTVAVYKPRRGMMADETEMKEGGSRSRNNEIYSGSSSTSVEPVKLAAVEKR VVRARASTVFLANKPLPVAPASSVTASSEDVGTTVGSQSEVKPEGYQKLGSSSGSEKE PLLSLSEQRVNSSSGARSVKGKEVDRGGGEDEKVLPALLPPRTAPQVRHGIKSDWQAG GSMVSAWRQLPTTIVEEKEPSPEKEGRVLGEKGSIDREQKLLMENKGVNQAHGLNKPA GVLKTKTELEAKGKGINTPMPTPAQGKTPVKAPFVINRGVITKTPELLLPQTWEHHPL GTPSSFKRALDDVVRKLDAMEVRECSSPFGAVSSPTTMKITTSSSTKKRTSSSSGSNG KPSSPTTPAQRLQKAVMMRRERMDAEQQQQQQQCVNLPPSASIVQPVRSREYIPYMMP PPGGLGFRPSELNSSRGLGQGRNLRKGLFAGVGAREMEDDRDISDEDMLKGLKIICAA SADKEFDGLVRRETGLRLRRFLADLRTFEFLGGNGQVPRVGGGGRQQMGVMEKRKRDV QVERENRRRSIRGMDGLRGLGDGKNMKESKREGMGVRESVRDRDTRRRFRGLGNGLMR QC762_108800 MGIFDKKPQAAAAEVAQEEAPQFERVNWRQEPGLRKLYFFAIIL CVASATTGYDGMLFNAVQNMEQWDSYFERPRGSLLGLVGALYQIGSLVSIPIVPLLAD NFGRKLPIAIGCVIMIVGAVIQGSCTNIRVFMGGRVLLGFGNSLAQISSPMLLTEICH PQHRGRLTSVYNCLWNVGALIVSWLAFGTAYVPTDWSWRVPALLQALPSLIQLAFIYW VPESPRFLIAKDKHDQALAMLAKYHANGNDQHPTVQFEYQEIKETIRLEYEAKGNSSY ADFFRTKGNRYRFAVLISLGIFSQWSGNAIISNYSSKLYDSAGVTDQTAKLGLGAGQT CLALIVSVTMAMLVDKVGRRPMFLASTGGMFCTFVFWTICSALWDTKQSPGADKAMIF FIWVFGIMYSLAWSGLLVGYAIEILPYKLRAKGLMVMNLSVQCALTLNIYANPVAFDF FGPTNSTWKLYLIYTCWIFLELAFVYFMYVETKGPTLEELAKIIDGDEAEVARVDLNQ VEKETQISETKSA QC762_0007180 MAIPLQSDDVETLVSVGQIKLKCAPHLSANMQRDLFVGPLPPAV HRLLKVAAAACSHSLDAARLAGR QC762_108810 MVGSSKPSEKKQSSLTSFFTPKTVNGLSQKLAAQKPAKDDSKAG RSSSPSAPGPSQVSRFKRPLQEDNDSGNGTEKTTRSSRLTKRAKRVLDDDDESENQEL PSSPPAGNGPSSSRTGKYVYDEGSASKTGAPDEDETEDAATRRKKEELHKKFVKKLGH PDSMSYMKRRNGAPDSSTPGLEGDDAEGEDEAEEDEPPPTKGKKKGAKTGKLTPMEIQ FLDIKRKHMDTLLIVEVGYKFKFFGEDARIAAKELSIVCIPGKFRYDEHPSEAHLDRF ASASVPVHRLNVHAKRLVAAGHKVGVVRQVETAALKKAGDNRNAPFVRKLTNVYTKGT YIDETGELDQPGDATGAPSGGYLLCLTESPTKGSGTDEKVQVGIIAVQPATGDIIYDD FEDGFMRREMETRLLHISPCEFLIVGDLSKASDKIVKHLSGSRTNVFGDRSRVERVPK SKTMAAEAHSHVTQFYADKTKEDDENSAALLEKVLKLPESVTICLSAMINHLTEYGLQ HIFGLTKYFQSFSTRQHMLINGTTLESLEVYRNSTDHSEKGSLMWALDKTQTRPGQRL LRKWIGRPLLDQQRLEERVTAVEELLEKQSAIQVSKLTGMLASIKADLERSLIRIYYG KCTRPELLSTLQTLQKIAMEYHRVKSPAETGFKSSLLQETLLSLPAIGDIVTSYLDKI NAQAARKDDKYNFFREEEETDDITDHKLGIAAVEADLDAYRKEATAKLKKKVLVEYTT VSGIEYLIEVANTDLKNVPASWAKTSGTKKVSRFHTPEVIKLISERDQHREALAAACD AAFSALLSSLAAEYQPLRDAVSSLATLDCLLSLSQVASLPGYSKPNFLPITAPPSISI VEGRHPIAEHTLSTPYIPFTTSLSSPAPLAQLITGPNMGGKSSYVRSVALLVLLAQMG SYVPATEMTLTPCDAIFTRMGARDNLFAGESTFMVEVSETASILRSATPRSLVILDEL GRGTSTHDGAAIAHAVLDYVVKEVGCLTLFITHYQNLARVAEGLGDGRVRCVHMKFRV ERGGDGDGQEEEVTFLYEVAEGVAHRSYGLNVGRLARLPKQVLEVAGVKSREMEEGVK ERRLKGVVGLLGELMNGEKGEEELEQLVEGIEQL QC762_108815 MSFACPNIISLHSDFYGVGMSSASSGQSNTPLDLPVYSILLNIN NINNATNKPNPPTVWFFWYCCPHQHGPYRRETMKTLCTQCEH QC762_108820 MNSLRVANSLVRSNVTAAVLRTTPCILLPQAQRPWLPQQLPQQT LPVRSFSHSPSLSKRNRKEEEPEEDDPRGKKGGKKSNKKEKGGAPAQQQQQEAQPSAA AEDPFNLDPLIALFQKTESHYTSQLALLRSPTGRFNVESIGAIPVSFDKKSTVTYRLQ ELATVAPLGGRRWSILAFEEASVKPIISAVLKSPDFNQQPQRNEENPLELTMTVEPEK VDDLVKRAKDLCTEWRNKLRDETHKHETHVKKNKSLLKDDLFKIKEALKKLQDERMKV VANKEKEVVAAIQSKAK QC762_108830 MPRQQILRAGVLGRRAPPSTTTTSAATRSFTTSGPQLAEEDNNN KPTRSISAATRLTKISTGAAVKRPLDIRTLRANNTGPSASPFGKAPSASGAPTGAKIL SIKSLRLAARYTPGGGAGTVGPRPSTGFRSNQRQQQQQQPGFRRAGPGAGGRQSGSRS ARLGGKFTRGAGGKGGNKQAKKEKPKEANEGKMVLSEAEKAVVDRYEKGEVVPFVPKL RRKDLSGYGGGLATSAQWGKVQSVIQTMRLMGGGQAFNRDSGVTMDITAVRKRAFKEG KPIFFNSQGERDWLEKGERFFPRRAPLKAREAVLDLAVLGKYKEVGYKELGDVKGLIE NYTARTWSYRGEDQRRFLDKVMNLLPAEAAKGKGGAQKRA QC762_0007240 MSTLHTSTSLMAVSKHEHQTTNNCAPCQGSHLESPTTGFDAVSS CNRPSRWLYFADQDRHWFPILNLAIGIFTALDAFMRVAGTV QC762_108840 MTTITPVGSLEISPATSPGIEDPNASPIGSVDSNVTPDTEFSPP DSPFRHHATLAPVKEKRELARKKLSTLTLEEKVSLLTAADFWRTKSIPEKNIPAVKTS DGPNGARGGIFVGGTKAALFPCGISLAATWNKDILRQVGQHLAEEAKARQANILLAPT VCMHRHPLGGRNFESFSEDPLLTGKLAAQYIQGLQENGVAATIKHLDFVGNEQETHRL TIDSKIAERPLREIYLRPFEIAVREAKPWAVMSSYNLVNGVHADMNEHLLKDILRGEW QFDGAVISDWGGTNSTAESVKHGCDIEFPYSTKWRFEKVLEAIKDGKLTEADVDRAAE NVLTLVERVKGSDMTAEAEEREDDRHETRELIREAGVQGLALLKNERSILPINPKTAK VAVIGPNANRAIAGGGGSASLNPYYNTLPLDSIRRVAQQPVTYAQGCHIHKWLPVASP YCSDRTGKQGVTIEWYKGDQFQGQPVVTQRRSNTDLFLWDSAPLAQVGPEWSAIATTH LTPTTTGKHTISFMSVGPGKLFVNGRLALDLWDWTEEGEAMFDGSVDYLVEVQMEAGR PVELRVEMTNELRPIAKQKQFNMTHKYGGCRIGFKEEDQVDYLQEAVDAAKAADVAVV IVGLDAEWESEGYDRQTMDLPSDGSQDRLVEAIVKANPNTVVVNQSGSPVTMPWADRV PAIIQAWYQGQEAGNALADALFGLKNPSGKLPCTFPKRLQDTPAYHNWPGENLEVVYG EGLYIGYRHYDRTKIAPLFPFGHGLSYTTFEYGRPSLSSKVLTPDNTIELTVAVSNIG DVAGLETVQIYVRDEKSRLPRPEKELAAFEKVELEAGETKHLRIGLNKYAVGYFDTSL GRWIAEEGRFEVLVAASAEDVKYSVGFEVKESFTWVF QC762_108850 MARPYNYGGGSYKPKQQSTNSWNQHAYGYSSNFFSGDGSSNNGN NTSLVEDFVAFVKKALRALVKFWRERGRRMVVTAIYNAVHQIKRNLTYNRILSVPHLL VGFWVVVLLWGERWTFHSMVENCAWENWENWPAGAQPHRVALVADPQLIDPHSYPGRP WPIQALTIKLTDNYMKRGYGQLQEQLDPDSVFFLGDLFDGGREWKTAHGDFRDPSWGP HPKSEQKYLKSWNKHYGEFYWLKEYGRFGEIFIKPWIKSGMESGKEHKRRKFVTSLPG NHDLGFGAEIKVPVRNRFETYFGEGNRVDVVGNHTFVSVDSVSMSAEASPEAAKHDLK PIYMPTKIFLDRLQWLKPIAVEKELRMMRGEVPEVQFKHRIEEVDKANFKDKPSLGTE KTPELPTILLSHVPLYRPPGTPCGPLRERHPPAKPPKGQTGPVVPDHGNAISVSRGYQ YQNVLDEQQSISLLKKVGNVVHAFSGDDHDYCELVHSEEQRRVKEITVKSISMAMGVN KPGFVLVSLWNPLDSGGKPLNPDQKQTLQTHLCILPSQLSTYIRYVGFAIISVIILVV RAFLVPVLKLTPFALEPEVQGVQYRGSALLPVFKAKVEDYDEYGFPSSGLGSSRSGGG KGRDRSGSSVGGHGHSREKSKGKWRGQPRIEIRSDEREEEEKWRPKGRGMGYNKGKDT VAAVVVREMWTTIWRVTWMVLGWFGWLNW QC762_108860 MSTLKRKAGAQTGGADAKKVKQGSIMSFFGSSPAAKPSATNSSG LPTTPNSSFTAPTDPAAARFDKDKWVATLTPEQKRLLQLEIDTLDESWLVHLKDEIVT KEFLDLKRFLEREYAAGKKIFPPKEDIYSWSRHTPFTTTRIVILGQDPYHNHNQAHGL AFSVLPPTPAPPSLKNIYTCLGKDYPSFNPPPNKAGLLTPWAKRGVLMLNTCLTVRAH EANSHSNRGWEKFTQKCIDLVAAKRTTGVVFLAWGTPAGKRVLKVDQKRHLVLKSVHP SPLSASRGFFQCGHFRKANEWLGERYGLKGRVDWALNEGESVLESDEPSPRPRVEKGE VAKRVEEVVRGAVMGRRVDLNEIARGLSRSPKGGSCSPKEEKGKKKEEKVEGDDDGEN VDPGEEEEWDALMREKGL QC762_108870 MFRSSTPDPPKSKTRFWNKMPSLFSRLKGKDGKKSKKGQLDLDD QLAKKPRWEGDAWARKTVDPEEVEELLRFSTEELKARALDLPFLLLPFRPTSDPSAVR TFVRHFFGNRDGAQTLYGEALAQELRMTEPMVISGVVKWCWSRLPGGVVGWDSYELFS LGEQDSNLARDSFKTFIPLSFENRKAQAQIVFHFFDLLSAVAAHGKTNGFGGRKLSRM AAWWAFEQTEADRANGFEGAYKAWLKAADATSHLFFAYLRSLAPEPVVGGISLLPMSL QKLLQETEYPPQRPHLLQTSTYRVAMIVDTVSPTPFALLRRANHFQYRDDDRALKQWS EYEDPIKALTEECVRVLRAISAANQSHAVSSSKHSTSLRDASWSRFEDIGFASALEEE DELDDSLAVQRRQQGMRNTPASGNDLGRPTTPSWADFLSSGFVDEKNNTSHLLPPDKV LPPIETNLRQRSSQSHRPRLENENHLEPGELASITRFDLDDAFWWVWLTSLAPEETSE RKSTFGRCAVIETIIRDRRWLVMEEIVKGAAPDPAEGAYIAEKKGFFSWTRRSKTSGL NRRKSTAGKHALEKSDNYLNTSSTMGFSKTSIGPDQQAKIQAAAQQLQAQERQKAQPQ AVERRGRSDADYMHEKTNSVFTLQASILNEASPAVKWANKYDKDAIREAYLADNSAGR GGSTASSVNGITPPAANGNERPPQPPPKSTPQPQPAPITIPAPATVTPRTETPTPETP RATEKELEAPKDVHPVERVSDGGGRSTPAPPPKPKDQVANVTSMELTREQMVSPEPDS PEKKQNKLQKELSKSPTATGGFRKLFGRARRSSKVPDSAPEQLNTMLASPTAAAAAAP VKAVTPEQPPKQETPQPLPPKVEPATALPARKAVPPAAAPAAAPAPAPVAAAPEPQTE PTYEPSVHEDVSRVNTRDTAAASEEFSRFDQGPLLDQPAFVPDEEDTDTDDAVPPPIA RHSSRSPLPSPALPQAPKQQAPAPAPAPAKRQLPAPAPAVPEAMDRWAQIRKNAAERA AQRGPASPASPPPPVSPEMRRPAPRFARKDETDGDTSGEETIESRVARIKARVAELTS NQEGMGGPGARSPPPPIRR QC762_108878 MGRSFVCWVLDIATLPELLPRGYSRGLRFRCTVSNLTSIRYPVH VSTARSSRSETGKKKSSNLIQLRLKIGFCVWINDTVGCRRRMWDRIGLEQVSLARFHI STRRKFPSLPWCAQKI QC762_108880 MLTTATILVPFLLGGFQVVKAAPKQVIQLSPQEGLEIVCNAGRS TTVTVTRTVEVARTVSVMVTTGAPQASVGVVRSSSGLRVVSSSSRTGTVPSSTAGSVV GGGGGNGTGFRNAVYFTNWGTYGNNFQPQDLPADRITHVLYSFADIASNGEVFSSDTY SDLEKRYPTDSWNDQGNNAYGCVKQMYLLKKKHRQLKVLLSIGGWTYSPKFAPVAATA AGRKRFCSSAVKLVQDWGFDGLDIDWEYPASATEAADFVSLLKECREALDEYSAKHAK GYHMPITIACPAGPTHYGQMDIPRMDKYIDAWHLMAYDYAGSWDSVSGHQSNVFLSTT NPNSTKFSTEKAVNDYVAKGVAPGKIVLGLPLYGRGFEATSGPGRPYNGIGEGSAQAG IWNYKDLPRPGAKEFWDEQAVAAWSFDERKQEFISYDTVRSAVEKVKYLKGKGLGGTV FWEAAGDKVREEESLVRTVVKEFGGTNKLAGALNLLSYPVSEYDNIRAGMP QC762_108895 MNTNTSQLTTLAILALAAVGLALPATPDATTTPDEQLGHLDCPI ADLNVAKECEDYGFYCEDDGSVQAVEAGFEESPCFEWCKCVRL QC762_108900 MRPALISVVALSAAVSCQLVVPLLQQRAEAAPSSQRTLNHNQKI MDPNNGPGPALPPDSGVPSRTDPPPHSGGGGVILSDVIGRDRSVNLFAGFIRDIESTS VRLDDPSKNTTVLAPLNSAVEGLPRKPWEDPREYGALGPNAYEGGDGHERAQKNIQRF VEAHLIPVGIWSKGQKVKTLLGDREVWWEEKEDGTRVVQPGDIEVVEVSSSVANGEVW ILKKVRNYA QC762_108910 MNSQPLHPGPGAAFDRERDMEEQRHRALQQDEIARRERERERDR DRDRDRDRDDAERQHREPYQPAAPHHSSAGSLPIHQPVASRISNSITGPGGLLANHNN PAPALPVGGPPGPAPSFGGALHSAEAGRPPQHGAPGAAPAPQHQMFGPMVHGPNGPPP NSLAGAGGGPGPAAIFGGPLQQQQQENGRALAQEGARSMQQLPFGPGVNPPHGMTPGS NNMGQGQQPILNDALSYLDQVKVQFSEQPDVYNKFLDIMKDFKSQTIDTPGVINRVSE LFAGHPTLIQGFNTFLPPGYRIECGLENNPNSIRVTTPSGSMIHSIGAPRPAQLEPAP PTSNPPQGYIGTVRPGPWQQVLQHSVESPEAAFSVPAQNGPPGFPGSSQSASFETSPN QPRSVPAVPNGSALSQPPVPRTAHTPTPGAGPPSANGSAAQQASMEKRGPVEFNHAIS YVNKIKVRDFFSPVAPVRIVSYSPRVSMGHLNGESMGQASTEWIHFFLSLAQRPLTPS LIQNRFQDKPEIYKQFLEILQTYQREQKPIQDVYSQVTTLFHSAPDLLEDFKQFLPES AAQTRSAGQRPDETMPMAITTPTPQPGSLQRDGPKMPPVGNFAPPASAAKETKKRRAE KPATAASASVANEQAQSSALRGSLPAATTGNKRMKVTHKPNNADVAFIESTLTPTMPE PLPPTPINISHQDELAFFEKVKKHIGNRTANTEFLKLINMWNNDLIDTPTLVYKANQF MGGNPELLASLRAILRYDDATDDFVENKPEPPTGKVSLSNCRGFGPSYRLLPRRERLK PCTGRDELCQSVLNDEWASHPTWASEDSGFVAHRKNAYEEGLHRIEEERHDYDFFIEA NQKCIQLLEPIAHSMLNMPASERHNFTMPVGLGGQSTSIYKRVLKKIYGPEKGCEVAN DLFRNPYAVVPIVLARLKQKDEEWRFTQREWEKVWQNQTEAMHLKSLDHMGIQVKISD KRSLSAKHLVDSIKTKHEEQRRIRLAKGQTARYQFLYKLEDQGVLLDLLRLMVVYVNS NGGHSGVEKRRIIEFFEKFIPTFFDIPDEKFQERINDIEVESAEEDEDDGTLLELTNG RSRRNGKKSDLLRGVLDPGRNGSRSRGQKEGSAASGSKETTPDVGSANEEEMPDAPED GSVPEVSNGRWLPTIPRPAIVDKSKGDPVNDLVDLDGELKADAPFTRSVYNFYCNQTI YVFFTIFTTLYKRLLDVKESRASVFEEIEREQAEKPAKTLGTVNGGLKFFEPTNPESF WPKTVQLIEDYIGGEVDENRYQDVLRHYYLKRGWKLYTIQDVLKSLCRLALQCNNPDA KGEKAKELVKAFLDSRAQSETSFQNEISMRKFAEKCIKDGEMFVISWNPKGKFATTRW LQKDETTFYTDEMERVQQWQYYISSYIRIENTEGIPRSRLQKVLLERNLPSDAKDSSD DGFLPKPLVYSENLIVRTCLNSYKMVFEQGTSDCAVYSTDSAREVEVEGDEDAAQAMF SAELRGEKIKERLLINNQWMKGHSHDEVQRSKDDFQRWMDGVVGGVDGGEGQGQDVAM EG QC762_108912 MQLTTVFSSLVVLGGLAVAAPVDVPDEEPCVDGIICFDALNACG VKYGGCYNVCKADQKPKPPACPATSTVVTTKVVQLTPSATTKKTTSTTSKRTLTTSTR KATTTIKKTTTTAKATTTSKKPTSTSKKPTTTPKPTTTPKPVTTKIPTSTTKPSTCNG SGMTVCADYINECGMMYGGCFPDCKPWPTFTAPPCRPPVSTSIRLVPVPIPTYPVITV RPQA QC762_108915 MKFQAILIATLSATGLASPVPEPQAKPKFVQAYTLRLSSRTPSL DGKPLTLSNTTLGIFPSSPSTPLFYPIRNPQTNLLELHSPQTSSALALVGTHGLLSLS ALPNPASVTVPTGTTLDWQNFDLSDDASAGSLTYAGSTKDGSWVAFPVGKGQAGEWAV KWKDVTATTTQNYIPVKVVYEVVEGFHITA QC762_108920 MAALRSTASALLGAAAARPSLRMAAAAPSAFRGMAQLADAAQQN NTASEQANLKTFQIYRWNPDQPSEKPKMQSYTLDLNKTGPMVLDALIRIKNEIDPTLT FRRSCREGICGSCAMNINGTNTLACLCRIPNEDKADMKIYPLPHTYVVKDLVPDLTQF YKQYKSIKPYLQRDTPAPDGREYRQTKADRKKLDGLYECILCACCSTSCPSYWWNSEE YLGPAILLQSYRWLVDSRDEKTLQRQDALNNSMSLYRCHTILNCTRTCPKGLNPGQAI QSIKKQMAF QC762_108940 MASSQNTPNIPSAKRRRVDAANATLKKPFRSPMINRQSPSTTAS NTTPTQASPSLSRRSIDSLSRPPTSSSIPATPSRPGNPSPLRLGTNSAAARRPLLLQK SFKSVSTPLPFKQRQQDTARSTNEGDELLQRIQRSHRELDAQMKKVEKELERVRQAKM IEEASRRKRPGEEVDAELSELVGKWKGASRLAAEELFEVVKGRVDGMGGGGAWRESRK RRFGDWDGEDKKGVGGEEEEEREGYRGELEGEDCEEDDQKGEEGDDTEGFTMLMMLKS LNIEPEVLGWDPGEEKWKD QC762_108950 MGVKSHRWDPTTWRLKDFVNLDEIGPRMWTSFKENWYMGFTSFG GPPVHFKIFHDKYVSKLSWIDETVYQELFSICQAFSGPGSTKMHYCINLLRLGFPPAV LSFFLWSLPGALGMFGLAIGISNVGETLPRAVYALLSGLNAATVGIIALAAVQLAQKA ITDKLTRLLVYLGAAAGLLYNALWYFPVLMLAAGVVAVVWDFRWLHGPVLWVVSKVKK GKVRDEEREVELREQRVPGGSGAEREVVREEDGKGTRERVSTGGDNADGQSQQQLRRE EEEAEERVVPRSHQISVMSWKSGLALIVAFLASFVAVMLTRGLIPANPLLYRLFSNLY LAGTIIFGGGPVVIPLLREYIVAENWVSPRDFLIGLALIQGFPGPNFNFAVYLGTLTA INGGYSGVLGGFLGFLAIFLPGLWTVHGTMGLWSAIRGWRWVKSSLRGVNAAAVGLIY TAVYRLWQIGWIDEGFSQGKSLADDPWWVVVTAGSYVGGAWFGVEPPVACIFGAVLGL VRYGVVEAQGY QC762_108960 MSNDPTVRRLLPQNSQMGSFSFAPPAYQQQPRETQKNYVFVDEH NRHKRLKVMRACEGCRRRKIKCDAATTNTWPCSACIRLKLVCVRPNGFDGSSEPQVYE PPRSQFETSHVHEGFRQPLPLQDQQQLLGHAPKPNLYAPQTSYQDTSALYHAVQYSDS QTVPHNLQYQPVHHAVSAVNVVDHQYGAQAAFPTPPMQQPSHPASPADTYQSEYAQQD LADLLGSLKVNEAGTAPYLNSKMQSMREEDPVVEEGDEYKSLLPPLTAGLGGSKIRIP PELMPDDDTVLQYLDLYFTNAHPYVPVLDKAHFYQQWHDNRESISPLLLEAMFAISGR LADEPAQGQQWLALATRHADSFMDIPRLSTLQALMILLKARESAPKRGYYYRSWMSIE QCVQLGKDLGLDEHYGDHRHGRSCGSSPSECALKTRIWQTLFTVEMMIGSPQGRTDFQ VEEDTVDFNVPRLLPNQDESEYHVSRNFTYLARVVRNVSRMVVVYGRLKKSKEVKEWG LDPDFVQISPALDAWLAEIPADMSITYPANGSPPWLPSAFVGNVHSYYYLSIILFNRP QLAFLSPSTPGGQWKHHMLVSYNAAKMLCRLQEAVMGAYGLNGLLCMQRGINFTIYAV LACIVLHLVALTSPDPDLNSEAREYFTRHMRILEKCMSSWPMPDMQRQIDSVREAFSA DVRKPFVLKPSFPYGSPHSNSHPSPPGPRLLDTQVPHSQVSYSLPITPISTVGPLDSK SDSSPGVQSLGMMPSGHGAQAPAAVPQSSLALAEAPPAWNPSRIFDQWNTSFGTPPVQ SSPTGGQGGGGAMSASSGASDTASIHDMHAVQAQLRTAAQQQPMPAAAAQYSAAAAPV QNFVTPAMWQESVASVYEAGGGLKRGWDYDGHMPVSKRHH QC762_108970 MREVDNANPSILNVSQLPSRSRKVTTPRQGPDSKCDNIVYSKRP WLCGSSSSEDEDLEEEYGEDPVDAQDIYDLISPISDPEHPHTLGQLSVVQLPDIHLTP PPAERRGDKLVTVTVDLTPTVNHCSLATVIGLAVRYRLEQTLPPNYRFDVRMKDGSHA QDDQVNKQLGDKERVAAALENDTLKGMLDKMLETCV QC762_108980 MDDDLYDEFGNFIGEAEASEEESEHGVDAGNYAYDDYPEEAPEA TGQELMEIDDDGPSNAVILHEDKQYYPTAAQVYGEGVETMVQEEDAQPLTQPIIAPVE QKKFSIEEADLPPVFFDRSFMTDLMNYPEQIRNVALAGHLHHGKTAFMDMLVLETHNI NDRLEQRTGKKRDEQLRYTDVHVLERERGLSIKASPMSLVLPNTKGKSHLVNIIDTPG HVDFVDEVAAAFRLVDGVCLVVDVVEGVQVNTEQIIKHAVLEDIPITLIVNKMDRLVL ELKLPPNDAYYKLKHVIEEVNTVIENTIPGKGESKRVSPERGNVLFACTSMGWCFTLK SFAKMYSDSFGGVNIGEFAKRLWGDVYFNPRKRSFTRKPVDEGAKRSFVNFILEPIYK IYSHTISESPEDLKGTLAKLGIQLKPSQYKTDPKVLLKLVCEQFFGPSTGFVDMVCEH IPSPVEAAQKKLERYYTGPLDTKVAESMKACDQNGPLVIYVTKLFNTADAKSFNAFGR VMSGIAQPGTEVRVLGEGYSIDDEEDMVVARVAEVFIAETRYNIPTDGVPAGNWVLLG GVDNSIVKTGTIVAKQFEDDEDAYIFKPLTHLTESVLKVAVEPINPSELPKMLDGIRK INKSYPLVTTKVEESGEHIILGTGELYMDCVLHDLRRLYADMEVRVSDPVVRFCETVQ DMSATKCYAITPNKKNTITMVAEPLDDGIAKDIESGAVRIRDPPRKTAKFFVDKYDWD LLAARSIWAFGPEEMGPNILQDDTLPGEVDKKRLATVKESIRQGFAWATREGPLCEEP IRNTKFRLIDVALAQETIFRGGGQIIPTARRACYSSFLMASPRLMEPLYSVSMTGPQD SVSMVYNILARRRGHVLSDGPIAGTPLYRVNGLIPVIDSFGFETDLRINTPGQAMVSL VFDRWNMVPGDPLDKEQVTRPLQMASAQATARDFVLKTRRRKGLSEDVSVAKFLEREF YESLVGSGTLGEA QC762_108990 MAGLPPVYIVSAARTPLGSFLGSLSSLTAIQLGSHAIKSAVERV PEIKPEDVEEVFFGNVLSANLGQAPARQCAIGGGLSESVPCTTINKVCASGLKAIILG AQTIITGNADIVVAGGTESMSNTPHYLPNLRTGTKYGDAGLVDGVQKDGLRDAYGKQE LMGMQGELCSQEHNLSREAQDEYAIKTYQKAQAATEAGLFTEIAPIEVPGGRGKPAIK IDRDEEVKNLNIEKLKTVRPVFIPNGGTVTAANAAPINDGAAAVVLVSERKLKELGIK PLAKILGWGDAARDPARFTIAPALAIPKAIKHAGITADDVDYYEINEAFSVVALANIQ LLGLDAEKVNVFGGSVAIGHPLGASGARIVTTLTSVLREKKGKIGVAGICNGGGGASA LVIENLQ QC762_109000 MYQLTAVDGYKYPKPDTYVLEILPIAAGLAAIASDQTLSLFDPT RLNAGPLKQITTDHGNLTCAKVYDPAESIVCTAGENGTVSIWDFRTNPIKPAMQIRGN TDPIPILSLACSNPTHTLAAGTELANHQASILLWDLRSSPSSPKITYTEIHSDDITEL TFHPTTPNLLLSGSTDGLLSISDTLITDEDDLVITTFNHGSVHHAGFLNQSSEIYAAS HDEKFAIYDMSDGTIEKGAAVLDMGDIREAVGCQYLANVVPKLGGNNGAVVGVGAQDQ ELFQLVHLAKGGNGQWGLDRESVVALPGAHGQELVRSFVFYDEQQLVITGGEDGAIRS WRPT QC762_109010 MRRYFLWLFLLLQALAFSYAETQADQHVLTGDSAKPKQADDDGF RPDMASAPSGGQQPGMDSWTYKGAKNQETTDQQPGAELVHSAMTELRKLYQPLHHKAK RHQGVVSLILNFALKAVPTLRLTAPPAETSQPTPSLSGPLLHASKLLNEAARQNNSDA LYILAEMNFYGNFSHPRNFKEAFDNYHKLALLNGNNSALYMMGLMYSTGIGGAVEADQ ARALLYYTFAANRGHTRAQMSLAYRHHAGIGTPKNCDVAVKYYKQVADKVIAWYRSGP PGGMRWVDEAHRIADELGGVYGEGASAYSAGRESVQKSPDSYASIEDIIEYLNLMSEK GDFKASFNLGRIYYEGQRGHEINLAEAKRYFYEVAQQYWVKGQQVQNPKPGLDKYAAK AAGYIGRMYMRGEGVDQNFIRAKYWFERGSYLKDSQSQYSLGLLYLNGYGVPVDVPKA TEYFKAAAMQDYPYAEVALGALHLDQGGTDDLAAANHYFELAARWASIESYYYLGELN LLGVGREKSCSAALGYFKSVSERAEPFVSSWAEANLAYDDGDQELALLEYLGAAEQGY EKAQNNVAFMLDPEQSLLEIPQWLYRRAVKSPLLRNPRLALTYWTRSARQGNIDSLVK MGDYYLHGIGAEPDVDKALQCYQGASEYQQSAQAMYNLGWMHEHGVGLQQDYHLAKRH YDAAYEINEEAYLPVSLSLLKLRAKSAWNTFTNGAINSIRDEPVEKKHWSLREWINNF LQEENAAGQLYDDYYSDEYYDEAAAGQNGAGQMPGGDGPDFDEDGVLDSLIIMGLVVS LAFLLFYRAQRQQRQEEARRREQEGGNIQPQQQPPPAGAAQAPFPQLGQPGFGGWGAG GVGH QC762_0007450 MKQTTKHHPILHFEPLSDSPIPILWDLLLACYAIRLTLLYALLT LVSHLILSRLPSSPITTLVSAAFWTRYLTHRFQVPPAIGFRAAIGLLAGMIIFLMEEL LSGLEYEMGYGGLKGLGGWVMAGVISMPVIMGLGEEGKERWVRWEGKGLEFGTSY QC762_109020 MAPTTKVFSLEGKGLKLDTAEDLEPHIADLRAMEDVEEVHLLGN TLGVGACKLLGEVLATKKTLRVANLADIFTGRLLNEIPEALSSLLTSILNLPKLNTIN LNDNAFGLNTQAPLVAFLAAHVPLQHLYLNNNGLGPHAGILIADALSELHAKKEEARK QGQDVPDLETVICGRNRLENGSMTAWAKTFSLHNKVKEIKMVQNGIRQEGISHLLSEG LRHTSELRVLDLQDNTFTLKGAKALAKVAPGWTELLELGVGDSLLSAKGSVLLGESLA QGKNRNLEILRLQYNDITAAGLKSLAEAAKEALPALKKIELNGNKFTEDDESVILLQE LLEERKEKFGGDIVVEDDWGLDSLSDLEELDSDEEEEEEEEEEELEERAEKLIKEAEE AQEEPVVQLKDKEVDELASKLSKATI QC762_109030 MARLSSPIDDDSGSPLSSMDSTDNEFPDRDAPEDIMEDAPPTKR LKTATGSVASPAFHKEASVDPDIDTVSQVSSDTDGDVPNSPINARQEEEEYAEQVTIC HWEGCRAGDQGNMDNLVEHIHSDHIETRAKKYTCEWIGCTRKGMAHASGYALKAHMRS HTREKPFYCYLPECDRAFTRSDALAKHMRTVHQTEDLRPSDPVSKAHQTTGKSSKNLR IILKTPQSHAAGQDDAVDDSDVPYEDSEDMLTPLDEELFSPEEIAMPREKLFQLTKLQ LKWAEEEGKRLEAELKEVGELYKQEWLEKEVLLEQVIRSEKDWFARKQAVLSGEADVV LTAPEAAANSVEDAQN QC762_109035 MDTPPSEMDSTTFLHLTTLLYLLLQSLPLLLWPSLTTTLLTPPN YYPPSSSDLVSTYLARTLALTNLTLAALLLALSGLLALSPSPSPYSSAAVLITTLYHS ATGVYSYTRYTTPRTSQPIHLLGCLASSFLACVGLYVLLFGDGKRLSRRTGADKATSG WPFRNKEADRKKKKKSG QC762_109040 MSAILSADDLNDFISPGVACIKPIETLPTAPPPQASESLEFEVI LDGQQPSASQPAGPAQISLTDCLACSGCVTSAEAVLVSLQSHNEVLSLLDSAPSLALS QDGTTITTNLDGNPSSKLFVCSVSPQVLASLAAAIGNNTTQSQAANMITHLLSNPSLG LPSGGRHNNGFTYIVSTNRAREASLVLGADEVISSSSNDIKKPVLTSSCPGWVCYAEK THPYVLPYLSKVKSPQALTGTLLKTTLSKTLNISPDRIWHLSIMPCFDKKLEASREEL TDAVWNNTSNNPATATTQKGIRDVDCVITTKEILMLAESRNINFFSLPTTPLPQTTPF PHKTMGDFLLPFSAPGKKNEQQSLSAGTSGGNLHYILHTLLSQNPGSTLTTTRGRNAD VIEYSILSSSGEQIFKAARYYGFRNIQNLVRKLKPARPPRMPGGKPFGSARRPGKAAG ASNALDFSYVEVMACPGGCTNGGGQLKVDDPIVLERMGFGGTENKPGPQEQKAWLEVV DEAYFSGDDEETSSSERTYDGLVVAGISPGYVRDVLRVWSELTSVPVEKLAYTTFREV VSDVGKTQTDTERVVQLAGKIGGGW QC762_109050 MSKNRTPIPDAWDDDWAPQADRLSKTPAEPSPPPPAPLSKAERR AKHLEEQRRLWESAEAPEPTPYLPITNPIPLSTPFKPPIQLLSRRPIPQKTLVRDPAT GLEQLTITQPDDFDDEDPDTAAQKPETPQERKERQRRELEEKQRRYQEQRAKIFGDSN PSSGQSSPGTVTPPQAGNDGRGGGHRGRGGGGRGRGREGNGRGSINGRNTNRQPQQQT TEPPSRELFDAEYSPKPPNRRGGGPSSSQQPSRSHTPREEDQITRQPRGPDGSGRGGF GFAKRGATNG QC762_109065 MTCSSGLHLLLLELEKGTFSKLHIKVVPPHQFDTSLLCQYRKGP AFSLVSVRNSIQKSAMSTDLTMSDLPDLSTPPIQTSQHQHQHTPAGKPLASWNTPKFR EEYENARARLQHGDFSCSALPDPLSPRPAIATHSRFDPQTEERLKGILRAAKESVQGG GSS QC762_109070 MEGINQRSRSVAAAEEDGAADQIGYESPRSGIATPQPDLQDRRL PGIMSYFNQSGANTPTRALSSTQHSRSSDGHDSNSRHNGESIDRTATNTPTPQGARVP APRGKLAVKISEARGLKRCQNPYVVVVFQRSELISAGPRPSEMDDDAAIAAVAMGGVP IQRTGSDSGRPMAIPMRSRQSSNTSLSDFNTFRNRTSGSRRSLTNPKWDAEAIFDVID SDMLVDISVYGQGQNGEEFLGHVDFEAKSSEREGPVRGWFPLKGHADTMAENAPTGEI YVEAFYQRAEPKHYGPEDFQILRLIGKGTFGQVYQVRKKDTKRIYAMKVLSKKVIVQK KEVAHTVGERNILVRTAMADSPFIVGLKFSFQTPSDLYLVTDYMSGGELFWHLQKDGK FEEKRAKFYIAELILAIQHLHKNDIVYRDLKPENILLDANGHIALCDFGLSKANLTKN DTTNTFCGTTEYLAPEVLLDETGYTKMVDFWSLGVLVFEMCCGWSPFYAEETQQMYKN IAFGKVRFPRDTLSLEGRNFVKGLLNRNPKHRLGATDDAEELKRHAFFADIDWDALSK KLITPPFKPQLKNDTDVSYFDPEFTNALNTNGSLNERAAALAKGYATSTPLSPSVQAN FQGFTFVDESALDDHMGNRYSKYEDEDMDDDHDRRRDDDWDDMRDVDPRNSNRMSGIV RTNTHDEQMFGASNFDM QC762_109080 MFSRASTKAVSAVSRLAAGRTAVAVQARTFIAPTVARRADFVQE LYLKELKAYKPNPVKDSDAVGQVATFNLPKTPKSPEEADLASSLKEYESMAVEVEGSE VDASGAQTTAVVEDWLVEEEEDDGAHH QC762_109090 MKRVGGKSLVASALLGASLVQADPQSICATDNICYSIAVPTSSA SSNSGNIYFQLKAPTSYSWVALGTGSQMAGASIFVMYQDGNGNVTISPRLGTAHSQPQ WDQSSTAAQLTLLAGSGVAGGIMTANVACANCESWNGGDMSLQGTSVPWIAAWRSGSS LATTNKGATISQHGGNDHTGFNIDLTTATISSDSNPFLTSRDTGGGGGSGSGSGSPAG GNGITLARGNPNAAAILAAHGVIGALVMAVLYPLGSLLMPLLGRWYVHGAWQVITFAL MWAAFGLGVQSAKDRNMLFTQTHTILGTVVVAFFGVQPALGYIHHRQYVQTQSRGAVS YVHIWLGRILMLLGIINGGLGLRLVGERQELVIAYGVVAGVIFLCYILAKVFTVIGAQ KAQGRSYKEDRVPGNMRRPYQESRRHGGRYA QC762_109100 MIAKATPALKTVRGFNNTPGASGDEDSDHCGCPAAPKTPSRRAQ KATHLLDDVVSANCSPMLKPVTSPGISGIGKLRMQIENFSLNSNDTTAASSRASSLTR SSEHQASSPFSSETTISSGRRDSRPLSRGSTLKSRTSSSDETASVGSTSYEINLEHDF VSDVPNAASEAVPPRPKMTADDFEPLKCLGKGTYGTVLLVKEKATGRLFAQKQFKKAS LVVHKKLVEQTKTERQILESVNRHPFVVKLYYAFQDQEKLYLILEYGQGGELFTHLST ERMFSEETAAFYMAEMVLALSHLHQNLGVVYRDLKPENCLLDSQGHLLLTDFGLSKVA VDSDTCNSILGTVEYMAPEVIQGKKYGKAVDWWSLGALGFDLMTGNPPFRGPNNKKIQ DNIIKQKLVLPYFLGPDAKDLLTRLLRKDPAKRLGSNMPKDLETIKKHRFFRKINWKA LQAREVEPPIQPYISDPELAENFSAEFTELSLSPVVSRFDQAGSWGGREMGSVPGVGR REAVDRDDPFGGFSFVASSSLLESHGFGGMQRI QC762_109110 MHIQTTAQDNSSSFFKYQMRATPSHKGLSRDTEVRADAGRRRGK P QC762_109120 MTRNQPKIQTKSIPTAVMMHPSRRAYVEEADTEDRGSGGIDIDA IPIDRDYDIPGAGAGIAPERASAIISQFERKRFAATIAVPTDDGRVRAKLRELGEPIT LFGEGPVDRRDRLRELLTEQAQQATGQESADVEMQDASNDEEAEEQEEEFYSRGTQEL LDARIEIAKFTIPRAKRRVEFQKKEATIPLRTHVKFRKEIKERLQTFELQASQTAGDR HVSMTRFSPDGQMIATGNWGGQVKLIDIPTLEHRKTLRGHTNKISGLAWRPGATLPEA NISEDTVNLASGGAEGQVHLWSLNQDTPLSTLSGHSQRVCRVEFHPSGKYLASASEDT SWRLWDVETTTELLLQEGHSRGVYAVSFNTDGSLLASAGLDSIGRIWDLRSGRTVMIL DGHLDGHIKPIYGLDWSPDGHRVLTASADGWIKCWDVRKVQRTGGIGAHTSAVSDVRW FKGMDEPVDGKPPGEDDKGNQLPKKSGTFLVSSGFDHKVNIFSADDWALAQSLSGHTG PVASVDVSRDGKWIISGGHDRTVKLWGRNDATGVYGDF QC762_109130 MSSSNNFDRYRPPREAPAHPPKPPFIAEYNNTSQSSSPATTGRR REGGGGVPPAVPSPPVYSSRTSPPPPRPLRSAPSPAMSSPQRSQQQRREQWLFTLDEV KSTPSIMDGLPIGEERLRRAKGVNFIYQAGMLLELPQITIWVAAVFFHRFYMRYSMVE QNGGIHHYNIAATSLFLANKTEENCRKTKDLIIAVAKVAQKNTKLIIDEQSKEYWRWR DSILAFEEIMLETLTFDLMINNPYGEIFDLLGELDLIKSHKLRDGVWAFCNDACLTVL PLVLSTREVAISAIFFSATVNKVQIGDVRGQSWWVYLGGTEEMAALGVNLMCEFYREN PLRKQEKRPPSPEFRLESTRRRGDVAFGLGGMMEVDSPGTGTPTPVGTDRAGTQSPGR GRVNGNGVVKEEEGRVKREGSSSAEERRAAAVRASVENGDSDAALKAAANELGVHENG DGGGGGLVSPGPMLAAIKRKSAELEDAVDAAEREAKKIKLQDDEDEGEIKGS QC762_109140 MENPLNQEFIHPLKLFEVAKNEKRLLYTSAPMLAFRQTVHHYGT DLCWTPMILAKEFNRNKFARDSDFTISTAGPQPPTIVQFGANVPQELARASSLVVPFA SGVDLNCGCPQSWACSSTLGAALMEKRELVRDMVLETRSQLHQDGWDVSGLEKDINSP KGRSVSIKIRVHKDLRKTIDFITTLLAPCSLTTTRPIDFLTIHPRTRQTPSSTPINVE SLSILTSTFGTQVPILLSGDVFTLDSLPFTSPFHNTLSSSSSPPEHNIPHLSGLMSAR ALLANPALFSGRNSCPWEAVEYFLNKTIKAPMPFKLVLHHINEMCSPGMGPSQKDKVA LLNKQERAELMKVGDMVELIDFLEEKKPGGIGRM QC762_109160 MDPAPRPNALKSMGHRLSLTKLRRALSGRKKKLQEIQEPDEPYQ TTPTTTKPEEGQEEEEGGEEGEDDDDDMNEGNTVIGLLGGGQLGRMLCEAANPLGIDI AILDEHNAPAKQAHNTNRHVVGSFKDPGRIKELAARSDYLSVEIEHVDTEVLEDIEKN GVPVEINGEIVTHRPPIHPSWRTLRLIQDKYLQKEHFKSSGKTIPIAEQIAIESGDAA LDSLKKAGKQFGFPFMLKARKGSYDGRGNFKVDSEDDFAEAIKALGTLPLYAEKWAPF VKELAVMVIRTEDSDGKLKNCVAYPAVETIHEDSICTKVFMPPRDVSEDICEKARKLA TEVISTLWGRGVFAVEMFLLEDASLMINEVAPRPHNSGHYTIEAVPYMSQYKAQLHAV LDLPVPDKLIPRVQSSIMLNILGGAKPDSHHHLSGLARSTYDDDMDVYLHLYNKESKP SRKIGHITLTGFCSVQELEAKAKPFIDLVDQIRLDRLEATSETLRPTQETNGSAKPAE KAPVEPATDNHVEAQELSAEAASSDKPLVLVTMGSDSDLPVLKAGLDILKEFNVPYAL DITSAHRTPKYMMKVADEAAGKGIKVIIAAAGGAAHLPGMISSETPLPVIGVPVKATH LDGIDSLLSIVQMPRGVPTATVGINNSTNAALLAIRILGAFVPEYQQAMKKYQVDMEE AVIVKGTKLREGGDAAYLAGMKK QC762_109170 MILLSQANSKTMTIQLRLTGGLSRALLSNGVRGGVKLSTCPHII TTARALSSLTSTTTTTTTTTTRPEILRLGSHQSLLILRATYASRPNSQTSTQNVALEE QALLAAAEKAKGGQQQQQQQQQQGHGKKEKTEYPERLMIYHAGTGKTTFLGTLRFATL LLFAGFGLVLTPHYIKSGAPPQYPLASLACGLIPLLYVGYFTTPFVTFIHLRLPPYAR WSTPILQRFAKTAPPNTQVDITTLSLTGTPRHSSMMLSDLKPTRERFGMVNFTRDTTA VNEKRKWAGWVWKDIAEGIGSRAGEKGVGGGGKKTGQ QC762_109175 MFFYLLAFVTTAFSVLEIVKSGNSSPQSKPDHQNEFTTTSTWKL ECSPFSVPGRARNTALWCTSQRFNCDVDGETRYKYARGHEFDERCVSMCQCRRNVVPG APYLERLHLGGKG QC762_109180 MKFSLLTVLAMGTAFHQALASPVMSDSAPAKRQDLVTPFVIECS PGTVPGGGDPNVFCSRNGISCTLDGELEWTPTTTDQAPEQVCFEICYCRVNVILDPPA GGI QC762_109189 MKKFILLTLLALAQRHALASPLVSLRQDLVVRTLRCDFGTASIQ ADTAKCTAEGVFCKESIGVVITAGTAAAYTCLQQCSCV QC762_109200 MAAEAEYWTFPTDKELFDQDERISFSKLDNKYIAVQDDGTEYEF DEGLRRWIPIIDEALFEQQQRGYMVPGVDEDDDGSAQGVKRKMGYGDDREDFSQNGTS TKSKKKQRPPPQPRQNTAVYVTGLPSDATVEEVAELFSRKCGVIAEEIDSGRPRIKMY TDGEGKFKGDALVVFFKPQSVEMAIMLLDDTDFRFGEGGTKMKVQAADMSYKRVKYDE EKGDGEGGNGGEGGVKQEGGNNNNKKASQDKQKIIRKTQKLSAKLADWSDEEEEKVGG GGGKWDKVVILRHMFTLEELEEDPAALLDIKDDIREECETLGKVNNVILYDQEEEGIV MVKFGSREAAEKCLSKMHGRKFDGRTVEAFFATGRERFRKSRDAGGEEGEESE QC762_109220 MSITPIITFKAGLCQVDHNSKPYKVEPDSRPGYIYLYSEDDLIH FCWRPRNVPLDEPEIDLVMVPTDGHFTPYNTRNPTEPSAKTNGRIFVLKFTSSSQRHI FWLQSKPQGQSGDPTWFSPRDLKIGEIVDQLLQGEEVDVTRELASVRNNTDDSRRDDE DETMEDVEGHGDAHAHHRGGSGGAGPGATGGDFRQEGEDSRDGGADGARAASNNTNND AADAVRNFLASIQANQKLGGGGGQSAQGKLYPLLNDLLEPSTTIPMLDSASDEYVDNL LSYLPPMVLVLSQQGENGDDIDKEPSAEAVEAAKQAMSSGQKRALLKKVLRSPQFTQS LASLTSALRDGGLPTVAGSLGIAVENGGLVRGGSVPLGGGDAVEAFVEGVKKTVQKK QC762_109230 MARPLGPVRLKKASPVTLAIGAVFTILVLYFLIGSSAPDFSASR KASAASHPLSPPTSPFRKSTGGGKPKAPPVAHYNLNNVTITSDPLGNRENILLLTPMA RFYQQYWDNLLKLSYPHELITLGFILPKTKEGNAATTELQKQIAKTQKSGPEKDRFKS IIILRQDFDPPLQSQDEAERHKIQNQKIRRAAMAKARNSLLFTTLGPATSWVLWLDAD VIETPSDLIQDLAAHNKPVIAPNCFQRFYNTEKKAMDERPYDFNNWQDSPTAVELAKK MGPDDILLEGYADMATYRALMAYMHKTDGLRQETVPLDGVGGAALLVKADVHRDGAMF PPFSFYHLIETEGFAKMAKRLGWQPFGLPNYKVYHYNE QC762_109240 MSSRSKPSSSSAAGARAAIRRLAKEYASIQSQLSDAPNKEIELG IERLGPPDQTDLLHWEAVLNGRGLGGGYADGRWLLKITIPPTYPLSPPEIKFETSIVH ANVKLETGEICLDLLKDAWSPAYSVLECVKAVRMLLDHPGIDSPLNVDVAALLREGDE IGARGLVELWIGDQPGGRYEGS QC762_109250 MATTFGSDMMVDAPTADGLNNLTMLRLADSTNPNAMGPHDDYSS SPQTSPRTIPPPPNPPFVFPARPSSSSASAPSTFSRATGRRPRSAIEPHIAGLDLTKE IDNSKPRTPALPNFSFNPGASLTSTLSPESAYLSPAQSPSAPSSPRTAATRPGGHGHR RGGSEFVGGKLRDGEAITITNVSPTKPESGMASPMLQPTRPRRGHAHRRSAAISSHDL SSIILPPPPNPNLRGGSAPASPIFPNRPGGQDFPTAEQGRKSEPNIGSPSEAPTDSTG VPMADVEGDAKPPARNRVGFSDTLEYIPRPLSLVSSDASSTATARPGHSVSGSISSLI SLTTGERDFSTPFTFGGPAAKMSDSRPSTAGAVLENTLSAQEEEPTPSSPRRRGSIPF LGAIPPVLPHSPATPSPTRSGKKWTFFGREGRDSQATGSPTRFQSESPLASSSHVNSP APERVSAEQFMDDMALEPDVVLNTMKPLTKKRSKKQKKVKTWAGTILSRKSKHRYGKQ KRRTPTPPPPRFLEEVDALQQDSTDAQINVPTFTVTESPDTGDFQSWTFPKPVSVPDE DMSYQMIDLDAALGPFNTPLPRNPEWEAAQRAGGNVKKQLHSAAGMSRFTGPGMHYHH RRAESAPEMVPFEGGRLGFRRFGSSSTMADVFEEDEEEEEEDSDSGKSSAGQSTPLVE TPVVEKSESTSLAPEARPSPIVPQESPAARTSQDGEQGKAFLTVVPDYTMGSANSDTP DAVPAVAPGTPRNSSSIHGGYSGSTTPSPRHVSRPKDLAPVDVGPLSLPPSSMPPISP FSMTQSSAFPSPRTPMSYDAHRISTAPSSITEDNFQSLLLGEPGPEVRISVDDIPSLT SSNSTMTRESLFPQHPQARNPPLHDLPRPASFTSTAFGRRRSSLASLSRLISSSHGER SKLSMEVPLDSEPEQKSKVSKAKRLSRMVKFWKPKDNEAA QC762_109260 MSATTTETTMASAPATTASKPLGMRVNGKQWHAPKKAFRPTKGL TSYEARVKLRADQAAMKAKEKEMKEEKEAERKARMEALKAKRAAKEEKERYEKIAEKM HKKRVERLKRKEKRNKLINS QC762_109270 MSQYAVETSKVPSPGRPRHQITRSISEISSPIRLHRHHSHRVIR ERERDALSPITQSATSLQRPSPQPYEVSSKSAGGTPNISPNPSRRPSLLYASADDEGM PASHGPTLVSTSAPASITKSSAEVDLVKEQQKAAVRNSGLQRSLNELETFASTTTRRL DETYYSVEEKLGTLQSTIAALKGLAELSNQLNNSFSAEAEELVADVTSQLDALSNFED QQQRIESLQNRISTGRESIKSLSERVDVVRARIEGWERADREWREAVRKRLTALWVVV VTVGLVLILLMISAQYVREKPGDGEVKQLAATAANQWGSEGVSTAAQKDKIGLNWTET SELSSRATEMLRAFDEL QC762_109280 MEQFRQDIVERNGLTMLFKEIKDKETIQDLSLQYQKFAEWLRIE VAATIYHLFLAEDNSPELFAQAKRIHSLIPYTLMKNAIRIANPAAVMSHILDIFLAQP FGARSLMQRIFSLTLNDGIRNFQRSIDALAAKINDQVFVDKLKAYSDSEEQVKVAIRE EAEAEQIDVIVVILRSDLLKPALKPAQIERLYNAYVAFNSAVENVDEELKQGAQLFSY LKQLLKLHMRQRDKAMMLNLIEEPVTLQLFRDLFTIFYEPLVRVYKSANVYNSVTDFA AFVDDLIQVVEKCRDQDASADPNQTVQAFIDLCQRHEHNFYKFVHEVHTHDNGLFTQL MGWIEGILEFLRHGPKNGSLDINALFEGGVSTGVVDKDKAIEEIDKLIAWQEARKKWH HDKTRQKMAAEGGGPGMVDGVPMAFKSSDFGLNEDDLEDMAYDDDSESEAELEIEDEM DPIEAERRRRARRQDRLRRNAGEPEKPQVEEVHKLKENFLVMLRMVLAE QC762_109290 MASSMAWRRLAAAPALPRALRTPTQRAFSTTRPAARVIANGPLR AKEASPFVSSKYPVIDHEYDAIVVGAGGAGLRAAFGLAEAGFNTACISKLFPTRSHTV AAQGGINAALGNMHKDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASIIELENYGCP FSRTEDGKIYQRAFGGQSKEYGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNTNYFI EYFAIDLIMQDGECRGVLAYNQEDGTLHRFLANNTVLATGGYGRAYFSCTSAHTCTGD GMAMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGARGEGGYLLNSEGERFMERYAPT AKDLASRDVVSRSMTMEIRDGRGVGAEKDHIYLQLSHLPAEILAERLPGISETAGIFA GVDVRKQPIPVLPTVHYNMGGIPTRYTGEVLTVDESGNDKVVPGLFACGEAACVSVHG ANRLGANSLLDLVVFGRAVAHTIRDNFTPGAKLKPVEADAGAAEIEMLDKIRTADGPK STAEIRLAMQKTMQRDVSVFRTQESLDEGVEKINQVDQTFSQVGIKDRSMIWNSDLVE TLELRNLLTCAVQTATAAANRKESRGAHAREDYPDRDDENWMKHTLTWQKPHGKVDLK YRRVIGTTLDENECKPVPPFKRVY QC762_109300 MADSQRIAIVSVYDKTGLLDLAKGLVQNNVRILASGGTSKMIRE SGFPVEDISAITKAPEMLGGRVKTLHPAVHAGILARNLGSDEKDLAEQNIDKVDYVIC NLYPFKDTVAKINVTVPEAVEEIDIGGVTLIRAAAKNHSRVTILSDPKDYAEFLREIE AGEVKEETRKLYALKAFEHTADYDAAISEFFRKQYATGLQYLPLRYGANPHQKPASAY VKEGELPFKVLGGAPGYINLLDALNSWPLVKELKKALGKPAAASFKHVSPAGAAIGEP LTAEERKVYMVDDIPGIESSGLAQAYARARGADRMSSFGDMIALSDIVDVPTASIISK EVSDGVIAPGYEPAALEILKKKKGGKYLVLQMDPEFEPSKSETRTVYGITLAQGRNDV EISPETFRNIITPKNAGPLSETAQRDLTVATIALKYTQSNSVCYAARGQVVGLGAGQQ SRIHCTRLAGDKADNWWLRFHPRVLGIKWKKGTKRPDKSNAIDLLVSGQVPKSGPERE AFEGFFEEVPAAFTEEEKTEWLGKLTDVVVSSDAFFPFTDNVYRASRSGVKYIAAPLG SQNDIPVFETAEKLGITFVEQNIRLFHH QC762_109310 MVVPFLTGNRRGRVIGIALLLTVIIFWLGYQSPIATPRQETLDL HTPKVPEHVTPHHHDTGQVAHPNTNPAGSAEREDDVTEEHGATPTKPKTTKVPPAEGD DSDVGLADFGNQFATTEEAGPAATAKVDCIGFEQLQRQKPGPHSEGKRKFPFVRPPPE CRTFRLPALEALLERMKKVIKDPDLYRLFENSYPNTLDTMVKWRGYANKTVEGGSGEE GSDEVQTVVTDEELTYVITGDIDAMWLRDSASQIYSYLPLLEPSDKFDSLASLWRGLI NSHSRYIVISPYCHSFQPPPESGIPPTHNGAFHQNNPQPPYNPKLVFDCKWELDSLAS FLQISAAYYTRTDDLKFFSRYSWVNAVEAAVDASAAMRLGTYDEEGHVLPSAWTFTGY TNRGSETLTNDGLGNPVKENGMVRSAFRPSDDACIFQLLTPSNMMFAAYLEQASAIME GLAEQKLNPKAANLTTEMRKLAKTIRHGIAKDAVVKHRDFGEIFAYEVDGYGGANLMD DANVPSLLAFPLWNYTNTKTSTTPRMVKSVNKSLGARNGTIEKNSEKEKDEEEEAKHD YAKIYQNTRKFVLSMANPYYAKGPALSAVGGPHLGPGKGWPMAATVAALTAFEDLAGF SDEERGRVVGEQMLMVLNSTSGAGVIHETVNAWREFDFTRSWFGWANGLFGELILKIA EDEERRGVGEKGLLGRLYQE QC762_109320 MAVQATLTQSSPPGAIDENELAKTAVEFAEQSEKLSLLPPEQGN HDAIAVEAQFVTPHDTVIVTADGHRLPTVPVQEAHKLNVLREELETQLEPTHHHHPVQ SPNEEKAIALSQQQNGTSPNKRSTDASLKRSMPPSHTNPLFPPLPLYGPPSLMTHIQC LIFRFTSFFLSLGFLAVIVLGALFTSIGPSVQHLWRLVTLRNPDASSRPFYKEETRRA EIRKRQEEAWLSGHRPSGKTPYPPTEGGPDPLVCDPAYYARRVGLDIEPFEVQTEDGF LIDLWHVYDPKEHTPLPACERAHRGPETFTPPRKPPSSPAKFPVLLIHGLLQSSGAYC VNDDDSLAFLLAKAGYDVWLGNNRCGFTPKHTLLKYSDPRMWAWNIRQMGVFDLPALI SRVCYETGFSKIGLICHSQGTTQALVALAKEQRPDLGDRITVFCGLAPAAYAGPLIGK MYFKFMRVISPGFFRVFFGIHAFIPFMMEMHRRLNGRVYGWLGYKVFGFLFGWGDGRW DRGLRDRMFRFAPVFVSSESMRWWLGRECFARQKCILSTKEEWRAEEREDGEVGEEGV LGEVDRDMADGGGGGRERKKGRRRKGSTAWYDERAPPFALWVAGDDDLVDGEKLLRRF ERGREPHVEVVHQKVIPGYEHLDVIWAMDAPEQVFREVKEVLWKTCDVRGVCRVPKGC EDVPAWKPKKKEVTGDEGEEEEEEEELQSSSSEDLCR QC762_109330 MAAASEVRGANKRRVRRRRQDKPALAARLVLDDHVKSDVGIVSE DLYAELFPHLQHAHEDEDHHPPPRPFVHIAIAPWAPTPTPEAINWSIVPVTKSSALAP NTVQFAPSSLALQSFAINLKQVAPSRLSSHSSRSGIEVLVLDVTAIALDTVFVSLEGE LANRLENGEGTFFRDHPPSKGKVTSSAGGATPTPEDRLTAALRTALDTLKVVHTGDMF PLPLPPHPVTHIPPNPGRITLCEPVGQGILAPSTKIIVSRGRIHSKHNRSSAPPMPST RIGGVTEEDEDTSNDQFYSAAEEGARTDARVEEDGDTETEVEEAEDEEEDALSDDSMD EMISLQAPSLTSAITSGIGTPTTIGGRGRKTNGMSSAVSVFSSFTAATARPDRPRGRL FKAHGLVQPVPPEVLHPKPAPEDDEEARIYVDVRDLPRIGCFSGDWVRVEAAQEPPSN GFGQFGLGSFVDASPDEIQWRPARVYGLPEGYSSRPVARVPSSKQEGRRMSFFESQVQ KGGGGTGPGVYASPIFLANLEGPAYLRVAPIQRGGGGRAGIKGKKTTQGVAAVLQPPA AREVILQHVRTPVAVERDVQTAVMAGLKFWFERRLRVVKGGDLIAVPIDTQLGRTLQE GTMTGGGEGESAVDDVLGLIASRRTDHHSLKYDEVAWFRVGHVSAAKQEVGAETMGEE EEEGEEEDPWGGVACVDISLAHLEQTGSTTSRIPGIAGSTWRYYLGIDRLPRQPSSHA GSPLMIAPERTAAVSPLRRKLRELMAAATSKPALHLKMPPVAILLVSTQRAIGKAFTA SQACADVGLHTFAIDAYDIVNDSGAGGSDVKTAGFLTSRAERAMSCGPDCCALLVRHI EALTADRMVTSIREVLQDARVLIATTTEVEKVPDGIRALFTHELEMTAPDEGEREAIL RTILDNQGVALDPEVELGGIALKTAALVAGDLVDVVERALVAQKARLEALSAKASKNE GVPVTVRDVKVAGGPAAQGLTKSDFEVAVEAARKNFADAIGAPKIPNVTWDDVGGLNN VKEAVTETIQLPLERPELFAKGMKKRSGILFYGPPGTGKTLLAKAIATEYSLNFFSVK GPELLNMYIGESEANVRRVFQRARDARPCVVFFDELDSVAPKRGNQGDSGGVMDRIVS QLLAELDGMSGGDEDAGGVFVVGATNRPDLLDQALLRPGRFDKMLYLGVSDTHEKQMK IMEALTRKFTLHPSVSLRNVAERLPFTYTGADFYALCSDAMLKAVTRQAALVDKKVKA INTERQAQNQPEITTAYFFDHFATKEDVAVMVTEQDFLDAHSELIPSVSAGELEHYEK VRATFEGVKDKKETTTQQQQQQNGRLAMGKRSASDRSVAVHRGKGKGKGKAVATGSEE DECDDGEGVNGGGYRDKGKGKAVDVGGGSGAPFGGQGQDDDEGLYD QC762_109340 MASESSAPATAAPAAPQTYSTDPALYIYTSLTAGSSHIVTATSR LETILRANRVPFKAIDIAVDEKARMLWGRRAGKDASGRQRKIPGLVQEGLVLGDLVEI EEWNEYGELKQHVQIYYDDFTIPSKSAAPPPIVQKPVTTSKPALPPVAPQNLVKATTP APQHPASLPIRSIVEEAAQKAKKNQLNALRSKAAAASAKVQENEGKESKKEETPAGFQ SPTSTGWKSSGDKTIETTIQSPTTTSWKGSLPKDVDPPVTNVHGSPIERVSKEEIEAV EKAEAIKEESSEEEEEESSENDKKLEEKK QC762_0007800 MWPETPSEACRPIAGFPLDPSFHPICCDNSGTVILPLLHFRMYP AAAQDPFDQHDTPRPDRTPLPLVPGAATTESWSNCIISPYLL QC762_109350 MQFKSFFTVLAAGLTMMVAAEEDITTTETSTLTQTQTVTITQCN PTISNCPGHTTTSVETSTSTLTTWTFPLSNSTISVGPTATSKFSIITSRPVVTETSIV EVPEEPTGTTPAPAESSVVEGAAAGLAASHATVLLGVLGAGIALLA QC762_109357 MWGLSLDLNGREALDKIIEASKTAAAPTNHPFIRTSKYRLSYAL FKDSDKHLILCPSRRTTPQPLKHIVDLSSFVCKPSPALLQESDIEARHLALSYPG QC762_109360 MATRGYGSAHAPIELSSDSDSDGGSSVSGSDYIERQDQGRQAHT AACEWRTARFHDRECSRYWDCPSHAVERALSEHRQEEVQGRGGDHDMDDGEERPDPGA VSPLSEDDEPDRGAEGNTRPRSPSPEVPASEALPAARNLRTEVPNDSGYSNEQRTASG ASAENPIIVVSSPAAAFRRQPRFSESGPGPSRTEPRRTRDGYTSVLQEQDASSGSSGA ATPRHQPLLPSRGDPSGELILPRWQPDAEVTYCPICNAQFSIFVRKHHCRKCGRVVCA SCSPHRITIPYQYIVQPPGAPRVFPQGAAMPPSDRDGWYPSLSGGERVRLCNPCVPDP NTTPPQALGADNGNADTAEGASPGSNRWSFYFGGAQPAPTSTAHARSRSVTMPQQPGA PSSSRPQNLAYSQDTLNRILSGTPPVYFPAASGSSSRRHRPYPGPQRYQSMLNMEGSS STVMAGPSDYHPHSSRQLPAAPQIAEEDECPVCHRELPPRTLPNYETVREAHINMCIT SHSAYGGTSSASPGENPLPPPPPRRTGMFPYTATEKDCVDSAECSICLEEFEVGVAMA RLECLCRFHRACIGAWWERHPGRCPMHSHDGFGY QC762_109370 MATQTTIPPTILSTSSPTDIASTCETFALSREEFDNLHRSAKEA KSHSYSPYSKFRVGNLIITGANVENASYPVTTCAERTALCKAVTTVHPPNSISWASKT LEFKAIAVATDISPPASPCGMCRQAIREFCSVEIPIIMFDGENGYAVLRLKELLPLSF GPEALGKEDLGRREDS QC762_109380 MGPPSRPRSRDSSGAGKRPVRGSSPNIDSRRSNRIPASSSFAKP SSSPAGGTSLNTSDRRVKVKESSSPSEDIAAEIVVWTGDTMDVDVPESPTSAPPEMLD IADVAAEDMKMEPGVSPSSSPLSQPASSPSMQPESLPTEVPPPLSLDVPSAPQLEPTT PLQHDPPPPTPPLSPPAPASAVVISQTVENDVAPKAEGSSSSVSPASEHSDLSPALSS VSSKATTPVDNESSKEGMAPPAAQPASQIKDKPRRRYDVRPKVSIPPDLPLYEYATQC ISGAEASRLNPYALHPEEYSMLRDHISHTQVTTYLNIRNGILRLWVRNPQIAVTRDEA VGCAKDSRWFDVASLSFDWLVRRGYINFGCVEIRSSRKPVPEGPSTRRKQKTIVVIGA GMSGLGCARQLEGLFKQYSKKFREMGEEPPRVVVLEGRSRVGGRVYSRAFTTKPKQVP PHFEGKRYTAEMGGMIITGFDRGNPINILLRGQLGLDYHKLNPDMTIFDSNGKPVDFV RDQMVEKLYNDCLERVSEYKFQQPTSKLIEGNRDLIDEGRDSSAETHRTIRQAEEAAA AQPYAAPVSEQNMAPQVHLVPVSSDRATGKVHTEPGTPGAQKAAHRVRDIGWPLKHGV SDDADLEIDSLTKEPNATLGSVIDKIIPQYRDLVDFTAQDFRLMNWHVANLEYSNATN YNQMSLRGWDIDAGNEWEGAHTMVVGGYQSVPRGLAMLPTPLNLKQKSPVQKITYSPD NTGKATVECEDGYKVEADYVVNTIPLGVLKHGNVQFDPPLPSWKADAISRLGFGVLNK VILVYREAFWNENRDIFGVLRMPSSRHSLEQKDYSSQRGRFFQWFNISKPSGLPVLLA LMAGDAGYDTEQSCNDDLVAEATEVLRSVYGSRVPKQPVEAVVTRWASDKFARGSYSS AGPNMEADDYDTMARPIGNLYFAGEHTSGTHPATVHGAYLSGLRAASEVLDAMLGPIE IPTPLIVPKDHSSLKRKASGGNQKDGSLEARLRQYEIDMWDHIVSLIDRRPAMPAKPA SNAYIFFSKSNYDRARRKLEEGRRPGKGKPSANEVRVMSAKMWKETTEEEKRPFVEMV EEQKRAYAEKMEEWKKLGEEWDGKATELRLKYEREHPVPKAEEVGENNKRRKAAAGVE SYAEEEEEEEEEEEEEEEEEEEEEEEDEGEGEDVVMEG QC762_109390 MAPQPEFDTEQIRDKARRDLLHLLEGVRGKKNLVIEEKLAGPLG VIVKASTLREYDVDKIFFLENKNTDTSNRNVVFIARGESARNAHAIADQITRLQREAQ TPLEFHVLWVPRRTLLSDKILEEAGVLGDTNVTELPLYFFPLDKDVLSLELDDSFRDL YLAKDPTPVFLLARALMGIQQKHGLFPRIVGKGDNAKRVSDLLLRMRQELLAGADVGE AGKVGLSPSTTTESIIIIDREVDFVTPLLTQLTYEGLIDELFGIQNNQTDVDSTIVGA APQPGPQAGSTTSPTATDGQTRKRKIQLDGSDKLFAQLRDTNFAVVGTQLHKIARRLQ SDFDSRHSSKTTAELREFVQKLPGYQAEQQSLKIHTGLTEEIMKYTQTELFTKLLEVQ QNLAAGADPSSQFDAIEELIARDTPLPQVLRLMCVYSCISGGIKSKELDHFRRLILQG YGYQHLLTLHNLERLQMFLSRSSPLASMIPMTGSAGATGNKTNYTYLRKQLRLIVDEV NEEDPNDIAYVYSGYAPLSVRLVQCILQKQYLLSLTRGNGVTSAAGPAGSGAQGWRGF DDAVKHARGQTFDEVQKGEDKAVKARALLSGGGEQKTVFVVFVGGISFTEIAALRFIA KQEEGKSDHIPDT QC762_109400 MAGFATKRLGKELSKINGNSLPPGIELVSADNFEEWFLDIRVLD NNPLYLNEIYRLKFRFSAQYPIEPPEVTFVKLADRPIPIHPHIYSNGIICLDLLGQQG WSPVQNVESVCMSLQSMLTGNSRNERPAGDEEFVRANRLRPKDIDFYYDDNNV QC762_109410 MSPSAKFRHVLSSFVSNMLNRLHGQPESYDKKSKYRFGRTLGAG TYGIVREAEGPDGRVAIKIILKKNVRGNERMVLDELDMLQRLKHPHIVKFVDWFESRD KYYIVTELATGGELFDRICQQGKFTEKDASQTIKQVLGAVDYLHKNNVVHRDLKPENL LYLTKEADSDLVLADFGIAKMLGTKDEVLTTMAGSFGYAAPEVMLKQGHGKPVDMWSL GIITYTLLCGYSPFRSENLQDLIDECSACHVVFHDRYWKDVSDDAKDFILKLLKAKPE DRWSSEQALAHPWLSGENATDHNLLPEISAYLTRARLRRGIEMVKLANRIEALKMQED DPENSDMPKDATSAANQTRHFQSGKNANEKGSSGGGGSSGEKKEGEQAAGEKRTLSKA IKGAIFREVVMAKVREMKEQEETLKVTGEATGEGSK QC762_109420 MPGLIPLMLGNRASWMPPPSNNTSPTSETAPKLRRQSTQNTDTW QSYRSQRPKPVKRFSLPAPAPLPMIPYSSAEWRKAIAEIKRHHIEKRYRACSARCVEI LNAIKGKSQVEPVYLIYLHFYAATSLEILARPLPSSSHRTDTLQQARNHFNRASALIN AAEESVVSKARSSSSMSSRGSSCHSPSSSISSSRAWTPETPTESVSSCEDSCSRDISP KRVKKVSFSLPKEEPIQINIPEPMIRPDSPTLGFDDFYFSSPVLSQKLPDLPVSPKFQ ERFQEVEYPLHTIHESEDEHDDSSLASPSSVTSEEEDENAYMISQTVDRCCEHLSGLR KQLNRHSANVDQWLRSPNLASAARARANSEVEDKQARIERLRKMNWERTRFDPTRYEE LCEEVMAELS QC762_109430 MQSSWILAIRSVRPSRRLAPTLTFRPTCLSAPASRRCFHISSPR PQDINPAIEDMAPKAPKFEIKTPKGTRDWSGADMVLREKIFNTITNVFKRHGGVTIDT PVFELKEILAGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARFLAMNKDISQIKRYH IAKVYRRDQPAVKKGRMREFYQCDFDIAGLYDPMIPDAEIIRIINEVFEGLGWQGKYT IKLNSRKILDGIFQVCGVPEASIRPISSAVDKLDKMPWEDVRKEMVEEKGLAPEIADK IGKYVVLKGKRDLLEQLQKDEELAANPSMQQGFADMDLLFTYLDAFNAGHSVSFDLSL ARGLDYYTGVIYEVVTEGSAPKVSAASADASAEKSKKKKGKAGEDEDRSDDPTVGIGS VAAGGRYDNLVGMFSGKTQVPCVGISFGIDRIFSIMRANQAKKERKNEVDVFVMAFGG GKDFTGLLKERSEIAARLWDANIKAEFLYKVKPKLQNQFKAAEANGVPFALILGEDEL AQGKIKIKEMGLPEDHPLKEGELIDLKNLTKEVQVRIERKKQLDAIVEQAEGLRVVGG IKGEDVKVEQLAKEGEGGEEVKAEN QC762_109440 MASEVESIGKSDPTQVSENNKPDSLDHATNEKNDAPAVTAPPKD PQARPEREATFKDYLRVFTYATKWDFAMMVAAGVASIGAGTTMPLMNIVFGRLIGDFN NYFTPAAQSQSDFEAQTNKMALYIFGLFIARFGLNYINKFCFRLIGIRMSAAIRLHYM RSLFGQTIHVLDSMPSGAAASTITGTSNTLQLGISEKLGTFLEFSSTITTAIIVAFTY DWALALVTSSVILFIALVIGTMLPFILKGQTRLTKAEAKGTSVATESFSAIRMISSCG AESRMARKYAEWVKKARQAAQFSSPFFSIQFGGIFFGLYGSFALAFWYGMKSVVEGRI DNIGTIIIVLTSVMMMVISLERISTPLIAVSKAMVAAAEFFAVIDAPKPKMGTLKEPD VTADQDIVFEDVVFAYPSRPSKKVLDGLNLRIAANMNTAIVGPSGSGKSTIVGLIEGW YTLHDQYVIAKAVAKDPAKEKKEKEKEKKRKEGKVVEKSEDEEEDGPAPIDAEDVGPP VELSGSISTCGKELGDIDIKWWRSQIGLVQQEPFLFNNTIFENVATGLIGTEHENASK EEKMKLVKEACAESFADEFIDRLPDGYETQVGDSGAKLSGGQRQRIAIARSIIKKPKI LILDEATSAIDVRGERIVQAALERASKGRTTITIAHRLSTIKNADRIVVLQNGKVAEE GTHDGLLANELGVYYGLVHAQKLSLGEDTGEDNLQEEDIAAVLTREKSAAVSEKDGTK KSVPVWKDRNLINGFGKLLSEQKSRFPFYIIALVGAMGAASAIPIQAYLFAQVVGVFQ DPLNLLEGATFWSKMWAALAAGVGFSYFLTTYVSTSVEGFISAAYKQEYFESILFQPT SYFDKDDNATGQLTARLSSDPQALKEMLGINIMMMLIGVFSLIGALAISFAFGWKLAL VATCVTVPMGLLAGYYRIRYELQFNAMNEAVFQESSKFGAESISAFRTVSALVMEDSI CNRYSNLLNGHVTGAYKKARWTTFIFAYADSVGLGCTALILWYGGRLLAAREYDVIAF LVTYMAMIQGAESAGQWLSFGPNAAQAAAAANRILQARDSKIKDSISAAEQIPDTEGG IAIELRDVFFKYPTRDVSIFRGLNITIEKGQFAALVGASGSGKTSIVSLLERFYDVDK GQILFNGKDISEVNVFEYRKLLSLVAQEPSLFHGTIRENILLGVDPEQVSEEELHNCC KDASIHEFIMSLPEGYNTNIGSRGVSLSGGQKQRLSIARALIRNPRVLLLDEATSSLD SESEKLVQKAFERVAKGRTTVAVAHRLATIQGADVIYVLGEGKVLEKGNHNELLRKKG VYWHMCHNQALDR QC762_109445 MDSTDEFSPYREDGKLYGFVCVVTGASHPIGQAIIQELAACDHP PSSNTTTTAQSTSLPSPSPSHHLTKIIPYPTSPPSPAVEHETLALIDEILASFGRLDI WICSPIPLPSSPPPSIFSTTTPILHSLFETHALGPFFALKHAPAAMGKLSPQKGGYPN AVRKTQKYGSIITVIGGEGEKGGGVGYEMVRGAVLGVVKGGVGVLKGTGVRGNAVCVG FVGEGGEERDDVPLGRAAKPREIARVVGFLASGFSSYVTGASLVVDGGVSAMGPNIVP I QC762_109450 MLSRAARPALRAGAAASSRAAAPAATFATLREIEGRLKSIRNIE KITKTMKIVASTKLNRAQRAMTESRSYGETSNKVYQSAETKPLEGEGKKKLVVVCSSD KGLCGGVHSGLARFIRRRAATEGDNFDLVILGEKAKAQLSRTHAKNIVLNFSGVGKDV PTFTEAQSIVDQIVQLQGDYSEVEILYNKFINATSYEPTIIEGFSEEAFANSPNFAAF EVEEGVLPNLREYTLANSLYWALAEGHACEISARRNAMDNASKNAGEMISKYQILFNR TRQAVITGELVEIITGATASADM QC762_109460 MASDSSDDDRPLAGTNGHKSAPKISPATDRKMDKLASRARPAPP NVSIRNGPVEDNAMDIDTTPNGGPKRKARSSVGQTVSYKDESDSDDGAPLLTISQAKR QKSKHKQEDSDSDDEPIARKKNGARLPPSIKNTAMVDSSDDDQPLGTKIAQRKAAIEK SAGKKKPAAKKAIKDESDDEPLAKPKKRQSNGVSAAKKTNGVKKEESDSDSDAPIAKK VKKEAPAKAKVKAAAAPAKKGRLVKEESVVNSAADEEEEEEYRWWDAPKKEDDSIKWT TLEHNGVLFPPAYEPLPKDVKLIYDGTPVNLHVDAEEVATFFGAMLHSTQNVENPTFQ KNFFKDFRDVLKETGGAKDQNGKLVDIKEFAKLDFTKIFEYYKGLSEAKKARPAAEKK AEKAEKDKFEAPYMYCKWDGRKEKVGNFRVEPPGLFRGRGEHPKTGTVKKRVMPEQVT INIGKEAKVPDPPAGHKWKAVQHDNKATWLAMWQENVNGNYKYVMLAANSTVKGQADY KKFEKARELNKHIARIRADYTAELKSEIMAERQRATAMYLIDKFALRAGNEKDTENEA ETVGCCSLKFEHVTLREPNTVIFDFLGKDSIRFYNEFTVERQVFKNLKLFKKPPKADG DDIFDRLTTSQLNKHLSNYMPGLTAKVFRTYNASHTMSTLLQSLSVENRTMAEKIKLY NDCNREVAVICNHKRTVGAAHEAQMEKLADRIKGLKYQKWRTKMMMLDLDPKLKKKKG AEYFALDEDITQEWLLEHQKFLVEEQRTKIQKKFEKDNEKRVADGEAELDEKELKERL RVAAELEAKYKKENKTKKVEAEGKGPAVEKLEAAVSKFDDRIKTLELQCADREGNKEV ALGTSKINYIDPRLTVVFAKKFNVPIEKFFSKTLRDKFKWAIESVGDDDTWEF QC762_109470 MTINVDFRRGSVDSFIASGGAPSYSSDGVTFSVSRPGEAPQLSS LFYIMFGRVEVTMKAAPGAGIVSSLVLLSDTLDEIDFEWLGADDSEVQTNYFSKGQTT TYNRGQFNPAPNNQGEFITYIIDWTPQRIQWYVGGTLVRTLGYDEAEGQFPQTPMQVK FGAWSGGDSATNPPGTVEWARGPTDYSRGPFSMVVRGAVVTDYSTGKSYTYGDNSGTW QSIRSEGGKVYGNSGGAGGITVTASAAPVATDVSPTVPVGGIGQGNTATQTGWPWEPT GTDGGRGGQGAIPEGWRITPDGKLRPVGGSTVSE QC762_0007960 MSTHLQSPILSIQSIMLKPQTHAPSTNGAIIKGINFPPASFCGG APSGSGGGASPEFGVSAELEPPPGPETVGVVSVTKVVKVVVVKILSDREEIPPSTTDG GDEEEEWPKQEHGIGGVYHHKVSLPLQDTEK QC762_0007970 MSSEACCPLHLPQTPTVLLRNPGCWPWAIDTVEICLGTLCSVVT WEYTVQNDINRGYSRIDRGQQQHADQPTDDFLFHPSPGLMLFSCLVFGCAVSSFTQRR QSQDPLQLFVYTTLLGAAALVGYARRESAHLILLGYLPWATCAAMTLSISGHSLYRLL KTGSGATKDEEKAQLLGG QC762_0007980 MTWTDSAVSSIDIVLNGEPPRAPSQACEPNYLTLQRSSKRYRNA PFLCARVGFGFISRKLSEFACGR QC762_109490 MERPGFPHGQLPPRARDEDVHSKAKILSCGGMIGTSILFPLANG EGNSFRTITLILFIITAIAFHAIYTEPFIRSGPSTAPVALVLLLLVVIAAGPTKKDLV PWLPLFVTAWSLVTLMINKHMATASPHLSISADYPFTGVTIGAATERSFRSMSSQDRR QPQFESNRQNPLYFGRHGPPSRYSQRTSTMSSDISLSSMPAQRQEHWDPHTQAFFDNQ EMQELARDLPEPTLPRTQHDQESDLNTETETQTSETARFLLGR QC762_109500 MRRAARLGSGAAAVVPRSRSWGLTSRPGFINNYKKAQSNNGQQA SFHSRPKLDSAAEATVIGGPSPLPEVELPDIPETPTKNKRVTIGEILERRRRAGRLVA PTAAGCDSGVFKGDSSGKPMAKDMSHHLSYEASIREPCKLKQAARHLKTPGIISLGGG LPCPEYFPINSISLSVPDYLHNPTLSPPEVHPAFQDITIGKYDTHPSAPVQKEYDLSI SLNYAQAHGSAQLIRFVTEHTELVSNPPYADWKTCLTVGSTGALEQTLRMLCDSSRND SILTEEFSFATALETAHPLGIPTFGVPIDEQGLIPSELDHILSTWSPSERGNKRKPHV LYTVPSGQNPTGATQGPERRKQIYDICSKHDLVIIEDEPYYYLQLPPTITPTPTPAPS NTTDFLDSLLPTLLSMDTDGRVIRMDSFSKVLVPGSRLGWLTASDQLVERFLRHAEVA NQGPSGFSQVILHKLLDETWGHEGYLKWLMVLQREYTERRNTLLKACEEYLPKEVVSW GVVRAGMFQWLHLDHTLHPDSRTKSILEIEEDIFESCIDKGVLIARGSWFRAQQEVAP TGLFFRATFAAATPGNMTEAIRRLGGAVRESFRL QC762_109520 MGLVETAIEHVSVKSVLILGPALLLAHLAWWLVLRPAWQEIKLA RMTGARPPRIPSKLPFSIDFIYRSVKASMNYQNLPMWKSLFVQANSSTVENRIAGRRV VMTCDPENIKAILATQFGDYGKGEPFHREWKAFLGDSIFTTDGDLWHGSRQLIRPQFI KDRVSDLHVFEKHMQTLFRAIANGGALNGEGQHVDLEAGNGKPVDISDLFFRFTLDSA TDFLLGKDVKSLSNPRQEFAEAFAEVQRVQSIISRAGPLNVFVPRGSFRKGMKIINAF INQYIEQTLRLDPNDLASKSDAGYTFLHALAGFTRDRQVLRDQLIAVLLAGRDTTACT LSWTIYELARHPEALKKLREEILRVVGPTRAPTYEDLKGMKYLQNVMNETLRLYPVVP FNVRLALKDTTLPRGGGPDGSLPVKVLKDTPIAYSTLVMQRREDLYPPVSANFAPVDV FSPDRWSVWQPKPWQYIPFNGGPRICIGQQFALTEMAYVLTRLFQKYERLDNYMGEID GGNPCLRAEIVLQPGDGVKVGFWEAKKA QC762_109530 MFSRLPSLVTALCFLYPLFLNPVAAVWPAPQKFTKGDGVQFLNQ NIEVTYNGAFVRWSSSSIPSSEPQPHECPAHCSDDVNDDGQEEEVLTENLAFQQIPYT YGYIPSGFTSKEIVQAGVSRALTGIFNSKFVPWILHKPNTKYEPDLDKLEWLQTLEII QTASDDRSAFKPLAGEVDESYNLTLSKSGHAKLTAVSSIGILRGLETFSQLFYQHSSG TFWYTPYAPVSITDSPKFPHRGILLDTARHFFPVEDILRTIDAMAWSKLNRLHIHVTD SQSWPLVIPSMPELSEKGAHHPSETYSPSDVESIQKYGAIRGVEVYFEIDMPGHIGSV SLSHPELIVAYNEQPYHWWCAQPPCGAFKLNNTAVDEFLGRLFDDLLPRVERYAAYFH TGGDELNRNDSMLDEGIRSNSSEVLQPLLQKFIDKQHERVREKGLTPVVWEEIPLEWN VTLGEGTVVQSWLGAGAVKELVGMGHRVIDSNYNFWYLDCGRGQWITWENGLPFKTGY PFNDWCGPTKSWGLIYSHDPTANLTEEEAKLVLGGEVAVWSETIDPMNLDGIVWPRAS VAGEVLWSGRVDDNTGQNRSQIEAFPRLTEFRERLVRRGVRASPISQEFCVQGEPWEC EFAM QC762_109540 MSIEVAIGTPLADALNMAIQTKIAELGWAGPGNEGASMAEYFVL MLANGKSEAEVASEISGDLLGLGPEDQSVPEFSRWLFEQVAALNSQLGAQSAQPATGN NEMDTAGDDTMEGTFDLNMDTDAPAINAPTGPKAMRAGAPLRGGREKRMVGHINRALD RSGQDVLHRVRGQSGSERIGRGPPHGPRMGVGRQPRTTNARATNIAAGLANMNGMPGP PGPMGPMNGMNPMNGAGSFVPPDLYAIMEQQNRMLQQMQNQLMLQQQQNSNGRGKPFD RNNRGNQFRRGGGHFNGHNTHHHHQQQQQQQQSSEGAQDETAQQGEDVEMGGAKREAP NPEETVCRYNLRCGNKDCKFAHQSPAAPPNTTVDVTDTCSFGVACKNWKCTGRHPSPA SKMAHQSEQDCKFFPNCSNPHCTFRHPAFPACRNGGECKIPNCKFTHVKTACKFHPCT NRNCPFLHEEGQRGTFQDKVWTADGSKEHVSDRKFVEENRPEDLVLPGSEHPDDAASP EVVV QC762_109550 MLATSQRCLARSQRLITSSHLSSSLCSSSPPRYSRLLSTTSVRR QSNHGDVDGLPTIFDRPPASVAVLGGGLTGLATAFWLAWWHPQMKITIYEASNRLGGW IDSEEVKVKGLTGEKGSVWFQRGARMVNPQHSKGPLYRYDDLAFYLLVTQLGLSEQLQ NAPETEVMGKYIYYPDHLVQLPDKSMTLLEVWQTLRKEPLFEGLFPSLWSFGKTRLRQ LFTSTLKPPTQDAHSQNELSVGEHFTKMFGRPDLVENVLSAVMHGIYGGDVWKLSVQR TPFWDVLKDGRYPRLPPAYTWLDTPDAELLNWVRGDACRELAEDHLTTSAHWFPSGLN ELTDALVEFLKKRGNVTIRLGHRVTSMTYAAKADRVAIRTSKQPTPITYEKVISTLYA KTLADICSPGTLPSLEKSTATTIRVVNLWYPEPGLNHPHKGFGYLIPQSVPFQENRHF ILGVMFDSDREWVPNPHRPGQYINRGKDTIRGTKLTVMMGGHYWDHLPPHEIPDEQTA IRYAKKAVARHLGFSRATNDTAVVSTKLCKDCIPQQLVGHRERMKAAHEELLAAFRGR VAVAGGSYQVPGVLPSIRAALDIARQIRGSFHWQDATRAVEIKSITVGDTGLWRFAAP VRSMVRVDKSGFTALRGHDRRLAIIRRKRAESEMEREE QC762_109560 MAGAFRMENGTQNGVRSNHDREGWTNGVNGDNIKQEHRSEKGKG VAGDSEMEAGYAGNGPQVKLEELPDELQHITAEIIPLNMLLSRLAQYSHGALQDQILR LESMPLPQNLSNGNGNYHPTTQEDTSPESLEKKRMLLNFIQDLHTRWVKALVLTEWSK KADQVGKLIDIRTHLASKLELFSVMIWEMIKTKQDMLWAKIPSPDLKTALEVLARPKI HWMPDFDYLPLPEITPEESDAWIEEVNTLLSARLSLEEFERIPAPFADYKIDSGVATF SIPGEFEVDLTIGDDDFEKQFWFIDLRMTYQPTPPPLSEQARLAAETKINNALGTDGL LGAYNYLHDLTLTAKIGEFTRQAWQLRAGRWVDCLQVERLNRAVAVHYWANRPHSKGN KSWIILGVNSSKGEDGRPDPKHPSYITLRWFRDGVEVKDFDISFDVDNISMDSLLEAV ISRHIEHMLSSMYNKLASKPRFTHKQGRLTLCGSRKTPGDFTLRMQVLDDKDVTVGMD LYTGAFTLQAQSPMAADVQRRLNTFANPAEEGANLLELLRCHYTTTALMSRAKSIRWM VWRGSPLSMDEMKSIVYSGSPSTREPFQTVWMKKVGWNPQWFIFMSMSLGGDQWWLVE IPNPQQQQRPGATGTRVKMYTKMPMATEQLRLSDQFFENLTLYATGMIAQITDLRELH SKRMAHTTREAVNYSLPAQIKMPTVYVRLSDMLGPKGGGRGLCWAQEYIPIVFKGVQA DSPMKIIAEAKLTVTNRKRFQFLKGNVDHDVNYNPNIGQFSLRLRGEMGATVITLLTS RIQALDKLIELVEAIGRAGKAVVHQSVTLREVVFTYTDSVPTESPEQQAPNNTRQQQH RPWRVRLDLTKERGVKVDLERGNPHYPVIDYIREMANSPDFENLPSWLVLSLPLYRAL ERLGGVEQPGVVVTVLHRGLNWVTIRWVVQGRKVNVEVKPRRRQGTLMWSLSRVVDSP DSSSSKPPPPEQNDEFQKVLVEKVWNEKGNGWKGLTTGAAASLEGGVEEIVGLVDEVV RGVAVGGPQQQLPHHQGAPPQPTPQQQFQQAQAARFQQQMQQRQQQQMQPAANMTGGP PPPQPVIPQANMMNRGASGQGQQGRQGGNNQQGGYGSSMANALVLD QC762_109580 MAADLHTAGAVELKENTVIVVLGASGDLAKKKTYPALFGLYRNQ FLPKDIKIVGYARTKMDHDEYIRRIKTYIKTPTKDTEQQLEEFLNICSYVSGQYDRDE SFQQLNQHLEKLESDKKEANRLFYMALPPSVFTIVSQHLKKCCYPSRGVARVIVEKPF GKDLASSRELQKSLEPDWKEEELFRIDHYLGKEMVKNILILRFGNSFLGATWNRHHID NVQITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSSEDIRDEKV RVLRAIPAIEPKNVIIGQYGKSLDGSKPSYKEDDTVPKDSRCPTFCALVAYIKNERWD GVPFIMKAGKALNEQKTEIRVQFKDVTSGIFKDIPRNELVMRIQPNESVYIKMNSKLP GLTMQTVVTELDLTYRRRFSDLKIPEAYESLILDCLKGDHSNFVRDDELDASWRIFTP LLHYLDDNKEIIPMEYPYGSRGPAVLDDFTSSYGYKFSDAAGYQWPTTSALGPGNKL QC762_109587 MLKTLFRKHSTSITACEAGPEVGVLARPTKDWLQMWDVRTPETT TDSEHRLRRPSTPRRSASVVSRDFG QC762_109590 MPTEAGHRHSFHRGRHLSYQRSRHAVHSKTSLIKIEGVDDTNAA NFYLGKKVAYVYRGQKEVRGTKIRVIWGKVTRPHGNSGVVRAKFQTPLPAKSFGASVR IMLYPSTI QC762_109600 MATATSQNRNLPPAPAYQYPQAPPQQQQQQYPQYQQSYQQSYQQ RQQHPSQQQQHPLHSTQQRPANPTRKSRSFSLRSDKSQGSSSNQKADKVDLHETPAEK EAKRLHSKADPTLAMQEAEPAQVAANEASSLAPLRSIQHKDLYGNPIAEPDRSNPTRS RWERPLDTIRSFEAAIDGGYSNRKSMIRPDPDARANRRASYYGNGNGGRFSHDSYYGS RPPSMMYHDRVGGSQQDLRQHHYDQGYSGHPAAGRQRWNRMQSDPHGNRPGPNEYVLP SNHRSYETVTTASGSGTSGEPAGYQTDPTSSDNSSIERVQSAPKRKPAPQQQQMNDYG IGFSNNSVYPPPSFAVGAQSSSSSSGDLPNFQQQAPPPAVPQKGSTLRKTTTASPPQV QDRPSPPEKRKSWFSRRFSKNN QC762_109605 MPIKHGLTYPIRTSLTLTTNIIKQASPLTIPYHHILQSKSQRSP KMATRRIISQEKTLLDQPDPNTLSSSSPAQKSNITPAVPSAVIFKLLAFTLAMMVVPI GSYFATVNTLFKGNSTYAGGLAAIMANVVLIGYVLVAMAEDQSDRLEEEKKQKQEGKK DR QC762_109610 MEFSSSPPTTTRRRGLAFDDIEDDDIVSESPYFTQPTQIMDKPS IRPMSVVPSSPRSIIEVPASSPLRPQPVAPRIGGRLASAMAPPGTSFKPPASRTVSTA SKKRDFVQISDGELDAPIYVGGDSSDEDAERTRGDIRPSSFQRKEPSISVSTSSTSLA LKAQVAAKSNQNTQESNGSTSNRHGLQKDWASLSPSSPSSDESLESLRKPSPPKQPAR RRLVQGRRPGRQASPESSPVKPVEKPRKQAKVIDLVSDDDDRDEDFDSTKAKGRRSSP QDAEDDEDEEASSEFDARVLQYLNTCDVVQLVAIAGVKEDTAKVMVSHQPFKDLEHAR RVTLAHKKKGKKSAKLSVGDDIVSAVKSYAKSLDAIDYVIQACEKQARAIKASTSKWT MDETGQMKNDSQADDGKPLTPISMEDPKLVDLPHRQPKYMEGHCTMKPFQRYGLNWMR LLHKLDCGGILADDMGLGKTCQVISLMCSVVEDYEKGKMKGDRPWPNLIFVPPSTLAN WAAEFKRFAPDINVITYQGPQATRDDIAEEIQDDPEAYHVVLTSYSQLSRPDDISNLR RIQPKIAVFDEGHKMKNPKTKLYRDLLRITADWRLILSGTPVQNNLMEMIALLRFVEP KLFSEHFETLEALFSQKFSLADVSKGAILASERVPRARTILEPFILQRRKEQVLQDMP QKTTRVEYCKMDKTQASIYEDYARRFRKSATSQSSQTVVAEKGRDNDTNNVWIQLRKS AIHPQLFRRYFKDKDVEEMAKVLMKRIPQSELKQPNLGHLTNELKALSDFELHLWCRD YKCIRSFDLPDGSWGECAKVKSLLKLIRGYQKNGDRALVFTRFAKVIEILGECLASEG VEYLSLQGNTDVSERQELINQFNADPTIPVFLLTTGSGGTGINLTAANKVIIFDQSDN PQDDIQAENRAHRLGQTRPVEIVRLISEGTVEELVYKACQKKLELANKVTGWSAGLDA AAGLEMTSGQMEAEVKEMMKKGGTPPSSD QC762_109620 MAFQWFVGLLGAVYTILLSSLFGTGSADSGPDARIRFEEVEQQQ QPTGTAGGGKRPNNTRHHHQHHGRGPKLFLLSAWDMLLDVLSFWRQKIITHYTNPTPL TLYLSALHSARTFEQWEEAALNLDTLLGLDLWRNNPVSSHYDFKLINERLVSIEIARE TGDVHSLVNLLRSGLVRNLGNITATKLYNRAFAGTKFLIEEYVRAVAEGVEDIGSLPS PGETSAVGYVVDGGRGVPRGGGVARQGHHVSFEDNNGESSTGGEGSSSGREKRQTLSV MTTRGESTAAGGGNGTPKVDWGGLSVASPPGGSHLPEGGRMVATMSTQAKLDFIHDTR QAFGRTALVLQGGAIFGLCHLGVVKALFLRGLLPRIIVGTATGALIAALVAVHSEEEL PRVLKGDGIDLSAFAKQGQDPVKHNKGLRESMWSRWATLVRRVRRFRREGYFLDVKVL EECIKSNIGDLTFEEAYHRSKRVLNITVATAGHGGVPTLLNYLTAPNVLIWTAAVASN ASTPTFYGHRQTKILCKDSQGNIVPWKPANEVDFNHWTNASYTEQESPLLRIAELFNV NHFIVSQARPYLIPFLQSDMHGPSMVETRNKTMSGMAFIMRMVGLELRHRLRQLDTLQ LLPAGIRRFLVDERVPGASMMLVPEVTAGDFVRLMETPTKETLEYWILRGERSVWPAV AALKIRCAVEEELDRAYQVARRLKAGGLRRKTSHMQTPMLGNLENPELGVEQERKERF RAHSASARGSPTA QC762_109625 MTTIVAEGQGYMYCMTGTEEKPRITEFSIGWSSSSRGEDLITKP FDTKPPLVASNLAAVYDPAAKKEAHRLPKRRQPPHRRVDQRKW QC762_109640 MSSNDRQPTPSEDWSVISRAEASDFVDETHTKGRKPHFNDDNIP SGRIGHDAATSFEEELDAVAHTQRAMSNEDSTETAGTDDTQIRVDDAADQALLMQATP SNFTPNATSPSQAASTPDTLTLSFANMELHQGICSHDVERWVGGAGARRLVQHHAPVK KGYSSSVASTRSVVSNSSWTHMMEPISMNEQLMFAGDWSNEALAPYGAPHPAGN QC762_109650 MAILRGFLLGLGLALAGVVQGHDDGSQWVDLWASMPQEVEPHNL PPAPFTGEDSIYTNTTIRQTIHLTQPAPHIRLSLSNEFGGPSADLHISAVTIALPLNG TAGSPSIQPRTLRQVTFSGGSKSFTIPNGAIAISDPIRNLNVKAETNLAVTIYIQQGQ QGKRISGHPGSRTSSWFINGDHTKAVDLPSEAVRVDRWFILSAVEGWVDKKRTHGSLV IIGDSITDGRGSTTNGNDRWPDQLLRRLESQRRGGSGMSVINQAAGGNRVLADGLGPN ALGRIARDVLAHNGVKYVVLFEGVNDLGTAAEGDLVKTGDRLIQAYEQIITRLHGRGI AVFGATITPMSGPGQAYGEPRREEQRVRVNRWIRTSGRFDAVIDFDKAVRDPKNETRL RPEYDTGDYLHLNPTGYKAMAEAVDLRLFERFRNGVSSIV QC762_109660 MKSKPVEKKRKARDEESDSESDDELANGLFDGVLEASEDEEDYI PSDEIDDVDSESEVSEGSEDAEEEEEEGDDDALLSDDIPSDDEMGKLSKDAEELEITE PGVDPKPKHQEEEDRNYKVVKDANGGERYVYDEIDPVYDSDDSDAQGPVNTIGNIPLS FYDSYPHIGYDINGKKIMRPATGDALQSLLDTIEVPKGWTGLTDVNTGNPLNLTQEEL ELVRRVRHGLVPDEGYDPYPDTVEWFTSKQETMPLSAAPEPKRRFLPSKNEAKQVMKL VRAIREGRILPYKPPEEREREEEEKEEVQFDLWQDEEPAAPNPMHIPAPKLPPPGYEM SYNPPEEYLPTKEEREEWEKTDPEDREKEFLPQKFNSLRKVPAWGTLVKERFERCMDL YLAPRVRKNRLNIDPNSLLPKLPSPAELKPFPTVVQTIFRGHEGTVRSVAIDPTGVAL ATGGSDGTVRVWELLTGRQVWSVKLSSEDPVYTVRWRPTKDAFVLAASAGEDVFLMVP PHSSVTPTLDQASRDVLAAGFGYATNGQQPATAPGKEPAGKWARPGTKLEDAGVLVRV TVRSAVKVITWHRRGDHFATVSPEGQRSSVAIHTLSKHLTQIPFRKLHGLAQTVVFHP LKPLFFVATMRTVRCYDLQKVELVKIVQPGAKWISSLDIHSGGDHLIVGSYDRRLLWH DLDLSNRPHKTMRFHPKAIRAVRFHKGGLPLFADASDDGTLQIFYGKVPNDQLESPTI VPVKQLKGHKVVNQVGVVDIDWHPREPWCVSAGADGTARLWM QC762_109670 MSTNTARKAGEQPKRRACDECRGRKLACSKEIDGCARCKREGIK CVYSPQKPMGRPRKRAHVKIDAIENTETNAQKDKPNVVTAETAPALPALPANITPIPP FNPDDFALPEFDPTLAMDLDFSFLDMSNADLNFIDIIDPSTQFPPNQYLPTDPLPQHL NPLPPIEPINKTPVSEPPGQFFAFGTDLGQIDFNSSVCQQPPTSQAPEISQEDVAEIF SIPNNIPTIPDDCSIPSLSPGGSSSSSSSSPSADPHSNDPPTCSCLASLYLAMESVKV LPKSISRAMRITRAASRTAHDSILCKVCSDVPLFNPDNPGTLAKPPMVSLQSLMMLGA LLPSLSNAYMRILTMVDQEAAAADRERRKIVFTLSEYGGLWGTLAEQEPYQCGAAEKL EGRVMEAGLWRLTVRALLKIDVYGVQNVECRDVRMLGLKDIIGMMEERSRRRHRLMDE LVERGPTCLRIIDIAKRSMEELIIP QC762_109680 MAQLRGQGSYGIGAPAPFGGDIDQRVEQSPLDTIRQQTSKIEDL LDTLSEPIKPFLPAIGRFLIVVTFIEDALRIITQWSDQLLYLHDYRHIPSGLTHLFLI ANVIVMAICSTLVIIRRHSEYAVCGLMGVVVTQALGYGLIFDLNFFLRNLSVMGGLLM VLSDSWVRKTKAFAGLPQLEEKDRKMYFQLAGRVLLIFLFIGFVFSGEWSVLRIIVSL LGLAACVMVVVGFKAKFSATLLVVILSVFNVIVNNFWTLHEHHPHKDFAKYDFFQILS IVGGLLLLVNSGPGQFSIDEKKKVY QC762_109685 MVFLHTLSLLAAFSTTAMAQLTYLSTSSVVPTPTPTASDLSSSS TPPATRTIAVGLEGHAFTPKETTANVGDIIKFNFYPGGHRVSRAEFGFPCIPYEYVNG NTEGFWTGVFNPQAILNPPPSYEVRVNDTLPIFFYCAAPNSCTDWQMIGVINPNSTAT FDAQLALASQAKLQLEPGEPFPTESAKIGPTASPVPSGDNDNENGGGGLAPGAIAGIA IGAAAVVVLAAVLLYLCGRRGGFDKAYRKSFAGAGRGNSMAEAASYNPKSPGGGGTVP GFGDGGGYGQFGQHGSPVVGGGGGYHAGMGSSPPGSAHPGYVSQQHTGFSEGSNGLGH RSAHASPQPGYLPPFGYTPPPPPPVPMQAPAELDSGGGQGVTGSPPPRYPEGDTWGRK QC762_109690 MTDCCQRHQKVPETRTWTSFLVEITTDSAIMAGHTPSPHKPRRK AKGAGGPSNLGHSISVESSGRAPSFPLAAFFWPARGSASQWEILPIILMVVGLFRWAA SLWGYSGFQKPPMFGDYEAQRHWMEITTHLPITQWYFHDLQWWGLDYPPLTAYHSWLC GKIGSLIDPSWFALYKSRGVHDPNLKIFMRGTVMVSEYLIYIPAVVIFVRRFSRLSGV TSWSASISLAAILMQPGNILIDHIHFQYNTVMLGFVVASMSSMLAGRYLWSAVFFVAA LGFKQMALYYAFPVFAFLLGSCFSPKINVLRFIRIALVTVIAFAILLLPFVLGAYKEW KQGIRSKPAPLPLFQGLAAYLDPKAFYYPIVEQLVQMVHRVFPFARGLFEDKVANFWC ALNVVVKIKHLPAELLQRMSLIATLASIIPPNLILLVRPRKDLLPLAFATTAWGFFLF SYQVHEKSVLLPLAPMTLLLAGSQGLSKEIRAWVGFANILGCWTMFPLLQRVDLRVPY AVLTLLWAYLLGLPPTSLSVYTLDGQASFKKIVTALIHGAFYLAMALWHVLELNLQPP SDKPDLWVVANVGVGAAGFALCYLWCFSRLVLQSDILPQALAGKRSKAKTN QC762_109700 MYATSLTKMPYPFTLPTTSSFSFSSSFTCESHPSLPLNASTHRG VVRDTLKKFKRLPPHSQSPALSSLISSFHSYLPYLFALDAGLTPHPSHHHPEEITVTL LTAPQIQWRPTLSSLPPIPGREPPRLKITSLEYDLFFTLTTLAAALTLQSRLHLHPLY LTTTAPVGTTQRQTAISSATKSLLDAASIYDYLSTRSESLSSPPPSNDISPPTIRALR SLALAEATLLAVLKDDPYPAIVLQQRNENDTEWMYKSPDIPKVRAHLFARLCLAAAEH ASQAYALVNSHKINADFIKYLDDLRRTARAKACRFFGIDAELGGEQGKGIGWLWAGLK ELGVEREGKHGSSSSGEKAKSSLMKGLKKEWTERREDKKIEKGLDWGADAGRLEERRV IEMLEGKWVRQNDTIMTQPIPPIGPLLAQMPTGREIHTVKPFQPPLLEPNVLEAMRAP PDRSDEYGNYPSSDEETAVDSSMAGAFPGTQGEYRTGTPNYY QC762_109710 MSDSEDIVDLPEEGGDDLFGDEGDEVMSDQGNVLSDRDLESDRE DDRDRIEDEDDYPREDRDSHHLAESIPLYRHRIPKSKDNTLHNLKVPNFLKFNPMEYK PDEWQPSKWELNNANSENPIPSIMWRRDPKTGKLKSNANIHRWSDGSTTLQIGDDHYE ITSNSLVGPPNEPYQDVKDAHEYAAAAHLTTNSLLIVGHYTEQYSIKPPEELQDAAFD RLVAGLNMNKKESTTSRLIATNEDPERKKREAELAEKERAKNQRRRETAAARSEGAGS RYKGGALSIGDLEGRRGVGGSRKRGAPGGAKKKHRRPEYDSDDDLPSGARRTDNYDMD DGFLVGSDEDDDVVEDDEEEDILDDEDEDERPRAKRQRTADPEEDADGDGDDDAPAAS HRRRRRVVNDDDDE QC762_109720 MSNLFSGINARFRGQRSPNSPGPEQQQHAQSPVPPPEIQTPTST TSVKLPPLPTSPSLAETIGMDQSSGMIPTAEEVLTSYHLPPTKPLWLNCNYAKHIVKG NFLTLSAKPKTVEMGEWVAHQVVDHWRMLVTFIRLVHDKEEDGTSICNSRRCPKMSAG ANHSFTWLNSRLQPVEIPAYEYLTLVQRYISGKIDDNNIFPCDPSGVSYADNPAFCTP VPESGQEWIGKRSGFPQNFMETCQTIFRQMFRVYAHLYWSHFDDLFALNLEKSMNSCF SHFILTATTLNLLKKADLEPMQPLIDLWAALGTFPQGSKAFEVANLAVGQLLVQKAGG PPQN QC762_109730 MEPNAPSTSAHAPRKRKNHRGGKKKKQTRRKSFAGLAPDDVESG LDRPEDMGTGLEGFYSRPGRNASNTSLDSDALLDHREHKSFIRPRRPSMMTASGSLLA TPGGSRLKHMSRGDTSEEDGEGAPLLSSSVFQRGETFPSYGSGEATLRRSGPGSRHES SRSSSKRRFLGSGNDTYNVNYPPSVPGTPPMRPLDRMDMSFGDALLRDELENGDSQRR DYDEDDHESFHNSPLERRKTIAALQAEEDVCFPQEGLSDIADDELGIRDAHGYRGRAR RRRRKWPDIALLNEWSRVEKEGRSEERRVKRMVEPQLINGRLRPVHRGWFQAEEEAPY RFTYFNEEFQSTIHSQTIGELVQPGGSFKELFIPDPPILSDSSESEDEDEDPTVSLSQ VLAGQNGDPRIPVRQPSLATSTTANVQHQDFAELRRERTGSANNGRPMSTEPKSHHSE QKAYSGEHTPSRMRSPVPDHVKSPQPDNSSNNNNNNNNNLHPTPQKEPKPIRYGERPV WWLDILSPTEQEMKIISKAFGIHPLTAEDIMMQEQREKVELFRHYYFVNYRSFDQDQS SENFMEPVNMYVVVFRECVLSFHFSVTPHPANVRRRIRQLRDYLILSSDWISYAIIDD ITDVFGPLIQSIEDEVDDIDDEILQLHSTTAAATTDPYGSRNNKQDGSSNEKRELGDD AEAGRDMLRRVGDCRKKVMSLYRLLGNKADVIKGFAKRCNEHWEVAPRSEIGLYLGDI QDHIVTMTSNLSHYEK QC762_109740 MHRTYSMRATRAPTASQIQNPPPPPSTTKSGRFFKGGLGHALRG KTAGAFGPDLAKKLAQLVKMEKNVMRSLELVAKERMEVAQQLSIWGEACDEDVSDVTD KLGVLLYEIGELEDQYVDRYDQYRVTMKSIRNIEASVQPSRDRKQKITDQIAQLKYKE PNSPRIVVLEQELVRAEAESLVAEAQLSNITREKIKAAYTYQFDALREHCEKVAIIAG YGKHLLELIDDTPVTPGETRAAYDGYEASKAIIQDCEDALTNWVTQKAAVSAKLSTRA RTLSTRRRNNIKARSEGLDLSGQDAPLNDQDGWVPAGHHQHHKDEYSEDEDEDEDELE GRTSHSVLGSEAGLNGGETRGRSQEAVVA QC762_109745 MQPPLFPLSRNNHRGRSKAIMNYDALPTPSSKPEATDFLQTLLN KNLRVGTTDGRMFWGSFKCTDSDCNLVLQHTYEYRPPPLAQLQSAAAAAAAASSDPQS KKVVMDMTSRYLGLVVVPGQYITKIEVEEFASQLKATERKQQQQSQQQQQQQQQQTTT HKVEIS QC762_109750 MGVQGLWTVVQPCARPTNLATLNRKRLAVDASIWIYQFLKAVRD KEGNALRNSHIVGFFRRLCKLLWYGVKPVFVFDGGAPALKRATLQGRRKRREGRREDA ARTAGKLLAVQMHRLAEEEEEKRQRRMAGADNEEQEELPSMENIVYADELGLSKQERQ RNRKFHKQDAYHLPELQNGIEAMGKPDDPRIMSVEELEEYARQFNSGENINLYDFSKI DFDGEFFKSLPPADRYNILNAARLRSRLRMGLSKEQLDVMFPDRMAFSRFQIERVKER NYLTQRLMLEMGMTSTDMTVNASRVAGDRNREYILVKNEGAEGGWALGVVTRDKDKGK AHKPIDVDALDFQYQNPDDEDEWDDEEFEDVPIEGLNRLPKITSRAAYERAQNFGSAR QQLYNSLQGDECEEDDDEALFVNDGEVMAAEDEELNRAIALSLEQNHGVSKEKEDEDE DEEFEDVPAPTWTQRAAPEVSRPIASTSGTMIAHIVNNRANAAVKKPQEKDDSDSDSD GDLHTALAAARKKQPKPKPVQKSNVKNSFDGPLPFEKLDWRSAFGGSKPSAPAPKPTA AVETTEKPRQVETGDLEAESDEEAGGFIKEPSETAKAEKPVVSEKKDDDEPLPLPPWL TDNTDITEHVRRQQQIENQQNAEDEELAQFHQLQRRKEQYVEIESSSDDDSDIEILDA PPESIVQTLLRARSPPGRPGASLPSLQDDLDKKTASNNAEQNPAAATTPPPAEEFESS ESPMELDAVGKQPSETAPPASAPQESEDEDVEFEDVVVPSAGEPSATPSAIAIDDTEA FLESGDEEAPDLPPSEQPRIEGLASAIDSDEIFTRDDSPEYDEFYDPEEEELLAQMAE EAEEHARFASQLNNKTQRENQEAYEQELRALRTQQKKDRRDADEVTQVMVTECQALLR LFGVPYITAPMEAEAQCAELVRLNLVDGIVTDDSDTFLFGGTRVYKNMFNSNKFVECY LLRDLEDELELSREQLIALAQLLGSDYTEGIPGIGPVTAVEILSEFPGREGLYDFKTW WEDVQSGKRPKEADASSPFRRKFRKGQATKLFLPLGFPSPAVFDAYLHPEVDSSQEQF QWGVPDLEGLRQFLMSTIGWSKERTDEVLVPVIRDVNRREAEGTQANITRYFEGSVGS GTQREAFAPRRVGRGGGRMAEAVGRLKARRRAGGGGGLSMEMPVAIPAEKGKGKGKGK GKGRKRKAREAEIVEEEEVVGEEDEVVREDEEVDDDDDDDDDDDVVVVVAGRSKGGKG EGKATAGRGRGRGRGKRSKA QC762_109760 MTVSKLPTASAPAPGVPFYTPAQDPPAGTALMNDATLVPTVFTP LTIRGLTLQNRFAVSPMCMYSASNGHLTDFHLVHLGQYALYGTPLTIIEATAVTPNGR ISPEDSGLWQDSQIAPIKRVTDFIHSQGQKVGIQLAHAGRKASTLAPWHYRTLGKEVA TEELGGWPDNLWAPSPIPWAEGFPTPKEMTLEQIGGFVRSWKDAARRAVEAGVDLIEI HGAHGYLLTEFLSPITNQRTDQYGGLPFENRVRLLVEIITAVRSVIPESMPLFLRISA TEWMSHLGQPSLDLGESKKIASLVADLGVDLLDVSSGGNNPAQKIEVHPYYQVDLASE IREHLRKEGKKMLIGAVGMIANAEMAKDVVESDGTVEVDGEHGTKAKADLVLVARQYL REPEFVLKVASELGVGVRLPLQYSRAPFPKKKKVEQGKL QC762_109770 MRWSNKRSVIGDLEGCEVVRVLVGEEQREFTLHRKLLCDSCTFF RTNIEAIPPPPPLPAPPVQNKMKKTQSYMFEIFVLWLYQRRRFHTFMDDVIRTISPDE CRAVRTNLVRLHLFAAIIDLPGLQDLAMDALQDMYLRFDWDMSPRFLAFIYGDCDPEH AVRLRKWGVAMLAWTLHGAEKAFLLNNQIDKLFAAFPQLKADYQLHLEKMQQSRADVR LKNPQLRLPANKLRSGERFFGFRQCTFHSHRAIVGEGTCPHTLEMQRRPEGRQSATWF GRRESQYHRDVGSLGRAGGGGEGRKGGDEGMGMGSDEESDDDDNIISPVGDLDLNEMS YLDLS QC762_109780 MTLISSKDNKLSFGIELEVIICHKQWVADPPNTATLVSPEEEAL MPEPMEAPWDAYITEWIEEKLEKVILTVPGAKFRRSSSRGTELMQAPGPELHPDIYMT PTTAWAAHQDVSVTDDRVYEPKGQKASSIELVSAAMWDCPAAHRHVQDIVVALSTLFR WRVNIRTGFHVHVGAGAREQIDPETGELDWKSDKFGFEAFQRAGALIWAADRFLYCAH PPERQINTYAQPVSTTSQLANGQEFLRVLEDENTPVDANAEYGRDRRKEFKLSTRFPD PTLPPRPPTVPVPPPSTVLRHALHGRSESSSTMFPAMRPARVPAAAWDRIRPYVYNIR VRREPEDLAKIQKADMTVEKGIAYILCATTRHRVAKLLSYEKDYYNRLNYNFTHYDTE NAWKGYNTVEFREATGAFDPTTIAAWSSVCLAIFRFCTTADDASYWTVVWNLIDAHNA ALAGEPHNYDMISLMVDCGAFAEAGFFEKSLRKLGDKHWFTSVQNNQKITPNASLDNS PEARQGPGFFESDWPVTKQAGGTAEVVTPTPAPSRRASLVPKPHTHAAHATGWRSPFA MAETVPTSPETVNRFPDYATVVVSPDTKELMGRWDNSSTHVNAPRPVKGSRSSAEHDD LMAKWNKTVQRIVDDYHDMHSRLGAKADQFDANWRDLGLGDRR QC762_109790 MNIKMYSVLSVRQLHWYLTLVVLLTVGIQAHDSGDCLSVALVAI PDCAKTCFLEQAPCIGCDGLDFVCQCKKQAAFYASVEACVVDACEASEYEKVIDGVGT VCECAVDAKDHDHASSASLDSASSTSFISSPPTAAPSGEPSAPPVVIVTTTTDVEDGD DSSPTETTSAPTETSPLVEAENGADHTSTSRLGLMATVFGAALAFALL QC762_0008320 MTSAPLRPGRMQGPNSLSEWVTTYTTVIRSLSTHSSLNYHRTLD GYLGGWQMANGDILDGPQKCR QC762_109800 MGSVGTGTRNKVSGGEEEETINVLITGFAPFRTNFPINPSWEIA KSLPEYLPPPPTPFKGIPGHVPPPGTATGTIPPHPTNPPIPLPKVRLLVHPSPIHVGY RAVRNLVPSLWHLDSPSTPKIDLAVHIGMAGPRGFYSIERRAHKSNYTMGDVDNNLLS DLDDESAPHPWQDLPEELLSDLDMEDVLQRWRDHSPPYSDLRISEDAGHYLCDFIYYS SLAHLVKNNPHERKRVVFLHVPSEASPAWVKVGRELCLSLVRGMVESEVVRRERGSKV EDHQEEVGEL QC762_109810 MGSETPVNHEKPPCPYIAGFKLAVQPYTPLPPFGGQAYRGVSGL KAFECHADIQKHSQTRFCIKGLEPDGLPGSSSSPELSSSGPYLVIDSVIRGGDGVGPQ IVACHWDNDLNTICYVAKIYDPLYYNYWSTDIGLLTDVVWFATRDHSVEAAAYEDLQA YETRHEKESIRGCYPEYFGSFSFKIKLVVDGVTYTRTVPLILMEFLNGSTTMKELIDG KQVPASDDARVYSYVCAVKSYLKLKTAGVSQDDFSPRNILFIGNMESPSLRAVIFDFN TARVFSRTSPPRPPPNIDGITFAADPSSQRDFQDWLPEWFYTDRDKRENEVRRLWYKS CIPFEPSVPAAKNEGSCGTWCHKHWPRTLSKNAAPTFLIPKQQPRVSKRLLGGLRGFP RLKRTPTMLVTSDDGKEPRSRMALRRPRLWRIIKIAFFLTTLHFLYRAFTSYYITNDT ICRPHGWKPFSRLSHSAPPRKVYDLTMINTELDWLEIRLNSTWNEVDYFVVVESPRTF TNLPKPLHLKTALANPSSSMARYKSKIIYHEITYPEDFAPKSTWNIEDFQRNAMLTQV FPSLSGPLFPNLHDVLVIADIDEIARPSTLSVLRQCSFPRRLTLSSRFYYYSFQYLHV GEEWPHPQATYYLGANTLLPNDLRVGDGPWWRKYWEMGRLKNAAWHCSSCFETMGEML TKMKSFSHAGMNQDVFRDRQRMVERVRMGKDLWDRVGEEYERVEGNEDLPGLLRREKE RFGYMLDRDGEGAGFRDWGEG QC762_109820 MFTGIVEEIGEVTTLNTTSPDGGTTLTIALPPTSTLLSDCHLGD SIAINGVCLTVTTFLPQSFTVGIAPETLRLTNLGSLTPSSRVNLERAVRADTRMGGHF VQGHVDTTATILSKIPDGNAITFRFAPKNKDVLRYVVYKGFIALDGASLTVTKVNDEE GWWEVMLIAYTQEKIVTAAKGVGETVNVEVDMTAKYVEKSIQGYLAGMMEDGQGLPWL QKMVERIVAQGFS QC762_0008360 MSESACANPLWLGWVKKWWDTAKERNSKGVITYKHAYDSLKRCP MKLQHPSQCQQLKGFSPKLCERLTQQLRKHCEENGHGMPMVPKRKRVVHLPGEDQDDG ATPAPALRRAAPARQARTYVPQYRSGAFALMLVLAGQDRNAAMPGMSKEELMAAAQPY SDSSFTVPSDPIKLYTAWASMKALESKELVVKRGRPVTRWALSDEGWEVAARVREVAA ARGDVPKTEDSGGSAIGSRNDRVGSASGSALLGDNLGRGINTGVRSNFPAGNDGDEFL NQLSGTNSFLGATDSTVSSRPAQSATYRSQAANPVILLDDDEEDQKDVATEVQSSVKR EMDNEFKDVVAEGEAMADDASLPSFKPIRMAPGTFTIELVLNNREIRAKTDRDYLQEE LAKKGIRPISRAMELGDVLWVAKCKQPGWLSRMGAEGDEVVLDWIVERKRLDDLIGSI KDGRFHEQKSRLRRSGIKNVIYLVEEIPMDQSRLQRSEEMVQSAIASIQVVDGYFLKK TQKIGDTIRYLVSMTQMLQNMHKGKPLHVIPTNVLTANNYLPLMKDLRGKQPEVGHHI SYPAFSSLCSKSGTMTLRDFFFENVDGYEGCHR QC762_0008370 MRESHPGCLHLATQRTALDLSSHVLLVFLVVVQKDNGVGGLREI ASNAGVDPSTKIVSK QC762_109840 MSHNEQIIAGSAKHPIVPQHYRYGTAGFRMKADLLEGVTYRVGL LAALRSRKLNSQAIGVMITASHNPAIDNGVKIVDPMGDMLEQEWERYATALVNAPSDK DLAKIYNALATDLKIDLEAPAKVIYGRDTRPSGHTLVTALADALDATNTEHVDYKILT TPQLHYLVRATNSEGTPASYGEVSEVGYYKKLAEAFVRTMKGKRIPQVLQVDCANGVG GPKLKEFLKHIPQDKVPFEVQVVNDDVLRPEVLNLDSGADYVKTKQRAPPIPKPQPGL RCCSLDGDADRLIYYWHDPETNVFTMLDGDRISSLAASFIGDLVESAGLRDELRIGVV QTAYANGASTHYITQHLKLPVICTPTGVKHLHHVAQGFDVGVYFEANGHGTVLFSPDA ISAFKKTTPQSPAQKEALDTLAALTDLINQTVGDAISDMLLVEVILAHKNWNLRDWAL TYQDLPNRLVRVEVANKDVFRTTDAERRLSHPEGCQAEIDQEVKKFKDARSFARASGT ENAMRVYAEAATRSEANELAERVAGIVSRWGV QC762_109850 MVNDAMDIETAEKNLKQLDHAEQHYFNSYNHHGIHEEMLKDEVR TRSYMNAIVQNKHIFKDKVVLDVGCGTGILSMFAAKAGAKHVIGVDMSTIIFKAREIV EVNGLSDKITLIQGKMEEITLPFPQVDIIISEWMGYFLLYESMLDTVLYARDKYLVKD GLIFPDKASIYVAGIEDGDYKDEKIGFWDNVYGFNYSPLKETALSEPLVDTVEMKAVV TDPSLVLTLDLYKCTVEDLAFSCPFDLVARRDDFIHALVAWFDIDFTACHKTVRFSTG PHTKYTHWKQTVFYLKDMLTVQQGEKIECSLHNRPNEKNRRDLDIKIEYRLETEDPTR KAEGTCLYKMC QC762_109860 MSNLFSGINARLRGASSKPNPNSKSPISPTSEDGKLNSPGGLSQ RSLSSSNLSSPSSKLPPVPASPLPPTANMTGSTDDELAAYHLPKPLPLWLNPAYAKQI VKGNFMTLSRRPKAVEQGEWVACQVVEHYRNLWNFVSIVYQKEENGQSICNPTTCPRM SAGGNHSYTWLNNRFEPIELPAYEYMTLMQRWISGKVDDEKVFPTNPAGVSFSQHQQL TPSAIATDGLDEYIGKRSGFPKEFSTICKTIFTQMFRVYAHLYWAHFVEPFYHLNLEK QLNSCFSHFVLAGMEMAMLTPQELEPMQPLLDLWAANGTFPPDSKAYESANLKAGNRL LQLAGMA QC762_109870 MASLPSPRSRVVRRYKKPGRLFDTLLTQSTRIVPSSVPSQKSPM DEEQPLQEKIAFFNQLDALDKLDEEDDRIDAREQQHRAKCKAFFQPAVPTVKEKGNPI NPLSSPRPHPEPRRTASVPTPTAPTPAPGGSAEIIKATPDTTTIDSTTSRTRRRLIAD TSTSFIAETPITDSTRPRVPTTLRRSITMPVSSSASAAKQSPSATTALRKRKRSSSGK VIPESAQIFRGLSFFYIPNDDVAPARKLRIAKAKDYGAEWVRSLHNATHVIVDKHLSY SDIQKIPEFGLAASLIVVNDEYPIDSISFRTLLNPDQSRYWVTGFPSPTSESTVITIS SRDSEPSLQVKEPKSARRQSARKQDTEDSEGTPRQEDSSFENPRPTKTTQAAPFEIQI QSFVPPHPTAQTTDGPKDELTSYIELLQQYNDLPLDHEEDDDIESTKDAQEDSDPEPG SEDERARKKPATRSRASLKKTTPFENRFACNRGGTKDNPASSAANNPNARTIEVLQSM CDYYTKINDRWRITAYRRAITTLRQQSTKKITTAEEAYTLPNIGSRIARKIEEICTTN NLRRLQYANLEPTDKVLDLFLKIHDVGLSRANKWISQGHRTLEDLLTKADLSANQRVS IEHYSDLTSRIPRSEVTQLAAFVQREAFLVDPDVELLVGGSYRRGSDTSGDIDFIITK RGTTSCSDLVPFMNSLISVLYKKNFLVATLSQSRREGEGSKFLGCCRLPPGGKWRRID LLLVPETEYGAALIYFTGNDIFNRSLRLLAGKKGMRLNQRGLFRDVMRGRGRVGVTEG ELVEGRSERRIFEVLGVRWREPSERWC QC762_109880 MAANTNNQELSNLPYIPLEPFTGTDPAGGDALVEDLNVWYQAGD ISFMIMSTAFVLLMIPGVGFFYSGLARRKSALSLIWLSVMAAAVSTFQWFFWGYSLTF SHSAGPFIGDLSNFGFRNVLAHPSVASSRIPDLLFAVYQGMFSAITIALATGAVAERG RMLPCVIFMFLWLTVVYDAVACWTWNPSGWSNKMGGLDFAGGTPVHIASGAAALAYSM MLGKRRGHGTHELNYRPHNVTHIVIGTVFLWVGWFGFNAGSALAANMRAIMAAVVTNL SACVGGITWCVLDYRLERKWSTVGFCSGVVAGLVAITPGSGYVPVWAAVPCGIMGASF ANYATKLKFLLRIDDALDIFAVHGIGGLVGNICTAFFATNTITRLDGVSSIKGGWLDH NWIQLPIQLADSFAGGAYSFVLTCAILFILNLIPGLHLRASEESEILGIDDAEIGEFA YDYVELTREVLNDVEGDNMSSYSAEQPIHQGQGRYGVAISSGMPREKIVNMSMPLTNH RMYGQGSADHAQ QC762_109890 MYTTMAAPNAHRFSFEEGGHTPTTFSHPPPQSPEPERHEHRRLS DTSSASSRVQSPRSAISPPGPSETSDQQLPKQPLPSPRGGRSLSLAQIAGPIKRKPLS LTASPLATRYSSPRLPELYEDLQRPEQRFARSISLDSPTLYEFPGQSNLLASSSLRVV ERAPSLDSSRHLSSPAAQEDGAVEDVQLRNIVERNPAASQTPHAHEPSGRLSARTVSV ASQESDYDQFEYTHLYSPDPEQEAEGKAATHVNTNTKTMSFISRKQPPPHLDLGSPIE SVPESPVRTARDSNLNKPLPKSPASSKLGAFFGWAASPSRSSVTEFSDDRALSPASQN PVTVVTESEPYDVPLSPKSPSAYSNDDLHSPAMQYCESYLQTPADSTTSLVQIEEMED ELKAIGAELAASIRREMDLEDLVDRMQEQVNSAQVPGRRTSDYFSDSGYSSARFSEYD HAKEEVSQVQRRAEQERAQLRLELTTKLQEERTMRRLLDHQIQELQQKASEVDVAQLG NAEASDRVKELEATCEDLTRRLAEEKESKSNFEDLLAALKSELQTASNERDNLRDEIV PQLRMRVEGLEAEAAENARLAYDTSKMQQEIESLRSRDADTSRLQQEIEILRSQTADA SQMRREIEALRSLNSETSKMQQELEALRSQNAELKQTGTRMSMALSRSASVTAGSLKN KNRPMSLSRSNSTRPGPASTEPREVLADRLKDVEAQRDALHSALRSLLERQELQNREN AKRIRQLEMERDRLLTASPKKAGYERDVSNLREEINVLRRRAEEAIEQKWQVEKGLGG LKMDLDRAEEEIATLRILLRENDILVPESLLRASGSHEERVAPVTSASLEKAYKDLQD AYAEALERIKQLEESAGSDERMQFAIQRLEQSLSTAVSEREDARSEASSFKTRLENMQ ASEKQQFAVEQDLADQLQESARRVEELAQQVRSQLDVNAALRSRLATTIARGEAEQRL STERIAGMQSRLRALEEQVVHAQTGAEERVARHEEELAMLKDAHSHQLQRIRDNAGIL RSPRHFPSKSPLSPMFSLRSVSSPNNLKSPRMSTPLLSPYGTARPDIRRSANSLDGSD GTMTQQVEVLKGRVAELEGALAAADAEMQEVVGRMNIAQIEVMNLQEQREEAVRETRR LQRVIEEERMRVFEERFRGLSTEVR QC762_109900 MPEEQNPPLELRGGRSRSYSTPAGFLSRFFRPHQQQQQQQQQPS SSHHHHRSRRSHHQGPSPPPSSSSHNHHPSSKPKHKYPPNPNPSTDHVYDIPLNKKPS PRHRNPFFRHRPPKPKHKKSPSSNIGTPPGKKRSLSKAGSRISTSTKPAKTRLTLDLK PLLDSNPSFLKLSFQFRSSSGGLAVGRVERFIRDELKSEKQAVVILFTSSGKQLETGA QIPSSRGRSSRVTVWYRLVDDNNNNNNNNTPPTTKWEFSICINNTQKMELNKLIEEDG ATVGEVRKQIAGYLEIKEPRRIRLYADDGMFHGFLQGEGWVLRELGKRWLTRYLAVHV RHREGWVEVRLKGWGNERAGRRYVVHPGSGEGKWTVRDLKANFVTGGVVNVTEGRGRS RLSRKGLLGSGGLRVRFDGKRVGETEGVVWGGVYEVEFGGVEEAEVFAGEEGWLLRET ESCDVCVEGRRVTDFPVRVVAGGCKGHKPPLCGECLGRWLVESMEAGRWKRLKCPDTD CSAVLGHHDVKRYATREVFERYDRWLLRDALEQTKGYVQCVTVGCEYGCVVGDQEACP TFNCRKCKGSHCIKHNVSHPEETCKQYRRRQKDKKEQEEASEREVAGMTKDCPKCGRR VNKTAGCNHITCHCGHEWCYVCTASYFHAQGTGLLLCRHNEGCTEGPLPGAELFNDDG TLRDPTGQRLPHRPFFHRPVIPADIPGAAPQGVPGMPGAAWLFPHLQRRNRQPEPPVA TAPAAPEEPPAPAPEPGDRDPPMMTGANPGLVRGTPPPWAPGMAGARPRMRGTGAEAA RRARGLGFHV QC762_109910 MRQSILLAALSAVTAVVSQNQNFTIDITKVEASTRSGWCTAQFN SCGLLCFGSLQKNDCEPSDLTYECLCSNGTTPGLDYYANTLPTFICEEAFSQCTAERQ GGSASELRKCTTDIRDHCGTLDPNDATPGGGDSNDEDEDEASTTSPTNTQGAAQTNPP GTSTSQAAAPTNFGNGIAAVAAGVFAAALL QC762_109920 MQSELGSREPILRGDVQRAFQPWYPSDPDKPPKFLPIFAVVTLS TTLSKPSFTMLILALVALGVFWLYRFNSALRSTPEEARKFSPKRWTKEQVKEAYENVK KNPINFLKHVPPAQERRYIIVGGSGLVGGDIVLQLLERGQSPSSIRILDFAPITRDDM LPKTSACDFVQTDITSIPSVEAAFSKPWPPSVSHLPLTVFHTAAVIRPQERHPLLYSR VSRVNRDGAIIVLNTAKKQHHNCDIFIATSSGSVSITPTRFIPTWPWQSHPAGYFQLC NESDFDLPLRPHSHFFANYARSKAEAERAICSANTANFRTGCLRPGNAIYGQKTDPLV GNILREGEYIAFTPQVIQSFVYSRNVSLAHLQFEAALLPSPSGTAPPACAGRPFVITD AGPPITFRDMALACETLSDAKFAYSEASPLIIHAIAHVMEFWSLLLARAPFLTRWFGW KEAKGPIGMLQPAVLNVGIHSVVDDSKARRRVEEGGIGYTPVASTLEGISMQISEFNE DVRAGRIKGVGLREGKKMAMVAEEAGALAS QC762_109930 MPSSTRPPARPSRPSHRSTLSLQKTEITIHVYDLLPPGKLSNTL WALGTSLLHSGVVLNNKEYAYGGHDRPGLTGVYWTKPLTLPPGGTFRTEILHGFTLAT EAEIDSIIRRASEEFLGTSYNLLTKNCNHFTSYLCEKLTGRPGPGWLNRAASIGVALP CVVPREWIEAPDFETHNRGGGGGGLLEGEESGDEYYGEGSRMLRGSDEVPRLVGQEGR REEEEHGITVTKGKGKARDEEGRVLPRAERAPTS QC762_109940 MPPKKAVEEKKVLLGRPGNNLKSGIVGLANVGKSTLFQAITKCN LGNPANFPYATIDPEEARVIVPDERYDWLCEKYKPKSRVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVNPTRDLDIISEELRLKDIEFVE KALENQKKKTRQGGQSLQMKQWKEEEATIEKILAHLRDGKEVRKGTWGPKEIEVINPL FLLTAKPVVYLVNLSEKDYIRKKNKYLPGVAKWIQDNAPGDPIIPISVAFEERLTRFE TDEEVAEEEKKVGAQSALPKLIVTMRKALDLGSFFTVGPDEVRQWTLRNGTKAPQAAG VIHTDFEKTFIQAIVFKYADLKELGDEAEVRSKGKIMTKGKDYIVEDGDILHFKAGAA KS QC762_109950 MQPLNPFLSAFLKSPVLAQCLPPQQHILLVPLADVLLNSRDAET GAPLTASIGSEEFLGSHVVRIPLLKGGKDGQGQGQQQNLREMRGKPKMYGTVNGRSLV VKDGGVYTNKGFKALAQANLLHETLWYPDTLDPRQFLIYFINRPLVGSWEEVRIVPAV PPPPSPSLAEGGLRKVFLGEGGTGTTRKKDIKSFHELLVNFPSIAKQMQGGLEKLFRE FTIVFERILPPPPPPSGKTVPEGEPGKGVGVAGGEGTNGNGGPENVLTEDDEEVMRTS LETAVTTAIDLFQNVDKQQLSLLGATTDLTGPVVERLIERYIAENVHHLLFPRIAGLR RQDDLELEAKIRQMNNIDISQLGIAIDGGMKAKREVVSRLGNAVEEFRKMPNASCPQE MMEILLATTKAATQFSEGTATQGQPRSATEKPAMTINADTLVSLLLYVVIKAQIKHLQ ARLAYIRHFVFIDDVESGEMGYALSTFEAVLSYLDRESGGLRRASRRNKALWDAVSKG DMPELRKILEPTEDAIEDEDDYGSSRWRRRSSTGWSFTNGASSTTLAASERFSVGSGL GHVFPFQNGSAETLEQPPPKRIKKVSMDTRSMSSGSEISFRSLPMSIGTFTSGIEGDT SIERLVQTQDAKGESVLMMAIQHGQPQVLKYLLSFRQYYTAPVILEDQDNEETTLFSA AVQLGNAEVINGLLDYILDSTGDDNKIREYLARQDTWGRSAAHYLFHAPFLIGRIGRL LPWRQKDKNGQTPLFALCRSYDNPNYYTMVEEGLEIATKCQGDGQPLHIDDHVDQKGN TLLHIVNEPKLALKILQQCDLDVNATNEKRFTALMLASKYGRFDMVRTLFGDPRVDTA ARELRGLTAVELAKDDDLRNKIDDLVLFSLSHGSSDSRTTGVVRSFFVEDGTIRFVLK SGAPVDEHSYAVTTCRRSLADFEHLAKLLQMENPASWIPSLADLRSPTQIPSRPSRAV LKDLQIRMDWFLKVLLAHPTFSTHEMLWEFFLVPDLQLDQMAERSKLKADALLEKVHE ELEPVQDLREVEQFVDHARDIVRSVHFSTRSVARRANNTGNVVNDLYESSTLLSKALA TLPFLPQNYISGFETYVRSLAPSQSSPISQFFSAFLALYSNIEAILKALGRPPQTIAK IIAIRKEVERNYNTLNRSSRWPLGLLDETRQRMNEDREEKARKMEQEAEMLGRELRYT QQTVAGELAGWREMHERLGRKAIRDLARGMVVQERGRLEGVRRALRRVKEGGEGVGRP RMRVGLVDGLLDEGEGSGSGG QC762_109960 MNQNALQKQTIAFCSPLPVNAKDSKIGPVWNGRRIRNAFQSVVA LAGYRSSGGRIKLEREHFERVSEVSHEFNHYLWSIQSETDSAKAERWGYRIDEYRTDE TIHLQSQHNHSDNRRPAEGPGHVMFGQMGTAPNVNTPAGLAAPFMHVGFQHFGQQGRG FYNHQPQQPHAAAFPVMTGAAPGSLQQQNQQLGYPMAAPPQHYGAGCGGPTASRSLDF TG QC762_0008510 MAVLEFIRHAVSYFDQSSAFESLKAFFQQSEYESKHNDALDRVK KASGAFESSISICSQTRVEKIYKNSETQNWPTISLYFTLAGVAKDFTGQNQIGILMIE DSVVTLLKSLESQVLILHDELVFECNSSLSTLSYLCALITEIMSSPGLFPLSFFCGLH CREVDALQAGYGIMRSLALDLLRLFGNANSATTGDPNMTAQGLMMNHLPTIFSIFSRL LLQNIPAGEEIITSSYTFPVSQGPR QC762_109980 MLSKTGSGVLLLQAASLLSGAAARCLRTCPADDPLLNLLRSESA SSDFCRDFLGLPVSTLETTVTPTVVATVTETSYVTEVFTQVDTTITVTLPADESPSTT ITVTPAKRDNAYPTWLPTSYGEKYISQACSCLSLPPAISTVTSTADAVTLTDATTITE TTTETIHTTAIATETANPAPKPVKRMIKIEVIRKNAGTPLGWIYNSNGPAITTSNNQQ PTLFEFNLLENETVGSQLRLSSSFGPLGFNKDSHPSNIVELEDNYGSLKFVAPTEPGA VPQTVDAGKNKYASDIWSVDTETREITWQWVATNGGVPDIKLYYVSGRLYPVGDVERF MYATSNAIGAREEVRLVYTLVGESVL QC762_0008530 MRLLQRNDTGDFSLTDDIPDDQVPPYAILSHTWGDEEVIFKDIK DSICKNKRGYSKIQFCGDQAGRDGLKFFWVDTCCIDKSDSTEVQRALNSMFQWYRNAA KCYVYLTDVSTCQQDTDGNPGWWELTFRKSKWFTRGWTLQELIAPAIVEFFSKEGERL GDKKSLEQQIHNITEIPLKALPGNTLSDFSIEERLSWVGKRNTTQKEDKAYSLFGIFD VIMPLLYGEGEDRAFRRLQEEISKQTRCLSSLHSTDPRLDKKRIEEAKGGLLAGAYRW VFANPDFCLWRERSESRLLWINGDPGKGKTMLLCGIINELQGAIVADGHCHNLAYFFC QATDSRINNAIAVLRGLIYLLAHQQPRLISHVRKYTDAGKSLSDANAWFALSDILVGM LGDPNVKPTYLVVDALDECVIDLPKLLDFIVCISSDRIKWLLTSRNETILEKKLKSNN ARTRLCLELKENAMEVSHAVDVYIDNKLSGLEALQDDALLKDQVRDILHNKANGTFLW VALVVQELSMDGVESWHVLQIVEEVPPGLDGMYKRMLDEIERNKRDSEFCWRILSVVT VAYRPLHLDEIGGLSGLPEQIVRSTENIQKIVAKCGSFLTVRDNQIYLVHQSAKDYLS DQASPLLFPSGVAVTHHDISDRSLKLLSGKLQRDVYGLCIPGFSIDHVRVPDPDPLAT VRYSCVNWVDHLCNWQSSDDSKHPDIFQDGGIVDGFLRQHYLHWLEALSLCKSMPQGI LSLAKLESILQHRSITSQLPSLVTDMRRFVIYWRWVIENYPLQVYASALIFSPARSIT RGLFTQEERKWITSRPIVENNWNACRQTLEGHGGPVSSVAFSPDSKWIASGSNDRTIK IWEAATGSCTQTLEGHGGPVWSVAFSPDSKWVALGSDDSTIKIWEAATGSCTQTLEGH GGSVNSVAFSPDSKWVASGSDDSTIKIWEAATGSCTQTLEGHGGPVNSVAFSPDSKWV ASGSGDRTIKIWEAATGSCTQTLEGHGGPVWSVAFSPDSKWVASGSGDRTIKIWEAAT GSCTQTLEGHGGPVWSVAFSPDSKWVASGSGDSTIKIWEAATGSCTQTLEGHSDSVWS VASSLDSKLIASGSNDTNPPHYQRYGIDMSRRWITKGSENWLWLPLEYQSRCFATAAS TIAIGYSLGRVLTIKFTIDS QC762_0008540 MPIMVSTVLYLACLSALQLPLSSAQKTTTTTTVPILDWGFDFPG SAISVIGQTSGSTTYRLTCNSPGECQWTQVPDSASYLNNMVGTMTFIEAGAPYNAAMT QNISARGTAFSYQATCTPRTKPITIQTTDSEGRFTDFPVRAPNLVDCTSTSMGKEPTP TFEFDGTTGVYKMVVITQGVERLDLGAAPTGLITTGGVHESRDESSGSSSSSSFSSST GATDAPAANTQIPDSAGGKLVTSSLRMAGFVVLMTALFA QC762_109990 MEVLGAILGVSTIVIRTSSTIYSLVDTWREAPEGLHRLLDDLRR SQQFFEETEAGLREMYLGLSEEALKSASFASVTEALVGLFEDATVVIGRIEKVIENII DGNGLESGERKPEDLGKRRKLAWLRQSTTVAGLRKSLKSATVAIYRLLVVQNIRVSLG IGAAVERSTAELKSHISHALASEIMPEVVSKVEELRTNMLSEVRDPILRQMARLEDCL ASLRQSNDRAMENEGIEARNTYPQASCNRHRQRRRRCDFHCPCRCHVIANYSLQYNTW KLSLFGLVVRVSGGSIGGECTSQACVNTNLPRAKEVMLHYNCPNWLYRGGISAFFSSN MHGTPELNIRMFNRLETGTPGTATNIFGCIERGNVEGVRQLLQDKRASVYDVRGSTDE TPLLAALYRVNIPMIRLLLQAGADPFAEADRDPKVLYEAIQIHLSGRPGSHELIELIP AFESIQLSPLHLIVSGVLHANLEEALGKPEYLSYLNHVDPESTWSPLDMAAFKGDTEA VSKLLRAGASVSLKKEHGTPPLFQACWFSHYEVAKLLVEAGADVNAVIDDRGTTPIIC AVNAIGHAVDGRIVSLLRQHGADVNCETWRGATPLCFAASRTSPNAVTLLVEHGANVN HVDKDGDTPLIYAVFYSRHENVRILLERGSDYRYKNRDGKSILHYLAAVADVEMLKIF TDAKMRGLADDLDGGQKMKTPIEIFNQRESTAELRQAFNELLESISKDDEEESEGSDE FCDAVEEL QC762_109995 MSSSQPSEIIRGSQHHLRQDVTKLCPKDLDLLVRGVQRIQALPS SHPDLFAQIASYHGIPAWYCQHGNVLSPLWHRAYILRLERALRNVLEDESFEMPFWDE MSKESAKKGPPSNLTRKTYEWSDGSGSRSIWMLNRTFICWVILMRR QC762_110000 MKFWAAVAAVSLLTATEAAAAPQVLQANPSDVKSRNIEGRSFKL AQIKNVHFNQHGKGPRALAAVYEKYDIELPETLLTVLRQILLDIGFDLRARSLMSARA PDNATAPYNNNTGQGEVTATPIPFDVEYLTPVDIGNPPQTLPLCFDTGSSDLWVFSSN TPVSQQGGQKLFHIDNSTSAQKLQNHTWSIRYGDGSGSSGEVYLDTVDVGGIKVENQA VETANQVSSSFTRDEHSSGILGLGFQSINMVRPNKQKTFIDNALKSLAMPLFTANIRK AAEGNYNFGFIDETEYLGNLTMIPVDAASGYWKFKGTAFSTGFNGTNSAISDIVVPLE HPAIADTGTTLLMIPEAIVQAYYRQVANAYDSKIYGGWVFPCNSTLPDLTLYISSYKA VIPGELINFAPADLDDPATATICYGGVQSSRGFPFAIYGNVFFKAHWTMFHVEEKKLG FAPRSGE QC762_110010 MVRELPREIDSCIGSYTHLRDFPRQDEALHMLKRIASVVKPIMR ARHWKVGQLCEFYPDQYNLLGLNYNRGQRILLRLRYAGDRNQFLPFEQVMDTMLHELS HIIHGPHDQVFHALWNQLREELEGLFMKGYTGEGFLSKGHKLGGQVPYSEIQRITRIE AERRKAEKEASKKEAGHKLGGSKPAPGRNIRNIIADTVERRNRTDKGCGNENRNEEEI RQISETWRRNGFKTQAEEDAANEAAIAQALWELVQEDEKRKYGSSYVPPTAENPYGNG GGTLINGEGSSSNTYRPPQRPNSYYPPQQQPNTTTTTYPPPSSRSRPTSTPVSKGTIT EYYSPQPAPRPPVIGDGFAGGPTYYPPPHQPARPPPPVPLTTRPPPPPPAATPIIRTV SPPPLSDKDAYWECPRCTYHNSLQRPQNCEMCAAPGPALDPSSSRPPRPQQQQEVVDL TSSSSPPLPPRKRHTSRNNPPEQPNPRRSSRNPFRNSISVSSSTTTTTKRNSSSAPSK PQPSYWGCSYCGNRMESQWWTCNVCGKMKDRS QC762_110020 MKLDPNKTTMSQNYRGSGSFATFLIIGPVCFFLGILFASFPYDF PLLWSPNPITPLHISQIETHLRFMHAAPPLISRLLHMIIAVGFLGFFTKLYRAAESNF LFDGGSLLLYLIAAGVYITNVVTPMNALAEQLEGEGGEKFAVHNGPLTGEVELTREES LRVLSASHTILAFVLVGVLVLQAGQWYAEKREREDYAAWVARGEPVEVMDSDEEQEKE EERGEGKKDV QC762_110030 MPHTIQGKTIGNIGFGLMGLTWRPQPATNQEAIAAIREAVLNNM TYLNGGEFYGPPTHNSLTLLKAYYAQYPQDKDKILLNIKGCITPSFEVDSSPAGVAES VARSVEQIGGKGLIDQFEPARRDPKVEIEDTVAALQREVEKGNIRGISLSEVSAATIR RAAKVAKIESVEVELSLWQTEPLENGVLEACAELGIVVLAYSPLGRGMLTGQIKGWED IPEGDYRRGLPRFQPEVFGKNMELVKEVQRLAERKGLTAAQIAINWVLALSRRPGMPV IIPIPGASKPERVRENAVEVELSEEDMREIETVLKTFEVVGERYNEHGMKMLGDEY QC762_110040 MAQRADTSSSPAATSPAAPQPLSREGRTLPGRQPLLRKQSAQDR INEILEGARERAESYGPDTSPGNLSPRLQPLLDSSSRGLNYLSNNASPRTGSPRLSPS IPEENGIGNIDGAVTAQPQQQHIHYYNGTQTNHSTRRRSTRQSAQEQGRSASQADDGT TGRRHGEEEEGGWKKTLKYFRSIELENKGSVARDHLALERTFLAWLRTSLAFASIGIA ITQLFRLNTSLADDDHQSYTLRHLGKPLGSTFLAVSILILFLGYNRYLESQAWVIKGK FPASRGTIILVSFIAFAVTVASLVVVIAVQGDH QC762_110050 MAIDIPTFASTQISLLAAELQAEVDETSMLVSLHSPTALQRAGV ALTNLAVLSQRTGLGGKTVVEVGPDGAFSCSGDLPEHGIRSGDIVLLAEQPAASGKKR EVKELERKGVKGVVTRVGRQGLGVAVEEDGEGEVVGGDRRVWIVKLADEVTWKRMNGT MEKMAKMAEGEYSYFMRVLFGLTTPSPVPEDLSKDEEVGEGKIKWFDTSLNESQKDAI RFALKSQEIALIHGPPGTGKTHTLIELILQLLALNPKSRILVCGPSNISVDNIVERLS PHKIPLIRLGHPARLLPSVLNHSLDVLTRTSEAGAIVKDVRAEMDAKQASIRKTRSGK ERKAIYADLKELRKEYRQREKKCVSDLVAKSKVVLATLHGSGGYQLRDEKFDVVIIDE ASQALEAQCWVALLSAKKAICAGDHLQLPPTIKSLNSSSKTSSTSGEKKTAKTKKDTA ASSTPPTIPKGATLETTLFDRLLSLHGPKIKRMLTTQYRMHESIMRFPSDELYEGKLI AAEGVKARLLNQLPDVRDVDETREPLVFIDTQGGDFPEKTEDEEEEEKKKKGVTKRSL YGDSKRNEGEAMLVREHVRCLVEDGGVRAEDVAVVSPYNAQLALLAPLKEQYPGIELG SVDGFQGREKEAVIVTLVRSNSDGEVGFLAEKRRLNVAMTRPKRSLTVIGDSETVKKG SKFLKKWMEFLEENADLRYPDLASLTAAAAAAAKA QC762_110060 MPSIFGRSGSSTPAGARAKTGKSIRGAIISAPIPIPSTVDDDEF PMRKPGSAKASTVTDEEFPIRRPGTGIATPLPLADEHSPSLDGKHERDHEKGSGDERD LPDEVVPVSSMLGGAVDKETGEIIPEAPSDPPPGPPSRLSTPAGAPPAPRTASTTPPN RTSPRGPSPQGISPSRVSPPRASPPARRATQPAISTQLRYSTISDAPSKNTTQSTVPQ RKKSTLRSALGKLFGRGKKKQNVQDTSPTPIPEVEPVSSIQHRSDPTALGRPNHPPAK RSASLPISEFDRPLRSHSIGPDDIMAIESARNSMQLAGEGGSSVANPNNRRRAATTTS RMMFQQRLRNPEWGAGLSPRPASAQGRGGSSKEENHAVTHDPDEIGRAITSDNGHGLR RRSRSLSGLQDFANARPVTARRRSDEMRFWRQSYDPTGLMSPLSSNAPDIDDTGVVDV SAPDSPAVEVPPKTPPQPFNFGLLSKDMLGMKITHAANLDTRVGNLESRTRKLERVVT QLCHAVPGFRGPLGDTTPTPATSQNEPFPVQKKVSVQQPQFGFSTSTPPPTIPAIYNP IPPTPVQPSSSSRKSLETEDRDTHSLLSFGEAPTFIGSLHPPSSSATQAAPPPPPPPL PPTPIPSRPTEETVTQLKAELASERAARQDLESQVRKLSERLNTLSSTVFAMVRSPSE SRSQERLIAPAASSSSLSTGSPLLLPNSLKGTTTTRAKSHVPAVTQQGQEQLSVFDDD DDEEEEEEEEEEKDFQTPMEVVKYGAFGEELKDHGVVGEEGGDEDGGGGGGGGGEENK RRKAARTLSLSQLTLGRGGGRGQV QC762_110070 MSLTVENGAAGDLTLGGDDLPPPPVAALPFVVLKQHVAIEVDLQ NKSVHGVSTMVIFPIEPDLEEIQLDARQCDIDIDNITVNGFKTKASYSDPYDLMQVPR EYQIGAPQHHIMSRRMQPLRPLKRPEVPNSDRTALPCCVPADGSLKVSLKREYLSEPP AKARQMPELARAKNEAAASGMEQSEAHSYKIAIPFRSKNIRDGLHFVGVDEGDKRYPH VYTRHSLEPGAVSCIFPCVDDPGSRHPWELSIKCPRTLGDVFEQSAALPQPSTSLVSN GSRKRKQGEDPAPPPPASRLLEEDKMLEMTVVCSGNLTGEQIDPLDDKKKIMTFESSI AAAQHIAFAIGPFEHIQLWSEFRTEEADEKLGANAAKIHAYCLPGRAEEVLHTCQPIV AAADYFAPEFGRYPFDSYKVVFVEDMVQDTVSGTSISLCSTRLLYPEDIIEVETEVTR KLVHALASQYFGVYIVPNRRSDYWLIIGIQWFMTDLFMKTICGNNWYRFHMKTLSDKL VEQDVSRPSLHDLGEHLHIGDFEQEFMALKAPLVLFILDQRMSKMTGSTGIVRVISKI VSTANIDTRNETTWLSAEPFRTACEKMSTYRPDELWAQWIHSAGCPKLDIKQKFNKKN LNVDITVEQRQSKDTAKEITKEDFYRELVELRHDVWAGSVPKHFTGPFSVRIQEADGT PYEHYMAISDKDKVSTNWQIAYNTKYKRMKRTKKATAAAAANSAADKDLQEDDVVYYN ALGDVLQTEKDVQDWGLQDWSQATQAQMDQESYEWIRLDCNFEWLCSMKTDMPPYMIV AQLQQDRDVVAQQDSMLYLRRASRPSGVVSTIETRTAMDRRYYYGIRCMAIEDLPKMA DPELNYIGKAHLILIFRHFFCDRMVGKNGAVTFPPMPNDFSDKAQYHVQCAMVSAIAK TRENGRCSKDARNFLLDLLLFNDNSENDYSDHPYVACLLQALTTSLIPKKVDGDRDLL SSLNVHDEADAEFKRFIEKTIEEIDKYRRMDEWTSSYQNIWTTAALECKMRLMKAKVI PVAPLEFIQYLQDDNIDLVRIKAFECLVELGLLSKPAIMKLLLSSVSTDPSPFVRDRL FKVLCKGMAAIALGESKAQQVDQQQAIVEDDGFVIEQGETEIQQRKLDANRNQSIQNA LAALKEELKGNEDLQLVVWKAVNSTWISAKEKFNLLDLCFAMFEPEDNLLLTFKYPKV WKATREINAPPLVNGRPDPSRVTKKQCIIRFTTEYRTEPRQKLVLEPPPPPPPEPVIK PPEPKKIKLSTKPSFSGAFVSRQPSFSGPSASRPGTPGPLTLPSVPSDSIAVMPRAAV AQVVNTPATPRPSAPVVSDSIAVQRVSVLLGNPSTPRNATPPPAPSSAAPKPATNGAK PPAEKRPKPSAKKRKSDEADDLVRPKKIKTENGKPSKIVTLPFTQWDRLPEKTKRQMR EVKAAAAAAANNNNNNNNNNNRSGPASSFSSTIVAKRPAILGGVGSSSSGGGGGGSGL HKMSSSAHSSSSVRGRTPTVGGRGSTPILLSRGSPAPTSSSRASPAPGMRTGTPGLLS AAPKQRKPLPSGAPQHHAPVAKTSSGNGSGSVETPPVKKKSVIKLKVRATPSQASPPP PAGGQR QC762_110080 MASLGEVTFDFTFPCDPSTPPSFTFDPSLLEIPYNQGHARSSSL SSIYSFESTPSEPVSAPSTRRTTPARSPIRTHGPLLLPKIRSQDQAIGPSAAAPAAKR QRTSPTPAAPNKSAYRPTHARSYTSPEAISFTSSVSFTDDSNPAPLLCSPVSFAHDSA ANHSRRASSCSVENISFPSQVTSYRPMPTYVPARRTSTSPTPPPQPQPQTHLSQPEQY NLYQQYVPRAPSPLAAAAPIVPDMTPSTTLYSYLTSSNPAPSLVRSISFPLRDPNIKH FWWDVRQIRPWTNFTAQSLLSLPGAESCLSTPLSAALLPSISAGGQRHPETEAALHGI YAGYYLPKLNNALALSSTQPVQLSVPKKAAAGMNTECMFVGNVPGDEASAAAIFGGKP MARVVGIVKSFDRFNTGMRVEGNVKRVEYLRGLAHLHHAMREHGCRYGFILTEIELVV VRNGGERTPHFGFLEVTSVQLNKTDDLEGPVEAENAEMTACLALWGLCMLAGDGAMPG EAHWKSEIGAPAEGTRRKALERDGWMPQPQLAEKREAKRSRGWVWPEDAVGRKELGKR GVRYGGC QC762_110090 MAMTSSATRSKRAEGLHATISHPKLLSSSSADYRRPVATAMPKQ NSKQFPLSPTRAYGKRHLDLSSGNCDAVIPKKARIAVEIPARPSSYHARVAKESADAP PLPLPTKPTVAAAAPPPPQPRSAIPTRAPPAQPATANNAEKHPTSLTKHQEKVVNGLK HELSRLQPNTEDTTSTTQSQGRKLRSQEATRFKSELSAYFPEYDEVIGNDPKKEYSLN LETPVAVVSDTAPPPSAWASKPPTFPVESWGDKLYTDLHDCQRIDFAFLAKQSKPLDP SQHQADPLPDSYYEPIHKKEEKQERSVRNTEKIRAQHERDQVIRLLDGLQGPDWLRIM GVSGITESKKKTFEPAREYFVKGCESILDKFRRWTAEEKRRKREKERKAKYLADRETA TSEEKDLEPSARSSRATSTSHEEKHTKKKRKREVVVPDSEDEAMGDDELDGEPPDDAE ESDIDASVAKQLREEALAAAAKKSTLKSKPPPKKRVRPSKPPPPPPPPPPPPPPPPPH QAKKAPTVEPFPAPPQQEREFTSFFAKKHQRDAALNPNRRRISTRRNPVLAWGVAVPE VAEADFSLSEEIRDEVMGSRNSAPAATTTNDAAAAVKPTRGRPARGKKKP QC762_110100 MPKKVFALTTKSHTHTYTPPNLKSSHLGLAGRCLLDAPPDGDVD MTPSQELQDASCAIDTSPAVPVRQSPHSTPDDTQYFGRYTRHHSRPQSPAYNVASVGG NRFVRDAPLLTPGVPRSQNASPFRIPMPCITPGQVAFSALQFLPVPVLVLDGLKTVAL ANEAMGRLLGMIPENVGADGDGMVPVVDILRGKTLAQVGIDLIQDDVPVWLDWEQFLN QAAVETGGGSPSATADGAVEVGGDATPIPDGANDDHVFDGKPHDHTPTLAIEVVISPK DVTSSTYDPRARHNLSASQRRAKMIISVWDVSEGQTFFTLTFTNTNSAFSPAMSRRKS TAKPSYLEAAERKSIPHVSNPPSAASSRDSNASSSFKLSPSAVSFSANPFPPFGPPAA SAPSSAPSVLQKINIMKDALLDNIQTPILAMWRDGSVTLPNRAARNLFVRNADLDKSA DGFDLLPNWVVWDQDFTKQLDPSEYPIAVLLRTEKPFVGLRIGMRDEYGKSLVFDVEG VAIKDENTGEFLAGVVTCRDVTRLHEELNQIKAADEERFRLICDTMPQLVWTATPDGQ HDFYNSRWYHYTGLSEEDSFGEGWSKPFHPDDMVEATKRWKHSLATGEPYMTEYRCRN KDGEWRWFLGRALPLRNKQTGKIEKWFGTCTDVHESIETKIEANRTRQQLLSVIALSN MTMFTVDLNRKITMIEGALIWDYQCDNTTSRWFIGEDVYDVFNRLNSQLPEGQMPAFL SPLESILDGSTTKEDFQEHEMDGRWYRTRFQPILGKRIREKGEANTIIEGVIGLIMDV TELKAREQDIQAQAQEKRQLVANEAAAKEASRLKSQFLANMSHEIRTPITGVIGMSEL LLDVELTEEQRDITENIYRSANALLTVINDILDFSKVESGRLDIEEVQFSLSVIVRDV SKMLSFAAERKDLAFHSDISEDIETDMVVMGDPGRVRQIITNLVTNSIKFTNHGHVKF SVFKEKDTSEITEIKFVIEDTGIGIEEEVRKRLFQPFSQGDASTARKFGGTGLGLTIC KHLLDLMKGRMVLESTLGTGTTATFWIPFNKPQGAAQKSSLVQIDPIPDRLQSEMSVS CHSSEYDHAMGTPPEIGSVLGDRGRSSWRNSSSVNLPGVSSPEEDLSLEERSKIHILV VEDNAINQQIAIKTIRKLGFNVVAAWNGKEALDYLIAAEKGEKKKPDIILMDVQMPLI DGYKCTHLLRHHLPYKAFVGDVPIVAMTASAIQGDREKCKRAGMDDYLSKPVKSRVLE RMLVRWGSKGRKGGMVAVDDGVGSSVVSDCSDSGEHCDNAVIPGVGVEHDRETHEELA TPKPGEEGREERGHFPGYTVVSDGVGVVSDGGGGSGGGGGGDSTTPKSNSPQKGLSRP GSEERLGGVPMSPVIKHFEEDKESAMHSRDDKLVGAAGIGGAKSLLFLGGRRRGWGRG QC762_110110 MSDAATYSVPFWINGEEYHAEKQYDVISPVTGKAIHRSGAASDA DVKKAVEAADKAFKTWKKTLPKERRDVFLKAAEIFERRKEELGDYMMQETGAPRQWAD FNLDVAKDFCLDIGGRIRTLEGTIPTTADPETGAMIVREPFGVILAIAPWNAPYILGI RSILFPIAAGNTAILKGSEACPRTMWGLCSVFHEAGLPAGVLNMLVHSPQDAPRITAN LIAEPAVKKINFTGSTGVGRIIGRLAGENLKPVLLELGGKAPAIVWEDADLDNAAFQC ALGAFLNSGQICMSTERILVHKNVRAEFEKRFVGAIDQIFGSAPDAPILINSAAVTKN KALIKDALAKGGELLYGNPDAVEKSDTRMKPVVINNANKEMEIYQTESFGPSVALYEI ETEEEALEIANDTEYGLTSAVFTEDLRRGLRFAKEIETGAVHINSMTVHDESALPHGG AKASGYGRFNTATGMAEWVRTKTITYKF QC762_110120 MARRRTALRAIALAMILATASAIPTTYEIFPRQEDACGAPNFSG CGNANVPSSFCCPAGDRCLVLAGNTTVVCCPNGSTCQKLRPIDCNIELQDADKAPDSV IKTTALGGSLPKCGSDCCPFGYSCVNGECVMNTNQNAAPSQSSTPSSRPSTTPTSKPS TTASPSETLTATAVPQSAGPPIAGIAGGAAAGAVVLIIAGVLAFIFIRKRKAGQTASS SSSPLKLSRSTSSFGNIISAPILHDDKPALRTDFGARLPTPQMLNNNAMDMRSSVLSY DNNQIVASPPNQNRRMSSVAYGGLIADYSPQQPGGQRKYVDMPDMPVSDDGNPGYQGG LVPRTPEQQGREVSGVSINIFADPRNITPDRTPQQGDDGRRYTDMTTFTEMLDRADLG GVARGDGYVSGGGGEQYGGREGDRYQYGGNGGGYGGLGR QC762_110130 MAQVRFAPREHPQMEMREKGRTRGPVFTTPAFNDRPNSVYTEFM ESEAEMGHEHDDHDDDDDDDEVYSEFGDLEGIEDGECSPRASIGGSSGQHSFTTLSTY DEVQTPRSLRQRPFAFDTYEVKQQPVEGPRGPHLFRSSMSSAQSIELQHALSLSPVTP KKPHLMDYQLQDVHLTALPKKRRNTAGPFEFTDEELDTSALHMWSPEMVAQRMLNAGV ELPAAEKFVENDITGAILITLKFEDLKELGISSFGVRTLIWEEIHSMRDMQKPEPMPE TPIEDEPDKQVRRELKRKESGATKDKRKPRLKINDVISPLESVSIVGIEQVLPKPHQC SKGENCSKAKRYKRLMEAFQKDHPFLNDKGVIMLAGDLGKVTATTGLVAPEEDEFRPV SDVVPSVVASSDVMGPGAFTTMQYLQEAALRNVETRDAQDNVRHFLDFQHQHSTSSEV PPTPPFEISPMSNSPVYGSNTTTPQPHTGLRGLPKLSIPTHPSTTTPNTYDPQSARAR SARPISQVIPSFRELSPSSSESTPTGTAPLYRFGTPFSEMDVPITITSLPAPSRDFSQ SVPPDMNYRNPTMRSLSRNTIRRPSFPVMPALDENSIVSPLSNNNNNNNNSHNNIPST LNRSFSQRRPLQAPPRVNYPWTQTDRPTLEKAIPPTTTTTTTTTTTTNNPAGVKTVNI NGRLSPVSDKPTPDMTGGEAISYQGLMKKRKTKMLRHEWHEHFFRLQGTRLTMHKDEK EKNRTLEYIDIDDYAIACSSMQQSGNKLGAAFKAMHIRRGSDELARRGDVGAFSFQLV PQDGRKALGLGGVLSKKKESGAGGEREGIEGAVNGTGKTHHFAVKGRDERIDWMRELM LAKAMKQKGEGFEVVVNGNMI QC762_110140 MSTLPPNHHGDLMKSSQVNMATTTTTTTTTTTTKAKAASKAQAS AAANPKQKTQMHRRSRTGCYTCRLRRKKCDEGSPMCTACKHLGLVCEYKRPMWWSNND ARRKHKDDIKMIIKRKKLSEKSTHSIQTSVNSPPGLSHSLPTSATFSDPFDRTRSQSI DSQFAFNFNSPQSDFSSFSAPQMHPDFLFAPYSPYEIDVKTERQMFINDIPTLRESTT ATFSTYQTPPPPGTVLPQFPLEGEWTEQVYTERRESLAEETLNVNFFDFAQGPSVSSR QVAIELDEGDQRLFDHFISSVLPTIFPILESNQHGSISSDLILPALESNKGYLHCCLS IAAQHYKAAMGLEGEEIDGDIMRHRYATISALCEALARDEDHQQILEAALGLIFFQCG VGRFDDTLPDIPWHQHFQAAISLVQKLDLPRIVSDPNEPLTQAPFNMTLTAWIDILGA TMLGRAPAFAHTYREKHLSPTNPSLGLRELMGCEDRVMYLISEIACLEALKKDGMDDI TLCQHVHVLGDQIGLTEMGDESVPVLPFNANGTLSPKQLSKNITAAFRLAARIYLCSL VPGFHPSQASCVGLVEKLTSVLATIPSGTAGFDRSLSWVYLVGGSVSVPGSSFRAFFE DRVAQLVDLANFGSFGKVTCLLREIWLQSEVISRASSPGSNNEEVQQQQPPAYIHWRD VMQMKGWDYLLI QC762_110160 MSYKSSMMTMLSMSEHGSLGPMGVLGVALAGSLSIFLLRSIYYA FLHPLSKIPGPKLYSFWDLPYLYHALRGNWPHKLKDLHDRYGPVVRYTPDNISFITPS AWKTIYGHRHLPGQETFPKDPTAYRPTPSGHPHIIIASDPDHRRQRRLLSHAFSEKAL RAQEDILNHYATLLITKLTAKANAKVAVDIVQWFNFTTFDLIGDLAFGQPFNCLDSAA YHPWVSLIFSNIKLGVFFEVLRRHPFLGCLKTFLLPKHLVQSQKEHRALSEKTAKKRL EAGGTERGDFMSYILRHNDEKGMSEGEIIENSSLLIIAGSETTATQLSGTTFWLLKNR DKYDKLVKEIRGRFGREDEIDLVAVGGLEYLEAVLEEGFRMYPPTPLALPRRVPPKGE YIDGYWIPGNTSVAVPQWASYQSSSNFRDPQKFVPERWLGDPRYADDVRGVLQPFSVG PRNCIGRNLAYAEMRLIMARLLWNFDLELMPESEEWNRQRIYVLWEKGAVNVRLTPVV R QC762_110170 MEPPIHITRRSRSHGALNRSYSSTSASDSSRLHRPRRAHSRQQL RSVNENSSLLVSPGPLESMLKTTTETGDIGLFSIRPARSSGNLHASSPRRRPAYGGTP LNRRPNGDGMRSYSLRDDRRWLPSYRDTTSEIISMYGSDSRYSASASSALTRPCDDMG NRSYSMTTCSSHRPPSQKASGDLQSQQQEGYLQRPRSPYPYPTRLKRPGVRPASPALT ENGAVDYSKMVGIDRVSLRTVHGSYKPTYPQYGNRQVPRLRQDGTSSSESFSSYAAAE KHSLSAGLPLSPAPWGHRYRGRLGSSASEHSLRTSSLTSILNMYQRSTCGPPTRDPSL RVKPPGTFYYDYSEGFDYTNDSPPPLPDLPSDIVTRSTSVCQSRDMDNVVKHHCPPSG PTLRSEEDIPSLGTQARNSEKLDSQSREDQLSPQDTLRKKPQNEKSNADSLDEDSSRF DAERSPFSHSRRYEEEEEWSVGTAVVCRRQNTSLTVRSDLQFSTPKAVRVSTTRSYEE DMRRLAVSPPKLGPLADYTHSSSPAHYRQRRAVSSPLRVQTRRNTAYPRGFGHCGLAS MEETSDGIVGTDAQNEFATSEDGMGHSNTYLSSLPAAETGGHFLRPLHVDDPASRGHK RNLAMHTINTNDLRNLTEAEIGSIVDRSRTPMLAPHPISPARQLRLQNSVPQLMKALP RSPGIPVRSESCFANFYSQDLEYTTGFSTPDPSSFGVKQPTMVDPMFPYGSDQQEPKF KTPSSPLASAVVVEELGDDGVYADSREKPRRSGSRNSSRNSKLKLKVSRGALNKMHAE GIGGRRNTAAGPAGEWSGPHPKPRGRPSRNHMNPGVTNMDSLVESAAEAEKTDICDQE VAFLDSPCSSIPTPAIPDSHQDDVGDKPSPATQGPREVTSPTARSEARSSLSHDSCVS GQSPRGLKKRFPDLRVRLAESRLRSAETLTPDGRIGEVIEVVLTPPVEAPMSESGHGS KVNLTTKDGDGDNNASDEGAAGKHQGKGFRGRMSRWFKAARQVVMGACSGSGKRG QC762_110175 MEHTLDHHHSKGKGELHDMATDGNGATTTNAQEFPLRRRSKPPL KPDEPGEGSGSRTPPPGDEDAEVVGGGSVRYISDLDGGDSRGVVDEGGKERKRGGGLR ERFREWGRRLRLICGRREGRRRRKGVDKGKGRMVATEGEGDQEGDGVVVVVVGGREEE GEEEEEEEEEEGMYNTLPRPLSSNPVSGLGETHPVLRPGVPGEGVDFFDNPPPNTQDQ QLATVREVMGSGPRRFAPAPVVMTTTTTPALPRLHDPSSSISQHGGFDHHRQQHQHQP VIIHSPHPIADTYTHEEDWARVHQAKASQHLAPRPLLSQHQQQTIMIPERHSSKWRAR SFYSGSFRTRSQCGSFDSRMSWNPFDLPGPPKRTLMSDMESLLGGRGGGIGWRRSQGS SSMGQRQGGSSFPWPPTNSVKTGRSSTIEQPDSAVGGVGLRGDDEDGFMRGDAANKTV VSSHTPTPMSVPSPPTVTKTTLGRDPSRKTDGSNTGMTSWSGTGSETVNDTVLGGLGE QRRKSGGAGRRDEDEEEVERTGVKDGAETSTGEAGVEGRRLGRGGKVC QC762_110180 MAQETEEGNAVSSPSPPSPSPPPPPLPPRRKVSGEAPLQVGRQL QSKPTTAVSSIDISTLSFPDGTRGTFPTPSVGSGPSPLNSGYGTPSRDSSGDNLTDSM SVMSLAPTVRAPGDLASLVAGEFNRKSRAWNLLRSQSETVQPFEAIESGDSGELSGFE KEFDNIPENLTDDVRLSMWQSKMKHYLILSSAGKPIWSRHGDLSLINSSMGVIQTIIS FYEGAKDPLMGFTAGKARFVVLTAGPLYFVAISKLGESDSQLRGQLEALYMQILSTLT LPTLKNIFVHRPSTDLRKPLEGTETLLSSLADSFTKGSPTALLGALECLKLRKSQRHA INNIFLKNRAEKLLYGLIVAGGKLVSVIRPRKHSLHPSDLQLIFNMLFESGSIKSGGG ESWIPICLPAFNNSGYLYMYVSFFDEDGNETQPKPSSTTKPTSSSTPTIPEEGSPPPQ PPPPPPPQPESPQEIALILISPDRESFFPFSSLRTSLYNSLTKSTHLSLIRSSLASPR PSISSILPGSTPPPISHFIYKSRPNVQFVMSSLHPLFTSPMARRKLMSQYQTLHAAMH AKHSHLKVIYSVGGDCASLGWVTPLFEFYCVAGPNVSRQAMTEAANRVVKWVGREEAR VFIIGGGVF QC762_110190 MAAENGRRSVSPESSGRDSPVPRQWRNQLGSEDAPPKDKHYRKY ANGIDRALSLFETALQEWADYISFLNRLLKALQARSSSITTIPAKATVAKRLSQCLNP SLPSGVHQKALEVYNYVFTVMGKDGLSKDLPLYLPGLASVLSFASLSVRAPFLDLLER HFLHVHPRSLRPAMKSIVLALLPGLEEETSEDFERTLKLLERFKVAIRPPESQEITPA HSTGDDFFWQCFFLASITGHSRRTGALAYLSRLLPRLGTSVTPEANKSEGDSESAAKL SQLVTSPEPGLLIRCFAAGLVDDQLLIQRGYLDLLVTHLPLHSEVLQKRAKAGDLELL LRAAVGVTVRRDMSLNRRLWSWLLGPEPAASAEHEGALDSPTSATTQPHFFSSRTNYF EEYGLQPLTRALLSMVKSEAGNNPSERARPYRICLSLMDRWEIGGLVVPEVFMSIVDS VKRFKEKAATKADFTEVLRSASVFFDGVESGLIYSEMLSLMVQAISPGDLSAADRRAK LDLVKFILAHFNVREEEMITIHAPLTVLAILGMIEEAKEKSRSTYEQALEIAAGLLDL VPERAFFMDPGNKSNPAIESKVLAATPNLELLQKIKTFYVTDQGNLEASQPPYVSHNV AELLLQKACDLVCEGLGQTESGANVAIKTRVFMLVLSKAPTNLLLDTKKLLAALHDCL SVETTVAFTTYSSAISLSSHLYTLGRISPDDLSGLVVPLVRHAWSFLAASEPKYHVET VRSLWLLQTALTPLNREIEAAICSLMLEHDISGTYAQRSADPGRSFCVLWSHSLQDNP SGVDRRAPKTPNGEKIPRLGGMDNYEVMLTRPLFLMLDSLADERTQLFMTVKTWLNTL IGMDKLLGIFVSRFAELPFLRRQHGAAADPQDVHFTNEDDLDLGLYYVRSLSSVLRWA PDAAWGVLSKRTIRSSQPVAEIAGTEEDVTLQEFFLHVCLQCMACDEIPGDQLSKVRI AQLYRAALTVLHQILLNPFAGPLSKLKLEHLLIDKLSKSLTGPDPYVQVLLLDVVFAA LKLRELVPVELPTSPVAEKQLSPIGERSKSFRISVANERPQAQLPPPPPTLLRCILAG LGSPSSRPVLDSWVSFLSECLPLYADSIFQVLIPLVETLCNQISSTFSNLQHLFRTPD QAPSQAPGAPETTLISLLNGLEQVLANGHDRLLAEEARAQVVKSPEQPQGFFGNMGLS VFSNDAPQSRSVTANDRLTVLLAFQDAVRICFAIWSWGQGSDAARQDSTSAASFGFTS LRMRNRARRLLEHLFAAETLECLETVIGIWKDALDSPDQSSHAEVFNLLPALDGSRPK HTVPALFNAIYSRTNPGALDPSRRSTLTIELQDTDVVIFLVDYTRSLEDDTMDEIWGD CTAFLKDLLGNPFPHRQTLPSLLEFAAVLGEKVDNTNFGEQRKMRRELADLFLRLLTA IFTTRPTSFTESSGTVSLSGAVSEKRASESLSLPLSLSTVNRLPGDRADDVVGILAGI VPNLAKILVENDRILQAASAISANVIGPTLRSKAFPDTVSGSTLVLLHELARLPNNQK GWKKDVADAFNDSRFFGSNLGLVQSGWLPLLSQWAVADKERMTEVLSRITPPTTAGIV FGVGATSARLEADRKTQLNLRRVAALILACPYDAFAAELGPVLEKLVELLGATSTSSP SSTTRAEVYMVFRALVLRISPVHLAGMWPVINAELHQAISSVVAPDHSPLADTYNNAS VLQACKLLDLFVCLAPDDFQLHEWLFVTDTIDAVYRPAGGHKPVALVDEVSEELGASG GAAAAATGVVEEIGGVGSGGGVRRPLLGGVISCDDGGLLERRDELVARVLRPFFSQLS IWAFESRYAMGRVEVGGLEGGLVRDVFWEGSVVRAL QC762_110200 MQKVHRIALGLLAAAAIATASDVVQLKKDTFDDFVKANDLVLAE FFAPWCGHCKALAPEYEEAATTLKEKNIKLAKIDCTEETELCQQHGVEGYPTLKVFRG LDNVGPYKGQRKAGAITSYMIKQSLPAVSDVTKDTLEEFKKADKVVIVAYVDAADKAS SEAFSAVAEKLRDDYPFGVSTDAALAEAEGVKAPAVVVYKDFDEGKSVFTERFEAEAI QKFAKTAATPLIGEIGPETYSDYMSAGLPLAYIFAETAEERKEISEKLKPIAEAQRGI VNFGTIDAKAYGAHAGNLNLKTDKFPAFAIQETAKNQKFPFDQEKEITLEAIKTFVDD FVAGKVEPSIKSEPIPEKQEGPVTVVVAKSYNDIVLDDTKDVLIEFYAPWCGHCKSLA PKYDELASLYAKSEFKDKVVIAKVDATANDVPDEIQGFPTIKLYPAGAKNEPVTYSGS RTVDDLIKFVAENGKYKASVSEEAEEPSAAPAASEEASSAEPAAETKEAAKEADHDEL QC762_110210 MATTSRILTRALPALRMMSTTAVRRNAAVAGAVPLPARKPVGAL RGGLFGFFFGSTLAGAGVYYYAVQEYKASNELLTEDIYTLQRSVERLSEYITAMEQKM ELLEKKKR QC762_110220 MNNFGVIEVASTATKTTPGWAYVPDTGPSLSATALQPKNRKRAA RNTQPGLSLSDLTARQENKLRKDLEALNRDNQRDVNIPVPSSSRSSHKHTPTVRKILQ SQKTFANHLDDYQALLALAESNPAAVVNNPLLFLNKPVVATSQAKSASPAPVREKGGS KRGQAAAKRAAVKAAAAAAAEEKEEEEEEEGRKRARQLAAEGEDVEMIDSPAAAPDEV EKLVETYPADGTILPAYNERPPAAHPGDDDPLLVSVVPSFPTDEELRSLMTAPPLSYM DSRAAFGEEGERYPIRVFCEMCGYWGRVKCMKCGVRVCGLDCLEGHREECVTRYGL QC762_110225 MAKAKGKKGKSESKQAKLAEKKQKQEKKADKKSKSKASKNNGDV DSDDDVDLDAVLEEMRLQQEQHHRITETVLDAPPPVSSYASFLANPVNSNQLLLFGGE LYDGARASFFNKLYVYYIDKDEWRSITSPNAPLPRSSHAWCRGGNDSKSIYLFGGEFS SPKQGTFYHYSDFWKLDCSTKEWTRVETKGKSPPARSGHRLTYYKQYIILFGGFQETT GDHKYLGDVWIYDTTSFVWHTPSLPPAQLKPDPRSSFTFLPHDQGAVLYGGFSRVKVN PGAGKGGQQSGKKSKGGGYGAKMTKVVGMIHTDCFFLRVTPPPSDAPQGALPTVRWER RKKPANAPNPPRTGAAMAFHKGRGIMFGGVHDHEETEEDVDSDFFNQLFAWNIERNRF FPLGLRKPRTNNAGKKGGGGGGGERGGRRGNRQANEEELLRSLAKLTAGGGGGGGGDD DDVMDIDLPGEQEPDEPPARKEFPVSNELPHARFNAQLAVQDDVLYIYGGIMDKGGRD VVFDDMYAIDLGKLDGCKEIFNRPLPDWIESEDEDDDDEDDDEEDHSDSEEEEDAGED EEMEDGVEKNNSKPFTPSKRGRKAPASSAADAESTPSEREDGEAETAAAAAEEQEEVV DDGLPHPRPFESRRDFFQRTSNEWQEILMTNLRWKNIQPETLSIKEIKSKAFELSEEK WWDCREEITAMEDEQEAAGIGEVVSLADRAEGGGHGGGAARRR QC762_110230 MMKLFTLLFSSLLGLLVAAQNDDNTQKQVTILAGGQGYAYHGCY TETTDLFVNTTSRRALDGGSNSVQPDIMTVEKCWEFCGKGSYKYAGLEYSRECWCSQT LSTLSTKVSDKECDLPCDGNNTQSCGGSLKLTLYITSAAASLAGGLSLLVTFGAVGMM VFDSVF QC762_110240 MGSRLEKNSAAVQKRIASHEFADEGGEEYEASAFGGFGDYFRRK KLKLQNLDVEYRAEKGDKPQIFKGIVAHVTGYTQPPLHVLHKELVQHGAGFLQYLDGK TTATHIIASAMPPKKSLDFTNYRVVKPSWVVDSIQAGKLLPWTDYRVIEQGPRQKTIK FDGNKMTSQQPQSKSPTSYREQTRDSFYNSQFQKSSQLASQQSSSPSQPRPAFKTHNS KHEDIDDIDDAMDLDTPSNPQNEPPRSAQKPPSPDPMASPPPPPKPKPIPNKPLTSEE HNALLLSDPKVRESSTANPDFLSKYYATSRLHHLSTWKSDLKSRLQKLTSQSCSRPSS KRKPNQRRYILHVDFDSFFCAISLQKCPEYADKPTVVAHGSGSGSEIASCNYPARKFG VKNGMWMKRALELCPGLKVLPYDFAGYEKASEAFYQVLLGVGGVVQSVSIDEALVDVT DVVLRGVGSTGVGGEESICWEQEKAEEIARGLRAEVRRRTGCEVSVGIGGNVLLAKVA LRRAKPAGQHQIRPEEVLDSIGELGVEGLPGVAGSIGGRLEEMGIRFVKDIRGTTRER LVSVLGPKTGERLWDYARGVDRSELGEQPVRKSVSADVNWGIRFVSQEEAEEFVRNLC GELEKRLLAEGVKGKLLTVKIMRRSLDAPLDPAKHLGHGKCDTFNKSASFGVATCDAE VIGKEAVGILRSHKFSPGDLRGIGVQMTKLDHIKPSAAPEGSQKKLNFGSAVAAQPPP PKREREQIVDDHVPYQLKRSSSSGHEVEHDPITEDPSTPKKPRVHPALALAEANAADE KANAPLNVRGTQFILPSQVDPAVLAALPQDVRSKLIARAKRPASPAPAAKSRSGSPAT ADEVPPDVDPEVFHSLPEDVRAEIWAQYGGGPSRQSRPPSRQAVRPHSPRINGTIHHL PKERPPLSPKRGGGKSLFSRGKQSGSGGARLFQTNFTRAVPDAVEDLDPEVLAALPED MRREVIDDHRRMKLSVDAAHHRHRRPPAPLQQKTLEFGRPLKLAFTGEEGKVTGLEEV KKMVRAWFEMNKKEGPHETDVEVFGGYVKRVVTVERDMEKARGLVRWLGWLVEEETET EEGRKGWEEAVEGIRGFVGEGVRERGLGGMDTG QC762_110250 MNNQEFRKLLLSKASSSTNNNDTSPPPPPPPPPSRPAAAAALGS RLKSSIPMTPRSTGRVDFARQLAEQKNKQFEKTAKRFRTSAPKGSRFAEGYVDRARQR EQEEEDERAERLKALEEAFKKEEIDRETYDRMRSQIAGGDLASTHLVKGLDFALLRRV KQGEDVWSGKKAGEDERGEEGEVEEDVDGVLEKLADAEVKAVTREKVRKKGQFATAAL NPGQKRSRNQLLAELKAAREAAKAKEESGLGSRFKKIGAKKTPGTRIEKDSMGREIQI TVDEDGHEVRKIRKVDHKLLEEEQDREAAALASRGVLGMEVPEFYRKQQEEAERAAKE EEESKEISIFDDAGSDYDPLAALEDSDSSSDEDKKARSDSAAVPPPPKPSSGPPEERD YFKNFRGGKVDLSTGQTYKAPSLDDPLLQAALKKAKANGALEKSEEEIKAKEREERLK KKLQESLRDDEDMDMGFGSSRLEDDADFEEKKVKLSEWGEDGDGDGDGYEGGGGDKKE KRKRGGKKRKGDKNNFEDVMRVMERQKGGSK QC762_110260 MSQILLDFLHPLLTSCLPCLPGTPTLKINSRSLKILRLLGEGGF SYVYLVQSLSSPNHELYALKKIRCPFGAESVAQAMKEVEAYKIFGSTPGIIHSVDYSI ATERGGGEESKTVYVLLPYYKRGNLQDMINANLVNHSKFPERKLMGLFLGVCRALRGM HVYQGGGGAGAQEEMVVPGRKRGGKNTVNGGADVDDEQEQGRSLLTEDERVTQARETG GKRRSYAHRDIKPGNIMISDSGDEPILMDLGSVAESPLPITSRSLAIATQDTAAEHST MPYRAPELFDVKTGTVVDTKVDIWSMGCTLYACLVGKSPFEMRSDETGGSLSICVLSG DWRFPDEGPGAKKNKGKGPVSPGGTTAAAAAAATEEERISEPIREVVRRCLRVEPSER PDIDELIEMVERVLEVLPEDAA QC762_110270 MSDTRIPVWLDCDPGHDDTFAILLAAYHPAIRILGVSTVFGNAS LEKTTHNATSILTAISQSASIPVYIGASKALFRPPMHPPTDIHGETGLDGTELLPPPA VPPVATIPAIDAAYEALKSTPRGTAWVVATGSFTNAAALFMKYPELIQHVAGLSLMGG AIGNGFTAAVLGTVDGVPRVGNWTQFAEFNILADPEAAAFLLTHGELSKKTTLIPLDV THLCLTTAQVQELILYGPEGRKEGMVPGRGKTELRQMLVELLLFFAKTYADVFGITEG PPLHDPLAVAAVLSGLDEKGGHKIPFYEHDPSVGVGMEGKGERYEVSVVTEGSYEDAK AGARTGQVTARLLPPGEEGVRIPRGLDLGVFWRVLEECISRADEANERARAVKQEAGV PTA QC762_110280 MATTITSTTSSTYNTTTRHTLSRRNNGRCGLSSISTPNLNQVYN AHSTTATRLGPPVPQLLSRKGSVAALTQNSLASIPDDSEAYAYNQVLASENTNIMPSP LTPGRLGGAAGGEDVQVGDVIDVPGNMTGTIRFIGPVTGRKGTFVGVELHPDFAGRGK NSGDVDSVFYFRTKDPNTGIFLPISKAVKREPPPIMPTNSYPLTPASGGGLKVGTQYS TNFTPPTPGVPKFSQSVGPGRATSPVGKKPTRPSLPRPESPVRRLQMTPAPRPSIGAT APPRYGSPTPNKFAQSVRGTAGDPSKRLPAHQRKGSVGPRSVSVLGVSSSSYQAHHLS EEDAGPVGVQRTQTNGSAGSGFSLKVRPASRAASRIGSHAVNNEEVERLKAELEERER QLKEQAATLMEMESSLTELQGLIEGSEGQMQAGRRNSLDDKDANALRAVLREKNEKIA MLTAEFDAHRADFRSTIDTLEMASTETERVYEKKIEELMQEIQELQDRTADVDTVANQ LKQLEELVQELEEGLEDARRGEAEARGEVEFLRGEVERTRSELRREREKAQFATNGLA RGGGGATSKELEQKEDEIRGLKAIIHSLSRDAVPNGGENGVVGAGAGAAQRSGSVRSH QGESIDDRLSREKLEREVAELRALVESKSTREETLERELETLRRSAVNSGAAGHRGSA ATMTAGSGNDRNSYRDSRGTVVLAPRSPEHKPAGGVGKHSRGNTLDTMPESDSYSTAT GDSTLWCEICETAGHDILTCTNVFPDQQSHHQTRDSSKTPDGGEGVSGGGAMTEDVKL PAPLSPVKAAKSSAVTAAVTAAADEEQQQPTSVVSAMEKPIRVNKEAVLGSEEPAASM EGGVVDEPMWCALCEKTGHDSISCPDEQF QC762_110290 MAEQSLYLQFRIFGRGWMVAALSYQSAPPFVNFGGLQRQDQGQL SVLEALSSSFSRTPTRSPLWRCITAGRRHAASTSETTLTTAAIMEWLSSLMTTDKAIK GALIIHGVALVGTVLLALDQWRSECETKPPQPKPQYITQDTEDALKLTTLDTLLGHYN HAIRETAVKIVCDRAVNDKDTLEQLLWGITRPNYDERLKNLRALAVVTDPHSLDKLHT WKAYAALVRCLELSLDPDQEVLNNEDWDEYPLRDMTDKLCLMFISQLVGTFDCEKLIK AKFVEKWLSKQNWGTTEEERHQNLAAYLRSKTNRLAEIISCIRQSPAGRVALQKCGLF PYPDTEESEADDDDTHLVERLSVLFPETLDVNSLDANHPTRVLLRSFQTTPGSEEQRD RNQRHREAMVLNDGSHPITSDDIIQRDPTSPP QC762_110300 MAGSQLKRLKASLKDQGIIGPQKSKKQKRQNAQDAKASNEKRIQ RHEALASIREQFNPFQFKTNARGPKFEVTTNKPVSSREAMGISGRPGLTKAKGEERRR ETILVEMQRRNKVGGLIDRRFGEDDPNMSLEDKMIERYTREQQRSHKKHSAFDLEDDE EMGGLTHMGKPLFDNDDAPKYLKDDFEEDVGSGDESESSQTDRRALKRQRLEGALEAM GEQEEGQPERKKTKKEIYEEIIAKSKLHKELRQEAKEEDNELRAEIDEAMRDLRPLLF DRIKPPAKSDKPTLVIAGKDQATLDREYDIQVKRMASDKRAAPTDKMKTEEEKAAEEA NRLKKLEEDRLKRMRGEKVSDDEQESDDENKQGGDDDFDAMDVEDADEFGLGQGIAGK AKKYRPTATELGFDDEDDFLIDDDLVASGSELEFDSGDEGEESDEDEESGSDSGSEEE DSDDDEFTKGLLTESEVKQGIFRLPTNNTKGSDENGVPYTFPCPQNHDELLEIFKGID VKQLPVATQRIRALHHPKLASDNKERLGNFAQALVRHVNYLGNQYQPTWAAALEGLTR HIHSLAKSFPIEVAKGYRSIIQEMEQSRPLALTVGDLITLTGAGTTFPTSDHFHQVVT PAMIVIARYLGQKIPQSLSDYATGTFLAILALQYQQFSKRYVPEVMNFTLNTLCALAP SARAFTPNTIGFFPIHDPPAGTRLPTSLPADASAARKLAFSDCLPSNQPSLELKLSLF TTTLSLLTSASTLWSQTSSFTETFSPALPILSSIPKSLSPAIQSLQQHLTRLLQISRL SRRPLELHHHRPLAIRTYIPKFEDSFDPNKHYDPDRERAELAKLKKEHKRERKGALRE LRKDNAFMARENLRVKKEKDAAYEKKYKRLVAEIQGTEGQAANAYEREKALRKKKR QC762_110310 MIVPYHLLQASGSVIFAAQGVDILSFNTSMEHLSTWKYPVKATE TPSEPAADAEASATVEAPPTPEGPPAKRRRTENDEKEAQAGDAGTPNSTNGQKKGRFH NKPQPVNLSNEKPFINCLLATANGSHVVAATGSDKTIWVFEHDGSGNLKQLSQRAMPK RPCSLTLTTDQKTILSADKFGDVYALPLLPSAEPTLPPTVQSLPSRSATPASAPIPFK PQANDKTVHTKRNLKALENQKISMELSLKAAAEKSAEESQPAFEHTLLLGHVSMLTAI TVAPGIGATGEKREWIITADRDEHVRVSRGIPQAYFIEGFCLGHEDFVSRLCVIPGRE ELLVSGGGDDDVFLWRWKEKQLLGRANILEEIKNIIEPELTKVAVSRLKGFSLASGET VVAVICEKIPAVVLFTLVEDTLKHTATYSLKEQGVPLDVELLPNNNLLVSIDTTEGKP DAAAPFLVLTRNGPDSWDQKPVVDVPAGETELNGEEMQRLLYTTESLRKMSDFD QC762_110320 MGGKSANKAGYFDKLKGLLEEYKSIFIVSVDNVSSQQMHEIRQA LRDQGVVLMGKNTMVRRALKTFLVDSPEYERLLPFVKGNVGFVFTNGDLKEIRDKILA NKVAAPARAGAVAPVDVWIPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVE AGAKVGPSEATLLNMLNISPFTYGMGIAQVYDQGNTFPSSVLDISEEQLLKAFSSAVT TIAAVSLALNFPTLPSVIHSLVNSYKKVLAVAIETEISWPEIEELKDRIANPEAYAAA APVAAADSGAAAGGAAAEEEKEEEEESDEEGGFGDLFG QC762_110330 MLSSRPPPVPRLRIACLAQTTRCHPRCGPATVGRCGVRHVTTAL FSGPSAASGTRAARLAPLQHLQLRGKKTTVVKLDELPQGLIVPPETPAPVEQDDEPQY PTVVQQARRNMQKFDNCVLLTRVGGFYELYFEHADEYGPLLNLKVSQKKTNAGPVSMA GFPFFQLDRFLKILVQDLNRHVAIAEEFPNDASAKIRSGGLMHDRRVARIVTPGTLID ENFMDPYANNYVMAVHLPKKAELSDHVSRQVGQQAINTPNPADDAPNRIGLAWLDLST GYFFTQPATLASLGSVLSRVSPREVVLDKALESAEDQGIASILQEESYLVTYSPQGGF QSLDDWQPMLEGKIPAKEAKKFTEEEVDAGSLLLHYVGDRLQGLSMKLQPPVRHVNME VMNIDKNTMRSLEIKETVRDGTFKGSLLYAIRRTATKSGARLLNQWLSFPSTSLKAIN ARLDLVERFIQDEELRDAIIVLLRRSHDSQRLVQKFALNRGDADDLLQLASTIKSTED IVALLEASIASQPEEAKPAPPEEDATPEEEEDEDPLATLLSRISLKSPLKLAHSIRSA IDEENLIQQHQLEDSRAGELLSLAQEIVSSEATEEDGTILPKSRLPSSSSPSQKPNRR KIPSIRDHYSEEAELFIMQPRASRLLSSLHKQLASLKSQKEELCLSLRRELNLPSLTL KWTPQLGHICHVKGRDSLSSPVSDTPSIRTLSTSRSTRSFHHPAWSSLGDSLSQTRLA IRAEESRVFASLRQSVILNLVKLRRNAAVLDELDVLTSFASLAREKNLVRPILNTSTA TIIVGGRHPTVESALQEQGRTFTKNDLFLSSPPSSPSQHGRIWLVTGPNMAGKSTFLR QSALITILSQIGSFIPADHAQLGLVDAIFSRLGSSDNLYASQSTFMVEMLETSTILRQ ATPRSFVIMDEIGRGTTPQDGTAVAFASLHHLVKVNRCRALFATHFHDIAGLIKEQNL TVQDGGQDGAVEMYCTDVEEDENGGFVYVHKLQKGVNRTSHALKVARLAGLPDRAIQV AREVLERNGL QC762_110340 MMAAPMPGPLSLNPQIPVTPPPDHHMFMKGLFPPNAFQQLGHHN HNHQHHSQPPQQYRGIGLGLQHHEPTPSNSTTSGPHIKSEADPPSLISPRPSSRSTMQ PPPPQLQTSPTFPPSYPSSKPQQQQQQQQQQHHHQQQQVGIDPATAAQAAVDPRYIAM ASRIASYYQQRCQAVANFQQQRCQQWAAAQRAKCQEMTQAAMLVVAWYIRDRINRRRK RQKKTFKRALNNKNSAKSKVTKGETVRNWVMGVPLEKGDFVPGRELPKDEQERDFDMD RMGEREEDKDGRLFEVADGLIKSQLARVEVPFLGLVGFEESESEESEGESEMSYEEEE LEEGEEYEEEEEEEEYEEEEEHVGMGKGKEEENTMGSLSKDAQLGTVEGTDRRKSRDS SVL QC762_110350 MGGENHCGNGPRSDYPIRAPKPVGQYISQIYKSRIGQFYARGQY ANENLLSMMNDAVVYGEPHVQLWVWHAPGQTRPTFKEAVSHDFEKTKVGASFGPSWTT HWFRVKITVPDNVRDQELVEFHWDANNEGLIWSEDGKPLQGLTGGGERVEWALPKPFL DGKEHTFYVEMACNGMFGIGQGDLIAAPDPNRYYTVERANIVAVNPDARGLHIDTWII GDAAKEFPSDSPEQHHALDVYNRIIEAFEPGNKDSLKTCRKIAQEYLGPDVDSHKVYE SDKKPLVFGIGHCHIDSCWLWPFDETKRKVARSWSNQCNLMERYPELNFACSQAQQYK WLKELYPYVFERVKQKVKDGQFHPIGGSWVEHDTNLPSGESLVRQFVYGQRFFEENFG KRCQTFWLPDTFGYSSQLPQLCRLAGMTRFLTQKLSWNNINKFPHTTFNWVALDGSQV ICHMPPAETYTAEAHFGDVKRSMSQHKSLDQDPTSLLVFGKGDGGGGPTWQHLEKLRR ARGISDTVGLLPRVHMGSSVDDFFDHLEAKGDKLVTWYGELYFELHRGTYTTQSNNKR NNRRAEDALRAVELLATIASIEGHCAYPKKEIDEMWESVLLCQFHDCLPGSSIEMCYH DSDEIYKKVFETAEKILGEATGLREATADAVTSNTGVAFTSLPWSRHEIVELADGELA VASGPGPFMKLRPFSLESEETLVTLEQPSPDVFVLQNTQLKVKLESGLITSLYDLKAN REIIPKGQKANRYVIFDDKPLYWQAWDVEVFHLDSRKELSTSETKVHEQKKHRVSVIT KTKISDKSYIETVISLSACSPSQQSFVEVSSKVEWHETMKFLKVEFPVDIRNTEASYE TQFGIVRRPTHYNTSWDMAKFEVCSHRFADLSEHGYGVSVLNDCKYGFATSGNLMRLS LLRSSKAPDAHADMGTHHIRWGILPHQGGLSHVTVRKGYEFNNPLKIFEVESLGDVKS WLRTRRVWLDSESDEGLVLDTVKRGEDDEKTGEENVILRMYDSLGGLARGRVKSVWGI KRVTKVNLLEDEVEEVEVDGDGFGVELKAFEVATYKLELDH QC762_110360 MVKEAKYYEILGVSPNATEQELKKAYKISALKFHPDKNPNNPEA EHKFKEVSHAYEILSDPQKRQIYDQYGEAGLEGGAGGGGGMAAEDLFAQFFGSGGFGG GLGGMFGGGTQNRGPSKAKTIHHVHNVSLEDIYRGKVSKLALQRSIICPKCEGRGGKE GAVRKCTTCDGHGMKTMMRQMGPMIQRFQTVCPDCNGEGELVKEKDRCRGCMGKKTVV DRKVLHVHVDRGVRSGTKVEFRGEGDQAPGILAGDVVFQIEQKPHPRFERKEDDLLYN AEIDLVTALAGGTIYIEHLDERWLSVDILPGEAISPGTVKMIRGQGMPSYRHHDFGNM YIRFSVKFPEKGWTQDEAAFEALRKCLPSPEIINTPPANAMTEPADIEDVDASSKGGF GGATAMDEDEDDGHPHAERVQCASQ QC762_110370 MAQKSGVKQQQQSEDQAQSAISTSSATPSSSSSAKDGAGATVAN SNTNLGGPVSSTSTDHPPPPTMSNLPNSSRSSSSTASTTKSESPQPMEKSSASAAAKD AGEKASPYGTRSRNRTGAARPNYAEDRDIDMELFEHTNKKDTDSKKAPSSKQQHLEPP IPQEAGATSSSSSQAPPAANAGQTAPRSGNASSRKPLPDESRQTTATTNGTKDSHPAP SSTTSTNTANKTNGNSTSNNHKGKKRKATTAAAPAADASTPSGSQTPSGTNGASTSLL AAAVHQRLAGTASRSMDGGSATPGAPGYGETNMLTFENCKSRPTKDGKMIADDGTVLQ KEDHVYLVCEPPGEPYYIGRIMEFLHVKNDTSLPIDAIRVNWYYRPKDIGRKVQDTRL VFATMHSDISPLTSLRGKCQIRHKAEIKDLAAYKRAPDCFWYEKLYDRYIQKNYEVIP TKQVINVPEHVKKVLDEHWKYVLTEQGRGKELTSAVKTCKRCVTYCANNDSVDCAVCQ HTYHMNCVKPPLLKKPSRGFAWSCAACSRAHERRLEGRNTPGLADGGRDGEDDELLDD EDEEMGGVDGVQTGRTSRTSPASDETRQPPTAEQIYHASLWPYRYFGMHCKVEDALDL DDRIFPRASTRLGLKHQAVVGPWPGRPVEYVKPLEFKKSGKGSNKLTKEQQALLEAER IEKEKRPKWVQDTPPGYIERGGDETVTTLWKEPAKVGKELPDAAIDDYMDTARSMAVS LGVPKQSTNLQDVARDLLFKFDFNAEKALRTLPKVPKEDFKEPDLTPAEQKKFEEGVA KYGSELHLVMKHVKTLKPATVVRYYYTWKKTDRGKQVWGNFSGRKGKKDAKKAEAAAN KLADDVADVHDDSAFDTEKAKEKKRGFLCKFCGTKNSRQWRRAPAAAVSAVTENGGRG ANKDKKDQCIQALCRRCAELWRRYAIQWEDVEEVAKKVAQTGGRGWRKKVDEDLYKEL LAAEEMANNIRYRTPDPAATASPARSFSAQPTDKEPPRKKLKSIPDKDADQTASESGS VVNAPISKKKEKAVEKPPPPPPPVPEMPKPRTLPCAICLEMEPMGNQHLCCRECRLTV HRSCYGVLDNRPPGKWTCDMCANDKNPQVAIDYKCVLCPHEYTHHDFVEPPKISHKKK TEKERERDRMERENAQKAADFYRKKQEEMNRPVNPREPLKCTTDNNWVHVTCAVWTPE VKFGSAKALCPAEGIPTIPRAKFAEICKACKRDGGACVSCHQCRASVHIECAHQAGYA LGFDITPVKGSRRDQHNIVSLNGDTGTMSAVVYCKEHLPTKTVIYRMHDIVAENGTTA LQLYVQNYKQADTALKGCAKKANQIAVTSKVSTSPSTPSHHVNRRASLINNSISHILN GDAPMEDAPSMQQNGKICLTCATDVSPKWHPINQEQERGLTNGYFGNLGSEAQKFVEQ RSFQCHKCKKLGRQPNTHPQLKREPTPPPEPVRQASQAPPAVVPIGGPTEPRHPSISS YRTWSPPPMHSASGPPPVVQPPPQLQAPLPGPTAPQLIPPPVAVQSQAVQPPPLPPTI VPRGLPVQPPPPEYAPANRGYSDWPRASAHPNNIMPPLAPPPNHLRPPPIQTIGPPPG PPPHNGIMHSPYRNGVGLNGGGSSPPRRTSGPHQPPPVMNGYGHHVEHMRPPPQHHMM EPQPQPNYLRQGGPHWGGHVHQSPPPLREPSLPPPNREPRASGASASPSLRNLLS QC762_110380 MAGAASASNIDAAAEHSGSGNGSGSRSPNAVARPSVISNGEGSS SSPLTGAELTSGSGPGSRSASASVPGSGSVRTLEDVMKEPSTSVPDVVVLNAVPESRL RRDTLDPKEDLWMSTADGRYSSPIIPLKVGSSPHIETFYVHKHILIKYEYFEKALCGP FKESETQSIELPEEDPAIFHFLVSYFYEGRYDPIKPLAAVLIADQPKGKDPEHEISHP ATTSANLNTGADSDSDRSLSSLESDVSTISRRRRDRRIRRAERQYERLRQKHPGHHRT NCPCPSCSNVQTGPACWSCRAPRMPPPPHPPPGAIHPNVLLMNMNGVPGPGRPPPPPP PPPPPPGHPAHHRRRNANRRGPHPPPPPPPPPPLPPSANTNPNPRLPTPADLQTWLLT YNLSLSVYISASKFLLEPLKRCVARHIIDLLESAGPDCASLELLYSCSTLYTNLPSYD PLLKMIFARVAFLQCWKLPTEGGDGDRYLVENPEIAALLLKEMASRTEDGGLGGTSWM VTGADGGRMLPSMERVGDGWADGVRNGGGINGGGTGWMVQNGGGGGGHHGGGGHHNHP LPPPGPPGNHQGYGGWNGGGGQVQGQVMYGHHNWPGRR QC762_110390 MDPVWTARLVGSRSHIHPGAFHVTELFFEVPLNYARPGDGTIRL FARSISRPEKTIPGLSKPADEPIKPYLVYLEGGPGFGGPEPRSHPVSNRALDAGYTVL YVDYRGTGMSNPIHTDLVLKQGDVNQQVEYLKLFRANSIVRDLEAIRLCLTESWKPEY QTWSIFGQSFGGFVCLSYLSKYPQGLREVFMTGGLAPVERQPLEVYSALYRKVIQRNE AYYSKYPEDEANVARIASYLTTNEADIQLPGGGTFTVHRLLGLGMAFGGHGGLDTVHN LVVKLISDLDQFNYFTTPTLMALEREVPFDSNPVYAILHESIYCSRGVASNWAALNAA RKFENFFFWTQSDHNQLSLPVDGMVRVYFSGEMVFPQFFDTYPTLRPLKPAAEALARY SQWEEDLYDEEQLRRNEVPVYAVSYIDDMYVDIGAARETAALVKGIKVHETNQLHHNA IRAKGDEVLGMLFKLRDDTLD QC762_110400 MTHGMSAAILIQTLTESFAALADEVQSLIDRKTILEHKLRYAHE QQFQYLADKYAVPDVSETLAKLQIPPDLHLLATATSAVPLPKRGLDGNNQHQIALLIR EGRKAAEQLAIAMTDAVQFARSGQDTPLSLGMEGLTATSTVLEKDFTIHGRKGSLACP FSTKLNQNGTPHGHHAEQVDGSQDLAGGTGADPTPHKSTDPICAAMLEDAVPSPTAAA AASKCPIRFLDKHSPEEIAHYVETHKHEIPRSHEVCVRRYQRNEEQIRKLDAKYGNLV SMVEDLSHLHRPMLPPAAGNDKTRVGSTSSNKRVEDWAQTIVAADPDIQDNEMPPTPH DEEGDRENRFDRNFREVRVGESPTRPWGIPVPIQGGLRPQDIPPVRSSSPVPPMRTEA PAVAPEVKAEPKKCPFDHTKMGFKTQMKPHPPTADPNLNVGHNLNTSSLPLKYHQELP SSPPQPPFVSLPKSVKPAAPTSGDKGADQPPQIVYNFNGPVFIGYPMEQAMQLMQQWQ QQKQ QC762_110410 MPGEEFPPFGPPSGGGGRIPSPTKNTFNHHHRLSLSTSSSPSPS LTSVPRITSNPTSPNLQNDLWQQQSQSRPPSTSFSSSRRLSTTRRRSNPYSSNPLLDT PQSFLQKATTTAITLSQSTLRLFLSLPRAQQLLVLLALSILFSLGILFLVYSHTIFTS LAPLAASWKQSWLFLIVIFLLTCLTGFPPIIGYSTCVTITGFVYGFPHGWPIAATATV AGSTAAFVTSRGVLKGYVHNLVGKDKRFVALGQVLKKDGVVVLAMIRLCPLPYSLSNG FLATVGSISPVTFAGATALTTPKLLVHIFIGSRLALLAESGDKMTGFDKFVNYASMAV GAAVGMGVAWAVYKKTMQRAEELAGEDLEGGLLPEAGEEEERLVDPDEMDAAAVDVLM DDDDISLWDNDSSGRMGQEQGYRDDVWEDAPELPRGFK QC762_110420 MVSDETYEICLPILQDLTLEDEDKTDKLEEVLREKTTLRDQALE NAILDALWRFRDGGGTATSPPSIRQTILRRPSPAPWRGSGTPLSGSPRLGVSPLAPPG FMPTPFSRAKSVTASPFGSPRPSPRLAFAAPVPHSPSLNAYRFNDEPPSQEVFGDYQS DNVDWLVSDDAASVTSSIGNASGLNVAAPEFVSTRQETDMSPYDMLRTILGPTKTDDE ISAALAMHGYDLGATVQAIMETQMQDNLALAAQAEEARVTIGRSLTPDNRPSTPGDQQ KTGIICKFYLSTGSCLRADCRFSHDLSSHICKYWVAGNCLAGSTCIFSHDPAHLANRL HIDGSDTPPTQHATVNLQDYSSFPALQPGTPEQLPIFAAAGNYPALGVTPPPGFKGHH GYANDRPRSRPGSRHQQKEATQPVPSPDDADAFPSLGAALAKQGKKHHGKRGGHGHGH KENFPPNTLADIVKMSPSPTPSQQSRKMARNGSSTSIRNGENSAAAQAIPNPKHIPWL ETGEKANKAYLKARQEAIKHGGLRNKFLQSAAQAWNRNDARAAKALSLRGQSENDLMR RAHREAADQLYKERNKDRANCPEIYVDLHGLHPEEAVEYLEKVLMENISEVRPIYAIT GTGHHSKNGKDKVGKAVRSFLNEWRYAYREFSVPGDRNSTGGILGIDARSWDRSLSKD GATHAKKAGGGGEGGEKEDVDILSQGVEIGEGKVKLLVRDTSVAKEPPKGPARR QC762_110430 MILPHHQRHNRSIAFEEAANGSQPLTHRSVTGNGDKEVDLEDSS VDSSAVPLHPLGIKPLGNKYFSNGNDARKYLGTLQGLPDEMLMQLLEYLDTRTLRLLG YTCKFLFACCMSDDVWKTIFLESDLGKQSSFPWLGSWRSTVLGLSTEKQIQIDCASVF SDVLHRPFVCSHISLRNYTTKIPAGNEIDRMEDLSYEQFADKWSKKPFILTKCIQSWP VSQTWSIDSLLAQCPDVVFRAEAVDWTFRTYCDYMRNSRDESPLYLFDRKFAEKMKLK IGKEEGAAYWKPDCFGSDLFELLGAERPAHRWLIIGPERSGSTFHKDPNATSAWNAVI QGAKYWIMFPPSASVPGVYVSKDSSEVTSPLSIAEWLLEFHREARQLPECREGICRAG EILHVPSGWWHLVVNLEDGIALTQNFVPKSHLANVLSFLSDKADQVSGFEDDVSDPYN LFVKRLREECPELLEEGLRQLAEKQAQKKRRWDAVVGDSEAEDQPQKKSTGFSFGFCF GGDDDEEIP QC762_110440 MADKGLEDVPEGQIESNYDETVDSFDEMNLKSELLRGIYAYGFE RPSAIQQRAIMPVIKGHDVIAQAQSGTGKTATFSISVLQKIDTSLKQCQALILAPTRE LAQQIQKVVVAIGDFMNIECHACIGGTSVRDDMKALGEGPQVVVGTPGRVHDMIQRRF LKTDSMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTKFM RDPVRILVKKDELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKVD WLTDKLTARDFTVSAMHGDMDQAQRDLIMKEFRSGSSRVLIATDLLARGIDVQQVSLV INYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPMNV ADLI QC762_110450 MERILDRSLDEILADRKQNNRGGNRGNRGGNSSNSRDGRLRRRD NNNNNNNNNDNRSDYPRDGSFRDEAPRNLDTYFDPSARVKDTGRKIDGFRSEWVHDRY EDHGSRRSAPRRRNSNEYSGDSRGSKIRVDNIHYELTQEELEGLFSSIGPLVKLEMKY DRAGRSEGTAFVTYQSSHDAAQAIKEFDGANAAGQPIRLTMMPTGPRRNPFDTAINPR PLAERITVPSGRSRSLSPHRQSDEEAARKGIDRYRPGASRSRSPMPPRRREGGGGGRR QGGGGRRERGGGRRDDGGRGGGEKSERRPKKTQEELDAEMEDYFGGGGAQQNDAAPAA NGAAAQQQTDGDIDMIE QC762_110460 MADLPDFDALRGRHLGLIGVISMGDMGSGVARLLMAHNYAVLTN VSDRSEDTKSRALSAGAVLLPSDELLVDHSDLILSIVPPAEAYSTAERVVKAWDRKET ELVYADLNAVSPSTLLSISSLFTGVKFIDGSILGGPPSFVDGSWTKPIIPTSGPFSLS DIFPGLGEVLGEKQISPELGQASGLKMVFASLSKGYAAVALQSVTTAHQLGVLPELLE SVNELQGEAAGKKLEQAVTGLAPKAGRWVREMEEIGKTHRENGNWEEWEGIFEAAAKV YELVAKGTVLGGERIGKRERGTTVEGVAEAVGEGLGRRKEKEE QC762_110470 MLPANFLRRVAGCGGGVIGGRALSSSFPGRRVVSVTHGHSHISS SHKIHHGCHNIGQQRRMIQSLLARHKCVTVGLGSLELSSSMSTAELKKRVISAVAQSL RVSSTDDAAVVLVSRSLAPWLEDQAFMAGLLEATTSDETGRELSVLSAAVDEVPRFQG APSSSEGLSVLRGSSTRVLPGLWEVGSNQTFSPSQQPSFLELRLPGLQPKAEPLQVTV PLANTIFTTGKSHALFASKWRHDPAAARKGLSMAASVEKTTQTILLPGYDQSSASTVY TALVPVTRPHKIVAGLGNILRQVEVGVKPEPASKELEAIIPALLKKRLEHSESDELTY SGPVGVWALIMPEGAVDQFPIPNPLDVQNYDPSSEWDLVHQTSQAMDGLIAAGCQLRK ILSGGGGWGLKQGLLSLDPNTRYAREEHEDMESFIRSFKREDATGGIVTPGSYVQFFT DAIPPTEKTRLKLAWPSDRPTFAIGTSGGKTSEEVETFPDLFGAVSSEGVYISSEENA AITTKLDAPQSYVVSHASEE QC762_110475 MATNSSRGNPIGARDGPKTSTGAEVPPIGEDTKQDLAETSTTEA TGEHVPGQDPTVEAGTTEEGAAADAAVPAEAAAEEGEQEETKSKKKH QC762_110480 MEIDDSGDTGDGRRLSIEKKPIIITDAIIGDEDDEPSAFERLPD EIIQQILQETDPNGFASLALLNSKWRSVAQQAHLYAHHLSNCPSYAMSHSGPVKVNSE DDLPKLKRQFAKEVKRNLFEAYLRPNETVIKVISNSISSSSCPGGEGIQFSASPKGHH ILAYNSSRIHVVDVRGAEIAVTREFKILRRPAATCINDQGTMLAVLLTEMQVDIYDLT QSPPKRTHSMILDHSPRAIALSPCGSVLAAAYEGGIEVSSLNQTAMATDRRAVKCDGV DALTFSFDGTQILGTTVHSSQPNTVILTAPYYDPGSHMESDDISALWTTSILFPNTSR DCSHAVLLQNGKYEEAAWTFTYDRSFETFRAVRIDDLRNGTTYFTGPVPNTESQTRLI PCTLPAASYYGELVSAGFQGKDIWLYGVPEDLDAVPDTTSAAAENNSGNGGLLRRNSG PSMRAASRVQENEARVPQWQILCDKLRNTFVGGYKIAELEGVSTVKFVADFADSCLKE RLVITARGVTPNISVADEEGIDFVDGGRITLLDFDYNVTNGVIKEFTIDVGTREPEVL EEEHRDMETEVAIVRRRTVAQKRGSRAVSTVMRSATTAAPRPPPLPTQPSSSTQKEDE DDPLVPRRVGTVPPRRNGPPSTVDEGGETESMLEEQEALDAPYSQGDPRSGTTLRRAA TAAANNRRLQPQQAAAGPVEYRRADGRAEHPHESDADNWVPPPPPYQKEDPGDLPAFL RHTAVLPAGAGVQTHDGKLQVDTADRQAARMSSASMFQPRRSQTVTTTTNNRHSSPNP PVPPVPPLPSLDIIPPVPALPAGIMGSPLPPPPRIMASHERNSSSGSLSVQNPVVRPG SSSSRFLDGDNIYDVSPPDSPALAPVRQHVSREPSGSPAENDPSPPVRASMLFVSPPT ASQGDFAGSGPSPFAGQAARVSPETGLPGASETTMASSSSVTNALVPPSHRPVVASEE PPAVRRLSVSRTWPTQPVPINTAAVSDGYPYSAPVPGNGTDCTMSRSFPPLPRPDQIS NLGNGNHRFSGHFQLPPRAPVAVAGPHSAHPAMTMDEHLPQPQQPWRRTSYQRPVLQQ QHYSFPLQGGGGGGSPPYHRSCHSRATIHEPQPRRPSDIVTSGPLVQQQPQEDMPLII STPKGVQGAFDAPGSFSSTQQRAETPILAPVPRHPRPVTQSSILMPRQVPERLDTIFS LSDNGQQQQQQHHHQFLNHPPPVPGSSSGGGLSGFARRVPSLNRKPSRAERSAAKNIA DAKKRGWSGMTVRSKSRRGRGKKKQEMDAMSTVAWTNVEGNNVTWQRGQGQGQGGKEK DRKCVVM QC762_110510 MPRQSARQAAQAKPTAEAIGRVEEKVVATKVSKAKSAASVVESK VEVNKRATTKRKVDYNEDKEDESVNSNVNDINDIKEEEDDEPSTKPAPKKRKTTTTSK KTDDSMPLAARTPLNTLKLAMKIGAHVSAAGGVQNSITNASSIGANAFAIFLKPHRTW KYPPFSPETPSLFSSLLKTNTYTPDIILPHASYLINLAQPDKEKSTQAYSSFLDDLKR CDALGITLYNFHPGSTGGLPMSEACARIASHLNTAHKATKSVITVLENMCGSGNIIGS SFSDLATIISLVEDKSRVGVCVDTCHAFAAGYDIRSPEAYAKTMREFDEVVGLKYLKA FHLNDSKAPFSSHRDLHENIGQGFLGLRAFHNVVNDERLQNIPMVLETPIEVKGPDGK KTEDKKIWADEIKLLESLIGMDADSKEFKDLEVELQKKGAAERKRIQEQVDKKADKDA KKAAPKGKKGAAAAAKKKKKTEEEACDSCGEESE QC762_110520 MPDNDDSVNFDLIEGHKENIQSLPSGRSAKKLAQLFSPRGSPSS SPHPNLDDLKGENSRIKASFEQEIQNIDESDDPLDIWDRYVKWTLEAYPSAQNTKESG LLGVLERATRGLVNDKRYKQDGRYLRLWLWYVGWFMDNKREGYVFLSSKGIGEGLALF YEEYAGWLESVGRWAQAEEVYKLGIEREATPAARLLRKFGEFEQRRAAQEEGDGPSSP AIPAQRKVLGVRADPFGAAEPVVRDPQAPRQTSGVGGGGARPAKSKLAIFSDADAAPE PSAMSSRGPESKGWDSIGSMAERKKENTMAPKPWAGETLKAGGKKPPSAGAKMAVFRD PSMDKQISQSHIRIDYTKVQVTVNPASGKRERIYVDLRAVYPTPDEPGTEKSFEEIWA ANRGWLDVEWEDEQQHESTLFMNDENSFPGAGNVGGKPQKMAIHADVIRLDENGAPIY PKGEKTMRRKKKQELNETQTIQTNFDSPSGPKKLKKKKRQSVGEPTMTLHTKAATDDI YDIFNAEVKPVKPLGDSDDERGYESDDYTMGDGTRRISTSDVDEDVEEEEEDDVDGDG DENASVWSESSHKQHQDQSNDLIDVHDGEEDDHPDEPPRTRTMFVPIPPEDYVPTRRP WRDPVEAANNRLPFMTPITERTEMSLDVTADMGRYELHSKTPSRQINKNMIAEEDEEE GEDLTPLSSPARGVFEDENAFPKGKVPQPLLPKARPALASKPLATKVLTPKATKTLVI HSKGPIIKDPQCNPVDTAVRGEILSNIQPPLTSYDGFYDHRSEKSDRSAEIRKYCKAI GKGGNRSSTGDKSSNLGSSVTLRFPGIEREYAIRRELGAGAFAPVYLVNNSHPSVNNS DADDDDDENNENAIMGKGRFASAHHKRYPQEALKMESPPTPWEFYMMRLAHNRLGPLD RATASLSPALEFHLYQDECFLFLPYHPFGTLLDVVNLFRGEASGVMDEQLAMFFTIEL LRTVEALHAKGIMHGDLKADNCLLRLPGDETPLSTKYQPSGDGGWASRGITLIDFGRG IDMRNFRDDVQFVADWKTTSQDCNEVREGRLWTWQIEYFGVAGIVHCLLFGKYIETVG VRDGNGLGKRYRIRENLKRYWQTEIWGGLFEMLLNPGNFVGGEEGGRMPLVRGLRGYR ERMEGWLEQNGERGLGLRGGLGRVEGWARGRR QC762_110540 MGITKVHARYVYDSRGNPTVEVDVVTEETGLARAIVPSGASTGQ HEACELRDGDKTHWAGKGVLQAVKNVNEVIGPALIKEKIDVKDQSKVDEFLLKLDGTP NKTKLGANAILGVSLAVAKAGAAEKGVPLYAHVSDLAGTKKPYVLPVPFMNVLNGGSH AGGRLAFQEFMIVPSAAPSFSEAMRQGAEVYQKLKGLAKKKYGQSAGNVGDEGGVAPD IQSAEEALELITEAIEAAGYTGQIKIAMDVASSEFYKEDAKKYDLDFKNPESDPSKWL TYEELAALYSDLCKKYPIVSIEDPFAEDDWEAWSYFYKTQGESIQIVADDLTVTNPLR IKKAIELKASNALLLKVNQIGTLTESIQAAKDSYADGWGVMVSHRSGETEDVTIADIA VGIRSGEIKTGAPCRSERLAKLNQILRIEEELGENAVYAGENFRKSINL QC762_110550 MCRTHAQADVASGVNVAARELLPTNVIPRHYHVTLEPNFKDFTF DGTVVIDLDVAEDSKSISLHTLELDVHSATVSSEGQTVSSSPKISYNETTQVTTFDFD NEVPKGKKAQLEIKFTGQLNDKMAGFYRSTYKKEDGSQGLLAVSQMEPTDARRSFPCF DEPSLKAEFTVTLIADKNLTCLSNMDVSGETEVQSKQTNAAKKAVTFNKSPLMSTYLV AFVVGELNYIETNEFRVPVRVYAPPGQDIEHGRFSLNLAAKTLAFYEKVFGIEFPLPK MDQIAIPDFAQGAMENWGLVTYRVVDLLLDEKASGAATKERVAEVVQHELAHQWFGNL VTMDWWDGLWLNEGFATWASWYSCNIFYPEWKVWETYVVDNLQRALSLDSLRSSHPIE VPVKRADEINQIFDAISYSKGSCVLRMISTYLGEDTFLEGVRRYLKKHAYGNTQTGDL WASLAEASGKGVEDVMQVWTKNIGYPVVTVEEKGNNTVKLKQNRFLRTGDTKPEEDRV IYPVFLGLRTKDGIDESQTLSKREDTFKVPNDDFFKLNANHTGLYRTSYSPERLAKLG EAAKNGLLSVEDRAGMIADAGALATSGYQKTSGVLNLLKGFETETEFVVWNEIIGRVA SVQSAWMFEDKAVRDGLEAFLRELVSAKAHQLGWEFSEKDGHIEQQFKAMLFGSAGLS GDQKIIDTAKEMFKKYMAGDRSAVHPNIRGSVFSMALKHGGKEEYDAVLDFYRKSTNS DERNTALRCLGRAKDPELIKRTLDLLFSGEVKDQDIYMPTAGLRSHPEGIEALYEWMT QNWEKLVEKLPPALSMLGTMVTIFTSSFTKKEQLAKVEQFFADKSTNGFDQSLAQSLD AIRSKISWVERDREDVAAWVKENTKSS QC762_110560 MFPKLFTTTLAVLLLLTFSPLGALSAPTTAPKPRWFETYSHVAR RAIVPQSYYEVLHIRRQQYALANPRSIVKDVVCLDRKAHIVAHDEAAASLQICNGSMA GTGRGQYCQDGLKATEAKVGTAVFRLKSVNERQSINVSRERWQMCVQAAREACPTGGL RGVCLGAARWGGSVGFELGNA QC762_110570 MPLGIQRLNAKKSQPNDRIIFIKPIPGPHEAIAQDFLERIAAQC VPIMRQHHLSVTSLEEFPPNREFVGRNFNAGEVIQLVLKSHSGRWLPFNYVQMVMMHE LAHNKQMNHSKAFWQVRNQFADELRGLWQRGFTGEGLWGRGALLSTGEWERNAVGEGE ELPEHLCGGTYRSRRGGRKRKVKVDWREQRERRILKKFGAGGEKLGEDEAVKKELEKG KKVKGKPRVAGSGRGRELRAAAALARLEQGRKGEGKVKKEEEEEEETDSGDEYEEDVS QGPDAVDFDGKKLLDGKGQGMVKVCDDENLNDQDAQDELRELQSFGRINKEPGTGSLI LNSVKPTPIKPDTSSEKRPPVPKPPPPKPKVQAQSPNIKLLHPKAEQSQPLKLKTPAP LPTTATTKPTTCPTCSFDNEPISAICAICSNVLNPARVSDSWACTSTTCTGTKYRNAD NVGICGVCGARKPQAILADQA QC762_0009150 MLKSIQSQLLRSTRLRRPICSSCCLSHTQRRWLSVDALGPVSAF IHTPPKGYERHDDLLLRDIFDSSTHWKEFSAPPYINKPVGLFRNQYLRTPSGFLTFAQ VSLKRARATVDKVLSAKTVEEYRGVVRQLDRLSDILCRVLDMCDFVRVTHPELLTQRS ADQAWDLVYQYMNELNTMTGLYDQLIKAMSNPDVTSVWSEEEKAVAEVLRLDFTKSAV NLSQRHRERFVWLSSQISTVGSRFIQGMKPEYPEITVPSSKLMGMDPVEVRSATRFGQ TTLETLGSKATLALRTVKDPEVRRALYHASRTASTRSVAMLEHMVKLRGELADLSGFE SYGHLALRDRMMAKSPEAVDQFLRALAHSNGHKAKTELAELRMLKERETGKSEEVYPW DKDYYQEALRRSERAPTRSDDLSAYFSVGVVMQGLSRLFTQLYGIRFVPTQTLPGETW HPDVRRLDVVSDTDGVVAVLYCDLFYRPDKSPNPAHFTLRCSREISAEEVDEIWLESK HDPDAQSFPSPEQAANDGMMYSKRGKAVMQLPTIALVCDFPQAARDQSALLNFYELET LFHEMGHAIHSILARTSFQNVSGTRCATDLAELPSTLMEFFAADPAVLALFARHYQTN EPLPYKLVTQKLHQARRFEGLDTENQIIMAMLDQRLHSAEANRPGFDSTKIFHQIQRE LSSMQPDPEDTRWQGFFGHLSGYGSTYYSYLFDKVLAQRVWDVVFSGGANGLALDRQN GERLKESLLKWGGGRDPWTCLSDVLKDERLADGGEKAMALADIHPSNPERESRESIKR KSQSMSSDSSPLTGQSISAAVGNGDSPVPNKKSRREHGQTNNGQSLLNSQSNRPEGNY KACKRCNSLFVQRHLEAADKTVPYHERTNLFCARCFMRLYICETRFEMTDLEARCGQF DDDANDGIHENWAVRVMIRSLSSEGHKIVKAATTPKTKELLEEQEWTRIISHLDRTLN WNAENDIDLELDWKEWRTNAHRWTGIVTTKLLLTFFDQETRRDSDWKCGGYCSHCEHF PGQPLGHFHERVVLGNFPERGVLGPEQPSQHWTEDDKLSNLTWDEWLADYDKSKGYDQ AMIDNLAALDSQP QC762_110590 MAARLLFQRRAAPLTAAVLVGSIAFSPSLAHAEAPNGRQFPRKP IYDDDLDDPLPTSKTLPAPPKPAAAEPTTPSTSLITLPTTSEPAVIKKETPTDLLAQR IRSARLYLYHHSCSLEDAVNSSLSRAFDLEQSFTSTISGLAPDRASGEKLMPGAIYVL VAGMAGSIVARNRNVLLRTATPLALGITAAWTVLPVTMTNVSELLWYYEKKVPAVAEA HLQVREGVEKGVYMAKVHAELAQRKIEEGVREVREGLEEWVRKGK QC762_110600 MSAKPGDRLKDEGTRLQVTAAGATAGVVSRFVIAPLDVVKIRLQ LQTHSLSDPLSTRNLHGSPIYKGTLPTLLSIFRSEGLTGLWKGNLPAELLYLCYFAVQ FTTYRQTTLLLHSTLGESTLPPSAESFISGAAAGATGTTATYPLDLLRTRFAAQGNDK IYKGFLPAIRQIHHQEGYKGFFRGLAPALGQIIPFMGTFFAVYETLRPKLSKLELPFS SGGALAGTIASVTAKTGTFPLDLVRKRIQVQGPTRGGYVHKNIPEYTHGTFGTMREIV AREGLRGLYRGLTVSLVKAAPASAVTMWTYERALRFYGSFGTGGNNVKGI QC762_110610 MTSQQISPPDFGRLVTPLLSALPGASVSTQPPSTVLPLLSPILR QRVKLMSEASQEPWIRLLTYDTAKVSKLTSIAQSGSLEPHPVSGEIELDWDYNVEIRY KRIDEETLQALVVLKDFDLFVRLVYCINDADGEGGPNGWRTGEVGTASSPSPLTEFGG FQSVSEAEAAFQATKAGGNRQPSTNGIRGVAVAMNTTVQEEEDDDDDYWARYDATPAR TPAMKQSPAPQSMRPEQPEIQRTQSAEDAYYAQYDDVQPAMDPHDPDEEVEQVEAPPP LGISRPAGSRDCGGLNEMTGSWTLEPPKSPSVRSTRSQEDEERTANLLHPRPASSASS NGSLTVAKLEESAERREQSEFGVKQHISRSIRSLFLLSRSAGIDSEEFERMVKTEMDV LSMVEDDI QC762_110620 MLRPRLRIPRASSPFALPASRSSTAGQLLPLAYRSSYHTVPVLE NIPEKESEDGQKYRSIDGFLSPMAFTTAWDYYQTHVLSNLNRLCAETNNDSLLLKDVV LATARDPAQAATFNYASAAHNNHFFFKCLSPTETKAEDMPAQLKDALVKSFGSLDALK ELMINTATSMFGPGFVWLVRSDRPKDPHQFRVLTTYLSGSPYPAAHWRKQSLNTATDV GESSERGIQAGKQYLENSARGAGWNASAYKKDDFAPGGVLLEPVLCLNTWEHAWLFEY GFGGSPKSVLDKVKGGQAGVAENQKSGKEVYAERWWDFVNWNVVDRLAFPGGTKI QC762_110625 MAITPCVSVLRDPRQLGGPWPASRREFWVPDQVPARLPIEHNVC IKGIERVTTESNAPSNRVECTSKKQTSKPTPLLFLSPSTLYREHTIHNDDEEQLRNFR ENPIGNSLDGFHDDVKNNVRKGLTFGRNAWLPPNRVHRRLILSKYGISIEYGASSKLA CTAWPTLRKKAGILHRDISLGNLKVSKEDDRGFLIDLDHAVKEVHLQGSERMVGILTF MANGALEGKRHWFMHDLESFFWVLFWIRIHHGGMGEDLSHDLRDLYKWWHYESASWVA NVKLGLVALEERFTRKVNEQIGPRHASLAPALNELQQVVFFEDEEQRLLRGREDENLY ALMKEILRRDRGDVIIEDAAKDGEKVLVR QC762_110630 MRVSNNFSLVLLAAGVTAQSVEPETGKLGDATIVSNNPVGVVYK AVLPAEAWFKPAYPDGGNIEGEVTAVAAESGEGVVYTYKLSNLPKEGGPFPYHLHVAP VPADGNCTVTLAHLDPFIRGENTSCNPFAPQTCQVGDLSGKFGEIRPEEDGTWETTYT DLYSSTLEGLGSFFGNRSIVFHYPNKTRISCANFEKVEGGVSSSVTVLPTVTGNGSYT ILPTGGVSTTTGGGPSTTSDAGAGTETTTSPPLSGAAGLRGSAIGALVVGAVVMFML QC762_0009220 MPFRLPPVRTKSILAGLAILPAAAISWMIDPTTSDTHTYTQPNE EIYRKRPVVAFCHLGIQLPTATLNTTLLFRKEKRPPATSTHVALLISYRRPSRPRVQL EPCLLLKAVN QC762_110640 MARPGILLRFSERRHRRGSSLNGHIKPADATSPVTTPTPRPVTR EKSWKHRSLGSITSIFHGHSQAPQNIYEKPVEVIRQEEYPHMNNGTYLDHSGTTIYAA STVTRFSHKMLNNLYGNPHSENKPAKVSGDMVDEIRLKALRFLGADPEHFDLVFTANA TAAIKLVADSFRDLAEQTRSGSFWYGYHRDAHTSLVGVREFTRNGEHHVFAHDQEVEA WLEHPGAYHRAIDRVSSLGLFAWPGQSNLTGRRLPLEWAGRVRRLREIQGTYTLLDAA ALAMTCDMTRVFGDPSQAPDFTCVSFYKIFGFPDLGGLIVRKDSGHILTLRKYFGGGT VTMVKTLGGGPVWHISKGAEMTEEGGLHDGLEDGTLPFHSILALGEAIEVQRDLYGNM DNVSRHVTGLVARLYEGLRGLRHGNGGEVVRVYEEGGEEVTGYGDSRRQGGTVAFNVF GEDGGVVSYDRVEGLANERGIYVRSGGICCPGGIFTALQYEPWQLNRAKSAGHRCGPH GLGVINDLPTGVVRASLGAMSTAKDVDTLIEFLREIFVTPTTTRKRGHSRKNSNSSTI SNSSVGSVGSVAFEPKPAETFAVQAPPRVTSGCCSLS QC762_110650 MKDRASDPLKVDPLKAISLSSPTRIRHQTASFKLLTKPQCSSTK KHTNVKHSTRIYVEGGSVHPKPRCVRRDGCLLN QC762_110660 MAVVAPPPLLKSKLDLWESSESALYPAVPPSAPMTDIMSYQYPP PPQNGADMDMSPSGYYPNYSVSSHTSSGMDSGVPSKDRSDSMKIKRSLSTPAVGPSPS QAPAPQSMVSQQSTAQGQDPLGLAGEKRRNKLGYHRTSVACGHCRRRKIRCIPSQNDV QGRCMNCIRLKKDCSFFPVDQQPPPDARQKSASRSSVGPKIASASSSPAMQSGMPSDM HGQQPYSQLTIPSMPPPMKPAGSEAYTPDSKIPSSASSSRAYDYGQSGITNWMADASP SSSKPSDLNTNWRTYPAESPITPAFSPYTPHAPPPSATWSTPVGSEPVRDDLAWSSSY PAPPPRSLSFGAESVSSQSQYPSISHVSNHSSRDYSRKASSMSADMYPAPISTAIPGV DTTPGSTPTHGLALSAGAAPSSAYGTWQQPYPYSKPSDGYGGAWAYQESGHPGDQHST EHAYYER QC762_0009260 MYLYRFYIRFTARAAQIWIWKGTRSFGFRAARTRAGRQAHEQVD WHEEGTNTAQIIQDKKDAGYSRARDGRTTRPARVARRYTDGNDGGRRKSGFCGGSKAA GRRAKLWGPRQGERTQNIRGVWGILAGYGVNTGVI QC762_110670 MASMLEALHPYPQDRLHLRLHRARSVVLTSQELVEIRAAQRTFE GAYMRTALSQFSFALVILKIFTSEFYPIGALFACYGTAIMLVAIYRRYEGNRQFFDSV ESEDESSSGEENDPATPTGLTVRGRRRTLVVKKKFRTSGNSVALLVLLSFMCYVALMV LLWKLTG QC762_110680 MAQKRNNANRGVSPNPKTATTTSSSTTTAPLPPTPNPAAPIPGS SRPTSSHSATTTSAKTSASAPVAQTWDKVVSNLTTHYQKSTPQRTKLLDAFMAFLVVV GVLQFVYCVLAGNYPFNAFLSGFSATVGQFVLTASLRIQTTEANKKDFPAVSPERAFA DYVVCSLILHFFCVNFIN QC762_110690 MFNALNRFISRLDGDAPPQTRETHSGFGFQVLRNTNLELSIEPW FDYVVGINGRMIESSDPSLFAQEVRNCAGSSVMLGLWSAKGQRTRALHVPVPADTASL GLTLQWTPLSVVSNIWHVLDVPANSPADAAGLLPYSDYILGTPEGVLHGESGLSELVE DHIGRPLRLYVYNNEYNVTREVTIQPSRDWGGEGALGCVLGYGALHRIPAPLSEPVQA PGETLFDGSESGGGIPQFGAAPRSFSPFVPAATTATPPPPSSSADFLVPAQLLSPPPT TGGTAPPRGKKKERGHHTGPNLMDDYFKEQEKKSRELDNAPSRTASPLPPPPKTGGPP MGGPPRAGSIPPPKEGE QC762_110700 MGQEQSVTERDKASPSPSVVVGSTLPRSPTKHAGTALNTKIQPH TNRVTKKRPQIIKKYTRERKTIPFGGIPIPHRPPFEPEPKQTAMSIMKPVVGKLPLTD ECLHYDDVCEVPQNLQKYFHQRYSIFKFYDYDIRLTNDAWFGITPEPLALRLANDLPS HLYSTPRRTTIVDLFGGAGGNTIAFALSEKWEHVISIERDASTLACAQHNAEVYGVSG YITFIHGDCLEFLDRLKHHPETLDLSLREKCDMSQTVLFASPPWGGVDYKEQDVFDLS TMEPYNLEVLHKACSPMEHALYLPRTSDLRQIAKLAPKGEKIEVVQYCMDGASKAMVA LIPEATPELT QC762_110710 MKPIVGAVQAWSCVVISLFALVVLGILGILFNKNHPELVGGGDD PENGPEVASTIFTAIIIYIGFIVFCGFQGLLHVRQSRRGSIAL QC762_110720 MATTTVPALPVPLTDLPKHLAQHPHTPVTQLFEPYRKYEAHLRE SFAQDPSNELLKDPHVNVLPLFTEDTSSLNIRARNLAAESKEERSRYIMPLPKELRRP NGSPATVKDLKEFRRNFNVFSESSLVELDWSNVVAAGSSVVNCLLPVPAEYNRNKRTL REFYHEKFSPASDVDLFLYGLTEEQAIEKIKDIEARVRDSLLTETTTVRTKNAITICS QYPTRHIQIVLRIYNSVSEILTGFDIDCSGAAYDGSQVYCTPRALQSYLTQINHIDLT RRSPSYENRLSKYSHRGFEVYWPDLDRSRIDPTIFERSFQRTLGLARLLVLERLPTQG ARETYTDQRRKERGRPAIDRYSRNQHKLWGNIKDEHEDEIADWALEDEVSNYHTFTIP YGTKYHAKKIEKLCYTRDLLLNAEWNQKKDREVYLHRHPAFFGRVEDVVNDCCGFCPV PTTDQEKEIAAEEEKYFVSGKISFLKDDPGRQAIGSFNPLTDDDWTEMAYVGNTARLC QAIVDGDAEHVKDWLAQEGVDPNTRDYTGRTPLHLAVMTSTPEIVRLLVDAGARLVAR LADGRTALHLAASRGSVEIVQILMNKSVANEAEHEEKEEKRKKELAANKTEEDKMDVD EKEEDGSEKEEDEESDGEMIDGEDSDDEGRTTTSGFVKVGKDAAAADELALEEAEEEP DFYDVNVIAWDTPCSALHFAIMEGHCEVVKTLCQEYGADVLLPVKFLNSNKTPYGALL TLVLALVLPVPKAKEMAKILLSLGATSAQADLNGVTAFHRYVEENAESLLATLWEHDA VGTKTAINHISMRDQYSTAETALGVAIKKGNLSLILQLLDHGAVPQIDFESWLKSARQ SAAIERNLGTLEGNKKMWNEMTEQPLILAINSTDPSSALELLKAGADPNVVTMESHKQ MGRSWVTVVNGESALDLVNTYLIRLKEFLDKKKDVPKAPKLREDLDSWLDQYEKSSYQ YAIASAEIAKLKAVHKDQMRQYEKELASTEEPEGTVEKRTAVLQAFVTLAKVKEALVE KGAKTFGELFPAYKAPDPFPDVNTPYAQAGKVVQPIVDPTRHLFHLQNVFDVTDKRLP AYISLFEAAWSGDLDKIKKLCLTSWDEEQTEAPLKIALYDNKGNSPFSLAYFRGHHDV AKAILEIAQAQYTPREKPEAEYKMHVNDTYEDDCSMDDSDNESVCSGGSGPDIYRHIV GGEFTIENIGEVSMKVKSHTKPSEILHRNYPKPGEKGRQYGLLSRAVFNNDMQALKFV LGLAERFAADGGAEDKQTFYPFPDSVFKLAIQKGHTELLAEIIKRTGAGLPLENLVKN TGVEIAEKPTYYQGLTVYGKKRKDWATQGRNSAPGARGLESSPLLLAAQSAQIASVEW FLSDAPLRHYLSFCKSKAAREDVRIKHLGQQKGGFEGAISKWLTDNSDLAIHAAVYTR PTQKSIDLVEYLLKVRPESIDSKDRNGATPLMAACRLGRVEIAKLLVEAGADQKTKDA NFDNLLHAALRWKPTREQLKPLLDLLGRDLLVRMLKERNNLKTEGHTPLHTWLLHFTH YTNQYAYKSLADAAAVFNLVADLSPDATRQALRMLDGAGNTPLHSLLMGDTEHGLIGF LLNFEPSLLLVENAVGRTPAELIHEKFVSSKVKVENQPQQNYYWYNRHAHTADALAVR NIHTADPRIFVNQGVCAQSAAKHAEINLAKTFFLCKDYLARMENPKRSLVSLHAANMV SQRLGKEYERGRYKYDLGQVVKGVEDVALVAGSDDEQEEQQKKQERTQWLWEHVVSQG YEDSSKGWLETDGKEGAGREWVAPRCVSCQRFHGWGEALQRRESVVPVMN QC762_110730 MTALATTAAPGSSHHELTAPIITATDVSESPDLLPLRAYETTDQ HHVGNGTSTTTSDRHNGDPPNTTTNTNNTNPQQQQKQAQSQQQLSADDTATSSRATTP GPSASVHRARKPSPGLAARLKALGFGSSRKTASPPPPIHQDIGRLPEEQLRKLDQGHQ VNSAAAVIERRGRPWKGHIIRIPSRSSLKSDKSSHSNNNNNKPALESPAFPSPSIEQE LSSYPKPELLPEITTTEPLEMDTNKYRLPDHTNGNGVKAQLDTREEHIARDTRPPPPP QTPEIPPPPPAKDTPPTTTTSRTFSPATPPSGDVFNPDSIAFNPLGLQRPGSIYTLSR ASFANQLAQLTSLQLPDAESLSSKVSAIPTAQVATRALIGAAEQIRGWISKASEVIGG LESEDDVEWAAAGGREGVEEVENAIIRFEELIKVYVGAIEELQAREDIAAVSADDLRR AVAQMEAILEEWGLMRKTLHNVKAQVEIAMEWEELWNMVLGDIENELNELSRLVFEME ERRHKSVFGAEEGGELDTIAEDTTPGGLRLPVGHQQQQQQQQQQQSNRFSLPPFPLSP GSPSPGAMGISQDDSSLLALFARMQPLRASLDFLPMRLSVFEARAEDTFPTACEELEM RRADLDASYKKLEKDAESLRKELGEDRWVLVFRNAGRQAQKMYESVERSTTWLREAIE SGLHLTSPPAMSKKIENYEARKTHYGEAIERLLTIIDKGVKDRFTINGEISRLHTEMR AKWEGLKRQIAELDLVLEEIQQERKSQQLRDSVSSILSNGRSTIQSAHETPESSPPSS VIMSSQPASSQTNIRISKSRSTSGGSSLPQPSSRRMSSLPTPSHSSITRKPVAARMST LSVPSREGSATPTGNRTSRPPSSLSNRPKWNASTNTSDVDTGHNFKPLTLTTPSPYAK KTPTPVRSTSALTPSSSSSKLPTLRSPLGRASSASPLPEDDLMTTPKSHSRLSFRERL ASTPGPYAQRPRLASSATMAGLNRDRRASMMPSSRPLEQSPDAAARPASSMAGMMGGS NGRRSSLLPLPRESGGDFRRSTSGLVTGRASPQAVEGARVAMGLGSRNKSAMGNRTVD SQERKDTRPRWRG QC762_110740 MAFYLSSSLDIKMAAASGEPASGRCASPPLDKAPGPALGPRSDP TRCLSVGSTVSHGSATTEASNVRESLGSDSSAFSRQSSESYRPSRVSDIYTGNLPLNN RDSMNGMDMPYTPVTPSGSRSSSRRRGYMRPQGTDFAASARARESVLSLGSIAHLQYY FARTGLLDGKGGRLARKRDSKAQTLDLSSLDSVSFSSLKAPVSDHDSSYASMGSSPDL GAHSSFVSLAGGSLVESPTDEQHPEEFYSEDEYDEEDLNMPPPTTSTYIHREKAVPKP PTVAELRTELTNALDTAKRSLKEAKDAKAPPDDSPKISIHLTDDQGADSRARSGSTRS TRSNRSNIGWFEIQGMHILDVMTLAIRAAKIYYTSHDRPDRLDAIKSEKEIRSALLSV MEVLKRMATRGFSGGMREDEFHTMNEWISGLHSMLAAEAEIEAAEAAEREGWTWLRDE GWEGREIQREEAFIQSMLHGIKDPIENMPTIPTWVPIDRTKPLSEQTLPTPFLASLSN GQRLVHLHNCAVRKSRRRRPSYDGRCCSRPMLLASSTTQARNSGLTLRMPFSNGAEQY AARLPPSWRARCHRKKNKHRQALLPAQQETWSAIKTRWVRHCRCLWRTYAPAYHKIFL GRGGKNRDG QC762_110750 MPYPPILTQALRVIRTIPGIFSITPLPPRNPLLVLNIDASHSGW GPRFLYDPMTRNNLSAHLSWLLRETTRLRPSGPPLPTAQDPFDNTPPPPAADVTRTSR TSRPNHSSFPSAPAAGAFPSQTGTAPHDPLHGTAFTQGSHLAATPSTNEVDTDDASGM AKLSAYNSRRPTLATKQQQQLPTPVSTTRSTQIGALQRAYSANAAVRPQASSSSPPPP VKTSARVKVEFDDLSEPIDLTEDRNDGSSSVVGFGDDKRLWREDYTERPEPVSSRGQA NPYQQTKVIKTLDEFDEEYPDINEIVPPSSAVRSALKRSATTPSVVKTHISTPCPPGT SRAFKEPTVQGREAAHGSSAVPTQTVPAVAASARKRKSPATAEFHSDDFLDEEVDASC TPTNNSSNKRAKRADVVYDSEDEFTTPASHGSPDAQSPPGVQDMDVDMEFSIQETPSK APAPMPMELDNVVSNGSRATVGNTQGSQASVPQPVSSGQNPASEASLGSEIERNKHTL GLFLSRPSVLDEMLQLVDSQLQENAEAFRRFLTQRGLKEERNQERERIKNAKKPLEDK KKALLSLQESHASYKTLNSDQEALVNKIAKAYRDGMDTEEHEEQLLKLDEEIETAEKT LIAHLIKAGVDDLDFLKNQNDSIAPADSSPKAQAHAADARTSPSEGRIIPECPSLITG PSQPPATSQYSGSQSQRGPSQFAAPAQFAAPQFRPPVPHFPPNQQHTQFSGSRVQPPY HQAVPSSSNHFDVDEDELAAMEAASQFAPPRAHNRTQTQAAEIDEYGQDDVPDDLLLS AYEQFSGGPSAPSATAAVRTAARSTLSEATSNSAPAPPRRALAKLAPPVVRKTAIPPE LLQFPWSADVLRALKDRFRLVNFRHNQLPAINCTLAGEDAFVMMPTGGGKSLCYQLPA VVQSGKTKGVTIVVSPLLSLMSDQVEHMERINILAVAFNGNLAAAHKRHILGKLNHET PENFYQLLYVTPEMLANENTQIRRALKMLHQRGKFARLVIDEAHCVSQWGHDFRPDYK ALGEFRKQFPGVPVMALTASATESVRKDVQHQLGMTGCKLFMQSFNRPNLYYEVFPKP PTYVDPLGSLIATRYKNQTGIIYTTSRASCEGIAGKLVEKYGIKAAPYHAGLDDRPEI QRKWQDDEIHVIVATIAFGMGIDKPDVRFVIHVSLPKTMEGYYQETGRAGRDGKPADC ILYFSYGDVTSLRRMVQKDELDKDGKHVRSQAEKDKQLELLDRMTFYCLNTTSCRRTQ LLGYFGEDFNAANCNKQCDFCLLGERVTLKQVDRTDWAKAIIDLIPGMGAKGGSIGKL ASAMAGRDKKNYQHLPGFGIAPGIKNTAIYPVIIEMERVKIIHSTAETSANGGLNLYY HVSKENFRHFWSSGTRLTILMPEQDLFSRKCLVKSEAMVRAPPSTNVSSPVSAPARKR LAPRRGRQFIDDEAEGDFGDELGSGDEDEDIQDHRPRHANGYAKDNFVVSNYEEEVDF DAAAPSRHHRTASRHQQTLDKLRPFDFSQLDQVHQVITDHFLFEAKQLEIQIRAETNH IRPFFTDQQYREMIARWTTTPAKMYTIRGVTPDKVDQWGSHFVPLIQQLHQQYQEMMS NQDPSRNQYSGAATIAPKAHIRKKGNRDVIVISDGEEEFGDFDDEEDMASGSRYGAGA PFVNPEVAAFHRELKAMQREADAERLAKAASNSQHQGSGYGSRSGGGSYYKKKGGRGS NGYSRNSGGVSKRGGSSAKSAGGSSRSKGSSYPRGGGGGGISAMPR QC762_110760 MPPRRSHKKSRAGCRRCKARKIKCDEVHPRCGNCVKHGVACDFE HPEVLADLQAAAAAAAQTPSTPATTVNFGSPIVPSAGHLSLASAPLARPLPPPPMSTT TAPGHRLLELKLMHHYTVMTCKTFTFTAPVTEDIWKITVPNLAFSGSQHLADSILAVA ALHLRSINPNDKEIVRASHAYMAASLEEYSATLNQGINHTNAEALFLTAALIAFQSTA TRVFMKDEGLSINSSSTSSLSSDPSKRHQQPGCYAIPFTWFHSFQGVKAITAASWQYL RVSPVVTQVINSQAALQLDFRTGPETFFGHLLEGLEEELSNPPSCFDARVPLTSRPLP PPNSPDHISATRQAYQHAVAVLNWAHKIPHKGAPLAFPATVSKRFIELLEERRPRALA ILACFFALLKSLDGVWWLQGMARREVLGVVSLFNSDFFGPDAYRKWWPHLEWAMRIAL FEPERDGNGQQGGMPIPPEVWGSDWFAEERALEEQGREQGDYFGHIELLSQMSSAVNS IPTVPEYAAMMAGARGQGGR QC762_110770 MLRTVKPKTARSKRALEKRAPKAVENPKKALFLRGTSCSQITQD ALGDLYALRQVHAKRFQKKNAIHPFEDASSLAFFSEKNDCSLLLFGSSNKKRPHTITF ARTFDYKILDMLELCLDGESFRSISQFKTQKVPVGTRPLMVFAGTAFESPVPNGFTMA KSMLIDFFRGETSDKIDVEGLRFVVVVTADEPTTAENSDDPSSKAVLRLRVYTIQTKR SGQKLPRVELEEHGPRIDFRIGRIQEPDEAMLKEAMRKAKTNEERTKKNISTDIMGDK LGRIHMGKLDLSQLQTRKMKGLKRERDVLDEAETVVEEAPKRKKKVDI QC762_110775 MLPVFLLSVWRRLILTHRGCPLKSKPLFVYGTLCAPELLAMLLR ESDETAPDVDKMLPMLQPATVKGYERPTTSQRRQLDNFEGEGEAYKCVPVRVHIATDQ SVAADMYLWNGPMEKISPDPWDFAAFVKNGLQDFFLNVFEGMEFGGEDD QC762_110780 MGLKLAHLYAAPEVNPVNRKARAIPFFNPINVYGRVFFFSWFGF MIAFWAWYTFPPLLTHTIKYDLNLSPAEVANSNIVSLLATLLVRVIAGPLCDQFGPRK VFGGLLLVGAIPLGLAPLVQNINGLYVSRFFIGILGGSFVPCQVWSTGFFDKNVVGTA NALTGGFGNAGGGITYFIMPAVYDAFVASGRSPGQSWRLTFIIPLVMVIATGTALLLL CPDTPTGKWADRHLQAKENLQAHGIDETIVDIPGAITDRLPSTPPDSNHEKVDLKIER KTSAAFDHEAQLSKTEMIHTAQGEIVQKPTLKEALQVARSPQTIFHILTYSCSFGSEL AINAILASYYVKNFPSLGQTAASNYAAIFGFLNFVTRPLGGIVSDILYNYFGRSLWLK KMWIVVCGAVTGVLLIVIGVLDPRDQGVMFGLVAVMAVFLEAGNGANFSLVPHVHPFA NGILSGLTGAGGNLGGVVFAVVFRFMDGGKGYARGFWVIGVVNLGIALGVSWIRPLPR GQVGGH QC762_0009400 MTYTDCLFQGLSRTHRSWQSSAKFTGVLFPTPPSISRYYPNRGG VGYRTRRSLARNNLPYSPQPAATIGRKHPPLI QC762_0009410 MTTTPCSLPCVSHIVGPDRGSNNEIMEAQNHQPPPPSRPTETMM DPQRQQEPISSSSSTTEAEVETPTNNPPPPPPPKTLLTASKIWNYLNQDIKPTTFAEI QLTLLTFCIGLQDAVSFPDFHCFASNQTGNTVFLVLAIILPEMNGEMFVTSNIAVALG FFLAAAYLTGQLGHIIGPRRRLYIIICNLIQTCLVFATAVLQYKKGVEPQGTRTLLAI GFLATAAGSQVVVSRSLKMTEISTAMATAAWLDLVIDPDLMRVKNRGRNRRLMFLGSL ILGTLVGAVIFKRVGSAVALFVSAGGKGVVTGMWFGVEGEESRKREGREEKGEMGVMV QC762_0009420 MCLTDLAAQTSCHTTNHQRSITKSNHAAVAIAVLISVIFKLLLT TTCDPAAVARNPIARRDSSRKDEAGLDEVTDYDVQAAAGANDVPELAGEVGGREEEAQ GDGDVGGDKHFAVHLGEDDG QC762_110800 MARFHVVSPLFSLSLRLLDYFFQGSSKEPLLRDLPRSPLSRLGN RRGTSFSLISKRALQQASAGARCARSAQFRNPTVFFILSPQGRCRDFYKNHPTPLLLN VPPRFPYITTRGSHSPTNKTYRMTITTPPRASSPSSGFGTRAVHAGSEHDPSTGAVIP AISLSTTFAQTAVGQPVGEYEYSRSSNPNRKNFEDMVAALENAKHALAFSSGSATTAV ILQSLASGSHVISVSDVYGGTHRYFTQVAKAHGVKVTFTPEIEVDIREHITPATKLVW IETPSNPTLRLVDIRAVATAAHEHGIMVVVDNTFLSPYIQNPLDHGADIVVHSVTKYI NGHSDVVMGVAAFNSEELFKRLSFLQNAIGAIPSAFDSWLAHRGAKTLHLRAREATTN ATAVGHALEASPHVIAVNYPGLDSHPHRAIAKKQHRNGMGGGMLSFRIRGGHAAAERF CQLTRIFTLAESLGGVESLCEVPSSMTHAGIPKEQREAVGVFDDLVRLSCGVEDAEDL KNDVLQALERAVAETSNGNGTNGVNGH QC762_110805 MPPGRFPHTLPLPRPRSRRGNPFSLPAFYLLLVICIISWTATHT TASWDYTTYNKPSHHTHPQRHHSRDTYTPFPGRVVHDHHHDKRNGMAGMGLFEMMGKA RGQGVAVTRPLGPVSGNAVRKSKGGGNRDADSDGGGGGGGRRYGVVRLDDAVSLPVGE MVDGGKGEEELKRGRMVFVEEAVVDE QC762_110810 MVGPTLTMTAPAVDTSTPLPFHRSQRSQGSQHSHSTPPPPARSS SKEQTPLKLARPDATSRPPANTNKELPSLPMPDPSFDIPSFDFEFSPEFKRSFSFCSD KIEALSIRPLKPTATVVTAPETRLLPIASTEARAEPTKQPIPPRTSSKNIDTTTYHRN STSTAPTVAAAPRAEKHGRSRSKSMIDRPLSWLPSSKSTPNVPATHELSQRVTKNNVT VSTDNLVEDSQLERSGTVESFADFAKKSWMTKSRSPSPPTTPERLRHETREPSTERKK SPVKSRLTLRTRARSDCDSSQDASAEPQSSSSSNSTSRVFSRASVYLTRIKQKPQSVF SRTSSPLSLPAPSSVKSSESSDSDSASAATAAYSVKPVLAKTTLAPSNLPRSAALATN NAFAHVEAAPSRSSSHRTSSLESDLDTETTATTSASSEIASQGTADTNITMPHPTSRD PLWGTFRTLDGEFARFAARPTTSTRMDVVRGVLMPFLKSTSHHPSNSSRSVLSSEDFD RRATVLNKWWNGLLSMLDAGHSRLLAGGYGLAPGIAALGVQFPVLQPVAGVDRPTLLE AATMIMMRPEWRTCTSQFQRLAERSPEEKVRARSNTSSTVGSDVDSLLTEPAEHNVRT MFVNNLTTQMALVVEKMSLRHAPLSLVNWCGKACAYAFFFVPGVADVLVRLWGLNADI LRRVADEFGLPRRSKGESEDIVALYPEHLHKLGWSSVKTLGDKLRLAAKLPLMPAKIP WHGPWVSRWRGGETDLFFIFCKYFHVLSEQFVFEGLPLVEKARSPAFVLVHAQILSVL DSTIHRQASIDAMLGPPISDSIHGADATLTGISVPSNVLKGMDENRLIVLLKDVLSEG SVGVNHEIKHTFAEAFAAISKAAAKRTPRFDQASCFMLCDFLEEMLVTLDTFQNTVNG SPAGSPAEEIGQPRYLEFGSTAPFVNYIDWPFWLEVGKMMAESNNTMSEIRVLSFLYS VWDAITSDPARKEALCTEWLLTEEFFAKFFNHWCPMVRAYYMRLLCWRICRDSGSASE VNSKIFLTVSQRLKTLWAHYLWLKADADMRGKVQPSTAPAHPTPGKRFLIIRTEVQPP QPSMKLGFDSFSSSFPGSDQLVDYTGTFGINGGDTVGGIKDDGDVSSFKKRLSMLGKV LPFSSQNGRAVDPKRAWDEELEQARRETAAARMGPRQGPPPPPKRPGHHATLSTDSIS TTGSAPVYEATTYVFRFALTWQTGPGGQPMPLGPTRDRILTRPRLPAPAQARVSARSA AINDVGNFQNGSLVYRSDSPPPVSPGLPPDTRRVSGALQTGLISEARNARPLSIVEDT PRKSMESSKRRYSLSINVTAVASDSEDERYNRPSPLRHMSFDGYERGPSVDMIRMARP PAPAIRAEKPLGPYASGAVYTGRALAEWAIVINECNSFVDRRRDEGVLGLAEVEIPIL GVDGLGMRQRG QC762_110820 MSCPFHVEGAAKPPGSSHSARSESTPKPATPALEEIGEGEPIPQ PPERWLTGNLGEINPEFSMGSLWRLADVYGPIFTLNLVKRKIVVLSSHALINEVCDEK RFEKKVAGAQEAIRVFVKNGLFTSYNEEEEWGIAHRTLLPAFGPLHVRTMFPKMTDIL SQMILRWDRFGPDYRISTHDDFTRLAFDVIGLCAFNYRFNAFYSEELIPFAKQLGDVL IETGKRTSRPEIQNTLAFLSKRQMMENIHSMWKVCDDIVAERKANPRPDVDDTLNVML NAKDPVTGKGFTDENIRYQMATFLVAGHDTSAGTMMFLFYNLLKHPEALQKCYAEVDA VLGDRELQLEDIPKLKYIDAAMKEALRFCGPIPAFMRQAKETTIIGGKYKVTPNESLV FNLKGLHNDPAVWGSDAAEFRPERFLNGGWERLPPNSWKPFGTGVRSCIGRYLAEQEV LITMAMVLQRFVIEMADPDYELKIKSTLTIKPDEFYIKARRRPGKDHLFNFSAGAAPA PAPSSKTVNGASANSNLKPFSVFYGGNSGTCKSFAEDLETNAANHGLSVPAKVQSLDD AVENLPKDHPLVIVTSSYEGLPPDNARNFVAWLETRAKDPSNSELLKGVSYAVFGAGN KDWASTYHRIPKLVDELLEKLGAARLVPTGFVDVSQDIVGPLEEWKLTLFPALREAVG VTAAVKTEELQVEITQPTAPSKLAGEQLSQGLVLVNKVLAKKGLGPEKRQIDILLPPG VQYRSGDYLAIQPFNPRDSIRRVLSRFGLHPDDLVTVSGTTKEHLKSESGGPISVFEL IGTRVELANPASQRQVAHLASLSSGLEADKLRVLASDEEYPTSVLAKRFSVLDLLEDF PSCKLSFASYLDMLSPLSPRQYSISSSPLAQKPLPDQGSGWSSEEGVDLEKASLTATL TYDVYNSPLMSHPETKSFNGVSSSYLASLQPGSRLRCFVRKTNAPFRLPSDPATPVVM VAAGTGIAPMRAFLQERAAVAGARGGYHKSGLGPAVLYYGCRDSEEDYLYKEELGQWE KEGVVRVRAAFSRRAGEGGRTGHVDELIWEDREELKGLIKQGAKILVCGSAGRLGRST AEVCLRIYEDEFPDRGREGAEEWLGKMKEERYVSDVFG QC762_110830 MPTTNPNPAPYQPPDITEKPTTTTTTITSNDPEKQTLPPPFPPC AEPKSTFQALSFLDRFLALWIFLAMLIGVLLGNFVPNTGPALHRGTFFDVSVPIAVGL LVMMYPILCKVKYESLHKVFQEREVWVQLAFSIVVNWVVAPLFMLALSWAFLPDEEGL RQGLILVGVARCIAMVLIWNNLANGSSDYCAILVAVNSLLQIVLFAPLSLLFIRVFDP NSTTAISISYSTVATSVGIFLGIPLAAAIMTRFALLFLTSPDFYNKKFIPVISPLSLI GLLYTILVLFASQGKQVVHQIVSVVRVSAPLIVYFTVIFFATLFACRRLGYRYEFGVT QSFTAASNNFELAIAVAVAAFGAESDQALAATVGPLIEVPVLLGLVYGVRWVVKRRGW E QC762_110840 MKATLPLLVLAGTAIAVPVPEEQAQQAQKMQQAQQLAQIQAALE AQLIDGIPILGPLLSGLLGGLTGGGGGAGLPILGPLLGGLPILGPLLQGLPLVGGLLG GLGGGGGGAGGLLGGLPIVGGLPIVGGLVGGGGGGAALPLTLPLSSTPAPAGASFPTV EATPQDAAAAIQKRSAAYAQSVANYYAALNSQINREALTQLLTDFQDNLEKIKHAMAE AADKTPEEKAEAVRAPLEQLDADLKVGVSRLVLPGVSGLNGLPLVGQATTGVLGGGPG GPIGIVSGILKLVTDLLTSLLSSGPVGGGGLGGFIGGGGLLNEVIGGTGIGGTVGGLI FNSPISGLLQSILGTVGSVLPGLNSIIPAQF QC762_0009490 MGTIRACSSIASSLLRLSLTELLARLSWLVDRARQTRHTFLFHG GPELILPSLTRLIISERDESRNTRILPTWSPGTVPARHLTQTEPLNGSRFQQLMIFSS DIRGECREEGWARPRRELDRSQQILQ QC762_110850 MEPNEPAKMATEKKYFTMLENHPEVFTTLAHTLGLPPSITFHDI YSFSPPSLAHIPRPCLALIAIIPLTPSWALDRQSEDARLGDPKTYYHGNSNRSSSAPI IWFQQTIGDACGSYALLHCSINGPAASLIHPGSTLDQIRKDAAPLPREERAELLYDNK AFEEAHQSVAAMGDTAEPRDRSVGLGQHFVGYVKANGRLWELEGSREGPLDRGELGDD EDVLSPKALELGLGRIIKLEHESGGQDLRFSCIAMALKDEQSE QC762_110860 MADNMPSGPEFRTGRADSTSSTSSTSTTNTVNSSSGMPKAPPRR RSSHLFEGLEAQKRSQDPAAVARRQSMNEQRPKSGFIGSMWNNWVHGQ QC762_110870 MLSTHPIIVSRPTAPPSPPTYPDPNQSQSLRAPTLMMCFFVYWK YLASTASKALYGFGITWWLDQEAGNY QC762_110880 MSASPQPTQSTKRPLEDTSSPSRNDQPEAKRPALDKVIKEQQED NKADPISPLNDETASSVPAPEANGTAKTNGEKPEATDHQGDTVVPDAPETKPTTSTTV DTASSEAQANPPAESRDETAWIHIRAVISSPEAATIIGKGGENVSKIRQSSGAKCTVS DYQKGAVERILTVSGVVDAAAKAFGLIIRTLNNEPLSEPSNQHSKTYPLRLLIPHVLI GSIIGKGGSRIKEIQEASGARLNASDSCLPASSERSLVVTGVADAVHIATYYVGSTLL EQLNERFGGAAASAYATRSGGPAAVTGGMTVVPYVPQPAGGNFGQRDHYNNRRPDPRA HHMPPQAYGGAPQYGAYPQAAHPGPAVPMHFAGAQAAGGYGPAAPHMPPHAGHAGHVG PQPHTGPHGPQAAGSGGAAGGPMTQQIYIPNDMVGAIIGKGGQKINEIRQISGSVIKI NEPQDNSNERLVTITGTDECNKMALYMLYTRLENEKHRM QC762_110890 MEFEYDNPARAHEADMERTIQELNKRKRELEEAIQELRSSSTVP DTEPSPEDTLEIFTKAYEEVAESKPFLPAPGSVLPALLAIRNARNTINESNEYLESQA KSQDELARKLEAEKTALREQQALKTALEKRIQSLRDGLENKQEKTPEQMAKERIAKLK RQNKEWENRTAKLTKDLDWFIEEHLGPMLAAEELGGPVVGQLTDIDPEDLSAGFSAQG KLKKAKDQPDIDKRQRRIDEIWGTQDQQGESNKRKREEDEASAAVADIRRLIEQLMNK LVESQGDNSASYLKISKETAAVRFLVRSKVASFHPKDAQKLRLVDFGRDIDD QC762_110900 MRKAAFAICQRLPNQLRPTTPSHHRHHPLLSSTTSIMTATVRND PIVPTSPPAAKRLKTDTNVPDEATSSSSTTTTTTKDTTTTAEGNTTTNSATTTTTTVT TMAAEPAAVPSLQVKKLSSTARLPTRGSAFAAGYDLYASKDTTIPARGKALVDTDISI AVPANTYGRIAPRSGLAAKHFIDTGAGVIDADYRGPVKVLLFNHADSDFEVKEGDRIA QLIVERIFTPEVVEVQELEESVRGAGGFGSTGGFGAAAAPVVN QC762_110920 MQSLGCDVAALNTVDFSNHTGYGQWTGTRSTPEHILDLWSGLKQ SFLDDFDMMLSGYVPGAEALGAVGRIAEELKSRAEGRFFWVLDPVMGDNGNLYVGGDV VPVYRGLAGKADLCLPNQFEAELLSEVKITDMLSLGKAIEVLHSRYGVPHIVITSLSL PDDTDPDTLGNKKTLSVVGSSMTSTKQPRAFKISFPAIDCYFSGTGDMFAALMVVRMR EAVCDASTSTEPGLDTRRSWLSEDGVGALELPLARAAERVLASMHEVLAKTAEGLEGR LEEMVRGVKTGGVNGNGNGNGNGKGEGEGGVGKKQMQVLKSKAAELKLVRHLDSLRGP KVVFRARRL QC762_110930 MLEARLETANLFKSAVDAIKDLVQDCNFDCNDSGIALQAMDNSH VALVSMMLKAEAFSPFRCDRNIALGVNLTSLTKVLRAAGRDDTLTLKAEDAPDVLNLV FEATAQDRISEYDLKLMDIDQEHLGIPDTEYAATISMPSAEFKRITTDLMAMSESVTI EATKDGVKFSSTGDIGNGSITLRQHTPVDKPNETVEIELSEPVALTFSLKYLTNFCKA QPLSNQVKLCLSAEVPLMVEYGLEGGSYLRFYLAPKIGDEE QC762_110940 MASWAILIPLFITDQGDKQLDWSTPEVSEHDVSPPSSPSFAPVG RPTIKKRFRSKIPDPLRLDVPRNRTSRLGSLHLSYSKAVASRIDRADNLKFIEQFRYT IVASQLLAGHSITGNYNYFNRNRDASDVPQTVVVPTSTGILITATGALVVACVIRWVY MGGYVQLTKGKIAFTTVVLVGFGLVAHFYIRQQWIRYLRNQALAEVSAFVAKSQDFDG VSSAALSLIQEVELVSRGYRLLRKTLKARLAEMIKTYIKVSSVIKGFSEQLDIEKFHD VYDISDFDISDAMQGFSDREFDDPESVKTLKIAAARFHTIRKIFLCSLLALEATGDNT DFLRWSTAVESLRALTEATDEGLSKVRQILDEEETFPTVQESKFPLSPNRERRRSQFQ KLNSLSTGIRGLQAKLALLREESERTLNEAEDVSELGLNLMAQYESIGQDLKLLQQAW EEGKAALASGIDRNEKRLSSISTMLSPATSLSGLTTVEEGGALEAFKALTGESPSSSS FGSAKGDDEAEVFEAVSLPPTRPRSMLTREERIARMKEERERRESIRQDADASRGMLK ELEMVINLRPKRSTMPAPARVSL QC762_110950 MFISNWRTQTTRLSGWVLPRGAALSLSDRDNHHFQHHPYQKQPP VKLPPIVIRPAQSSIPHLTEVSIPSTPYQPLGSVLRPRPVTAPNRGRNTKPSPQTHKP TTMPSQQELDFQISPLVQESLVHNTRTLHNLQSLTASLFGVGAGILGLESYSGFIFYL VFSLITTLLFYALRIAPTAISSPSSSSSTTSKGGVLSRYFRSPLDFWTAGLTNGLAGF ILTWTLFYGLVRA QC762_110960 MPSSSSHRPHPLRQSHTADYRSSDIYRQPSTASSSASSGYSHVS SASFDLNLSRAPSAMSAASSVYSTTNPGYGHKRGQSEASHLVPSLRSSHSYSSTPTTP TPDNIYSAARQSLRPLPQVPPGTFSSTTLTPPPRAPSHRDRGYSVDIGNSRYDRGQNV DAVPSHHSRGRSVDVGRLDMASLSLSSPRERTPPTSPQPPTPPCRGSLVPATSPGAAN PPTPPGHGNHRPSVVRPTSMLLTRSDTVMAPRRPSDVGIMPPPLLSAHTVAPILRPDL ESLGRSSTSELRTLSKLAKSDDFNTITSATQEVVGLRGRRRLKSNRPAAKSGWENRNW MDKQRQFLQAYEYLCHIGEAKEWIEDIIQRAIPPIVELEEALRDGVTLAEVVEALNPE RRFKIFRNPKLQYRHSDNIAIFFRYLDEIELPDLFRFELIDLYEKKNIPKVIHCIHAL SWLLFRKGVVGFRIGNLVGQLEFEDSELEKMQRGLDKLGGAMPSFGNMGADFGVVEEV VEEETEEERVERELGEAEESVRELQAQVRGAVARMRLGEMMERLWEEEEWLVDLQSRV RGGMMREVVEWKLERERVVRQLQAAARGWLVRNGREMREREVKRAEPAVVKLQSAFRA VRARREVREKLDELDMAGGVVRDIQATFRGFLERRRIQEQLGQLDVEEGPVRDIQATV RGFLARKKMEVQQREVRQSEAPVEKLQAAVRGMLLRREVERDLIALEEAMPAITGIQA AARAALERSRVADQLEALESFEPVFTTLQAATRGKVARSTVKGIEAELKDQEPSLGLL QAHVRAGAVRREISEQLEALGEEEENIISLQSQIRGMLERNRVADLLDALAEQEESIT LLQAQVRGMLCRQKQGEILDQLETNEMEIASLQGLARAMLLRGSVAEVLDELDENEAV VAEVQAAAKAFIVRAKFEEKKKFFNENMQKVVKIQSLVRAKLQGDAYKSLTGGKNPPV NAVKNFVHLLNDSDFDFNEEVEFERLRKTVVQQVRQNEMLEQYIDQLDIKIALLVKNK ITLDEVVKHQHNYGGHTGTLLANSSMSSANQFDLKALNKGSRKKLESYQQLFFALQTQ PQYLARLFKRLREVGTAEKECKRIELLMMSLFGYAQKRREEYYLLKLIGRAIGEEVDA CNSLQDYLRGNFFWSKLLQNYTRSPRDRKYLRDLLGPLIRDNIIEDPELDLESDPMQI YRSAINNEELRTGRPSSRPLDIPRELAIKDPETKQVFIDHLRDLREICDQFLFALEDL LPRMPYGLRFVCKQIFEALRQRFQREDPVRLLNVVMNWLWKFYLQPALTAPENVGVVE KQLSPLQKRNLGEVAKVLSQMASGRQFGGDNVYLQPLNAFIAESIERLTGLTEALIAV PDAERTFDIDEYNDLYAKNKPTLYIKMADIFAIHNLIASELIHLCPNRDDMLREIMQD LGSAKHNESEMTAAGSTDIQMFLTPKLHDVADPDAEVKALFMETKRCILYIIRVQTGT NLMEILVKPITQDDEHKWQMLLRDDFSNGSNTKGAYSDANMVDVTRMSYYELKRMALE NVMRLEQIGRISRHNYYQDILNAIALDIRTKSRRRVQRQRELEGVRMTLSNLHEKARY LEQQRKSYDDYIEQAMATLQNKKGKKRFLLPFTKQYNHQRELERSGRVPKFGSYKYSA RALNDKGVLVSWAGIPEREWGQINLTISCDEVGVFSLEGSRGHIQIPGASALVPIEDL LQAQFEAHQFMQLFEGGCLKLNVNLLLHLVYKKFYRTQ QC762_110970 MSSDQVLDWRKAEEVLNEYKSRDGLSVHELMDAKAHGGLTYNDF LVLPGYIGFPASAVTLDSKITKKITLKTPLVSSPMDTVTEHEMAIHMALQGGLGVIHH NCSPQAQADFVRKVKRYENGFILDPVVISRETTVGEAKALKEKWGFGGFPVTESGKLG SKLLGIVTNRDIQFEDDFEKPISEVMVTNLITAHDGVDLLEANKILAASKKGKLPIVD SDGNLVSMISRSDLTKNLHFPLASKAADSKQLICAAAIGTRPEDKARLAGLVEAGLDI VILDSSQGNSMYQIEMIKWIKNEYPGLEVIGGNVVTREQAAALIAAGVDGLRIGMGSG SACITQEVMAVGRPQATSVYNVAAFAARFGVPCIADGGVQNVGHIVKGIALGASTVMM GGLLAGTTESPGTSFVSREGKLVKAYRGMGSIDAMQDKKAGGGGKDSQKSNAGTARYF SEGDSVLVAQGVSGAVAHRGSVSKFVPYLAAGLKHSLQDMGMTSVEELHKQVEAGIVR FEIRTPSAQLEGGVNMESYEKKLYA QC762_110980 MAPTVLHLRSETKPLEHRSALTPTTTAALIKAGYVVNVERSPER IFDDSEFEAVGATLVPEGSWEEVPKEHIIVGLKELEEKEFPLKHVHVQFAHCYKHQAG WENVLARFPRGGGTLLDLEFLVDERGRRVAAFGFHAGFAGAALALEVWAHQLTHPPSS PFPGVASYPNEDALITNVKKALATGTAAAGRSPRVIVIGALGRCGSGAVDALRKAGVP EENILKWDMAETAKGGPFKEITDSDIFVNCIYLTSKIPNFVNLDSLQVPDRKLSVVCD VSADTTSPFTPVPIYTVATTFDKPTVPVEGLSSGPALSVISIDHLPSLLPREASEAFS RKFPPPSLTPHG QC762_110990 MSSSRSTSPFDKLSYGTSTSSLRRLLPASNDEAASEASVNLETS PTPGSSAAGTGQAAPRRRRQATTAACRACRKRKSKCDGARPTCSVCRDRKTKCEFDTI AATETHTQALKRKYTELLQQKSTFEQIYEVLRSRPEKEAEEIFQRIRRGAEASSILRH VNYGDVLVQMALVPETRFRYEFPYLPDMPIYLQKHDNPYLDSEVFDCALRGAVEQPQP QQQRALPSVNDMLGSPRDALDQRDPYLKPYSSAIVVDPWLDSLTPSNWTLVSSDDNFM RQVIHDYFLYDYDWFTFFHKDYFLQDMASDRPRHCSRLLVNAVLCIGCYCHRKLPGRS ESWNPQNIGNQFLAEAKRLFEVEAEVPRPSMLDPEWQQKYHDWECRRLTTVQAALLLN VIYNLNGSDRLGWRYTIRAVEMAHEIRLFQAVPSHVSSDIRCAREFTAWCLFTWQSLS SYHYLKPPLMARPPETPLPDPSENPQWWGELWIRYPQTPARLPTHHGHLAKARADFWT IMNDFSLLSFSQPHVKMPLDQVVGFYNRLRGWLRNLPEPLTPKRLVLPHHLKVHMHYN CVLIDLLKPLLGLNWSDTTQPNKTLDDAHHEAAIHLETLLRLYYLRHGFEAFDGFLLH FLGSLNFIKINTREAQDNSLFLESHRSTMLLLMKGIRDQSQCHTVAKMVLRLQAHLMR SDDVALLKRFVDIETARLACGPESPRLVEEEVILSDWPAYEIGLEAKAEQKSRGVDFA SMVSSVTAEGGGGGYGESTSGSRSSASSG QC762_111010 MDEIEDVSYACSVASFENIGHPTPATNPADEKDNAVVYTTIAVN EHGKITSTHNGKPPPPSPPPTNHLDPTAPVMEILTTITTPSSHNNRQQAVMMNLHRPP SYPYRTPDMEDEDNDLPPPDLIPSMMGDLPNNAFGFGKKKEMVIHSPYLRSALSAVVQ YYPGFEVIQRGTVLKIAEPYQVLAHHWGELEEYKTSQPDCHDGRYAATTAAHIDVLLT FLADLYGPGLDDEKKRWAKGRTTYSRFWCLLKPGSVVYREKDGEWTGCVVSGINFLRA AGSVEGVGSDGMLVYMWGIAYKKGLMRREMIQRIIWPWVGERDITSLPVVPERWVKGG VRGQRVEMGRRYWGLAGGVSYREYEGYLGGGRTRGMIKGKVIVDPEGYERFAEQSPHH RIATPKFAPRWDQQHTPARQDRLPQMLSRCSCAVCSSSQEKQEPSPWAGFDDLDPRSS PLPRNEELYFMVIGPLIPAFILKERRWAHIRTSSLHPITPSPTPFNHLVLDPSTKQTL KAVITPFSSPLSSPSQIYPWPSDIIPDKGLGRIFLLHGPPGVGKTLTAEVSSLLTRRP LLPLTPADLPPSPSEIETSLSYYLTLSERFGALVLIDEADVYLERRQSKDLRRNSLVS VFLRALEYYKGVMMLTTNRVEMFDDAFTSRIHVALHYKELDEEQRGRVWEVGFDRLER ESNGRVVVHTAAREWVKGKEMRELGWNGREIRNGLQTAVALAEFEANENGVVGRVVVK EGHLKTVGGLSRGFREYMRERKGGLENREDEDEDEGEEEEEEEEEEEEKEGDPIQKLP IDHPLRQPEYQFQLYLLEQQSVRTQWRIKLNKSKNSPAMLNGRVYDDWEEEYHHR QC762_111020 MFCQKCRGPLKLDGSLEDLNPAAYDLLVATHSQQPPKKPPAASR TLHSQDRARKSTYEKAVRQAGQPMFKRHGGPPRASDSSMSFIFLTESQITPHRSQQPQ QQDASSAQPANGGNDGPPDDDKSYEMERIAKLFEILSARSDIDHPVCVECTELLLEEL QKRLETTNRERDAYVACLKEIQASAPTDDEIRAQDEALRRASQAVAERRREIEQLEEE RTKLDLELLALEEEAEKVDAQEDVFWRERNAFASRLAHFQDERDSINSTFDHDSRQLE KLQRSNVYNDTFCISHDGTFATINGLRLGRLHARPVDWPEINAAWGHALLLIVTVAEK LNYHFDGYEPQPMGSCSRIIKLEYQSPSSSRYGSARSAPPPAPKRHVLELFSSGDMPF GITIMHRKFDQAMVAFLELVRQLGDFVQKQTAREGTPLALPYRIEGDKIMDVSIKLGI AQDDGWTKACKLTLTCCKFLLAHASNVNSMSNGRGAGGG QC762_111030 MCVPATGPCLHVVVAVVVAATNQSTWNFDFDKHQSTSKYQRLAG AFSSQPKTAITMSNPLDTDAGTELFKHYESEYLLVRADLTQKLDQINELSGEPRKAAL SAAERALEETDELVGQMQMEKQNVPSSQRTAINRRIRDYKSDVDGYRRKLRTLAEDRS ALFGGRYTDNPGGASGDAQLEQRQQLLSGTDRLDRSTQRLKASQQLANETESIGANTL ATLQQQRETIEHTTRVMYESEGYVDRSLKSIKGIARRMATNRIITIAIITVLVLLIFA VIFSKFK QC762_111040 MIPAPEGGLINKRGVVAYLLIIPPSLSWDFRKVNLSISSGLNLF SLSVRRETQGWAKMLLVPRELDKLTISHLGFLAQRRLARGLKLNHSEAVALISSNIQE LIRDGNHVVSDLMSLGSTMLGRRHVLPSVLSTLHEIQIEGTFPSGTYLVTVHNPISSD DGNLDRALYGSFLPVPSNDAFPVPPAEEYAPEAQPGAVVCVKDAVVRLNEGRRRIKLQ VTSKGDRPVQVGSHYHFVEVNPELEFDRIAAVGYRLDIAAGTSVRFEPGDKKTVTLVE IGGHKVIRGGNRIANGVVEETRKNPDEIVKRLLDMGFAHTPQKDVVEFMEGFTMDRRA YNTMFGPTTGDLVRLGATDLWIKVERDYTVYGEECKFGGGKTLREGMGQATGRSDRDT LDLVVTNALVVDWTGIYKADIGVKEGFIVGIGKAGNPDVMDGVTEGMMVGSCTDVIAG EGKIITAGGIDTHIHFICPQQVEEALASGVTTFLGGGTGPSAGTNATTCTPGAHYMKQ MLQATDSLPINIGITGKGNDSDPLAIREQIAAGACGLKLHEDWGTTPAAIDTCLSVCD EMDVQCMIHTDTLNEAGFVETAVAAFANRTIHTYHTEGAGGGHAPDIISVVEHPNVLP SSTNPTRPFTLNTLDEHLDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVLHDLGA ISMMSSDSQAMGRCGEVILRTWNTAHKNKLQRGPLPEDAPTGCDNVRVKRYVSKYTIN PAIAQGFSHLIGSIEVGKLADFVVWDPAWFGTKPSLVVKSGLIAVAMMGDPNASIPTI QPVVARQMFARDLPGASVVFVSKESVENGNIKSYGLRKRVSAVRGCRGIGKRDMKWND KMPKMRVDPERYVVEADGKRCEAEPSEKLPLTQGYFVY QC762_111050 MPRPPKKVAEVAPSPAPVAPAIINPIQQQQLPVAQIPGGPPVID VAQFIRVRDSVYNRLQTIQDLIRSFSADYLRQTNLLIGEGTSLENGQETLDHLNGALG GLMPAALAAPMPVVEEKKERKKRTHDPNAPKRPLTPYFLYMQTARPIIASDLGEGAPK GAVQEEGQRRWAVMAPGEKAGWNTAYKYNLRLYQARVHSYKAGNMDAKNMSDDEARAY AELYNIDVSNLAQVEEFPADEQDAIAEQLHQTAAVESPPAEVSEEEPAPPAKTPNKKA VTRKRKSTAATPAVPEPPKPEPAATPASPEAKKRKRTSKAAEIVEEPKKSARKKTKN QC762_111060 MAYSREYPTILLGVILLFWFVKPTLAFGAGNIAGISSIEGQNWR HGDIEDALLKVMMARAVGGKRFDKLMVSRVYFGNWLRDYSQAIDVGTVKSVSAEAIRL LLCVLGFITFGYGSKEFEVTADRLGCYRPEDHIDNPKDYAENEDARQYHRALRGPVNE EVELAIDPETGMKNYIANENIGIMTSAAHCRKLFTDAIELGRRYGRSGNKDDLYECLR LIGTGLHYYFAHSNYIELALIEMGEQDVFPHVGRNTKISLEGANDEVYPIVTGTFGGV DFLHSVTGEVSDKLIQSEIQDLENTLEQSKNSDLSYLRELLDKIPDGLIGGDKKNKVN EIQDNANAAQMEQTSISPKETEEFTRQVQDIFRQIMPAIEYHDDLLKSISEAVSRIPI LPKIIEQLEEQLSLFVFQVIAPFVIPVIEQIKNELATGATEIIESSKNEQHIVFEDDD ASDPTHSMLSKDHFTNILNEVAGRAASKVVSWSVPQLMEAIDDEGVDVDRVLNKIIYG VLHHPAQRSTGPDGADEGRRLIYGIVEEWWNDMGRGQQEDYRRKLSREGVENGENHRE GEKDCGHGCGGGGLKVKKNYANAAPETMEDRIAEAAAGHIVAGVKQSFKQAAENAGTG EGGGGLGGFLSSVAGNIFNSARQERERERSEQQEETPSYGGGGYRRQQEEEERPSYGG GGYGEAASYGRREEESSGYGGGYGRREEESSGYGGGYGRREEESSGYGGGYGRREEES SGYGGGYGRREEESSGYGGGYGRREEESSGGYGGGEAASYGRGDYGGYGESNTYSGYG RRRDDDEEEDEGRRRW QC762_111070 MQLALTILAFGGLASAQGAQGAGKTTRYWDCCKPSCAWPGKSTA STPVLTCDRNDNPLNDRGSTRSGCDSGGSAFMCSNQSPWAVNETVAYGWAAVNIAGSN EASWCCSCYELTFTSGPVSGKKMIVQATNTGGDLGNNHFDIAMPGGGVGIFNACTQQY GAPPNGWGERYGGVGSKSACESFPDKLKAGCNWRFDWFMGADNPDVRFRQVACPAAIT AKSQCVRQRDVIDQTPTGPSTVPTWTP QC762_111080 MSRRTNRPPSTYEDTRSIRSQRSRAPANHGSQRAARPAEADRQS LRPPAAETDRYSLREHFAATRSVLEFDFDDASSFVGSTLASEHLGGEDQDDDEKVAAE REVIRKLFADGPLDRSYYELMCLPKKGPALRREEVQAAYNRLVQVLAVERQSGPLQSP AGFYLGMVQAAYEVLADPSRRIGYDLSTIEGYDSEDDEAELDVALLGAEKQTTYESKI QDQYILLTRRDARATTDLGFRVNASPLLASSEELAKRGNPGVEVLDFSLQKSATVALP GFKEPFEEASVTLVKVLKDFFEDSEEGEKKAPLPEQQQQKKASTKPPIRFTDPTLTIT GSVHGLLDDPIRLAPLVLDHYQPPGPSIHSRRRLDQLLSSRFLPALNLSFRQEMAWRD PRTPQHPQIPDLILETDISPLPHPTTSLRVGHTIPLLHDPTAPINVELFASKIWSHNI TNFGLAAHKRVGADSNGTAFLIADSGDFSLLNLASSRPKECADMTHFSRTFGSRSLAS FTNPPTLEVGYSFALPDLGIHQGKSLTRPSARGLSVLDADLDENKPGSWTISTGFTPG NIAAYLRYGRDFFSSYLPGRAKGGIRGEVELAGTVQKDFYLAFRALKSFGRFSKAGLE VGLSPFNLHLSLYWSRLGQRFSLPFLMASGAGRSKLGMKVLFWSTVFPFAALAACELY KQRQRQRRAVAKARGPGINPAALRQYINKRRTEADELTVILATGAEGRQREERQKGGL VILSAKYGVRNAPPEEVADVTIALAALVDDWGRLHIPRGVKKGKLLGFWDPNPMAGQQ GKVLRVRYLWGYKEYSVEVEGREELRLP QC762_111090 MLAVCPSLCLLTLGALSPTPPPICNIDPAPPATAQTSAPVSRMA RVYADVNQNMPRSYWDYDSVNISWGALENYEVVRKIGRGKYSEVFEGINVVNYQKCVI KVLKPVKKKKIKREIKILQNLAGGPNIVALLDVVRDSQSKTPSLIFEFVNNTDFRTLY PKFVDIDVRYYIYELLKALDYCHSKGIMHRDVKPHNVMIDHENRKLRLIDWGLAEFYH PGTEYNVRVASRYFKGPELLVDYQEYDYSLDMWSLGAMFASMIFRKEPFFHGQSNADQ LVKIAKVLGTDDLFDYLDKYEIELDTQYDDILGRFQKKPWHSFVNADNQRFVSNEAID FLDKLLRYDHAERLTAKEAMAHPYFAPIRDEGILQRYLAGEAI QC762_111100 MESENEPLKMNKTQSVHPFPDNYIYVPPRPRPQPRSVLRRFCTI ALASTLIWTLIAPLGSILSGNWYISGRDGQDGQTWPGRNQVDQDELRQILRDVPSSES AMQWSRYYTSGPHLAGKNYSQALSTKQKWEEWGIKSEINEYVVYINYPLNHRLALLKG KEKEQDGEKEYEVTYEASLVEDVIEEDPTSGLANSVPTFHGYSASGNVTAPVVYVNYG TYQDFEDLLAANITLKGKIAIARYGGIFRGLKVKRAQELGMIGVILYSDPGDDGEVTD EKGVPTYPEGPARQPSSVQRGSTQFLSVAPGDPTTPGYPSKPEAPRRPVETATPSIPS LPISYADALPILKALNGHGPKAKDFNQWWTRNTGLGYKGVEYNIGPTPDDVVVNLYNE QEYVYTPIWNVIGVINGTIPDEVVVVGNHRDAWVAGGAGDPNSGSAVLNEAMRAFGEA LKRGWKPRRTVVFASWDGEEYGLVGSTEWVEEYLPWLKHASVAYVNTDVGVRGKRLAV AASPILNKVIYTATSLVGSANQTRPGQTVYDLWDKKIKTMGSGSDFTAFQDFAGIPSI DIGFDNDRDSPVYHYHSNYDSFHWMQKFGDPDFLYHRTMAQVLGILVAEIANLPVIPF GAEDYAKALAEYVHKVEDKLDAYLIPPAEVLSASVTDEEMFELRSSTRNISSPVSVST TSKHTPKTFRKSLTRLHEALQTLTYHAYHLDLLADELRHISENDEIPWWDLPRKIRHH CAVRKANTKYKYLERSFLYEEGLDNRPWFKHVVFAPGLWTGYSGAVFPGLMESIDQED WTNAERWVDIIESRILNAARGIEA QC762_111110 MTSHKNDGPKLPVQQLAILAIARFAEPLALTSVFPYLPEMIASF GVEKNEVARWAGLTGAIFSISQSCTAVAWGRASDKFGRKPIILIGLLSTMICFLVWGM STSLPMAITARAIMGSGNGNVGIIRTMVAEMVPERVLQPKAFSLMPLVWSIGSVFGPA FGGFFAQPAKQFPNVFGNIEFFKTYPFALPNIMACCVFFISFMTGLLFLKETLESKRN KRDWGLELGEKLTRPFHRSKRTKNLRRRSFVDSEASAPLLAQSAMSSSSSHLESKHSD PVSMKDIFAPQTSINLICYTFLALHSVAYDQVLPVFLNYPRVIPDEHNTSLPFKFTGG FGLSSDKIGTIYTVYGISCGVIQFFLFPKLCAMFGVLGIYRFATVVFPIIYFLTPYTV LVQDTTARYIFFLTIMLVKGFVVIVAFPCTTILLTNSATSLRILGTLNGVATTFSGLG RAAGPAAAGAVFSWGVQRGYVIAAWWFLGLIALMGTIPPWFIIEGDGPTASSTATSTS TSDGEEESLLQRDDDDDSSVDGYDEVAVLEALEGEESESETEPGKERKKRTTNGSYGT MNNGTAS QC762_111120 MASKVTLGPMLAVTTAASIGVAKKFDLPLAQTVGTALAAAIPFY LFVWLSYLYPIYFSELRHVPTVPGNPLIGQFFTIITEECGVPQRRWHKELGPIIRYYF PFGAERLSVADDEALKHMTVKNPYNYPKPVRAKLWMVRILGEGILLAEGTDHVVQRKA LTAGFSIGAIRSLTPIFWEKSLIMAKLWKEEMTTSHLRVKSFEALEWLNRCTLDIIGK AGFGYDINSLEDPDVPIREAYRLVFAFDLFSRLLHGMQAFIPASKHIPSKMNRDMETS RGIILDKATEIITTKLDEAENNIGKKDILALIAKENKKLKENGEEGLSFDTMRDQIMT FLGAGHDTTATAVAWTVHLLSTHPEIQSKLREEIREHMPFLFDASTRHDPRLLASVDP DKLPFMDNVCRESLRYIPPIPMTVRQSIADDTLGRYKVPGGTVIYVLANTINRLPCYW GETADEFDPDRWDDLPPSVVPNAFMTFLQGPRGCIGRKFAETEMKILLCVLLSEFEFG RDYETADPEEWKMWRLVLRPKEGVTVRVSLLGGGGVGEVVIDGGKGRGR QC762_111130 MMASLSNVLHIIMCFRKRQPSHDESPIPDIPPEIPMPACQDSNR PSSFGGLVSQHNLQRIGIPPLKTESITNNDKLSAVSRVSGATRNPPPIRTPQEMANVP KNVLLEEVLGGLRQLAASPTPLTPTSVRPKYPISMTEQRHPGKPDAKIHWSTKPFEVP RDAVTPELPMSEKGDPLAEARYVSGIPLACLMAGLMFAVFLTSIDRTIISTAIPNITE EFKSTPDIGWYGSAYMLTACAFQPMFGRIYTIFSVKVSYLVAVFLFELGSLLCGISKT SMTLIIGRAIAGLGCAGILTGSFVVVSTTIPLHLRPVFIAIVGLMFGIGASLGPLMGG VFTDLVTWRWCFYINLPVGGATAAAMMIFFHPAKNKGANRPFWQRILALDILGNALLL AASIMLFLALEYTTQGVAWSSAEVIGLLTGCGVVAVIFMAWQWWKGDEALMPPRIVTQ RTVAASCGMAFMTYGALINLTFFLPIWFQAIKDDSAIGSGVNMIPYFLVNAFFSLLAG IFVTRIGYVTPPAVIGSAIGTIGLGLLTLLNPRTTTGQWVGYEMVCSVGFGMSIQQGF TAVQTVLAEDDMAVGTAAVVASQSLGGAIILSIGNSVFQHQLLKASEANILPGVDIKK LIDVGAASFHNLVLDDELPLMLEVYNAALRLVFIVGIPMGAMAAIISCFLEFKSVKAN KEVDVAKTRAEDVAQKA QC762_111140 MDDRSLTKRIQTLHKAAAKEPPSVVLQLLEELKKEPAPTEEQLR STKAGVVVGKMRSNTNKDIARLATEIVSKWRKNVDSAKDKRKVELAKDSPTPKSVSSP LPPSSSSNKPYEGDTERRHFKTDKVDLKRTGHQARDGCIGVLYNGLAYRATESVEEVV RLAMEVEAAAFRVFKGDTPEYRQKIRGLMTSLKRKDNPALGKRVRSGAITPDTFVKMT DVELASDAQRAEDEKLQQENMKKAQVPMAEKSISDALKCGKCGQKKVSYSQAQTRSAD EPMTTFCECTVCGNRWKFS QC762_111150 MECPPPSKRPRLSLNNNPLEVEEDPLEDELLSLPSEVNARRDPN ARLERSRQRAVIKLKTAFESIFERYGRDFEGVGDEVDLRTGRIVVNNGHIEGMRGSDL LVGESEDEGDGEGENGGGNEGKEYNEEERFLQDVGDAQGLSRLGQDVMLPQMMGMNMG RGVMLPQMMGQSELAMGVGFGGWPGMMGQMALSNMGFEGQMGPGVMFSGQMGEGMMFP GQMEGFSGWPAGYGPPIDLQTSDPTWQAPALPPSFMYRPAAMTDAPQVKKKRISLAAP RDEQGDNEDDVLLGVFTKDKGMETTETPIKQKLLLPKPPPDTGPKKKKKGPGKRKSKV GSDTPKESAHKDAEKDVVKVPSPPRDGVAMAEAESTPSKTDGVQPDVEIVTADISSPT TEPELTATLVETESPKTPEEKPTVMAVRSRPPPVQDSVPAADPDVYIYVSDSEHKSAR KPRNQSLRVEIVAKTPLDISSFRAVTPEQSEGDHPIPQEKDGEENVPPATMIPLAPEE KETNHSTRPPVAESEIEANGQPEPPGELFTRHVVDAEYDLSDEDQPMQKTQRQPRKQA GASRKKDESLLRKTLRKPLGIVASDTNTSDKPHDVPMPDDGNLNPKDSSLENQANRED ERVAVELKESSPQSAPPIINTESSIPDIMVRPPQITEVIYTIGSEETPWPNRRKGRPS FRGRDPRGEIPDSDPIGGFSTQEDLDDDVRPPSPSLSFNGDEETTLEIPDSYIEPSSE MGIVEEETPRNHTVPSPTLSSCAPDAEMLGPIASLSLQPQDTEMLDFAPIASSPGPAE ISDPVPALSSQSQDGAVTDTTSSPLPGTPHDNINNMTLESIAITSSPLIFKPAQRKGD FHLRPSTPLYLTTPPRRPRGRPPKAKPTPPTIPATTPLSTISNTPTAAEPTKTPAPKP PPTTVKPTTTPTATKPTKTPTSKRPAPSTKPTKDPTLKAPPISSSITPTAKRKRRSGV LSLLSDSEDDELAVLSPSVSSVTPIMRSSPASNHVRLFSRVSGTPRGTYLVKKPEGSA RKGDKKESFMARVAVKRQVKRVKGGGEDKGKGGRCEGGCGKVFCFGCVRRGES QC762_111160 MDSPAVTHLFRQLFRHHPACQSRRNLATLATALRNVRRQRLQAQ ELQQHYQHCRAPRQQQQHQQQHRNYVARGRGGESSKNPHNESNWQQRSEVFQEDMSKE FESYPLVTADELRSRKERPRRVKMLMRDFVEDSLYNPNYGYFSKQVVIFSPGEPFNFP SLHDEIDFQTILSKRYVEFEDALDAVSPTDTRQLWYTPTELFRPYYGEAIARYLIANY KLTTFPYHDLIIYEMGAGRGTLMLNILDYIRDVDPAVYARTQYKIIEISTQLATIQNN HLLKNSHARGHAQKVEIINQSIFDWKTKVPSPCFFLAFEVFDNFAHDVVRYDMATEAP LQGTVLIDGKGDYYEFYSRNLDPVTARFFRVRDVATDGRYKVPYPTSRFGKWLSQQRP LPGNLSEQEYVPTRLMQFFDVLGKYFPAHRLLTSDFHWLPGAIKGLNAPIVQTRYQRR PVPVSTPLVHQGYFDIMFPTDFQTSEAMYQAITGKLTRSLSHEEFMRRWAYLEETETK SGENPLLTWYKNATVMFTV QC762_111170 MSLSDHPKAYGTAALTVAFITGIFVTLGFKDLYPELENRYQQRL KRNRHARNSLARSSTVSSRRDSFLFSGPVSLEDRESTFTTIDRGLGIVDGIAGTIGNT PLVKIRSLSEATGCVILAKAEFLNGAGNSPKDRVALNMIEVAEQQGLLVPNRGDTIYE GTVGSTGISLAVLARAKGYKCHICMPSDVAIEKSELLHHLGATVERVTPAPITDPKHF VNLARQRAKEHTLRTDTESRGFFADQFESLANYTAHMKTTGPEIYEQTGGLIDAFVAG AGTGGTISGVTKYLKEERKLTNLKVVLADPQGSGLYNKIRHGVMYSPTEKEGTRRRQQ VDTMVEGIGINRITENFESARSLIDDAVRVTDIQALKMARWLVEHDGIFVGSSSAVNC VAAVMTALKMPKGSQVVTILCDSGSRHLSKFWKSVGELGLEVNGEDEERGGEGEGDIV EVLGLGKGRQ QC762_111175 MRTQTLLTLLLPLPILATPIALSPSLTASRAISNYHSQNLPPDP AFDSEIQSLLTKIKVLEDKNMEDPNLDALIDRLIDRLAERAANSKNSGQKKNDNQKPQ NIICIGISVCDPITVDKSDHSVHRQGKKKEEEKEEREEEEEGVKKGKGWKWGEKEWKW KGGKEEAKKWRWGGKERKKKPEGKKKKEEEQEEEEEEGGARRQGRPTFSGYWPRPNYG SQRYEEGEYRGRQGQQGQQGQQGQQGQQGQQHQPQRPHREAQYAQPRPDYHRRPQQQQ QQQQQQSDRPRVQPGFLPSGALPGGYGNNRGGNRGGDNGYHFGEKGYNSNGRHGYGQQ RYDDDRSGNGRHHREGFNNGSRHGGDYQQAQRNNAHHRESNHGRKQHDNEPFHVWVDH EGRHRQSGYRDHKGNYIE QC762_111180 MHIRKISAIIAQVSLTSASVLAGHDMGKRDSWEDAKAFALPKAS EAAAKFNAAPEAMITPSPVVSKRQFFGGIRPDAGFEIGRQQGLAAAQAAQEHVDRVTN DFNSRFPPFFPGFRNNGYNNKRGDDMDQGQQYNDEPDRWDDNNGVYHSYYSGAAAGYA SAANDGAYKDANQAQQGWQRGAPDWQAHASSWAGYGDYVAASAHGLASEAVASANGVA ATATAGYGDGDWEGDVASAHGVASGYQSEYYQGGVASPSGVQPTASAAHGTQMVQVNE GAAGVLGGRAVGVVVGVAGVVFGML QC762_111200 MALSRPSMRRLTSLRPSNLRPCTSFLQPRLIRRPSGVLWVPQRF THSDITQQLREEWSSYNHFPQASSANQFVNGQTVTVHGFLSQRRISSKKLIFANVQVD NGPSIQVVSHSEPGDESNPPPGHEAHLALRALPLHSPVAVTGTVVSQKGDRDLSASSQ RDVPGSFPKGVTHLEISLQNIQPLNAFPKDIIVSKGVQFPPSARHLQIRFDEALQARI RARPKIGHELRKSLTDLNFTEVETPILFKSTPEGAREFLVPTRRAGLAYALPQSPQQY KQILMSSGIRGYYQFARCFRDEDLRADRQPEFTQLDLEMSFATGQDVMRTVESIMKDL MKSLNSQFELVQSDTNERYPVPRRTPPSSDPSSNPWPVSDKPFPRITYEEAMSRFGVD KPDTRIPFEINPNVPLPESFTSMISPLPSPTIDTFLFTPTTTTTTTTSPSIRDTTTFI HTFLSTLPPALFSLNPNGQPAALIIDTSKPLMGLSPLSHSGFETITNLYPFLTSGDVI FFQARPPTPFSGGSTALGQIRTLLYSSAVTSGFLPPSHQFNFLWVQNFPMFTPNTETD PGQGGQSGFSATHHPFTAPLTDADVELMVTNPLAARADHYDLVLNGVELGGGSRRIHT AKMQEYVFREILKMSDKGVKQFSHLLEALRAGCPPHAGFALGFDRLCAVLTGTDSVRD VIAFPKSMKGEDLTVRSPGKITSEELRTYHLAFRPKEEKKKE QC762_111210 MASSFEKSVKGATKIKAAPPKTKYIEHILVATHSGEAGVGEVFR ALHHRLRDSTWTVVFKSLITVHLMIREGSADVTLAYLAKHRNMIAISMFSDAQTQGRN IRHYHSYLAERARAYRETKVDWVRSKDSRLEKLSIDKGLLRETEIVQHQLTALLKCDV MENEPENEITITVFRLLVLDLLALFQALNQGLINILGHFFELSKTDAERAMDIYRTFT RQTDYVVQYLSTARQYEHHTRVEVPKLKHAPVNLGRQLEEYLKDPDFEIHRRQYLAEL EAKKSSKGGSSGASKLPKFDAFETKASSSTSAPASQPAQTSQAAAPAKGPDVNLIDFF ESIEQNQTTLAVQGQTQQAQAQPQLQQQQQQQQQQQQTQMQMGMSPWGPAPFQPQQPL QQQQQFPQNGFVASPVHQFQTGVPFQQQGQPAFSPQQTAQPVQQAFTGVGFGGFSPQP QVGFQPGSLAPIQQDTVANFQTGAPTFQGGLQAPQQNTNPFRQSMLMNQQQTGSPFAQ QQPVQEVASPQQRPMTSQSTNPFARSSPQGTQPFAAPTSNSPFQSQAPQQPTQQQMQP MPTGTNPFAKNFGQAQQAQPTQQQQRPVTAGGILSQPTGTNPFRQGAFVNHQTGLGWQ HNQQAIGGGLDQVETVPVFPRPAAQTPWQQQ QC762_111220 MPPQRPPTSAPRPGGVTAVSSLAPDGLPKPDSDDMCPVCKTIRY LNRDMEFLINPECYHSMCSSCVNRLFNEGPQQCPYAGCHRTLRRKGFRSPFFGDLSVE REVDIRRRVNQVFNQVEDDFNTLRDYNNYLQMVEDLTFDLVHGDEPTKRKAEAQLQQW EAEHKTEIERNRRVGKEQDEQSRRRLAAEQAAARQRRLDAIKEAEEEKMEKIKIKEME IDSLERGQPLPDQQRVQLKRRGNKAVEAVTNLAAGSSSTADAVGKLSIRGLKEKKKEP RPEGPYDPFGGLDLTPSRYKIHGGLSHPNVEKYRSDKAHVTGGYSFDEYTSRAMFEAF AGLGVFIEDERDVGVGLVASEVVGMGAALAAVGGDGPGLKMELD QC762_111230 MADTDPTTEAAALAREKAEQASLPYTWTQTLPDLTLTFPIPASL KARDLSISLTKTTISAGIKGQTPIISGQFPHPIHVDDSTWTITTSPDNSSKTVEILLD KVNKQEWWAHVVTTAPKIDVTKIVPDNSKLSDLDGETRGLVEKMMYDQRQKEQGLPTS DEQKKMEILKKFQEQHPEMDFSNAKIQ QC762_111240 MSSQPPKDHLTTLSTHITTLTQKVTQLRASLSHWQQWYLEYSSL KEEISLLPSTTPTPVESLRRIRRDFSGKVLTQKEINEIMGKTDFREVEQMLSLLTHRI DYVEGNINTVGKMLEQEENRLAAAEVVASPDVPRDEESGLPIMDIVEGVEEGKEKKEQ EEKKATPKAVERKGEPKGGVADGESMVAKKTVTFAEGTKPGHAEREKLEKTFAQQQLE HVIKIAQESQAMDMSKAVVPEDEPEEDAKLRREMLEYSMSEIGPVVAELTLEEGEFSD DEDWDMDDEEEDDDEDDLGRSKHSVLSSDYIQRMQELEKKLGVKSAFSAPVQQERTVP DEGMGRISVVKESAPKAATKTPKEKAKAPKEKSVSFATELDIAPTTTTTRPDASAAPK INPVVDIVEKVTDLTMQDDEPEEPPKRVSRFKKERATGGLPPGPHQLPATFIHKAAAP PPEPTPPEDTTIAPTVVERPTPATAAEPDDMDEAMLYQAAAVEYNRMRNQLIQKQGGF IEDPPLNEDGIFNLLIITSDSKIRSRGAL QC762_111250 MAFPRHPSSRISDVQLPIMLIADDDDIRDMGSDLKLDSRGRPEE KPRPGPPVPSSSAASSAPQHPIPSMQDAFAESLVEATEGENSGKPKLRTGDAKARREE LLDGEHSDPHPAALWRFRPGQQAHELRRLMAQISFGVYLLLNGMANSQILVVSILQGH IDEVDEFLETTLEDMDLAMKDVKLRIEHLRLPMDNIDVFERMLEDQNYRMTILEGNEK IEHILARTQIALKQTTQDLAEGLAATRDFTIYLAEQHHGPWRQERPDVIDIFDAMKGN TDGWFNAFMDLQHKGSSLNALVVRLAGMVSEMDRRAGEVSRRVRSQQLSAATYTSPKH SPKPSDASATTITTPPTSPPRKIPNSPPRLSLRLSTINALTASRPSSFLNFSLPEEPK PAPEERPATPPQAPVPTIQEETETKDTPLSPPKSLPQSSSPPPQSPPQLLTSAVYQPP TRAQPPRPKPSPQPQAPAESPPESPPPPARNPRRLSERPNVLLEAPKLEVHKEEENRA EESTLYLLQPTVYTPQPSPRPSPRPSPRPSPQPSPRIVAERPKPRENVPSAKLDFPSP ADSIPKPGTASPKPREQTHRPQTSRAQIVESPRARIVENPRPKVVEIPKPKVIEVRGP KVVEIGARNRAAAPKRDVLPDSRYEPRPSSTRTDSFQTTSTRRTDSFGTDSLGSDSMR TESLRDPGNAPEVVPDADLEVDMYPTNNNRTSLRDRISLKMNPPGSIHVPPPDTHHHA VQQHQNYSTSARVAYHNHLQQQNSYQTFQAPDSAYGSGSDMERPPVNSITSISSSLAD FSPPPSFIAPGLIPSPHSDRQFFRPVQANPYSPLQQRPHTSGTVGGVQQFNFPHPPIP SRNIPSAMGMSVMSNGTTMTTETANSKGGAGLKKKRSAFGWLKKAFSLDEEERAAFEQ RRREQMGNDPYAGQAQNSPKFLDGRRIDRPANGYAPSQYSRQGY QC762_111260 MARNPFKFGTDLWDPSHRFESSWILSPWALFFCRALISLYAFTT LIFVLAYQCINSPNHCGASQAQFSYFTSLTYWGIAFYFLFAAIHTFTYARTGRPLLDR FPRFLQALHSAFYTTIVVYPFVVTIVYWARLFDGRWYKLAYEGWSNISQHALNSVFAF FEIVIPRTDTPPPLHILWLIFVLALYLALAYVTEATKGFYTYDFLDPEKQKGWVAVYV FGIAIGCVILFGVAWGLIRLRKWVAETKLGMEGKFAGVKREKGLVEREIGGKEAIALR EDV QC762_111270 MAPNGGSGSGTGPLALLRNIYTLDTLDTRFTTPSGVPYRPLEAR SPDKRRDSTSPKPPTNGASPPKWKTPEFYLYYLVFLLAVPSMFYVAYSVSRPSDPRYP KFERFLSEGWILGRKIDNSDAQYRTFRRNLPAMAALLLFHPLLRRVYNMVTTRGDVKE NSAEGVEMRFRQRTSFDFVFALVFLVILHGFSAIKVLVILAGNYAIAKGLPRRYVPVG TWVFNICTLFANEVCSGYRFGYVARLVTGTLGIDRKNMVVDLPAVVRLGEWMDGFGGL MGRWEILFNITVLRLVSFSLDYYWSLDGRSTVGGVVERDADTHKKKNLDLSNLSERDR IALPAQKGDYSFRNYVGYAVYAPLYLTGPIITFNDYISQSRYRSATISSARTWKYAVR FLLVLLCMELVLHYDYVGAISKSRPEWSTYTPAQISLLSYFNLHIVWLKLLLPWRFFR LWSLVDGIDPPENMLRCMSNNYSALSFWRGWHRSYYRWLLRYIYIPLGGSSFRSLGQG VRTVVTYLVVFTFVALWHDIKLNLLIWGWLVVLFFIPEIVAGLVVRKEDFKGRERTYR WLCGLGGVGNVLMMISANLVGFAVGLDGLKAIISGVFRDYSGLVFLVTACTALFVGIQ VMFEIRQGELRRGINLKC QC762_111280 MESSETNPDLESFREQWRAEVRARHQQSHRPRQHQHATAGPSTG SRKPPPPTKKPIAKEYEEDYVPTKVFDEPGQPATITGVAAVPTKKEPITALEHYEKAV EREAAGNLGDSLRLYRKAFRMDDQVDQNYKAKHFPRAKFPQKMAAPKSGDSSTAAAPG DAKADQPQTMKELIASFAGLTIEPVPPEIEGMPQPPCPISNLPDEILVHILHDVAVAD VGDFVRLAQVCKRLAFLVVTEDRIWRRICLGVEFGFGGMHYHWQRQITWEPLAEEDLE REAAEEAAAAEAFTQSSGATSPTETEQEDGFVVPVFDLEKRAEKLADESTANTLAFFN SLYRSSWQRMFRLRPRLRFNGCYISTVNYVRSGMANSNSITWGAPIHVVTYYRYLRFF RDGTCLSLLTTAEPNEVVHHLTRETYASHHSGHVMESALKGRWRLARAGDNPGASLSE VEGDVMVETEGVSKYVYRLDLTLKSTGKGARNNKLAWRGFYSWNRLTDDWAEFTLRND KPFFFSRVRSYGVMGA QC762_111290 MCSPACASRTDLVLPLEFVVFGKQLLSEGDQNGIDFCLNAAPAT PVGKCFYRHLTHLLVNESKAAIMSGRDRDEVNQDSWSTIANEFLDRGVKNVVITLAAK GAFYANADGSAHCSAYGVVVKDTTGAGDTFTGACSSDYLRQKAKGTWDIRSAVVRANK VAAMTIMNIGAQDGIPWSDEIDRFDAPHSEASI QC762_0009930 MDKALWLRWSCRERSRSWGGTRYQSAFVVNLSHCSRTFHHKTRL TPPSSTLSNFRAPTSALRTAAPASYYAASALLDYYRTRHHLPSHLKRLHHTMTEPQWT GPRVRETFLKFFEERGHTIVPSSSVVPHNDPTLLFINSGMAQFKPLFLGTVGKTEPMA ALKRAADTQKCIRAGGKHNDLDDVGKDSYHHTFFEMLGNWSFGDYFKKEAIGWTWELL TKVYGLDPNRLYVTYFEGNEAMGLEPDLEAKQLWLDQGVPEDHILPGNMKDNFWEMGD QGPCGPCSEVHYDKVGGRNAAHLVNQDDPLVVEIWNNVFMQYDRQKDRSLKPLPAKHI DTGMGFERLVSALQHKSSNYDTDVFAPLFAKIVEVSGSRPYSDKYGKDDVDGVDTALR VVADHIRLLSFSIADGAVPGNIGRGYVVRRVLRRGVRYARKYLNANIGSFFSQILPAL VEQMGEQFPELKKKEADIKEILDEEEVAFAVTLDRGEAMFEKYAAAATKAGEKKLSGA DVWRLYDTFGFPVDLTKLMAEERGLTIDEEEVKVAQEKAREASKAVKEAVTTFPKLTV HHISELENDRNIPRTDSEAKYSAQSSEGKIQLIFNGSEFIENSKDVPPKTPLGIILDK TNFYAESGGQVADTGRIIIDDEAEFKVLDTQEFGGYVVHSGFLEYGNLKAGDVVKCEF DELRRQPIRNNHTGTHVLNHALREVLGDTVNQAGSLVDQDKLRFDFSHKTQVTLPEIK RIEELSNADIRKNLKVYAKDVTLAEAREINGLRAVFGETYPDPVRVVSVGASVEEMLK DPKNPEWRQFSVEFCGGTHVDATGLIKDLIIVEESGIAKGIRRIVAYTGAGAHQAQQD AKDFSDRLDHIAALEFGPEKVSLVKAASVDLDALVISVLTKEELRKKFAVIQKSVLDE QKKRQKAESGTALSTVQKFFADEKNKDAKAFVGHLPISANAKAISEVMTYYKSKDKAK SVYLFAGSKDEAVVHGVYVGTDLKGVTAEQWTAAVTEIIGGKTGGKEPSRQGAGSNPE KLDEAVTKAQQWLEEKMKELSL QC762_111320 MEMGSFPPAVGMDHHYQYGYLSHNPFQQQQVQLQQPQPPASRLS RKRKPDAPPENNERLSKRMSLLNLEHSGPKLYVPVEQGDSHNQSLPDLNAIHHQQQLQ SQRRSHGHHKHTPMDDSMMQLDDTKHKVYIYNLDDELSSSDNETDDGKLIFLPDIEKH LKSHRIPPNILASPSPQEMADRQLVLYRVPSSITVPEEQDSVRKAIIEARQRMREKQE AERAAAAMREVPVDSTVMFSAPQASSSSAEDPDAMELD QC762_111325 MAIATLLPRQTYYYRNCTRDEDGFLISDENCYISFWNTKAGIIV KWSLFLAILLSLTLYLLIGYFHARKRVRSGLPPLGYHRFLVNRATLAQVDPRYRYPQS TFTPYNHNGDGYQYYNMQGMPPPPVYDPNAPRPPVYEPPAGVSKYGEGNGNGTTPPPP QDNGYIYSPPPGPPPPAVVAPVPSSSLNEQYAPPPGPPPFTLQPQGTGNTNNPFRS QC762_111330 MVATISATRALRLPYRSASLRLLTPPTTPSPYRSSLRAASTFTT RKQKPPSKQPNTPGQPPTTPMKPTNALLFSTCPATMLGPSSSSPQQCPSTKYHYHLAA SYIAKSRPFDPQTHLFQFNPYNRLSQPPKSPKRPKSSRPESGQDAFFISQLGASPSSG EVALGVADGVGGWMDSGVDPADFSHAFCDYMAANASSSDPPSTARELMQRGYEAVCHD ESIKAGGSTAIVGLLSSNGKMEVANLGDSGFILLRRGGVHASSEPQTHAFNTPYQLSV VPPSMLLRAAAFGGAQLMDQPRDAEVTRHGLRHGDVVVFASDGLWDNLFEGDILRIVS SVMRERGVWRVNGERGCVVEEDIKSVTEGKTTLQGRLATEIVRQAKIASVDPKLDGPF AKEVKKYYPHEVWRGGKEDDICVVVVVVEEEGGAGSVKAKL QC762_111340 MKYSFATVLALATAALARPKFTNSNYDVVVGEPFTLRWDSAEGN VKISLYKGTAGDENSFKPVEVLTTTSGASGSFTYTPTDSLNGDYAFVIEDESENPRNF SPPFPLEGTEVVTSATTSVTTITTTAESSTETSTESSTETETSTESTTATTLETTTTA PPTSTRRAQETETAPPNTNNGQRFASSLALVLGTVAALVFFN QC762_0009980 MRLTPSLSVPPSCVPLERTGSGVLPFPLKPRPCAFPCLCLSSLA VPKKLFPIRGVFFWGWIRQLSFYKLFRFSIPTTSSTESFNIPQVLYFISLTTTIVYSV QC762_111350 MAFSFSFAGDDIEDDGRPLTPPPQVKKSAVASPAGAGAFPVQGK SQLPPASHDLEDMLSKLPSKIAFNLLGVDLQDGSTLQIPRRELWDVRAQLMAEDDGEN PSESEAGLGEHDVKTGIYEGGFKSWESSVDMVKVLASEKPADFLNQEPCVLIELGCGT ALPSLALFHWALSERKSQPRHPLVLTLADYNPSVLYLVTLPNLILAWALQQRSRVALV EEAFTPDGELDLTPEVIAAFKDSLVSNQITLRFLSGAWSPEFVDILYSSPNAPSLADN TKTLVLGSETIYSPFALDRFGETLLLILERERKDRPSGSARAVIGAKKLYFGVGGSLD DFVEKMRGLGTTVNNLFEERTGVVRGVVDCQLS QC762_111360 MVMDSCGDRYPDRLGRDGATLNFPSLNVSYTVREQPLGTARPIR IVGIGAGASGLNVIRTLRLNLTNYEVVVYEKNIDVGGTWFENQYPGCRCDVPSHSYQF SWRPKKDWTNFFSSAEEIEDYLCQVADEEGLRGSIKTSHEVVSAVWNEGDGQWELRIR NLENGQEFEDYATFLLNGSGILNNWKWPDVQDLTVFKGTLIHTARWPKDFDHTGKTIA IIGNGSTGVQVLPALQPGAAKIHHIFRTPSWVIPPRIHAWKVMGQATEVWDKIQLDAE ENFSEETIEKFKSDPEFYRDFVKRIEVEVNSAFPVVLAKSPVQAFARAKVAEYMTAML GGNEQLCKALIPDFPLGCRRMTPGHGYLQALTKPNVEVRRTDMKRFVPEGIELASGEI LKVDAIICATGFETSFCPRFPIIGRDGANLQDRWRQEIPKAYMSCAVPSLPNYFMFLG PNAPIGHGSVFTLSEHIAKYITTIIKKVQTEGIKSIAPSQAAVDDYFEHITHFMPATT WAAPGRSWFKMGREEGPVVALHPGSRIHFFHMLERFRGEDWEYEWDNARGNRFGYLGN GFSTREVGDEKGDLAWYLDEPATIS QC762_0010010 MSNMGSRTKDDEPVNLLSLDGGGVRGVSSLVILDRIMEKIQRRD GLEEIPKPCDYFDMIAGTSLSQSCWGRLRMSTTEALKAYDDCAASIFNKKNRKTGQVS DKYKSTALKEAVQNLVKERGMGEMMRDPENPKKGKVIVCVMPADSVATGETKLELGAG VRKGLFIDAAVGFNNPANYLLKEALDEFGSRRRFGCLISIGTGTKSTETVRVKTGFKN YTKAWEQLQGLIGMVKNVATDTETSHKQIHDRFSRFPGSYHRFNVPDGAKEVELDEYK KIPQLKALTRDYLGGDSVGRQIREVVEGLGARGRFKHGLTLGHAYFPDSDQLFLSDRS KAMGIPSSFFIGRQDVLNKIDQVFCERDTGGVPRREFLLWGIGGVGKSEVALKAAENL DGSFKYIFYVDGSERSTIIHSYAEISKRYNLGGTNPETMFHMALEWMGRLADEWLLIF DDCNLSERAGFIPGRGKGNIIYTSRFNGLEHNFPAHLVYHVEPLAERDAVELFWELLV SWM QC762_111380 MATDDEAINLLSFDGGGVRGVTSLLIIHEIMIKIKERHGLAEIP KPCDVFHMIAGTSTGGLIAIMLGRLRMSTEEALRQYDVCAENIFSSKKWANITEKFRS TPMINIIQKLVAEKDMGEMMRDPAKPAKGKAVVCAMPRKRANPKNVRRIRSFSPEKDN WDKDVKIWEAARATTAATFYFKPQPLTVSTPQGGSKIEDYIDAAFGVNNPTNELIKEA VAEFGPSRRLGCLISIGTGTKQERVISRAASGLRNVCDMWGSIFDVGMAMKDMVTNAE LVHFDLEMRLRRKPDAYFRFNVPLAADKVSLAQYGKIGKLKSMTAQYLCEPEVVGNIE RAADILETENAEHHLNLGALSEPSETGPDENLKANLMGEASRYFTGRNHIMGILNTFF SDREDETVPRREFCLHGLGGVGKTQIALKAANIFRHGDPELGIPRKRRFPHILYVDGT DKTTISQSYASIARDEFGIEASGNSDQLMRQALQKMERLDEDWFLIYDNCNQEDRREL LPKGDTGNVLFTTRNRVVRNQMRDECVYDVEVLEELDAIRLLLTASGSKYADLNAWDQ SIGKEIVEELGYLPLAIDQAAAYIREAPCPLDGYLEVFRKQRVELLRNPKFKGSLAQN QAVYTTFEASYKAIAQLELHNKNTLGRNAGLALRALDLVCFWHNENIPVKVAWWGGVS WKNRLDMGKDMPALEEHLAQLTGDETMTWRKFFFQLSESRDGEFPSALDILERYSILT VKERELASMHVLVHSWARDRMKAEHRARQALVSKVLLLDSIGDTLTATYARSYLHSIY PHVRACLEHSTWGSRSLHLPYELVLRGKYAHILTFQKRFGEAEAEYLDIIHLSKLSIG PTTWAVQRPLCSLGKLYHEMGRLREAELTYLECIDRCILEKEANRMEIEGRVKAQLAQ LRAVPRRKKQNKNDESDEDRPPSPQLSEEEIAQAARWFVPDWNSTDSWQLQISNIEEN LARVYYDQANTEDDLIYSADLLASALYRRKKILHPENMELWRTQDEYRSRTQHRDVKY WLFRFEAAMKTFKSEEDQVAFITGEYHGRLVQNMANSMVAMRQCVKYHKGEDYWAEDL KWMWEQAHKYYGTILWNGFIKWFGESDYRCLEVMRRMVKCLIGLKRYEEAEALARRCL ASSIVGYGECHQQTILSLEKLHEAIAYRLGGYDLESVYVIKEAFHRADAVFGVDHRLT KSTGKKTTRAMENYQENLSDWAPMSQNILTDAHWEYGAMEDQETREVLLGERAKHHLV SYTIPDPNFDARLRKMAEANAKAVLEETMMEVESEATPTTTTTTTTTITTRYVDITPA ATSEPPSQSTSKSLGHHHNHESFGESQASTLFSQARDEQYTSDSSSGDTKRSGVNDIK GKSVAK QC762_111390 MRPTARALMAAAMQSGNSLKPTPMALLPPIYLYRRLLRAHRKHL PAEMRLLGDEYVKAEFRAHRSVENPVHLIGFLTEWQLYAQKVEGDAWRGEKLDEGKIQ KMNDEQIGQLYELMQAIQKRGTEGNES QC762_111400 MAKKRKNDGDGIRVGGKILDLSGYLTKRPKLEASQSSTDALTLA KEGVSTLNEGLSNSKEGLSTTSKDPKAGGRLKPVGNPKYFDARQALPLWSRQDDIRQR LRQNDVLVLVGETGSGKSTQVPQFLIQEDWCQRKKAKIKGDGGVQEMAVGGMIAVTQP RRVAATTLAHRVAKEANIPGKKKDTERHGPLSDGIVGYSVRFDHRVPKGTRIKYVTEG MLLQELLRDPHLRQYSAIIVDEIHERSVDVDLLSGFLKQILSGDKAGRGGIPLKVVIM SATANVGSIKKFFSDVEPEGSEEYAPPATRPKTSVDFLQIEGRQFPVEITHTPKPVPD IQEALLSTLFKIHKQESLFDKHGRKDILAFLTGQEEIEAAQRLIEEHAETLPAGVPKV VVLPLFGQLSMEAQHKAFQPTKDKNTRKIVLATNIAETSVTVPGVRFVVDCGKAKVKQ YRPRLGMESLLAKPISKSSAIQRTGRAGREGPGKCFRLYTEETYESLEKTDLPEILRT DVLNAVLTMKARGIDDVLAFPLMDPPEFESVEKALLHLHILGALADDGSITDIGRKMV MFPVPPPYARVLIAAATPKYDCLLEVIDIISCITAGDDIFLQIQSEETKEEAEEFRKE LRRREGDLITYLTTIQKYSAENADRARWCKDRKINTRNMKQAMNIRKQLRQLCVRQKM MEHPPADPQPFYPITPERSATILKCFLRGFALKTAILAPDNSYVTAHGKHVVAVHPAS VLHGQKKEAVMFLEHVYTQKNYAKKVSAIEAQWIVEAVDRSG QC762_111410 MDPSLIKELQLEPLSPRGSDSSYSSEPLGSDSSNSSKPPSDSDR SVRNPQSEAKMAVDQSQRRRPPPLDLHQSSEIQDPEKLSRSQFAESTNSQEEWMSGCF KGDQETDDEHDVKMESAVDKHPIMGKFSSLFRSKSDKDKPNETPVNPYAQQPPSSDTY SSAPAYGGNRGVPSGLPSGPRPGGYGGGLPSGPRPGSSAPPPYSAQNDAAFADNKKRY DSAASSPSIGYGNDRPGAPSGYGGNRYDNAAGYGQNNNVQAPPPRQGGYGGLSEPNPL FDGRQQRDPYWAVAPPPRPEIPDNYENMTAEEKDDYEAETKKGEIIHTIRETAQSSAR ARMMAAEGVERMVGMHQKYDRDEAILYKVEQQLDESKHQARLANAHLDNLDAANSSLF NLAANSKGKLAERSARKAQSEREREIDRDALREEQALRKRELEAAAAQVGRPTLGFKK ADRSKYTFEDTGGEQEELNDQIDDDLTEIERSVSTMNMLAKGFLVRTEAQTNQVTRLV EKSDAARDKVRAQNNRMKAEYSP QC762_111420 MSVFTFDPLGSDPARASSPWLKPTDPHKKSSLPADHPPTRKGTI GSGLLSDYGVTKLEPEPQEGPTEYKLHLLLRSRRRYEHISTATRISGSINRPSVESRT SKPAPPLPGCSTTAQTRQDRLEHLTTQLLWRLQQSCPYHSGTATTVPVIPQLPDENFV RDAPIKLGKLLPGLEASRGALYEIGVADDGTLAGLTKDELDESLNTLRIMAASLGCNM KVLRKVAVGDCEWIDSSDSEEGSCSGPKGQRRQARLWVAEALVTPNLRPRDASHTGAA RSPGLPDPTHGPLSTDQLRVTLTGPTTSGKSTLLGALSTGTLDNGRGKGRLGLLKHLH EVASGITSSVTQELVGYDGDRIVHYGHQEVESWIDIHNYTKSGRLVYLVDSAGHPKYR RTILRGIVGWAPHWTLLCIAANSYDIPTLVEPAADAYGPEQSMELASAHLDLCLRLRL PLVVVMTKLDLASVTIKKTLSRILSSIKKAGRVPMLVKSGPAGHTGLSIVSDQDAALI KDVAEALRTNDDPSAIVPIVLTSAVDGRGIGTLHALLRSLPVPPTPTSHDYIGAALNP EQPACLFHVEDKYSLPASCALATSDADQQTDLGTVVAGYLRFGSLSIGDKVVVGPFPS EDAAGSPPRDQSPAGSYGLSLSHPSSNELSRIAARNAVSASAIKGEWHTASIVSIHNL RLPVQTLEAGQVGSIGIIFDQVRGEDGGVPMGTPRLRKGMVLAVPSQHMVSSGLSLQA VSGLTAVFDGDNASVSELTVGSLVNIYVASVRTAARVSRVSRVQAPGRSDEGRSPADD MDDVFGLGGDDNAAQKGQSEVGVGVGNGEVEVQLDLMNTREWVELGSKILVLEGRNRD RSGLEGFVGKVVEISE QC762_111430 MLPQRVARWPTHSLTRNLRPSQKAPLPTRQLQLQLQLRSCSTCE ATTPAASQTTTPPSNKPSKKTPPPPPPPAPEKPPPDHRTLGLHQKLFTTSIYSPGSAF FLPSGTRIFNRLVTFLRNQYVRYGFEEVITPLIYKKDLWAKSGHLENYAQDMYTVTGA RHASPEETEETDREYGLKPMNCPGHCLIFSSQKRSFRDLPIRYADFSPLHRNENTGSL TGLTRVRRFHQDDGHIFCRPVQIEEEIKKSLEFVKHTYATLGLGPYRLALSTRPEQYI GSLEDWDNAENALKRALDKSGADWTVNEGDGAFYGPKIDIVLRDSHGKEHQTATIQLD FQLPKRFELEYIAPAPELEQKGETTTDPELLASFGPVRPVMIHRAVLGSVERLMALLI EHYDGKYPLWLNPNQIKIITLNDTEPVVEWATRVRERLLGIADGDVTPTHFAVDLDTS ARPIAVKKAEAVGAGVSVVVTIGASEVEGKSLSVDMSAVTGSRKRVMMGPEELRAWVG ERVAAHE QC762_111440 MAITRKQEREGSYRPESSWRMVEGSDTGSFAYDEEIVPSNSDPS NSFDSQPVSIGASQPWSIGGSQDESIETYLSKAENDEQFILKSPFRPSVPQSVRHSSK EQHREPGPEFVMPKVEVESPTEEGGSAWSSTTIKSPKRPTPPPGLRKRLGMVKESPRV RGRRQSMRQSGELERPVPNDGILGSVFSWMLDVIGLAFWAIKYPIGFLLAVYVLSGTT IIAKNMVTKSLAASLSPLCRLPGVSYLNVPFCGPNKSVDDKPANVDFDSLVDAQSLLE QVLERTVEGVTLPLDIKRSEASIRDLRTIVRHSNLKGKQELVLEFDGYIDTVRSVVHE LSSFNVHVGSTVDSVISMNRWTARQLDTLEGDNVLKPRIENNDPWLSQMVEWVLSPFQ PVVFTEDHLKDTYLRHASHVQDRIASLILEAQTVLSSLTKAEDHLEIIHEVAHRSTEE VKTSRQDILYTLWTLVGVNNQKLKDFKSQLSVLSQIETQRLKAVKQVTGLVSDLIKIQ AEIQGLRDDVSAAELVPSVPLSVHIATINSGVERLDSARARLKAIEAERVREVVGRGR EGGEKLIDSKRW QC762_111450 MSAPPPQGPGGFSLFPNTTARPPSRSQNQQRPPTRSGTPQEGPS NSTEASPPRGPRIRRDSSVREGKQRVASTNPWQHALDARESQRRQYPQESETRPSNAV PVPDPVVETACAQPVSDPPQRCETAFSEAQTLVRSNSARSRSSIAKPPLTYAPAGQPS SSGGPSSSSHQNPPQLRSIFPTYNPELPLDRQEYYPKEASPTRIPQAAISRPLYSPRR ERAPTVTSNHNPQSPPQGPIQSPAPTTTSSRWPRHHEPAVIPPVSSTEELRSLWKVTN GWKASSLEGRPFCLKLTTTPDAPVYTLSSSTSQPFYCLRIDPTSASALVSLSRYDPNK PFKQSPPSPNSGSPSQSPTPGGVSQSANIINSSPSTPPSSTSLRTSMSLRTSKSTRSL QHNAKNWLEVLTTSLTPPGLRNENDGLVAQLWPSAAARLVADRSNDATTVALAQQESA RLVFDPDSGNHFLVHPALAMPFCVTIERNPVYSRTEYTLEHLESPVHLAKLTRDGTGQ GWLEVDTSIAAKIEAVYLVDVVVAALVLVAHGDDQYTAGVEVFEPPPVVFGGGNGSVI SGDRRSSRSSRRAGGDSRASMRREEKQRKKEAKKAEKRSKSRSSKKRMEQFEMDLESQ DSEYGKRSVKEKEEKLPAVLRALVWLIKIFFKCLIGSLALVFKCLGGIINGLARCCGL GKL QC762_111460 MSSLRNSVQRRSHRERAQPLERAKLGLLEKKKDYQKRAKDYKKK QTVLKSLREKAAEKNEDEFYFGMMSRKSAGSSSLTAGKGFDGKVAGDRGNKAMGVDLV RLLKTQDLGYVRTVRNIVAKEVRGLEERWVLAGGGEEEEDSEDEFDMGGQQPKKAKKI VFCEGVEERKEVVEGRKRQEREQESDDEDDEERVRKERNLEKLAKKLKEARKKLKALT DAELELEVTQAKMAKTATSGGVTKAGRRIKVRERKR QC762_111470 MIPNHAPKPSVARQDSQSSQGSQGGSVWLADCQQYRNVIESLAS SEPRLRRRDPRLELKNIPWPFKNTRIAVLESPSAGSPFTRKPWQCSDPAKFRLSLTDE SPASGPDGRRIIIMEGQAPAHIGTLGTHFDIHPAFFVDHERDNAPEAFKSNDAYYNIS LPCVQKEHVTMRYYQLISLPNDLQSNFRVFCAETGRTVTTTRILGKFADTVCLHRKCS IWRRPRENGTGWDCIVITDPPLRYVGTSYEAYNPIPVSPITRFHQGGYPDFIPHQRQM KNLHRGGPPRTTLLDDLCFYLDHHWDLFDSHGTDLVLTLAQKIVASHYRQHVGFLQTY ISHVQHSMSRQENLEYFNTTAVEKQWSDVQSYERRLSYYCIDLESIMIQCRIPFQAPN VLSGSGSSSEADFQFLYMALKDVRRRVELLSSSITGLAGMAGNRQAVEEQVLSRQEAT SVKALTVVGLVFIPLGFVASLFGMEDWFAPGGERFWMYWAVAVPVSVVAFGLYFFAKV VRGGGMGKRRVEGKWMC QC762_111480 MPGISDSFTTAAAFHSAMAILTARRFQRLALLTVVALVFYILLD QADYVAIPMVPKTGQYVQSTYDWARRRMKYPFDESKLIKLPEGIPRELPRIQYDFSQD EASESHIKTQKERQEAVKQAAKKSWNAYRKYAWGRDELLPEKLTGKDTFAGWGATLVD SLDTLWIMGLKDEYKEAVQKTATINWDKTTSSHCSLFETTIRYLGGLLSAYDLNGDQV LLDKAVELGDMLYAGFDTPNHMPANSFNFREAKAGSLRPSMGESSAAAGTLSLEFTRL AQLTGDPKYFNAISGVTRALEKTQDDTNLPGMWPVFIDVSRNEPENPIGAKGSSFSLG ASADSAYEYFSKMYVLLGGLDPTYKKLHMKSMATARNHLLFRPMLPDLYPATPPDVLL SGNVYANGQDIIDLQPQVQHLGCFAGGMFALGGKLFHEPAHVKIGEQLARGCAWAYDA FPSGIMPEVSEIIPCPAPSSAPSSKDEEEEEFPRCKWDDATYKEANTAPGRYPKPFRA VQDPSYLLRPEAIESIFIAYRITGKKDLLDIAWRMFTAVQKATETNEAYTAISDVNVA PGEEQADAHGRGGTRSRTKNSMESFWIAETLKYYYLIFSEPDLISLDDYVFNTEAHPL KIPKPGKGAEGKEGLDTEKEA QC762_111490 MNLTPFRRPATLLLYLSRHFTTTTTTCSLKHPPPPPPPPFPTTI NAMDLTSPPPEEPPSYIAAARSHGIPLRQSAPIKPGPLPLQLPILNHLRTKRVILASA SPRRRAMLNQIGLTNLEVWPSNTPEDLDKKTHSPEEYVSATARAKCHAVYEAVLAAQA KPDATKEHLEDPAVVIAADTVIATRAGQILEKPKSEQDHVRMLTHLRDGRYHRVLTGI CVMAPKADASHPGYEIRGHVEDTKVYFAREEDGLPDDVIESYVRTREGADKAGGYALQ GVGGMVLVEKVEGSVDNVIGLPVRKCLQLCERVVFRQGEEVEGEEEDEEE QC762_111500 MHILDYSTTLAALLLTTANLASAEPIPVPAVQLSQPNHDLVLSP RARPNAPSGGYAPQEVNCPRNKPTVRLADGISKQEEDWLGKRRKETVKPMIDFLKRAN LEGFDAEAYINRVAPDVKDLPNVGIAVSGGGYRALMNGAGFVAAADSRTTGATGEGQI GGLLQSSTYLAGLSGGGWLVSSIYTNNFSTVETLRNGREGSSIWKFDRSIFIGPNLPG IFDTTRYWSRVARQVAAKADAGFETSITDYWGRALGYQLIDAEDGGPAYTFSSIALDD EFSAARTPFPILVANGRYPGERIISLNATVYEFNPYEMGSYDPTTYGFVPMEYLGSNF SQGVIPSSGKCVKGFDSVSYIYGTSSSLFNAFMLQNISSVEGVPTFLLNAANATLNIL DSNENDIAQYEPNPFLGWNNATNPSAQKIELDLVDGGLDLQNIPLHPLIQPFRHVDVI FAVDSSADTTHNWPNGTALRASWDRSQGAIANGTLFPPVPDQNTFINLGLNNRPTFFG CDVNNFTLEAGQKVPPLLVYIPNAPYSANSNVSTFTSSYPESQRNEIITNGYNAATQG NGTLDGEWNKCVACAVLSRSLARTGTGVPGECGRCFERYCWDGRLDTKAVEGEYEPSF RVGDASTKDSAAAGRAVVMGTGMGLVVGLLGVVVMLL QC762_111510 MESTLPLPFLISVNVPPGLGGEKEGLNREEVSCLGTVFFTVKPQ TYEKIFRFLGRHNTEFQPFFDVTQLESRDDVVSLIDAGARKVFVRPEQLPDFAEFGSR VAPVVSGANPAQLTSATEHGLLVSDFDITAAETAQFVEEAKAKKIASFFVKPVADADL EKFIGVAAQVGAIPILPSTDVTSKEQAGKLAVPKILAASWKSDRADGLIPTVVVDEHD TALGLVYSSEESVGEALRTQTGVYQSRKRGLWYKGASSGDTQELVRISLDCDNDALKF VVRQKGRFCHLEQSGCFGDLKGIKKLEQTLVSRKRFAPQGSYTARLFSDEKLLRAKIM EEAEELCDAKTAEEVAFEAADLIYFAMARVVAAGVSLADVEKSLDAKSFKVKRRQGDA KGRWAEKEGIKTETNGAAPPAPAPVKEEPKKDERILMKVLDAGEVTTQELDAALKRPS QKSADAIMKIIVPIIDDVRKNGDKALLSYTHKFERATSLTSPVLKAPFPESMMQLPAE TIRAIDVSFENIKKFHAAQKDEKPLQVETMPGVVCSRFSRPIEAVGLYVPGGTAVLPS TALMLGVPAMVAGCQKIVIASPPRSDGSITPEIVYVAHKVGAESIVLAGGAQAVAAMA YGTESVTKVDKILGPGNQFVTAAKMYVSNDTNAGVGIDMPAGPSEVLVVADKDANPAF VASDLLSQAEHGVDSQVILIAIDLTDAQLAAIEDEVHNQAMALPRVDIVRGSIAHSLT VKVKTVEEAMEISNRYAPEHLILQIKDAEKAVEKVMNAGSVFIGEWTPESVGDYSAGV NHSLPTYGFAKQYSGVNLASFVKHITSSNLTAEGLRNVGGSVMQLAKVEELEAHRRAV EIRLEHMNKA QC762_111520 MSTTAAPQPNGHPPGSQSQTLTTTTNPPQPPPPPPLNNNTNPQS NPTAPRPRESRTIELLLLAQGVTTFEPRVPLLLLDFAYRHTSSVLSDALHLSADPYTS HAGARPSASSGAAPVNVGDAAITSNAVQLAIASRLAYQFRGGAAGGTSKDWLLDMAKE RNKIALPRVPASEWGLRLPGEKFVLSGTGWGLRDVWAGQEALEESDEESEEGDMEMED VVLGGGTTQEKEEDVGGDGVEGGTMGDVFGDEAEDEEMGEA QC762_111530 MQYVRNLSDSVSTAWNSINPATLSGAIDVIVVEQEDGSLLCSPF HVRFGKFSLLRPYEKKVEFKVNGVKQPYSMKLGEGGEAFFVFETSDTIPKSLQTSPLV SPASSPPLSPQQTTGLGEPEALDLNDPKVRSASFSRPPLTVLPNQRDGLITPRSASPE FGKAGTSIGDWSPPRPHSDDVLRMTARRAPSEDSDDPENPKYSDRSHSPPPLSASEAL QRAMNLSRELAAVNIQTHITETGDLMLDMSNFKNNEEDAIKTEILARKVLSEELDGYY DIGALFGVDERGNLWIYSSEEAKAAAMKKAMESSLRNDNGIVMDAASDPGYQSDSSDV TASPSVPSHRRADSDLGQMSIQTPPSSPGSSTAGDPNRNYAKTLRLTSDQLKALNLKP GENSMSFTVNRATCSAYMYLWKYEVPVVISDIDGTITKSDALGHVLNMIGRDWTHAGV AKLYTDIVANGYNIMYLTSRSVGQADTTRAYLAGIVQDGYKLPRGPTILSPDRTMAAL RREIYLRKPHIFKMSTLRDIRSLYGPDRKPFYAGFGNRFTDQISYRTVDVPRTRIFTI NSNAEVSLDLLSLNKMKLSYVNMTEVVDHYFPPVSTLVKGGGEEYTDFTYWRDTPLEL DEFSASDTEGEGEEEEEEEEEEMDDENEEYEDEDEDEIGEGMGDSYMSRDSYGDDLGE SYDEDRMMRSALEERGQEGDDEEDLEEEFEEAVDEEVDRAGGEEEMPMDESTKEKKRI LEDVERKLEEEKREKERGKDTVVPDTNAEIITGIKDLKVGAEVAEKKE QC762_111540 MSWIISWTSLIPTLLILSASLAWWFTEPKNARINLIAAVGVVLF CWAVAPELSRDLSYSLYVSSLDSVTALHLETFVLRNANMLLVGAAVVWLVGRAFQTLR KPVPELINTLGVDVPDPPDVSLAGIRADAATVNWTRPAPNRSVVKFLIQVNGVVVGEV AANQEPAIVVSGLKPDHFYNVRVIAVGSNNFQGGSRVIRLRTFARDGRPQLGNSRLPS NFTAEEPPATPHGESMDESGGARTAFPALEMATVTEGIASPARDGNTNSGPGPRRNTV TRKHSPSTTSIDQSTREDLSAYAKKTLPELTEKFESIRKETEEVLAQIAKEEAENRKV LEELEAEKKGKRKEQKKKEEQTEKLKRDVNSTDRAMRNALQRKGQRERTLKEKQGERE KYHENIAKWERGVGEMRKDRESFDQQRKDLEEERDQKAEAFRGDNNELQAECTRLEQE LKERRDQVRELEEARKKLPGGEDDGEWREKDAELKREWHRRHRELSEQLVFETKRSRG LDEHIRALGAQLQAIPQPSYGLYTPPNASGLEFDNPTLTQLKRRSRNSNTMSNVSISS PLPAYSQIDPILSAPTGFASSRSLNAPPGFAPGPFMDLSADMDFRGSSAPLSPSATAL LPSNILDDFDDDDDDPSPTTFHDPEPFLQSQRASPEQAPQSPASSGRALSILSSPHGS TSNLPFPPFSNEISERMVGTLPSPTIAETPQHKGFFWQRSKTVKEEGEKEPPLLGSLK QGQSQSFPRQTDDPDMANKRRISISGSWNVFNRNSVGPEITEGQATTNTHAFARSLNP FSRRPTGGLFDRDPSSPRPTSIASSDFPRPSTDSGSIWGPPMDASTLNKNSRLWSPDN APWSRNPSRRPSLHGSPSALKTTLASADDEILDDEEMLSNVDVGVIGSRPPTQSANKA ASAALGRLNPNAPAFIGSLFKSNPEKQKEKEVKEKAKAEKKKANKEKAAEKKKEKEKE AATVPDTPSQQTQNPIFEMDSPADSRKSRDGASVHTLHSSVSISESRDSLTLDQSFSN TPSEPASAGLSGSFKDEGVVRKLFRKGSSSKFSLPGRLGGNSAKENITSAGGLFKKGP SSVASTAPSDRIDPRSSIGDFEDLGDEAMGVLTGVLGKSYECSSPGLGPTSAAAAAAK GTTKEGGTAASRWLSSFGKKGKKNNENTKESFDLERAHVGGELDGLVEEKV QC762_111550 MATMSASSSSSSSSSSSSSPPAAAKARPIPSRNPLPLSASQEAQ VRDVFYARVRAKCGPEIKAFADCAQGRTFSAPFLCRGPLHVMNNCMKIHATPEEQDAA REEWFEKRVERQKEKERKARRKLEQEKFLREWWGLPEKDREIARREMEKLERPERIGG FVSERRKKFGEEGEGR QC762_111560 MVLPSLLLTAMLGLFTATASAQQFLGIVETNPTYFDSRNCYDGA KSYSIVNFGTQHAPAVGSSCTGKGTVLSTVRGLDSKLDTSCTDGKWTNGYKVCVVVGG VITIRDASGRSQLCSSDDTTIYNCPDVPPACWTNKVRKYQCVGFWM QC762_111570 MARHIAVIGSGVTGISSALLLLRAGHRVTIIAKDFPAPFETIDP ITQINYTSPWGGAHNRWVPPHPSNPQAVFEHPLSITTFSHMKSLFASHPTTAGITFLK GVEYLEAPGPEYLSLTPATAASLQLPGFRLLPQSEFPDQKVKWGCEYETYCVNPMVYL SFLLRRFAHRGGRVLKHALRSPVEVFALSERSTPSLKNGGVDAVVNASGFGFGGDENM FITRGQTVLVAEECDATVTRQNADGSWTFCVPRGFEGGTVIGGTKEVDDWGVEPRPET REKLLEMFKGTCPRILNGKGEFTVMGDIVGRRPSRRGGPRIEGEVLEGGKGFVMHAYG LGGRGYELSWGVAERVVEGWRVF QC762_111580 MQALNLDEPPQKEDDGRAQQQQPHQQQQQQQLHHPQHQQPPPGS PVADTGGLVNGGGDYGRQHEGGSPMRQNTTSSTTTVASLASLATGRTTPTPYSLEAHT PSSPSLATTAQAAAQAVFSARDGADVTAQRRASRRRTGPLNPEQREKASLIRKMGACG DCKRRRVACHPSHHNTTWAALAKKFGNGSSSQSANGRPLSPAMSKFQSLLTQEPEDMV QIPNFHERLNQMNPNDSRIRTPLPSGPRPERPTSLIPVAGLESFRVDLQGSASRILAS PLRSRYASVSTMLVRWQDDIEDADGSKNDIEELARVLTDDYNYSVTIKNIPTSGDPSN SSYLWLNREVNTFVTSHNQRDTLKIFYYSGHSYLGEDRDTMISSSKKATPGSDIPWKM IQGMFENICSDALILLDCAYYPLYQTVRRQGILELIAASAGEDHAKLLGRSAFTRALT EQLKTRAVHKFKEAYSASELHAKLVSVYPSLVREQNQEIITSFPTPLFVQLSGNKVLP SILLAPLVARQPGDLTPSPYTPDSPAAGTSQLSLTFQISDDNTFNMESWAEWLRSMPQ GIKDLKIDGPYRSSPWAR QC762_111590 MPPGPPCHDDRYYLEDEEGYVFSEPYYPPVTSTAPSSRPQMISV PSASSTTTRRQPVTVATNTATTRPRPALEEQVSPLETRPARKPTNASSSQTPLSPQRV GTVSSGGRHTVSPASSIHEDQEEDIVQQGDSDDVDQEQLQQYYVYGSGGGTALGLSSP PHHQHHQAAAQSGWQAAGNAGSFQQQQQQHQAQQQQQQQQYNESLLAPSWPRQQSQQQ QLPVPQRPDVPRTESYPPIWLAYATQNKDLPPVPQPSPPQPLRSHPVDVPFPPSQYWR GSRDLRELNEARARAAQPGKGPIRTSYGPAPEPTFSTSGPPVTASWLNITPPPSERQP PREEEITPVPPSAWRSTTQTNSTPNLRHHSRSTTSQNVVPERLRQSQQRESRPSNLTR LSSGWGNHANSGPFMHYEDLDYFNPGPGTEPKDESQIDGQIPRQDAIPDVPPINKKYL KAQRSWDRQRRRKKQQHGTGYGSQGCFGYLGCWIVELTCCFISLVCLALTIAVFKIHE GQSLSEWPMAISLNTLVGFLVAIAQAALVVPLGEGLSQLKWNSFARGEKDIRDYGLFE DAKRTPIGGIKLMLKRKGRALGMSAAALLATAFLLSPLTQAAITYPLSDGGSGIAVGG TATVPRSEAYTHPEPYNALSPAEITSIHSSLFQPPSSPIAHLSPTCSTGSCTFPDFSS LAICSSTADITPRLNVAPPTLVSPRATLPNGILLTTGNLNITSAINASFLPVRNTLGF TTGDDTGKTTSGIANFFLIYTTSDESTSALEILFYFCVNTYRVEVSEGVAKTEVVHSS ALSSSSTSRVVVGKRQGEYTVNREHASYLHRYLLGLFNGNYSTNSPGQIGSSGRVLGE ATSSVAGNDDAREVVGNITSNIALGLTNALRASPNAGGRVILASGTATTPGGTGDRVI SIHWPYLSFLIIQVSATVIFLLGIMIQTAVWDVPVLKGDQLAGLVAVSSTDKARLEDY MEDEREGITAAADKETGGEEATGGVRNRGRRGLKGVKGRFVDRGGEWGLEVVVDLGNG VHNRGSNGEVFGGNNGNGNGNGAT QC762_111600 MGWSKSTRIKVMLVIDVMFFVLELTVGFMVGSLALMADAFHMLN DIISLLVGLWAVSVAAKATTNRFSYGWVRAEILGAFFNAVFLIALCVSIVLEAISRLV DPPDIENPQLILVVGCMGLASNLVGFVVLGGHGHSHGPGDHDHDHAHDHGDGHSHTHE ADVSTAEEGRAGYRDLASPINEQPPALIPESPGGNIRFSTDSHSRQGSRSGHKKRLQA ARQHGRLSIDDISIHPASFRQEIIAATKPPVDDGSSSDSNTEDESALLDGPAQEEQPL LEQSGDAVIPEEAEPAHTHGKRSRRNSVVHISHNHNKPRKPGKKHGHSHADMGMDAMV LHVLGDALGNVGVIATALIIWLTDWSGKRYADPAVSLFITLIILKSAIPLTKATSKVL LQATPENIDLQEVKEDIQCLPGVVSCHHVHIWQLSDTKIVASMHIKVAFPLSEAGGAR YMEVAKMARKCLHAYGIHSATIQPEFCLDEGHDHESVVNMGLDGSTVIAAPRCGELEE EDACLLDCVDDCVGKGCCNTSAAGSRPESSHSHSHSDHDHSHDHGHEHRH QC762_111610 MACFFLPSGIDEGGSNQSPFAPPPSKAQQACVSCRKQKRKCDKI LPTCGLCARMARPCDYTILDTIPQQQPAQASSEELATLQARLCELENRLNNASQSQQP PPPRQPSPPTMHINPEILPPLPPKPPSPLWSPSAVPSRFPTSLFLDLDAFNWSTTPIP APNMPIPSEVLDILSRGTTVQDTATEYFHGTHAWFPFISKKRMELGLSLWEAGPELAF LFLGMKLVSTPVNNGVEPAGNPLYTAAKRFWASLEQAGSVSLQFVQGMVLVTVYEMGH GIYPTAWISVGVLGRWVEVLGLPGFRRGGVALGSVTTWTESEERRRLWWAIYILDRCI CLGNKRCFCLPEPDESFVLPVDDKAFDEGNPTLSPTNPLPTTPFTTQALSPFTRLVQS SLLLSRTLTHVRTVIQSNISNRPVPFSLPLLTSLATDLVNFTQVIQSELSPELPSPGT TTTPSSTSTFLPTTTTISPCYTISLLPSLSIALSTLILLFDTYCTPENQHLGPSPLPG PEAHPLQSPSHISFAQQSMQGLRETSLKIRELSLELLDLLVLPSQEKKLSPLCLDSLY ASMATLHWLWKEGGDADVREALEDVRRCISRTSMRWRVSREYLEILKRQDVSFAMAFR AESGKSCLTRVDGS QC762_111620 MSLFVASRSAFRVSAPLKRQIRSYATDPSPSSKGSNNTLLYGAA AAGLAGAGYYFLSGSTAANKAQEKVKNASAAVAEKIPGVEAKKAFTGGDQGFLSLKLE EVEIINHNSKRLRFRLPEDDMVSGLPVASAILTKYKPVDAEKAVLRPYTPISDEDTPG YIDLLVKKYPNGPMSTHLHDMAPGQRLDVKGPLPKYAWSPNKHEHIALVAGGTGITPM YQLLRTIFNNPEDKTKVTLVFGNVSADDILLKNELATLENHYPQRFRAFYVLDNPPKQ WTGAKGFINKELLKTVLPEPKNENIKVFVCGPPGMMDSISGNKKSPRDQGELKGILKE LGYTPEQVYKF QC762_111630 MTAILSPANSPATSPPAISSPVTANAAHPALPPLITSPPARRSQ LPRPMSHASKNRLSQYSTTSSLPSRSRPPSHIFPLLPSSLPYTLVRDFAYPPGHPLHY GPPPEPSRPPSGMTTPASEQRRLSDPPTAWDSRGWDGHFWSSGGGGSHGRPADLPSIH LADGPPWSEDEDLQSPVVSSRHRKHHKTSSGGIYGRSRVGREQLMSQDHYGGDRGFYV GTSADGADRYYINQGNEANGPGGEYVTYPPGQAGHTDNGHAGNGNEPYQIANQQPRGY ADEGAYDSDNSSTCSSPGYHNVDESRYSRDYQFTITSPDEEMHGKAVALFDFERENEN ELPLVEGQIIWVSYRHGQGWLVAEDPRTQESGLVPEEYVRLLRDIEGGMTSLTGQLDT TSPGSPSNATNEAGTPTQTEYQLPQSPATTVGSGSTVTATGTNGFHQPVVSTFSTSSK DLDPYPQHLLGTQAGQPPPQVVHYHGQRGGSQANTPTLLFHNEAGFLRRGSQDVISTT KKGEPGLETLPDAKLESTKRASR QC762_111640 MDTANGNATTASAAPAALAQPNGHREDPGGFKLKFCTVCASNQN RSMEGHLRLALANYPVISFGTGSLVRLPGPTITQPNVYKFNETSYDSIYRELEAKDPR LYRANGLLNMLGRNRNIKWGPERWQDWQVGMPRVKKETDKGFEGMEGGVADIVITCEE RCWDAVIDDLLNRGSPLNRAVHVINIDIKDNHEEASVGGKAMVDLADSLNQVAKEERE KVGAAAFDSGSGAARASFDERVPEVIGEWQERWPNLPATWTLAWF QC762_111650 MNGLNAPLSPVSVGGSEWSYPTNTDKNTYPNNRGDITTPPDSAG AVRAMNGNFPPGPRSVGGPSPPPSVGRSSAGTNLYARSESGRSQVVRDDLGGHEMVLA EHYVSLKRFLSATSRDGNPKPPPNKARDKLQRLTGVQFLELSTDVFDELKRRETTARR PPNAPPGSGPPDYLLPEDNFHPKRNQARQKLSSLGPPRFRDLATDVFCELERRFPRFA AGDIPRVGSPVSVRGGPISRSQTPVSGMNGGFPPRGQSRRRPSEASSIRSGRGMPTPL GSGFPIPPSPGLPPNGNYGQPIAKQFQNNTIVPNKSTMVEEDDDAISPMSPDPAGSDS YGMNRSIDRDSKRSAGASETDKKLLEDYEQQVRDLREKLDSMEDALKKKDDDLMNALD GERSRATASNAEKREWDDARAELENKLAQVEELNESMKRELDRTRDEHDEEIRQLREQ LDEARAGANAQSNGMADEELERENRALRAALVEQEQVTEEVRREAQGFLMEMRNISQQ SGASWERQSELEKTIEMLEKEVRDWRNRYARAKTQLRDLRGSSEGIPMQQDAGKFVRE KGFTQDDGLVKDVHVTKFQIAIDELLQRARVDDPERVIDSMKAVVVSVRRITKDIDES AQNNIELAQQNQKLKARVSPAANNLITASKSFASSAGIAPVTLLDAAASHLVTAVVEL LRAVKIRSTPDGELEDDDDDGTVTPVESASFFSPRSNGQNQASRAEDSLQRPPPFRGL GAAGSRASMDSSAYSPVNSPRESYTNGQMANGSMTNGNGGGHGNLNKAVNGNANGMYN NARQQRDTRAEDLKIYLEDQTAVLVQTIQDLVQLIRNDADISQVTEEINTIVDVVGQV VSETESTGGNGVELVRRLSACRERLMEAGKRGLDLAAAGNDSASREWRMWTQTLPPIA FEIARETKELVQRVDQLVMDDGGDADDFA QC762_111660 MSTALRKPGREHFPIYPKGFIVLRILQLVVAVIVLGLVAYSIHF LAWDGNAFMLAVAIMTILTSIYHLVAWFGAPEAFNYWAVLALDILLIVMWLASFSVVA AHIAPWMQYYGSYLYLTSTYEQAWWTGLAAASGMVSFMLFR QC762_111670 MYIITTFAAVAFGLLAQLAALAVVVCSLGFAVQTASPTAALAGL AFLLLFFSLVFPRLRKDYFAAFASAVLGGLLAHFNGPASFSSGAFTTALAVFVLSLVC KHFPGCFNVLWRYGVAAVCCLAPVFSPSWRAFLEKSRGTCRNFPELIENVVLMIPLGH ITLRELLNEAARRHVRELRFAEQCITLGKVLDSSQAAVDEFRRVHPNRRLSHCVHRFI TEQIPLAEMEDGLFELSRFSTGEWLLFFAGFLGSLLETELARLKAACEKLDEVINKRQ QYIASAIQIQKIVVKETAAYPIVKIPAVARLPSRALMLKPDSFVPAALPPASPRRPEE KKDMSVFEMIVSTARRPRSKTKVLPVTETEVELPQTTDHSHYEPPASPAPTIIEEIAP LSPSTMVQRAFSQIEGMDQALRALSVREHHASDNEIYQMMMRPRKESAVTKEARFARE RSLIAYRHAQAEKEKEIKALVVVSPAAVTSVTLVPAAAAADTPFVLPSDAPAQAAAVA PTSSDDLMSELRVALAARGRSIRKTTRDDTPAKLKAANATVPATSSSEDEWVTMDESE SDEPRGSEDDDSKSDLDSEGPRGLEDDDSGDGDEDDAPPSVASSILAVVDGEQASPSE PTADAVDDNDKHGSPGPSGNPALPEAEVSFDSEAGAREQAGGSTRDVAVTVGGDKQSE DTDDEPETSLEEEEGESDSCVSVDQQLLATELYPPLPPSPTTTTTRGEAETSLEGETE QKVLSRDSAGSGLKSAEEGSVDTPPVGSSPVASVEAQTENDADVNDITSLLEASHIST SVAQAVAAPLVTATTTATIDIIGDFTATTADAITTPAAITSPKVGIVQTEEAVMTGTE VCDALPGMELDEGSLARPGSVKVDEEVKKEDSRMEEAGVDDEGEDTAMAIPDAGVEGP PAAMFGSMSMSGTPFAFGAVQDDVNMAYSQQQDFENVEMIDQGVFGNTLMPQVGGYPS LPSQEGDMRFHEADVRMDEPAPQPFDFNAYEGVNYVGSGEQQQQHQQFHPEPMDYHHD VEASSYQQPLPNNFGLQQSALDPSQPDDQYYHQVGQQLAQIDFGPDNQSQLLSESDLT LILDEYLNWSMSQAQFEELVREVEQFAERETPGTWSGPDSLIDPALFALPTDQATDNV PADDVSPTAARAAAPIQAAPSPQGEEVVASPQGEEEEVGASAPTTATTAPAVTPPPEQ GISYSFAEYWSDVAFDSPDEDDHVDDYVPVPVAPVLRQEVERRRREPRSNGSTPVEVV RADDRQDVVSAEPDSSSSDEESVRQRVARRPILVPRLRGRVAVRQAVAEQVRAAGESS SAPPQKKTLQEVRDRIEAMRRAGTSNFASSDESDEDEDDSPPSFPIPSTTITPNFPSE GLTTPTSEEPEPVAEGSSGSAEVKEGQPAGKLKLKSSEVEAHQGEEAELKAAVAEEEE GHGHMALGTGRRRKRDIGDLGHQEIEYVELPDIPVRVTEGRRILSFKRRIPGAHEEPD QC762_111680 MEAKINCDICHRGHHSKDRPFLCVVDARNRLYETRLEYTKALIE TDQLEREVNAAISSETGQIPNKAVRLETLKSDTAAAVERTNEIIAQADKLRSEVDAAR KAIEDKKKLLAQRRSDLEAVSTGAEARRSRQLEETQRAIHRTRYKWNRSADTMAATRA FLCEEAARLYGLRQVKKGSTKRFEIGGVEIFDLHAMNNLSPEVISTVFAHVAHILVLA SHYLAIRLPAEITLPHRDYPRPTIFSVNSSYHHGDVPFPGTAMSGQSGNPRPRPLFIE KALLTLAKDDPNTYSAFLEGVGLLAHDVAWLCASQGVSFGDRESYDDVCNIGHNLWRL LIGDQLHRRSVEPTFPSSLTPPAGSPRDGDNGDVTKPKSTIGRWSHGTAHTSLTSAEG VEFVRNFKIYAPLKLADRLKKRLASEAPMLEWENIEGDEFEDGFEDVRAAGTSDGTSG GTSRGTSGWTRVKHR QC762_111690 MASATTPTASPSKPPRHESPAKRAESPLPSPSLHSEQAESDILP HSPLKSVAYKRMADTQSSIPKPPGTTRVAQVKTPEPRILKERSNGNIAERSPSTPGHL APFDWEEFEARYQEALAKANGEEQELLAEFENLIKERLLFFNVWASSASVHDTERGVK RLQTRERYVKIAEQNLAQKKKHLTEVVRAFQSALALLSQN QC762_111700 MAGTSIQVPTISQADMLAFHEQHFAQFATDHFHSQFLRPSLDDQ ELILSHEQEEEYYYEEEEDDGLGYYPDGVKRTLTDEQIAIFRHSELEALRRGRQPPKP QGATATLAADLSEGEISSPAPVVTAKKNKKRKRNNKNKNVGEPLMDLRKRTWDVVDKG LASLDYGEEETQQPAQASTAQRRQISYDD QC762_111720 MATELTVQSERAFQKQPHIFLNSKTKVKTTRPGKGGRRWYKDVG LGFRTPTAAIEGQYIDKKCPFTGQVSIRGRILTGTVVSTKMHRTIIIRREYLHYIPKY SRYEKRHKNLAAHVSPAFRVEEGDQVTVGQCRPLSKTVRFNVLRVLPRTGKTVKSFQK F QC762_111740 MSDPSHNVHQDLLPHVHLISTFRYPLISRVELNDVTKWLMQAPS IARDKAPFYWTYLDCPADSTIFLMWQPTARRGNEFSSDGYYWASPEVMLSNPLNNGLV LEIYFQKCGWRAGEQITMHSRRRFRLAHARDAQVANPPQIDPNLWIVHYGPSENQDRY PTGSFGVPPQIQSIMNNRQHLFQLGQIVRKEFMLSDRVNWPQIPFPQRGQSIYVPPMQ QQPMNTRTAYLGGPGGPGQPPSKRRGQQAQPGHPMGAGYPSADGALDDDEDVHRGDMF DHLSPREMSMHRYQQNHEWMEEILSSPYRIGQLEVSDLGLGKKGALASLTEGIFEAQS GDVLEQLPKKPYTGRLEPGLADKMRERAQAKIAEVQAEIQKLKAQHEKRMGEFKQNTA IRDAEAELRAATQGVGSEPWRLEGRVEGGEEEESYNKKPSRSIEEITADVEKLLGTKA ITVPEVSRVQDGGYQQLAPEPEIIAPPQGLVPQAVGLSRDPSHNGSQASGVLIGDSDI DMGGTAAGLLDQMHSGFSSTSTPMNSFPTPQPGGLSGTGSDVGTPANNNNVGHPSPHP NTVTSQPAGGDTKMEDAGKLQQPQLPTTTTTAPDQGTGSGEWVVVPPGGGAPSTTTTG GGIIPPSKPPSAAPTPGMGVVGGSGFGASPDGNDFSSMGDLDTAGDALASYESGSVGV GGGEEGDDLVMDDDIGGGAFGEAFQEG QC762_111750 MSGAVKSGRICAVLESSACEREEVWPHSSQCRCNAPVVFYYNSF AGDGVLCLSTPTESPLLSARLANINVGGVVNAAGSLLNLQSQSNANSDDGGSADLGLI GLAVISGGSPEQPPGADVLTVREKRGQNLILNMADHGFTVCAFNRTVSKVDRFLANEA KGKSIVGAHSTEEFVKKLKSPRRIMLLVQAGKAVDDWIETLLPLLEAGDIIIDGGNSH FPDSNRRTKYLASKGLRFVGAGVSGGEEGARYGPSIMPGGDESAWPFIKDIFQSIAAK SGGEACCEWVGDEGAGHYVKMVHNGIEYGDMQLICEAYDIMKRGLGMSSKEIGDTFTK WNNGVLDSFLIEITKDIMYFNDEDGKPLVEKILDKAGQKGTGKWTAVNALDLGMPVTL IAESVLARCLSGIKEERVKASTKLEFVGRATTFEGNKEQFLEDLEQALYASKIISYAQ GFMLMQEAAKEYGWKLNKPSIALMWRGGCIIRSVFLKDITAAYRNNPDLENLLFDDFF NKAIHKAQPGWRDVVSKASLLGLPIPSFSTALSWFDGYRTKDLPANLLQAQRDYFGAH TFRIKPEAATAKYPEGQDIHVNWTGRGGNVSASTYQA QC762_111760 MEQPPPPPVPGRLTLKTTSSALGATSPPPGLAGSSAMSPGAQTP SGAPKIKLVRKSLPPTPAEPNPPSGYFPAASSTAEEVAVAPPAPKITTTKAGRRPKPT LKKRAHQSDDDEDIPLANGSGPLAKKTKITLKPTTPGGTISTKPTLKLKPVGKIPHRP LGEGYDSEAEDREIDPVIEEQFVMRFMDNEDCDYIRQCITEKKIGNGAEVNFKFLDDE GRRAVWIVRGKYYAAILLDLPTITEGMKTWDKKAMVKSADICQMMLVFAEVRNEEEAK TAPLPKAVEHGHRWPHGITPPMHDARNRRFRKRLSKLEIQNKEAEVERLLAADREAVS TRTEVLDSRIVEESESEDYEEDAEGEEDDEQLDKVEEELDEAALMDAFMNAPETPMEG LDQPTPAVAPDAVTPLTANTGTPVAQTEEEEVVEEEESEEDEEESEEDGDDDDDDGDE DDHDDKASVRAEIANLKKQLKQYEENLAKSVGAIIRKRIEASIRSVKSEIDLKMSSIG EVEDY QC762_111770 MAAPATYSLPKSASHPSLPPRPPTTKLPGGFKPAFSAAPTHPPA PSVPGYSAPPSYPGYGAAAAPGYGAPPSAAPYVGNPSTPSVGAGYGQPGTYNYQQQSY PQAPVAQAAPSYYGAPATNSYATPPQIRNPFAAPVAASAGSAGDYDPEMAAQIAQWQS AYMPKDPSDPANKTAAKGTNGQATADTTDPNVGGDGTDKKKTVYREGGGKKWQDDTLL EWDPTHLRLFVGNLAGETTDDSLLKAFSRWKSVQKAKVVRDKRTTKSKGFGFVSFSDA DDFFQAAKEMNGKYIQSHPVVVRKAKTEIKPQAVKDDRKGKHQHKRGNGGNKAGNGMG GQEKGARAYEPHLGPVAGGGIVKPGQKTKGGLKLLG QC762_111780 MSLPSLFPSPEPAHPPSHLPVPEPPSDADADTDTDTDVSVPRHL DQQKPTPPGHATPLSATPPVAAVVPGPGPWTSSTHPHPATGSKLAGDDIEMDSLAPSG HRRRRSSLINPANVANNRHRSPHARGHGTDEPKISEEGSLGEPLRLDELDVELSDEDL HDDEETGLTAKERTRKKKKRTRNQLLDQRIVREKVSPEEQKEADRFVMKELLINAGLI GLWYFFSLLISLYNKWMFSPDKLGFPFPMFTTAMHMLVQFSLASLVLYLFPSFRPTNG HVPNPGELDSPESKKPLMSPLFYLTRIGPCGLATGLDIGLGNTSLQFITLTFYTMCKS SSLAFVLLFAFLFRLESPTWRLTAIIATMTLGVVMMVAGEVSFNLPGFLLVISAAFFS GFRWALTQILLLRNPATSNPFSSIFFLAPVMFVSLLTIAFPVEGVSGLIKGLSAIAEE RGTLMAPLILLFPGMIAFFMTAAEFALLQRTSVVTLSIAGIFKEAVTISAAAIVFGDR MTFVNIIGLTVTLVAIGAYNYIKISKMRREAQEGVHKGQEHLLEEHTTDGPSSGSDEE EDDDDDDLPRRGEAAGLLRRESLDDHDDGVLFTADGAEVVSRPVSKSPDKHLQDRRED QC762_111790 MPAGFKYYQPDPANTDALSMIPPFKMAASCSTQGAGSQMRQQGA GYLPIENYGLIGNMRTCALVGMDGSVDYMCWPEFDSPSVFCRLLDKDKGGYFSIHPAS HLNCTTKQQYLPSSNILQTRYIHEDGVVDLVDFFPRPKSSKVIFKGPKQSAYREMTSV QEELKKWLVRRVECIRGHLELDVELFPAFEYATEPHETTIVQETNVAHGSTSKTVTFH SKNVKLQLDVTIDRGEDNDDKYPSVKFKKVMKEGMLGEGVVASIHVHPGQAVSFVLRN DLPNHVTEIISPAVLDTQQHDTQSYWYNWISQSKYKGRWREVVSRSLMILKLMTYEPT GAIIAAPTFSIPEDIGGVRNWDYRFCWVRDASFTIYILLRLGFKEEADAYMDFINERL LQSRVSDGGLPIMFTIRGETDIPERELSHLDGYKGSKPVRVGNGAAFHQQFDIYGELM DAIYLYNKYGKPIHYDAWVTVRQLLDYVLTILDQPDMSIWEVRNNKQNFTYSKIMLWV AFDRGLRLAEKRNFPCPNRWKWLEAKDKLYEEIMERGYNKEMKCFVQSYENNTMLDSS ILIAPLVFFIAPNDPRFLNTLDRIMLPPEKGGLTSTGLVYRYDTELSEDGVGGREGAF SMCTFWLVEAMTRASVYEPKYLVRAINLFENMLSFSNHLMMFSEEISRSGEQLGNTPQ AFSHLALISAAFNLDRVSEFKR QC762_111800 MAPTPAYFQALLRPAVLQILRATGYHAMKPSVLDFVTQLAAAYL DRLCFLTAKHATLNSHALDGFTDEDEILFELNNGTFIAPDGPFLNPYSDYVPPPGVTS PSVATPSVVDVRMALQEVGALLPEKSQQEQDYLGIEDMRGVEAFIVWAMGPINKEIQR IALDGNDEAKDYLDALIKKHSKGADDTKFLGTLLGRPIEQGEVAIEGGGPTSIREWEE IRKKAAERPTPPPLENHNLINGDGEDSRPGSSGLSSLADEDVDMDLDIGIGMGGVGNG VEMDDSGA QC762_111810 MICRSCLRQASGLTSRQFTAITSQTVTRTTPRIPQAFFSTTLRA RNAAAAAEAPELTPLNTEPTTDNAAGLSSCPAGTVLNGLNYLKGKTDPVALPDDQYPE WLWKCVEVGQKRSDDADADAGDAYSKSKKQRRLAAKRQRQLEAKLMASGDLEALAPKI PIQKQTINLPAAPTGELKEVLEAADKRQELRKAMRKERRANIKESNYLKTM QC762_111820 MPTPESEAFLAKKPKVPASFDGVDFEDTKRLKAAQDAIIREQWV QVMMGRLVREELSKCYYREGVNHLEKCGRLRERYLELLKDSRAKGYLFEQQNIIPKE QC762_111830 MGKTKEAKGSKATKAAAKPAASPVVKKVEKAVKSAKEVAKKAVG SKEKATDKKSKKKVESESESSESESDSESEASSSSSEEESDSDEEMVDAPVTNGKAKA AAAKESSDSSDSDSSDSESEEEEKPKASVNGKAAKAEKKAESGSDSSEEDSDDSGSDS DSSEEEEESSEEASSESTEEKAEPSKKRKAEEEAEEPEAKKNKAVEDSEEKSATLWCG NLGWGIDDNILYEEFKDFEGLTGARVVSDKESGRSRGFGYIDFDTHENAEKAFNAKNG GDLQGREMRLDFAAKPAAAPQDRAAARASKHGDVVSPPSDTLFVGNLPFSADEDGVSA FFNEVAKVQSLRIPTDMESGRPKGFAYVSFYSIDDAKNAFEQLNGADIDGRPVRLDFA KPRDNNGGGGGGRGGGRGGFGGRGGGRGGGRGSFGGGRGGGRGGGRGGFGGGRGGGSG FQGKKVTF QC762_111850 MASRQLRRFSLSSSGITTRAAFSGVKPRLLLLLSATATRLLSTS STRMSTIASFKIPKVANEPNHHYAKGSAQRDGLAAAVEALQKKGPLQVPLVIGGKEVC TTPSSFSSTYQYLTPPYQITTDSTATQYSPSSHTTPVATYSLASPTNIRTAISSALAA QESWSSLPFADRAAIFLKAADLISTKYRYPLMAATMLGQGKNAWQAEIDAAAELVDFL RFNVQYAEELYAQQPGHNSPGVWNRVEYRPLEGFVYAVSPFNFTAIAGNLPGLPALLG NVVVWKPSDYAIASNWLLYNILVEAGLPREVIQLVPGDPEEVTREVLAHRKFAALHYT GSTAVFRKLYGEIGKGVAEGRYQSYPRIVGETGGKNFHLVHSSADLENAIVQTVRGAF EYQGQKCSATSRLYVPKSRWEEFRGRLVEETERLTVGNPWEHESFVGPVIHEGSFKKL ARTIDEANGDEELELLTGGTYDGSKGWFVKPTIYLAKKPDHKLFSTELFGPVLTVYVY DDTTDPVGAFGKVCEVVDGATDYGLTGSVFAADRAAVRFAEEKLRNAAGNFYINCKST GAVVGQQPFGGSRASGTNDKAGSIAILGRFVSMRSIKEEFNATTKVAYPSNEV QC762_111860 MPRTTLPPTPASSTDIKGKDGSKMVSLQMSFELPPPAIAAKPIN AAASSSQTVFPMQASETVKSRRRTAAAAAAAIQPAPTKDEFVLPPPPTRSRKIIQMKP KEETAVSTTSTTRTAAAAGSSKSNNAAGSATTTTTTTTTTAGTKRKQPSATSAAGRKI ARKTAHSLIERRRRSKMNEEFAVLKSLIPACTGEMHKLAILQASIEYVRYLEDCVSQL KAQRSNTTSESEANAPTPTTNTLPSPVFAPYIPSSSSPEDVEMTGQSPSSPTSTAPSP AFTPTVSHPHSRLPSISPALLPLDQSRNRHGSVSSVSTGTDHYRSGSFSLGGGGGSSV YGTSSAMTSPAFGPQQGVGYGYGGGGLGSQLTSPALGPRDLDQEATAALLMLNQMGGR GGGGGSGSGNGNGNGNGEQRGRGMSVRDLLST QC762_0010490 MDLVYNRGSPSLFCVHLSSQHQQAPASINNNFYFIFVLRRLWKS DQPIYYRSRTAWINPSSGILTFVHSLAFPFTGQGKAFKLLSSIINNIIVVVKTPSLRS QDRYLREPPITTITIG QC762_111865 MASNPVMPKLDDSASDASSVDSRGRRRRRNNKQLAQAGGLSNPA VLPRLADTKPVRLQLGLNLDVEVELKARLQGDVSLTLLVEEKPTARPKESVELVPDLF GVVYGYSSSHEGKVKEERKEMFYMRIGQMSFRQNWVERELAYAHGLPVDSGFGDIDRV QC762_111870 MASSTSRDKHPNPPAIVGMACRVPGAITPARLWDNILSQIDLQR KMPPDRFNIDAFYHPDHTHKGTLNTKHGYFLDQPLADFDAEFFGVSGKEAEAMDPQQR LLLEVTYEALEDAGIPLASVRGSRTSVYCGMYTTSNDYHNLQNKDLEYYPNGEADMAV VVGSALHFGPNTYQTMTDMGFLSSDGRCRSFDRDGEGYVRGDGVCAVVLKRREEAVGD GDWVRAVVRGSGVNHDGKTDGITLPSAELQEGLIRETYGRAGIDPDDTEYFEAHGTGT KAGDPREAAAIGKVFGTSTRTRPLYIGSVKSNIGHLEGAAGLAGIIKATLAIQNGKIP PNMHFHKPNPEILFDEWKLKVPTSALDWVESDGKPRRASINSFGYGGANAHVVLEQPL DSPTVIVNGVDKAKTRPYLLPLTSHSEVAGEKAISNLASYLSSKKGGDQQTLIRDLAH SFSTRRSVHSNRTFAVLSHGAGVEELANALSSGASSAKWTQPLDSEQPIRVGYVFTGQ GAQTYDMSRELILHSEAFRATLERCDEALQTLPDKPDWRIVDELLRDEEGSRLSKSRF SQPVCTAVQVAVVDLLSDWGVKPSAVCGHSSGEIAAAYAAGVLSLEGAIVTAYYRGLY MSAGLEAADCIPGAMMAVGLSAAELKVELGAYADRLTIAAINSPSSVTVSGDLDAVTE LKEKLLARKAFARQLKVEQAFHSHHMAPMAPRYQAALEGRELAVCSQKPTARMFSSVT ARVVSDSGSLGPGYWAANMVQPVRFSDALTGAVLDEEEKPTVDILLEIGPHPALKAPA KEVLKLLGLDKTPYLGTLSRDRSAYKSLLSTAGELFTLGYPVDLPVINGPGNRLVDLP TYAWNHKNYWSLNRLTTEHLHRETRHTLLGVPVPGGVHHIPRWRNYIRLREIAWLRDH CVDGKVVFPAAGYCCMAIEAAVRLGDRRNESIAAVHLKEVLIKAPLALREDHDEGAET VLELRPVAESARTFSEEWFEFEVSSFEEGLETRHCHGRIWVEYGEPRGLRSLTKVEGV EEMRGRSDRYVSAKSLYERLERLDLKYGPYFALLKGDVVSGPGFAVAEFEFDPMVFPK HELEERTVLHPTLLDSMFHVLFSGIESRLGREITEAFVSTYMKTLDISGILVEQGGRG GRRGYTVQTRTELPSQRMAVNHILLREEGSGELIIEATGNEVTALGSDGQGQGRALFF RQRWQPSLLAHLAVPVTGRPLYETLHILSEETQWPERFDVLAGPEGRYDLVIVGKDAE LSPEALQTSLASNAVVVTSESLTEWTPVATASEWTAYRPATNVSTAQIDLTVILSTVS SETTRAIMEELEASPYIASYVVVLSSLDNHSNPIDPPSEWFGTRHLLTLENITLLWLT LGATIQSTNPSHAKILGLLRVARNENQASRLLSLDIQPSTSPALITKQILPCLSTASE EDFSLHHTTLHIPRIEEDLPHNRKLPSGLGSLPQPSPYNSHPSLALRVGKIGLLETLH FVSLPQDNTALGDNQVLIRVKASALNFHDLAVALGIIQDYNMGNECAGVITAVGASVT NLSPGDRVVAYRPGQGAHQTFVRQDGEMCVKIPDTMSFSLGASLPVTMTTAFYSLFTV GRLKRGETVLIHSAAGGVGQVAIQMAKNIGARVLVTCSEGKRGLMRERYGMEEGEVFN SRDDSFVRGVMEATEGKGVDVVINSLAGKLLHATWGCLAPFGRFVEIGKRDIHQNSNL GMDPFRRNVSFASVDMILVYELDKPLAARLLGETFEMVFSGEVRPPEGLFEYSYGQAE KAFRLMQLGRHTGKIVLTVDEEEEEEVMVAPPSYDQRLLFKGDRTYLLVGGLGGLGTG TAEWMYLRGARRFAFMSRSGDQSSNGRKTVNWLRSRKAEVSVYKGDVGVLADVENVVR EIGPSLAGVFHIAVVLQDGMIRSLSFDQYQTGLHTKCTGAWNLHTATLGIDLDFFVCW SSVSAICGNKGQGAYVAANAYMDAFMRWRREQGLVGTAMNLGAVPTRGLVAENELVRK SLDRNKLDILTEQELMFLIEEAVQLKKPDAATDGLDWHQLIVGVNTKEPDVWWSERSV FRTLYANRSYGTGAGSGAAAGQVNTASLLASAGSVEDKIAVLQQAFTQKVATVLSTPT ESILPTNPLSFYGLDSIVAVEFRKWFKETAEVDLSLFDILGAKSIQGLVEKVVASMPV AAVSSSEERVKTGSGEKQTSASVINGQHDQSRKLDHIPRLQTSGPVPVSTHQARMYAR HVRAEDKSQMNLCGVLRISGHPDLPALGKAFHETVRRHQALSTAFVQDGNRLVQSPSP EPKCRLVIEDVSYTTSPQTELQIIISRLRNQQLEIAKGEVATMTLVRTSETEYFVIFI AHHICFDRASFTILSDDWMDLYDAIRSSRDLDTVPSPPITFADFARWHNTLLKFPPAL ANLDFWTQELTNLPTAGTLLPFAQRKTRPSTWQTHRRHFTTQLPSKFSKRLKRICAHL SSTPFHFLLAAWRAYLFRHTADKDFTILMLEGNRPHPDAESVIGCLANVLPLRFNNDC SLQTPFEDVIISARDLTLEALEHAEVSFDDIVDRVVGKENRPEGYMPLGQVAINFQMH GGAPWEYRHADFEVGVHRLYNIGHPCELVLEVVEGGEGEFGFFMQHCTVLYRDEDMDR FGEGFVRFVESVVRDHRQVVGEV QC762_111880 MGPYDSQGAGPGGLYGDAPESAGATGRSDGTSPRRRYDESWVEV ASQPSSSSLSSIGDEIVTTGLRVGTNSYPPRPRRSQQHRPMPASFVIGHPSSRGGATS SQEEYDETESEEDRVMTSSNEAVHPSANLLRYQTTVRRAIDIDTDSDDDENATALGRP SDAPAFRPQPNAFSHPPSHLTHRHSTSSVPPHHPPQSRPPMPHRSHARTHRDHPNFMS PAYQADHDAALRASLTTLLSCAQAARGLGKADERRGAGPSNAGMGGLGAGVGVLPSSQ PMELRLVPESELLAEGPPPPSVGGSGGPPKAPLRTASNSSAPSAPCSTSSRGKEEQQD SAEKHKRGTTNQTKSSRAVKKKKTSSPGFAEGETATFLSPTLMTWVVSAGVVVLVSVV GFGAGYVIGREVGRQEGSALGSFSAAGSASNTSASCGRELVKSTSGGTLRRFRWGSMG SSVTA QC762_111885 MMDRCGLRASKAGWLTGWFEGNLKIGHPTAIFVTDNTSQCEETL RDAGQERGGTTQPVGHLNWCCKMQHPDEVAGKPVLDGFSAVDSRETGDVGRSFIGARL DQPRRASCL QC762_111890 MPPPPPPPPPPPPGFGGPPPPPPPPPPGGGALPARPPAGLPNRG ALLQDIGKGKALKKTVTNDRSAPIIGKVSGGGGPGPSSLGAPPVPGLPKAPSGLVPPL PGNRGRSNSDQSDRHQPAPSTDGPPQLAGLFAGGMPKLRKTGGGVDTGASRESSYLSD PESSKSAPKPPTISAPRPPVGAAPAIPGRPSLPNTSSAPVFNPSAANLRKTGPPPIIG KKPPPPPPSSRKPSGAPPLPGAPAPPPPSSAPPPPSFSAPSLPPAPPPPPPSAAPRPP PAPARSQPPPPPAPAAATNNISQSIAAQAAIRAASSVSSPASAPPPPPPPPSNDAPRS PAPPPPPSAAPAPPSLSHTPSSASGRASLLDPSNFTLAPNGGGAKTPSPTRNVSLSHG PSGGGGGRYVVQDSRWKFTSEENFPKPRDFVGGPKRYRAGRGSSVPLDLGAL QC762_111900 MLRRPYQAGLGLQVCQACELVSGRQSMRTAKRSFVTLHPSRRLA NLSPPKPTWMIATRSFGTSQTCLKSKRQQTPRSTTQNPSPSPSTSAQANSPVGDQDVP DLEEIMAAVDRTTREFTAHSGIPSEHMTLTALRACAQTDVRQAANTQTSHSAVAAAED RPVSRLLGLEGDAAKAGQSSTSTTVSVLRPEDVVDKVSEAAYAIVAHPAVVITPQVLE EYVRLQARLGRPQTLPQVLGLYGSKPTPKEVSGSIRYTDSNPGRASKAVDPAVAEAAL NAAIEADNLEAAIGVVEATYSSKSYLRAKFIRKGLLPAGLAGAVPLALYLLASEFAQF QSAWDHASATKIAFAGALCYVGFTGTIGMVAAFTANDQMKRVTWAIGTPLGHRWLYED ERAALDKIACAFGFSEEHRYGEEEGEEYLWLREYLLSRSMILDAVDLMEGMN QC762_111910 MAAFERDEAKCLYYAAFASHLHRQAFDFIYWFLFILVVSMLFLS SWKYSGDMEKVSDLEPGCPEYKKKMKRCFYVCALYSSISVVAVVMEVYALLALQFCDG EDLMSLYWSTWTMLQVGSLIAIFGILLAVYNSVRARKNPPWALALGTPVLVVAGIGHA LHSSVRRRAERMRSRSRSRARHRAVSNCSSSRMELNGVPISREQTLFGEESEKEDGEI PGKLVGYTPDGSPIIRFTEDPGRIGADRGEVICRGEGGHVIVAFRKGMTTIINGAISS PPPAALLPVPMTSPGPSYSSAGLTVPPRSPVVKIELPTTGRTTPTPEPRATPPTVLPR DSPV QC762_111920 MAQKCVHQGCGKEYTDPEEVCRYHPGPPVFHEGQKGWKCCKPRV LTFEEFMAIEPCTEGKHSTTDLPPKIEKREAAPEGLVETTNLPPPPPRAPVAAPQHIP TPPPPVAESEDDDATIEIPDGRVCRRKGCGVAYKKGSSREGESCVHHPGAPIFHEGSK GYSCCKRRVLEFDQFMKIEGCKTKDRHLFVGSGEKDKAKTSSAGGEEVLETVRTDFYQ TPTSVIASFFLKKIDKEASKVEFQDKTIDLDLLTTDAPVPKRYKAQVPLFGTIDAAKS TFKILGTKLEVTLVKADGSSWPVLRSDDRLTGEILQVGRAGRA QC762_111930 MRATARLLQSCRITFFTRKTCGLCTQARSVLSDVWDQRPFAFKE VDIVDPKSKPWLDLYDFDVPVIHISKSEAPEEDPKLSSQAVKLMHRFTVDQVKAKMDL VEKS QC762_111940 MVRTRAAAVTGALQTFRLCISRQSVSSGIHHPRIGQPLRFMSSS AMAASAKMTTSNKLKQVFTEGKRPAMGFWQMIPGANVSRVLARSGADWVMVDCEHGNI DDAAMHDAVPAIAALGASPIVRLPDIQPWMVKRALDSGAHGILVPLIRTVDEVKSVVS AAKFPPQGTRGFGSPIAVQNFHPEPTLTEYLQQANDSLLTMVQIETKEALDSVEEIAP LVDVVFIGPFDLGNNIGHPIINGKTDAELDDAIARIYKATVAAGKKCGIFCTGGEQAK KYADRGFHMINVATDFTALQATMVDALAVAQGKVQGEKAASY QC762_111950 MSINSYISKKVCIITTDGRTLVGTLAAYDNTTNLVLQNTIERII RTPDDAEASAQVPLGLYLIRGENVCTIGLVDEALDDSINWAEVKGAVIGTTKH QC762_0010630 MEFKRYPMSKRKKLVIIGRNLQSFIFPRLRQFYLHLGKDSVQQN TSKGILARRRHYPVDSVAPSQTEPAHRVTRNLIERDGIHWVLGVCLYCAVDLGRLGSP TVIDDVHAPCPLAAGLDSDVFWLGHSRPLGMVARQHVPDGQRVMKGQALVVALAEHRV NLVKSAIQKGFGPLGKGTALLQQRLDLLVESLLVELVGSSDIQGEQAQLELTGQLLRI GTQDRLAVYCWWHRR QC762_0010640 MAPKNRIIIDTDPGVDDVLALLLALSASPEELEVAMISVTYGNV PLQRCLRNVVAMFHVLEKEMKWREANGKPTFGALNAYKPIVAVGASHPLEDEELVADH FHGEDGLHGVHTAHPDLSPADTWRTLFGDKVEGTTDSDEPPSFSRFFTPSKGPAHEEI LRILREEPEDTITVVAVGPLTNVALAAAKDPETFLRVKELVVMGGAVNTIGNVTPVAE FNCMADAVAAARVYALTSKVPSSTMPPTIHGKSVLGAYPEKLPRQLKLSLFPLDITTP HELHKETFNQKIQPLLKQGSPLAKWTETFLNGTFNKIDSMLGEGHNEGLSLHDPLTIW YMLTRDHPEWTTVPKPEDIRVETCGQWTRGMHVIDNRGRAKPAEVDSTVETHPEDPMD AVTFDEVPGDTMGWLSLRRGNRINRVVSSPGQDAFAGILLDRIFA QC762_111970 MGVNMSTLVGWAVIASALVGYKVYLDNRNRPAVRQVARQLHTEK PAGQNRKEPKEKAKRQRVEAYSKDTEETGKTAQLKTRASKPSAASSRLSNDSSDDEVD NREFARQLASIKQGTNLNATKKADEKRQKSVKQSRAQVIDEKPKEPKVSAPSSTAGVD ADDDASSAASPAVTPADAGDVSDMLEPKSSGPSVLRLTDTEKVKPKKEKKAKEPEKTE TKKQRQNRRKKELEQEQRQEDERQRKVAEEAQRRQARIAEGRAAKDGSEFTNKAVKES VWTAGKTESKSTNGQTAPVQPLDTFDTDSYTDVSIPTQTDSTSKASTAKQAGAPNNWI ASLPSEEEQMKMIEDEEAWNTVPSKKSKKKKTAEATSPADSAGESEPVAATKAQPATK PRATNGTAPSRPAKIISQQSSFAALTPNDDETSKEWDV QC762_111973 MSKMALDISPLVVRLPVSMSKRSVNTIIAHHLPRPQGLPHLIGY QICPSHGASQTKHITTATHLVPSFVNTIYMTITIYHLKDEI QC762_111976 MPLLRMAWFPPCLIVSSTSSLIAAFQLSPLLHFRLQLSRVFCVF LCVAYNQQLHTADITHFSRLHRWIPFVFWDLFFRFVRPLTVGFWGPIDQKKAASNSRK RGLMESSG QC762_111980 MSYLSAVQSALFYYLACTPCYACVGNHKAARQARKEREMKAQII LEQPHLYRHPDPFQTNPYWEEEIKMGPSLPKKGKNIDRSSKSLSQRRLAAASRDGGAS IGAGSSVMFNMGSPTSTVGPRPSVSTTIAPTVVGDAEMASPTLSKTISVSTADDWNLK PYQREDEELWGHEYESQRRTQKLVDALKQAGSSAGRFVESKLGLEKQVTEQDRYDFYF APKNPPVNEYHPPVVSSKPAHKDGLRWMLQPPPPAKVMEGKVPVSRSASLMSVNSRRT VSTVGSGSLGRLVGEKALEAKSRRGETPFDDSLSSASLARTRSRRTTVSTVRTRSRRT TRATSFSTESDDSSDDLHHRMARRRNHRPVATPEVDSDDEKEYNTKSIEAGISVTPPM PTHPTHAAQKPRLATILSSAVVDQVPSSQKTSSSPLQEVTNSTTTVTNSRSTESSGKI AA QC762_111990 MSSSSTPITPSAFASALPSLPLPSLHLKVLELRNSIAHLDYSNE QLHPFAHPSSGTPDPVCVEAISENNIVIARMQERISLVKAEVETRGLSWTEFQSKEEI GKLDKQEPGVVAETNGERHSAWTDGTFQAGRIVNGEVVMDDVAGGGQAQQGGSIGDEE LRRRMEERMRDMGVDDEEGGMHL QC762_112000 MSCSSLGVNAFRPSVQLATHHLSRLSRPVSTPIVFPVRFLSSTP PPCHSRQFQHRRRSSRNITSHTLLRKPLPWPTAAQRFGVRTVFGGRIVYKHYIELPPN YTDEDGLDFTSKDLRPEEVHAIFGDDIDAYNANKLLKIIHGRRVAGSLEDPTVAGRSY GYTVEQRQKALAYLRDHYPVDEIANAGLRAEDELAALEALEEDATPETTEELDAKKVP REPTGKTNKLYKGAIQKNKSVYGDSVVEQLRIENEAKWAAEKKRLEEEEAKKAEERNG VAGPLTPLTHPEKFGKLSPTVQKWITSATSDLKEPPKLSAWQRLWPSATFVLVLLGGL GVYVYTYKPAKRNERWFPEIPPAAATVGALILLNTLVHAAWKFPALWAPFNKYLLITP AVPKPFALLGAMFSHQAFGHLAVNMGFLWVVGTLLHDDIGRAKFLGLYLGSGLVAAMT SMTEFVLRQRWNISTLGASGAVYGAIGAYCWMHRLDGHRIFGFPPPPSEGLKGIVFLA FVVATNISFLFSRAARSRIDVTSHFAGLAAGVAAGEWILRRKEAEKKARMEPMAVRVS KV QC762_112010 MTSPSSPPHGDDEIGDDARNPYASQSRWRHAESSAYAKYEQERA GGAAHHHHHHHHNGPVAREADAQGGVGDLANFLNKSRVEPENPNGDERPTSMRFKPVM AGAEEARAATGHAEDAPAAESAIKASSGPPADGKEVAVGPLINYRRMEGNTWIGSVLV VVVGGGREQPFTPSLNLRRAGGEGGQGMDVEGVCLYSDPRNTFWRFDLAVEMGGRETK WEYELPRLRYKSQSKPRVNAFFVPAITESMRIMFHSCNGFSVGTDEEAYSGACLWNDV MRKHQERPFHVMIGGGDQIYNDGIRVQGPLRKWTAIGNPKKRRDYPFPESLREECDDY YLKNYIRWYGTEPFAAANGQIPQINIWDDHDIIDGFGSYVNDFMKCDVFRGIGGVAHK YYMLFQHHLPPPPSTYTSDAVHAAGGEEGQGVDPNQFMTAQVHPQITESGYINGLKPG PYVAEHSHNVYARLGARIAFIGLDARTERTRHQINYPETYDAIFARLKEEFTAAANSD QPLKHLILLLGVPIAYPRLTWLENIFSSPVIAPIKLLNRRVGLGGSFFNSFDGSVDLL DDLDDHYTARTHKKERNRLIERFQALAAEFSIRVTILSGDVHLAALGRFYSNPKLNIA PENDYRYIANVVSSAIVNKPPPAAVANLLARRNKIHHLNHETDETLLKLFDKDPGDSN KTSKSNNVTMPSRNFAIITENSPNNGQRNGGGPLNSHQVDQNHLFPPANGGGGAQGSQ VSLPHSAQTNPAAPRPKDTRLPIGAGEVNCGSKHKAAIPGEHGTGSDGGLDVVIQVEI DQHDGEGRTEGYGLTIPALDYRGKKPPSVVGSVGRGSRRSVRSGRSH QC762_112015 MRKAWNIRTGRTHTAVWWRLKHPSRALGYLTYTHTSSHLVLMAC RIWGWRSSCISLQGAHSSSPYRTKNAINPLSCVRATARSPEARPANLKTQSVISASQK TSNSPVSCLGTSAVPLGCPASRAGGVALPFTDPDQPAAQHDISLSPSHLNRLGWVYAR SPNQDSIFGSFSIVRRES QC762_112020 MFTWRTNAQPVTWDRDVSAVEPRQQPWRGPPWLPHQSRTYPITT ILTIPAPVPSTSTGPDEAPITTGAVPSETLLTFTSISTTSPFSSSIASSYPDTDVADI MSTDIPASAVPTTADAVTNETSPGGEDGVAGNKNLVIILSTVLSAVAVILIAGGLYLC WRYRQRRRLFFSRGITPIDDDEIATWKVPRDEKNGYPAGDTDVEGDAAFNKETGGPSH GRQVSTASIKKPPSVIVYNNVQGYRQSIDEPTPRRSFTQHPVYGKMSLDKGLPQTPIQ ARAPNARAGLTDESVPGDDPFIPSPKRQTSRLSKIPPSSASHRRHHGRTRSSRSSTRS FGDYRYGGSDVELSPRHSHDQFRSRHHHYNRNHSRVYSSSSIPPRLSLGDEAHYNGGS PGRPLFKEDEIGRAIG QC762_112025 MPARKSDAAAAAARRSDVSVARFVLADDVPDAPDTPMSTEPPAS AAAAASAPPAPTPSSAETPAPTSHPVAPPGSASPNDKRPKSGGGGGGGGGGGPMSEKG DKDKEDAVTIEDLALPKSIITRLAKGVLPANTQIQANAILALTKSATVFINHLANAAN ENTLASNKKTIMPPDVFKALDDIEYGFMKERLELEFAKFNSIQTSKRSTYRKKVAAAK KAGASAGGGGVGEASFMSTATNDAGDEEEEEGEDVGNDTTQVTERGGGGGGGGVGGFA AVNKPKMATAAAASAETPSGERSAKKARLDAGAKMEVDGQVSDAETVADEEEEGDEEE EEEEAEEEEEEEEEEEEEGGEEGSDDDDKVGRGGEEDEALDDDSD QC762_112030 MYAAQPIPNGADSATINPAALNPELLDATSRGIKRGRSPQDYPD EGPLGSTGEDSSDKPRKRGRPMKSRQSGGAPEPATQAPVPPSRQTAPPQTPQSQNAPL PVQTPTYPPAPPPPQSSPPKPTPTKSTLKALPTVRDHTTDQLGPGNDEYLPREIDEAG EKKVLPNGQLTGGREYRCRTFFVPHRGEKLFMLATECARVLGYRDSYLLFNKNRSLYK IIASQEEKDDLVNQEILPFSYRSRQIAIVTARSMFRQFGSRVITNGRRVRDDYWESKA RKQGFTEADPAGEKRPGASKAREAEANHTASMLGAPHGEIVYSTNPGQFGGGPQPQLV QPDYNNTHSRDYSNILKTGPRQEITGPAYQDRLQPTPITELHAQAHHAAEFNRSVNSQ RDMRNDYMQNIWRRQHDQPTTTTLSQPVGTSEAPASTSRPAPSTHTTTQGIPQPGIIS NQSPQLMMSAAPYSQPVHAPTSVGPSGPGRGLAQDPSQSSSRPTYPPTGSTGTLPPTS QNYSYPQTQMWPPTPQTPQHGYSAYTAQSQPSPHPQQSPAPQLRHSSTSSQVQQPGGM SYPGMPGMNQGYSTSAQGMYSAEQTPRQYMHQSAPPPAVTQAWSQQQTPAQWWTNQPQ QC762_0010760 MASLMSRSMRWSSPNGILARTEDTRHTPMLGLGRTKQLERSRLA LREAFWNIRASDFADRSPRSFETTDGSNCVTTSCFRDRWTWSSRISDESR QC762_112040 MASLKRSVESDPLAANISNKIYVRSTKSGKVQKIVREVYLRRDI PCSSKLCRECQHQMLIPKDALGKPIPFVLSDSPAGTKVFPQGHYLVPDTNAFLTAMDL FEQESAFYDVIVLQIVLEELRNRSLPLYNRLISLTKSEDKRFYVFFNEFRLETHVARL EGETVNDRNDRAVRRAVAWYGEHLARIKGKDAPSIVMLSNDRDNLRKAKQEGIHACSL ADYVRQLKDGEKLLDMIPETQDRDQIKEKRPGDNLYSEYFSMSKMMTGVKSNLLHQGI FNVSPYNYLEGSIRVPAFPKALLILGRENINRAVDGDLVVVEVLPKDQWKEPSTQVIE EDAITKNENPDAEESDDFVSERERKALQEEVKRTHRKTTEGHAQPTAKVVGVIKRNWR QYVGHIDQSSVSQSAQQGRKQDSVFVIPMDKKIPKIRLRTRQVSELLGKRILVTIDAW DRSSRHPSGHFVRSLGELETKAAETEALLLEYDVQYRPFPKTVLDCLPKEGHDWRVPQ SLEDPGWKDRQDLRDLLICSIDPIGCQDIDDALHSRPLPNGNFEVGVHIADVSHFVKP GNAMDAEASIRGTTVYLVDKRIDMLPMLLGTDLCSLKPYVERYAFSVIWEMTSDADIV GSRFTKSVIKSREAFSYEQAQLRVDDSSQQDQLTTSIRTLLALSKKLKQKRLDAGALS LSSPEVKVQMESETSDPIDVKTKVHLDTMSLVEEFMLLANTSVARRIYEAFPQTAILR RHGAPPKTNFDELANQLKVKRGLDLSVESSRALADSLDRCVDEKEPFFNTLVRIMATR CMMSAEYFCSGTQAYPEFRHYGLASEIYTHFTSPIRRYADLMAHRQLAAAIEYEAIHP STRSRGKLEAVCKNINVRHRNAQLAGRASIAYYVGQALRGKATEEDGFVMKIFSNGFV VLVPRFGIESLIRLRDLAETEPQAEFDAENYVLRVSGSREVRVELFQKVRVRVTDQKD ETTGKRGVRMELVKTY QC762_112050 MAGSITKPKKPKSKRTPVRLRHKIQKASAAKQRKAKKEAKKNPQ WKSKLKKDPGIPNLFPYKEKLLNQIEEDRIRKAEEQKRRKEMLKAAKAAGSEENKDEN RMDDDEEFEGLEEDSMMVDEDDDDSEGDDSNPLAALIRSARKAAEQYDKELESGDDDE MDDDEDDSSNDEESGAIEVPGGASSRKAFDKVFKQVVDQADVVLYVLDARDPEGTRSR DVEKAVMAAASGGKRLIFILNKVDLIPPSVLRAWLAHLRRFFPTLPLRASNPAPNAHV FNHRDITVQSTSSALFKALKSYAASRNLKRAISVGVIGYPNVGKSSVINALLSRLGGR NNRAPCPAGAEAGVTTSIRAVKIDQKLTLLDSPGIVFPSTGSISSFTPKNPTEAHAHL VLLNAVPPKQIDDPVPAVTLLLKRLSAQPELLEKMIQLYDLPPLLINPESGDSTTDFL VQVARKRGRLGRGGVPNIQAAAMTVVTDWRDGRIQGWSAVPPAVQTGAPAASAKGPAK IANEEVGADQKKIVTEWAQEFNLEGLWASMEAGAEEGGNEVEME QC762_112060 MGSIDTTGYSFTAGPETVSFDSFLFDMDGTIIDSTAAVEKHWEG IGNDIGVSPEIILQTSHGRRTIDMLKILAPEKATWEYVQSREALLPKLYGSDAVEIPG ARSLLDSLIALSAPWTIVTSGSLPLVSGWLKVLDLPVPEHLVTAESVQNGKPDPACYR LGREKLGESAGEGKEVLVFEDAPAGIEAGKAAGCRVVGLVTSHSVEEVLSAGPDWVVK DLTQVRIVRREEDGRVVLEIGGLLVRN QC762_0010800 MVKIPASSNGHSVYRQAHTVLPGARTRTRESNLFIWARLIRSVV NHLVRQRELPGSRRGQQTNQ QC762_0010810 MQAAAKMTVQQWCAGRPRVDLPSPYRSFDPAPEPRRGGGAGEMG RTRLNPSVTLSWMTGLLKQETSS QC762_0010820 MTATKLDGIPQPDGDLLDKKSKTRRRTVTPHFPALRYSPNTGDV LLQCMHISIYGARSVAL QC762_112070 MDMDYMDPYRRYAVQMQGYHGIQQQTQPQDHQYPYWSQHMVAYY QQHQQRAVMMGQGGMHMSKQTEPKPRLAKDEVELLEREFNKNPKPNSSTKRELAEQMG VEVPRINNWFQNRRAKEKQMRKTAEFEAQQAREKEASEVKESGDQEQGTVTEFYGLSN QHQPLGLSTAKFGGSDDGTDSDDGASGPQPLIESAGASSTVTPGGDTPVSPGSDYVRV KYEHVKSPVHRSQDTSDLDQPSTAMSAFTTPQQEISFQQPTPFSFRQANPELLDGLSG HELHRVQSQDHIDTGETSHFGSFPDRDYFASPPIPRFPSEMIPENLVSAETELLQRRV SEENLVKCEALSPTSLPESPLTVSDLRFKSPPPPADIAGRRKLRRPAPLGPSSLRGGA GPKAGIEAPRRSETASPMRRISSATGGLGGRVQKSFMGPGGPRSPFAMERNKEALLQS LQDGQSPAMASLNSAMSPLSPGGHNQSAREGTVGISSSDEEAGFAYGSLGAVGGFSMY KSEATMKTPPGTPGLQMGMQDAYFAGSMDHAWNFAPQDEPLPTPSLCSHGGSELEFSM APQMPGYVASQPVTPSFPPQSMGPTYNGFFGPSLAQTEYHFPDSYATEPSARSSPTNM PRSKQFQFAQNITPQDFSADKS QC762_112080 MASETSPRTVRFSQTEDDQFVRPERFNGRAIAVPSDSELAVSSG DLHLLTDRHLLEQHDELGSLARYADAPVHSGIVQPHPSTGLANGAASRQRGTADSAHY GNGATPRPQRPTGPARTPSNTYQPYTARRPQQPPPSSYIGATPTHPRDGSRPRPLAGS STFRAQEREYVRRLRQQDHGNSDYFDAYGSGEQYDSDSEGETPSSEGPFDSYEDAHIM FVNSEESQITEEDLRDPQNRERLEWHGMLEAVLTGDVVRQEKKRLIGSADEEAGRSAH KAELWLAIRAKICDRHLPVQKRMTEEAQAGLDRLVNEVINFSIAGQSEAGKPPIGQVR DAIAKIDKIESLYPSSTALVAALKPGTYEAYEETCESVISWYNVNEMINTELSILKRW VGNEALDFHKPGGGNGLGDDTSFMDRLLKEEGLKSLHEDNGADGKNLRRRSMLDGISR VIEKAKRTLIDKAQGFQKRHLPPYIEELLTLISFPSRLIEEIIKVRLAYAKKMKDSAQ QNPLMQDQMISQFQIILKLAIKIRSEYMMISAPEPWWDLPPCIDEDFDRVILDALKYY FKMLNWKLSGNKNTFKEAELLFQEWEFGNEIGSHLTRGDIEAAEQFSSLTFKAFNRLH QTFEKELQRKPKESVTEMTKRYKQTLDSVRVRQRMLQRFSRMLSENYENACDFTIAWG PEKLQDLYDRLMDTHHFQVYTGSPEHKGIHIIASPSLANRPDVIQRLMGTFSYDVIAE DPAEPYLLIIKAENAPNWFGSKLSLSVREEPLDIKLGHMRLIAGGSQHVLVNARKAFL ETIDMHIDIVVEQRSTLHKVNLRLMEIRKVAFKLSNAFMDSVETIRRQTKGLNCQELI QTCFIFATEFGQRSLLYMDNNRRTMNNMKLTKLALDWVSFICDDCVPSDRKTFRWTVQ ALEFAMVMTRGKHMLALGDDEYVKLRTKVAGCMALLISHFDIMGARSNVAAQAEKQRM ETLISQMKRLNKGQIMDDEMAASCVTERRLEELVKVDDIRKQILVERSAMGRVLEVSN EVDRSLAWLSSTANNFTIRWQQGQFVGGGTFGNVYAAMNLDTGQLMAVKEIRLQDPKL IPNVAHTIRDEMRVLESVDHPNVVSYFGIEVHRDRVYMFMEFCSGGSLANLLEHGRIE DEQVIMVYALQLLEGLAYLHELRIAHRDIKPENILLDHNGIIKYVDFGAAKLIARQGR TLVQDITSTKPNKSMTGTPMYMSPEVIKGENPGHFGAVDIWSLGCVILEMATGRRPWA NLDNEWAIMYNIAQGNPPQLPTSDQLSPQGIDFLRRCFVRDSKKRATAIELLQHEWIM TIRNRVVEPSTPSSDASSTQNTPTTASASTRGSAGPDGFY QC762_112090 MSSAVRPSSGGASLSHSRSDSISTSISLPPLPLSRPASRASASG GILTPARDAELNQPGRRQSIHDQDVFGSRKRKRDVLTPGLSTDSLLKAPIVLKPHPSS LTSRPCMLHPLMMLPRESLPLSALDLVKPHGELPSTRLFESKIKILDLEGRLGHSVLI ARSEITKMVYAVESESPGLYVLCKLGSWVDVGELSHDATVVCKERLKGPKQVKVEDPA GAPLITPQMYKENKRRKLAIEELQAATRRRSGTLTDMDSHSQISALPNSRPASRGIVG SRPASRGVGTQILQPPEEILADSLAWLSSTRDSTPAPLPVSDTGCQAEPQSQLQEQEQ DKPTAECIMDNIRTQYQEALYHSKGSLAYFAKGPLSRARAAFHPDTGSDLEMADLVEF LKSLIMTTILVDKKYRETIPAIIEKMKTCLEDSDNAQPKRKKRKAKKPKMGKDGLYPS EVDHVKRWWTSHLPMAVGNEEEEAKTVSQTEARYHVSCLRRRETQLQMILIMEILALE PLIRPKDPVGDYLLPGESRAPSREASQEPTAKKRNKHNLPVLLDVHADRLCIWQSVTL DEVKALAESQAPKEGARPERIDSDPLKDFCVEIILPFFAARLPELCDSINRKLGGPVA QSPPKKEFVKPAAVSKAKPGAPAKRPAALKKDSDRSLQRALSNERMRRSVSREPSKAI ALMRSASATAIPGLKREGSEPLLMGMVPRQDKSLKEKPTNVFPRGEDLRARKKAQVDA ELKDAISALKKPNRALAVKEFADAVDKRASAGVNQVKKVKKPRTPSIVKATPANGRFK DVLAGDNARRQTSQSFEAIPPSSASMIPASTLPRKFTNALAPPPSSTPIRISATPARK PAAVSTFHTLQAIQETPGPILASSPIMARKAAPAPTQGQRASAQFLSRPQEAIDHFPS SPGLPALFETPVNPKFHKTATAINDSPIRSKLFTLAPAQQKQKEKEAPPPGTLGQTVL TKETASIYAQLGWDDDDDII QC762_112100 MAVPDAPGRDANDVFLENAHLTYIVPFTTKFNPDEALRQGEDSF ESKLAGIELRDQLFFDETVDVYLILRTQCADEQTLRLHLRRLVITLDAQIVNSHSQDL NSPPASEIIFSGGVEDVEDVFIVPDEVEDGTKFVYAVWKLSVFLGRPRIRLQMPSVVF SGTAGLKQADPENNPDQRDGYMLSCVPSGMNMLDSFASDPMLGGIKPHLSAQRVSRVA PATQSKESLRRIPGLQNLKLKIFPLLHCRVRFSRPNTSPPSPALIALVETDFTPYFDC EASLNKISLNVTNGTAEDLNNQPGMRLPLSCVAHDHLTFLYKLTPSSLDLATTKGPIR DHDLVITIEISILVRPNSESNPCTPKLSMTWITPLDFTLPVNPGFGQPMTQPIQRSHR PSQLSISGGVDSQPLVSPSVIRPDALPSLEAATRSATETTLPDFGITLTFTGPDKPVY VGEEFVWTVFVVNRSRPTSMIAPAGMHLSYSSMTASMTASSQARKLMLLVVPHRRRNE GGALGRPTMRGNMGGKKDSGMADAVLDENVVYAMQKAGGVDNTEVVCLSADVRVGPLA ANACSVVELRFLALREGVVGVEAVKVVDLGSQEHVDVRELPLVVVRRRE QC762_112110 MNGHFAPSGAPAVWTEHKTPDGRTYYYNTLTKVTQWTKPEEMMT PAERALENQPWKEYTAEGGRKYWYNTETKQSSWEMPDVYKRALGAGDSAATTPTGPSA SFGAAGGGSGHHGGSYDHHHQQRDHRDYRDHREPMGESRQLTYGSNIQAQAFVSASND PEYATAEEAEAAFVKLLRSSKVQPDWTWEQAIRAIVKDPQFRAIKDPRDRKAVFEKYC HDVVVQDKERAKERLTKLRADFATMLRSHPEIKHYTRWKTARPMIEGETIFRSTNDEN ERRQLFEDYVSDLKRAHKEQQVTMRKSAMDGLIELLPTLSLEPYTRWAEAQGTIQNTP LFQSDEKYKTLSKFDVLTVFQNHVKSLERNFNDSKQEEKNKKFRQERKARDNFKVLLT ELKRDGKITAGTTWTQIHPLIADDARYRAVAGNPGSTAMELFWDVVEEEERALRGTRN DVLDVIGVSAPDMDSNKMQADKSIQDKRFEVTPKTTFEEFEAVVRGDARTANIERKIL ELIFERVQQKRTKRTDEDKVQRRALDDLRAAMKRLEPPITVTDTYEQVKARLAQSEAF RTVNSEEARRGAFDKYIRRLKEKDEENENERQRRRERPDGHRDRGERSYRSGRSARSR SRSPEHNTYEADRRHAMADRERNYRKTSAAEVLLSDRRSADGHHDSVRDRERDRDRDR DRISERDRERDRDRDRDRERDRDRARDYRDRDRDRDRERDRDRDHGRDRDRDRDRDHR DHRDYDRRSRPADDFNHYDRERRTREEDRERIYRRRVLERDVDELPYGDERPSSSSRR PRPEEDDHDRRSPRQAKRIKVEDDRAATPSAATATAQPKSAAAAPAAIKEEKPSPSVR AGSEEGEIEED QC762_112120 MSDKLTRVAIVSSDKCKPKKCRQECKKSCPVVRSGKLCIEVAPE SRIAFISESLCIGCGICPKRCPFGAITIINLPTNLESQITHRYSANSFKLHRLPMPRP GNVLGLVGTNGIGKSTALKILSGKLKPNLGRFDNPPDWEDVIKHFRGSELQNYFTKLL EDDLKSIVKPQYVDQIPKAIRGTDRSVQFLLESRHTLGNLDSVLDTLELRHILDRDVS HLSGGELQRFAIGTTCVSKADVYMFDEPSSYLDVKQRLSAARMIRSLLRPDDYVIVVE HDLSVLDYLSDFVCVLYGQPAVYGVVTLPYSVREGINIFLDGHIPTENLRFRDESLTF RIAEGTEDLLVEKSRAFKYPKMEKTLGNFHLSIDAGDFSDSEIIVMMGENGTGKTTFC RMLAGVLKPDGTQKVPEMKISMKPQTITPKFEGTVRMLFFKKIKAAFLSPQFQTDVVK PLKLDDFIDQEVKNLSGGELQRVAIVLALGIPADIYVIDEPSAYLDSEQRIVASRVIK RFIMHAKKTAFIVEHDFIMATYLADRVIVFDGQPGIDAHANKPESLLTGCNTFLKNLD VTFRRDPTNYRPRINKASSQLDQEQKLSGNYFFLEEPDKQ QC762_112130 MSTSPERSPVNGHHSPSPDENTSYYGNGHQSDSDLSDVQHAPAD AGSPEYHDVDADADADDVESPVEHPEVTFQGPSDSEDNDASDDGDFDAAGSPASVQSH DDHPRRTMSVSERPAPKRKAAQVVEEDFMRENPELYGLRRSSRPTQRRKVVDSDEEED ASDSDATPAPRKGNKRRRLERSAPVSKRGTPMRQTSVDDSESDTYGGARARSFQKKAR RQQELQPALALAEKRWSSRRAAQVSAGAYQESEAEEEDESELTPNYWAQDVEDNSPYI EKILRHRLKQGLEYSEDTNRNDFEYFIKWQNKSHLHDTWESTATVAGYRGFRRLENYY RKVVEYDIEMRLGGDDVSPEQREQWLLDREREEEALADYTKVERIVHVREGDEETEYL VKWKGLQYDDCTWEVESLVSEQAQDKIDQYTARSQRSWQSDRKETNLETRSRMEKLEE QPDYIQGGQLREFQLKGLNFLALNWTRGNNVILADEMGLGKTVQTVSFLSWLRNERGQ EGPFLVVAPLSVIPAWCDTFNHWSPDINYVVYLGPEAARSNIREYELFVDGNPKKPKF NVLVTSYDYILADADHLKGIKWQVLAVDEAHRLKNRESQLYVKLNGFGIPSKVLITGT PIQNNLAELAALLDFLNPGKVLIDEELELLSTADSKEPVDEQLDEAKRLKTQAKLQDL HKSIAPFILRRTKETVESDLPPKTEKIIRVELSDLQLEYYKNILTRNYAALSDASNGH KQSLLNIMMELKKVSNHPYMFQGAEERVLANGSGRREDAVKGLITSSGKMMLLDQLLA KLKKDGHRVLIFSQMVKMLDILADYLRIRGYQFQRLDGTIPAGPRRLAINHFNAEDSD DFCFLLSTRAGGLGINLMTADTVIIYDSDWNPQADLQAMARAHRIGQKRPVNVYRLVA KQTIEEEVVKRARNKLFLEYLTIQAGVTDEGKALREQFKERGMKMDEAKTAEDISMIL KMRSQNLFEQSGNQEKLEQLDIDAILENAEVTKTDVDDKINLSSGGIDWDNWMHFTDV KVDDLALDWDQIIPADQLAAIKAEEDKKKHEEYLAKAMEESAPRKAAIKGSKKNVENE RAERLAKKRQREKLELEEFEEQRAQASDPRRPLNEKETRNLIRAFFRYGDFDDREDEL VQDARLSDRDREFLKGIIDDLVAVSKQAVDLNNERLRGEEERAGKPLAKKDKKAVLVD FGEVRKVNAETVVERPPQLKLLRRVLAEHGDILTFRLPEAAKSAAYSCEWGAREDGML LVGIDRYGFGAWTQIRDDPELNMQDKFFLEEHRVDKKEERRKGDEKGIQSPGAVHLVR RSEYLLSVLLAKYSNDANAKKAVENHHRSKKLLMNGGRRADGGSVSASPAPQMSKKNS RDRNYSHAEHHRSYSNGNDRGTPRPDKRKYADDYDDRNSKHRRVEVDAKHDKKPREKE RPKMDPETMERYKRDRQKAVDRFWELANLKDEEINHSDNLQLVWSLLRPLKKNMERIM CSKELFPAAKQRAKVLGAEIREFGNFLKDLRAKNPELEGEGLETQFWEFLSSIWPLGD VKVSGKRLQKMYGDLEERGSKDRHDEKQPTEPRRGRHPDLEDGEIASDRDDRRARHPY RDDRREERREERKDRRDDRRDDRRDDRRDDYRRDDRRDSRRDEPARRSNYYDDDRLNF HRHRRPAENSQGQPARHSWQQNRSPTTRHSPY QC762_112140 MAFSRRSTASPPSSPLSVLSQSPSPPSPAMDVSNRYPSPSASAT TSGSATPRKSYDMPDFSGEIQVRTDGPPPTKRRRVAAPRPRTTEYVDLENYDNEDQEN LQRLLTALRKKKKIVVIAGAGISVSAGIPDFRSSTGLFTTLRGQHKLKASGKHLFDAS VYKHDSSTTSFHDMVRELSQMASEAKPTPFHHMLASIASEGRLMRLYTQNIDSLDTQM PPLATNVPLNSKGPWPTTIQLHGGLEKMVCTKCNHLETFNPSLFQGPEPPLCEKCKEQ DEVRTAFAGKRSHGIGKLRPRIVLYNEYNPDEDAIGMVSKADLRRVPDAVVVVGTTLK IPGVRRLVKELCQLTRSKRDGLTAWINLDPEPQGVEFKDCWDLVIKAKCDDVAELVNL PRWDQQDIGDRESYMVTGDELKEKRYVANLERNRIDILLERKRKRTEDDDDGFESSQS SQPSQSSRSSQEVQTPGNRKSKLAEQGGMPTPIASPKLRNALLPAPRPRGRPPKQSTL SFSTTKAAEPAPATQKAAPKRKPGQPKKAAPKPKNRINQTFKATKAVPIREIKTPKKQ FLDPDSSDLSSPPDDSPAGLPSLRPKDQVRRPEPLVLPAAGLTSSPPTTPTSQEFVAS AMKTISPGSKPRSMGHLID QC762_112150 MSAHDMSLLGGDSMSIDSGIQVMQSIQGMDGAMALDDVDLFGDS VMDNALGTLPLTSRPPPSKQLQQRLDQLRARGCCQGIAWGRLGNIACVSKDGMSVDIR YMRCNPENGEWDMNDPSSSATISLVHGPPSFISLPSAGAPIVHVAWSPTTHMADLAVI DALGRISILFFPLQINRPYPMRKWDSDPVDDLHSVVGCHWLPIGNAASQSRGFLTQCS GAHWAGSEYKYNHMAQPAFGPSHPHPGRSALVCVTTNGLLRLFYQISSRHEETALELE SVTAADDLITHASFCCDKPLATASKQLRVVRVGVNWGNPPSDKQVHPGSIQLRPSMKE VHVATTSWLQHGPSESTLDFSMAQLSHLLVLPSFMETSNPPTFAPAVVVTVRSYLPNE TSPYDQETQSIIDRWEVLNDQAQAPHPAFEQLGPRNGAGAVPPTMTRLRKLEPVTIPK VVISMQTTTIQLGRVVCFTFSDGTVQYRDRFTMAELYNEHNTENINSPHQVGFQFDDP TPCLQVAFSPSNFAFVQVCEDNTLKLRKLHYTMGDLGSLQDVQARAVLASLTMPISFA WQQQMTFDDILAVVRPLTQHPKFTNALFKEIITLLRTVVDYSEEQQQLVDTLIRNNQL QSCLSLLNHFGFNGNFEARSFHGKFASVALNLRNIIVLIVLANHSPQKSNGVLNPLDE SEVVETLVGCAEWAVSLFSWLIDSLFNLLDDPEFMALLSDQRRFQELASYLHTRNDVS VYLLLCSSTRSLLQVACRRVAGLEEISSRALTYYKTHSVTETGAALHHAYQRMYRTSS SSPVKPQDFERLLSTLGRDISTAYQRTLTAMAKSKDQTQPGATEQQQQQAQQRVELFV KSAQNRWELEMLSGANPPNIFREVLARLFTSTLTTFKALTDPAKLYFANYDLLEVNDD AKTLRARKKAGKYVDVFKRVELVAGQQQQNTQSFPPAAVTNGAQRKGGGGENVKTEAG VGGAAGVKLGGTPSLTLGLAGGGGGGSAGAGNGANGGAAVAMTQVHSPRDEGAGGAGG GHAIRWRRCVRCASVMEDIMNQRPGFTYVLAQQRKCCCGGAWALVAKGALG QC762_112160 MADQPDIETYHKHLPDLSIPRFTEMQKQDAHEYAKAFIEGGNPP WLHGLYLHWLKLYQEPFKGVTSDGNVKPNLFHLSPEEIPISSIVTATTNLLSLLSPSQ LKSTLYHIDSPEWRTWSNPEFLLSNKGIRLDELTPLIRDAVLSVLQSSLSPEGYHKAL SAMRINHFLGELVSAPKICNEHSYNFVLFGSPSTTTPWGYSFYGHHLCLNLFFYKDQV VISPWFTGAEPNMIDSGPYKGTQILQREEKLGLQLMQSLPAEKQTLAQVYKNLKDDAM PKGRWNHDDQRHLCGAYRDNRVVPYEGVLVSEMTQSQQDLVAEILDEYLLYLPSTARK IRLEQLREWFHETYFCWIGGFGDHDAFYYRVQSPVILVEFDHHSGVFLTNKEPAKFHI HTLLRTPNGGDYGMALRGLVKEGVVEQRYLWEP QC762_112170 MPSSATVTLFQTLDVESLDSGNQLPGDAVAKVKSQPDFQQGFFG QKLEDPKTWVLATEWSSASAAKQCITNIKDHVRAQETFVYQFDTAVLKAPCTEVFTAF ETEEGFEEQVGRFVSAVEGDKLEGYKGADYGAEVKVDGDGAGEKSVRMVIGWVSKEAH VEAKGKPGAIQQNIGELRAKRKRVDLFHVNFKEL QC762_0010940 MWTLLCQARLDRRLRGLVATNTWLPFAKNIEKILAGRGNASQDD RSDSDAFVESMTSAWITAPSRSLLSTPIFLGHGTDDAMFDVQLGREARDVLSKVGFRV EWKEYLGAELEGHWIKVPEEVDDITTFLKRYTGSD QC762_0010950 MECTKDTTQDTGPDQLQQSVATGWATFLAAQHWSREVDALRRSL NEHIKQTSTQHELLSVAVVQSKPSSPVTEASLENHLESLRQEMTESMSQLSQKITSHE ERTEHLRSLTSDDIKDVQEKYLSALGMVEYLQGELRDMRSDKVNTENKLTALERDTEN KLTALGRQIAALLPPQTPLPDEAVSFLNQLVSRRAEVMRILSLPCHEEFTQLQQTHVT GATANPEHTTIPTNPPRLDNTNTTPTSAPSITDIQIHVPDRGQRQNTVSKHSQPHMAV ITRKQPKRKAAEPPQKQPSPKRAMPLGTSSTKTSLVNPPKTVPADAQDTKDLYHRFRS RYDTNPPQDQVRGIWRFINQIKNPDVAKHLQESLVISLPEYVKLGSRSRLATQVNGVQ RIFITISGKVTWKMFSEAFDKYAALHLKE QC762_112200 MDNMNDLRTPPYRPRSHLTGRTKEDWEEWEDDEVLTPMTAAPMD GPLVDINTDPFPSGQPNQTTTASLAPRLSVQKVKRLKSRHRQKAQNARAGIKLVTDMS KFRQQKQQHIANQMKLNSANRESRTGKFVDAAALLALEGQQNGESSSTFGWLKRKPTK GKRVDRLVAESSPQVDLSPSAGPIMIGFEMPTDSDVVISPHTAVVETPVDLPPYFRQP PTSPPSKQPVSAWSPDTEDDFSPRGLDGMILPQTAYVPAVPSIPSGYRGQKFSPISDR DRVDGFGMSKEGNNRRDTATTAFYVSDDDSDMDTPVTLFEEDGSPVITKKSYSHTNRQ RSNTGGSTRSQGWWDQVTSPFVPTPATPRLPTVGEAAEPKMNTEWWETTNQKTGLSPV LTRSNFGQSSSSKIETIPQQRPPRIIVQDFSPVEPTQSTPDPPGSAPAPVPAPITGPR AAPDPAPVPAPVPASTVVSQPETQTEKSRILVEESRTPELPPPYSPPHRQENVRYQAV LPPGHAVITMFPPSPGPVPVGLSQTMTSQGAIGLQNVPLTPPLTQMRLPDRPIGSFLP ANYLPNLAAERKRRRHEKEDFVARKVGRGCFPCCGALGKPGPEGRKRRRVCFGILTAL ISMIILGVVLGVVLSRRTVAEASIPSRFLNLTDFPPMPTGISTVIGTQSDSSTVCIQP ATLWSCSLPKEEADSVAPFNADQPSFILQIQFDNNTRQTWNVTGQEPPRPTPIDLGTG RPLDELNRTVGRNRTTTRSVSIRSNGISFSTILRRLFVTPRQTPGNLILKPVPAPPTF QDMFFLGNTTDGVVSDDKAGEPTPFYISILRSVNSTVGPNLLTRRGGFDDHQNQPRQA SGNLSPALNISDLVPPPILNKDGTAAPANHLHFPIQQPLRLYDRGLPTERYSFYSYYN KTIYLSSLNERLPPSIDRNGGSPISEAKFVITWLSVRYKVEIWTRKSNTTKLIGGFGG REANVNGTQPGTFPYPITVTLDTHGGIPGNKFVFMRGVGERGRVVTSEAKLFLNQMNN TGDLVNPGGFFNPSFGGMDGGTGGCRCEYTNFVGVNGLRSAD QC762_112210 MSLSRSPSPVPGGGWASPGLNIGSGRSSPTRGYTAGSSSATPVM WESSRLKKAGGSGYPSFATQNSSFLSKHMRKISSSLPRFNSSSSSPYSEKEKLGRGRW SAQNVPLLGRLRALMGRMGRKMKIRLLILLMLLFCIIVFYNTPLVYHWRRTSWLGGGQ KFVIILAANVGGGVMEWKGAREWAIERDSVRNKKKYVGRWGYDLEIVDMSTKKRYAHE WRESWEKVDFIRTALRKYPKAEWIWWLDLNTFIMEPSYSLQDHIFDNLHKHVYRDINE YNPLNITHPMTDEYLDADSRSPVGDGNVNSVNLILPQDCSGFNLGSFFVRRSPWTDRL LDVWWDPVAYEQRHMEWEHKEQDALEQLYTTQPWIRKHTGFLPQRMINSFPPGACSEN GNDTRIHYDQKDRDFLVNMAGCEWGRDCWGEMYNYRELSYWLNRNPWELFKEEFVAVI WFKLTGQKVKL QC762_112220 MVKPTAFSRGALLASLAQATTAASTSRYTLTQVFNATNFFSEFT FFDQPDPTHGFVEYVDAPTANRLSLAGYSQNGVYLGVDHTNTTTTGRKSTRVTSNQAF TKGLFIADIAHMPASASSSCGLWPAYWMFGPDWPTSGEIDILEGVNAQKSNSITLHTA KGCEMANTGSLGSTKLANGNCEGNTGCGQTTSATNNYGAGFNDIGGGIYALEWTDDHI AVWFFPRNSNTCKSLAAASPSSVPNTSNFGTPLAKFVGNGSGNCSIPNHFKDHNIVFD TTFCGDWAGQVWGQDDTCKSLADTCEDWVGQNPEGFQEAYWLVNHIKVYQQVDQGPAA GQTDGGFGVQRKPDEERRARSFEA QC762_112230 MTFSTTLSSGPPRYTLRTRQPLSFNRHCRYLSSGTHHKSLLTIA IETSCDDTCVAILEKAGPAARLQFNKRIPSNHVEFKGIHPTIASKSHEIQLAKLVNEA VQSLPEHTNHSPEVKTISIRDPQTGKSTPRRLPDFVSVTRGPGFPRCLDVGLGVAKGL SVAWQVPFLGVHHMQGHALTPRLDHALQQPFPPSSSTPSSKLSPKFPFLTLLASGGHT QLLLSTTLTTHTILATVTNISLGDMLDKAAREILPPSLLSSLPNIAYAAALEQFAFPS PSYKYTPPPNRHSETLPSPLPSPPFEPGWSLTPPLPLNKEMTFNFSGFGGQVQELAQF YPHTKLDRVRAEESNQILSPPSLTTEQRRILARETMRLAFEHLASRVVFALRELQPVS EADKRHGTGRNDLKRLKGLLRGGQKIETLVLSGGVASNKFLRHVLRSVLDQRGWPDIK LAAPPVSLCTDNAAMIAWAGMEMFETEGVETDLGVRSIQRWSLDESLGEEGTRGVMGV DGWLKRQKM QC762_112250 MDTLVARYSRPTYTQNELFTEQEQEEFAAGSIPNLSLKFAMPPV AQPSAWLRAATDDRSNPHCPIKIAHGTTTLAFRFQGGIIVATDSRATAGNWIASQTVK KVIEINSDLLGTMAGGAADCQYWLAWLGMQCRLHELRHKRRISVAAASKILANLVYQY KGKGLSMGTMCAGVTKEEGPALYYIDSDGTRLAGNLFCVGSGQTFAYGVLDAEYRYDL TVEEALELGSRSILAATHRDAYSGGFINLYHVKESGWEKHGFTDTNPVFWKTKLEKGE FSNVTSDFSEEV QC762_112260 MAVVISSEENNYFSASTLRRSHSQPKFVTKNSGFHTSSSTSRLA DLYPESTRSYSSSSVSSTPSSPRIIRIDSSDQLRSTKSGTKFSLVSGCEEVRNAESVT SEDDIIFPQYEERGGYFGRIEVSEPAPSPQAGYSYTSSPNDDENSAATSRPGTPDISE RAEDDISLKVRPSRHVDYLSHNWREEDIWSSWKLIVSRRGDYSDSARLENASWRTWMK AKNKLSTVSPETLNWLKDCDVTWLYGPLQSGASMSNPRLKNSSSRLSKSTPQEKKKTI LKKRTMSEIMLQRSLSTSSLVKQAAAAVQAQQKGGLKRGGQRPGLERATTDFVGFPFS SRGVSHDGTSLFPSTRSSGITSPFNEKKHIHFNEQVEQCIAVEIKGDDDEDDEPVRYD SDSDDGAIMMKRSAIKKRRPTMRRAASHAGNMESKTIAMLPSTTLKYRDDTPEPTETA MKHSTGIYKNSPVSPSSSQETLRPSKKSGKLFFASDDDDDDVSDDDDDEPVRFSSKST SASTSSSLGASFGEGGSGLRRSTSTSSLSAEPVGMRRTSSGMFMPYEEGDSESSSGTG LIGRVIDTVNTARDIVHVIWNVGWRK QC762_112270 MLWTPSKFHPSELFSLVPKIYAHMKEAPFAHSTFGRMEAQSGTT SSVDAVKGQQENVEMSFLRPPVVRLGAGAALNRALFTKKVDLAAAAIQSPKVIAHYRK ALQTSQEMLKVDRISPIVSHPDKELGAQGRKCILLNPSVKAEEPTTWGPVIQEGIQKE ELTVIPYELTLEYDYWTARDTMESVLPPELHDEIPSGFNVAGHVAHLNLRDSYLSYKK VVAEIILDKNPSIKTVINKVDNVGAESEFRTFQYEVLAGEDDLNVSCTENNCSFNFDY SKVYWNSKLEYEHTRIISFFKPGEVVCDVMAGIGPFALPAGKKRVFVWANDKNPESYK CLKANIQKNKVQDFVRPFCEDGLGFIRQATDEILAASLKGEKVVITKPGPRSKSKKTD KPTTPGFVPEPLKPLITETYPLPPTISHFVMNLPASAIEFVGSFKGIYQLQESLFAPT TKTLLPLVHVHCFALKADDERPLIDICEKLTKYLGFPMKPGNIDYNLNGEGEVAIHNV RDVAPAKSMYCATFRLPAAVAFAARD QC762_112280 MPLLPLRKPLTWSLGKVVEIRALFRLKTWGNCRRDIPTSSFNNM AQKRTIHSFFTPDPKKQRIATIADEDDTPTYSSQNTYPFPIRDLSKAITKELTSLPAR PGRPINDQPELDLIYFEPFIPSYLAKDLFRFLRSELPFYRVEYSIKRFGVETQIRTPR YTTVFGLDHTSLFDDDNPSIILDARTHTRINIGEVYPRYSPRPIPQCLDALRKSTEAA TNCKFNFCLVNYYATGSDSISFHSDDERFLGPEPAIASFSLGAARDFLMKHKPVPPPP DGQTTVFKQLKLPLASGDMILMKGKTQANWLHSIPKRAGKSSQYGDGRINITFRRAMV KGGTDNYYNYNVGKGPVFRWDDTAREMKEWKPAPKASPERKPITHPKPEKDEPEKAD QC762_112290 MSLADSDEVTWLTETHLTRFAVSAGAAGIPTRSKGGVCREAAME SNFSKGDARLGEEPRLRTPPQNQTPADLSGIDDKLLYAMPFSAKDLQRYRKDEKFSHR LLTPEEKVNLLKPYLPSPPPPLDRTRRASLSQVSREERKGKLGLRRFLRRHFHIFIYA LIHLYFSIYIRLRQAYHAIGNRFYTVYHHHHHSPELIQRDIKDLSRFPKHLSVILTLE DQGRSGAGLEKLVNEAADIAAWCASAGITQLSIYEKTGILKGYVKETHQTISQRLQTY FGPSFPSVSLGAPHIPPVQSGLLSLSNSPNNENRKNINILLISAEDGRDSIVDLTKTL AEMSQRKKLQPADITTELVDAELSESVMEEPDLLVLFSPFVELAGYPPWQIRLTEIFH VPDNQGVGYQVFYRALCKFAKAQMRMGR QC762_112300 MERGGSSASRSLFPTGPSFTLEDFSNKDFIVRDFVDSLAETAVP ASRRSGPANQAFDPKPLIRTFENALSQLGALGEELQEKESELLSQVRRAEIQHDQTLE TLGRKLDQSMSQFEALDLTLNNNASSNGSIRGGGNDGGGNIAVQIGEKLEELDRKRRK AQDANFLIQCWTEVSETGQVTSLEEIQRQGGAENKIRCAVIARQLMRISQRLDPASWG QQTNGFRGNGVTNGVTGTNRRHNTREALEKFSELLEQDLLKQFNNSYRRQNFDDMMEC AKVLLDFNGGASVIAAFVNQHQFFIDRDQLITDEVTADGDTWDQLADPDSEPPGVEPS LQSLIDEVKIVMQEESFIIKRAFPYYETVLIKFIQRVFQQSIQQRLEMVLDKATTISA LAFLRCLHSSRAYIGALVEDLKTHGLTEHPEPCSAQIAQTLDQQLEELFIPYLVGNGY IDREKKSLEEMYNSLLFKFTLYHSRRKKAPTGFMASLAQQGTQLISSAKDAYMERLES SDLTPTQKRTMLRVAGIRDDSSNKNDIEVSEQDGVLSVAYAKRMIGWLAESVRRTLEM GSSSETPKDVNILLNLLLTSMGQVYVETALDAALDLATSQENTKTEPDLSYLPNIRPA VTITNLMSRFITTVLIRLAESNTTIRRSMEAQTKLAIEATERKTNAVMKSTMDVVLNY VPKLLTQQKKLDFKPKDDDLEGLVDTLQTVPCQLICSFLSQKVAVFARQAVDGHNLEM FCSELALAVHRLLFEHFKKFQVNATGGLMVTKDIAKYVSTLREWPLTREVEQIVEVLT EVGYLFIIQPEALKERSRNLASGPADGRMGGGFGGLVGGGNAGVATTAAVGKRLNKAD FKQFVLKREDAGSAGVQSVLAGL QC762_112310 MLRSTTGRHYVCWRCQTLQKNPTQRPLGRISQPCAPLSPSQPIS SRLVNTSAQTPGRLPPLPELPDKFRLRREPYIKSQLRLWEEQNPDLTPQQHDEATPPP SAILNSATRAVSDSSFRLDQTNNRTAGFDFDSSDISSLGYTSTQLEPGDLVEVSSSGW RVRLLAVCLGNFNGQNHFYTNTGKWFTSRVFRSSFVVKNFVQDPAEISAVISAIPSLS GDDLLLDELQDINAGPSRDIASGLIKKMYKFQTEARLLHQTYVERLNNAGKKLGDEDK LMSLHEIADALLPMTLKRGKASFPPEALYAVHSVVSVDDTAFRAALLGERHHEGRMFT VNSTDKQRNVHEVAEVVRNFYEILGNSSRRIPRQVAQDAMKFRDFAIKVRKIIDQNRR HRPWTPHGTIGLAKSDAVPILDVEPVEWTGLGASIIQFMEMWAAHDWFQPASQHHWVG AAILRAIGRYQDALLDRSSAWTFLQEIGHISPWDISPRYALRLPGLELDRDAGLNLQK PKSTERAEPLAPDQLEHLRQDFAVSTVYCIDSEQTLDVDDGISLEKLTDEEYWVHLHV ADPASRVRPDSAQAKAASLRAETIYLPGFQEDMFDGEAVRDAFSLGANQPSLTFSALV KTDGTLKDYRITPGILRDVVYVTPEEVTLVLDEPINKPPTPSNEVLEVGPRPARSPPS RNLVTAGDLSKKHRSELRTLHQLGQAIHKQRLSKGAQPAFTPRPKAKVALDDVKTIKT EGQIQCYNDPWIRVAYEGSTTAGDELVGPLMVLAGEVAARWCADRNIPIPYRTQVTKK ENLETLKEFTEKVINPKLLAGERIIGPDLDKLNNLRGHMALKATPGPIFSMGVDAYSK VTSPLRRYADLIAHWQIEAALLEEHRLGRSLIEEHGSDNTKVVHKFLPFSKEVLEETV LPRVRLREVHATALGNNAGNLRWILQAMLRAWKFGDNPNQLPETFKFTVVTINPKRDL EGKLDYFDLEATVGVEHVEGFALIEGFKIGEVLDVELVDINVYHKKITVKPVRRYSLP EAE QC762_112320 MKAAVVAAAAAVLAGGASAHSHRHAHKALFQKRSLNDTEVCVPT CTTIYSTIYGEETWIQPPPKSTEAPVPVPVITTTPEPEPVVVPTTTTTEPAIVPTPIP QICPTPGTYTFPATTIVVTETTTVCAASTTEVPSGTHTLGGVTTVVETATEIVCPYAT TEVENGVVTSVIKTTTFVCPSAGTYTIAPITTVVPSATTVVVPVVTTYCPGTYTAPAI VTTITETNVVVYCPFTSSEIPAPTPQAVTPKPQAAPEPAPAPAPAPEKPKAVAPPPAS TPAKGKGSVGGGKLGGKGKHWAMTYTPFRPDGHCKTYSEVDADVKIIASKNFEALRIY STDCDTLPNVGAAAEKYGLRLIIGLFVGSPGCDNGNPTIAQQISALKEWKKWHLVDLC VVGNEALFNGYCSVQQLADLIVHTKKELGSAGYTGPYTTTDVLSAFEAGDMSPVCNVI DVVSANVHAYFNYQTTPGQAGKFVRSQLDAVSKICGGKPSYCMETGWPTSGVCNGAAC AGVPQQKEAIASIEQEIGQSVVFFSFRDDPWKNPGACNCERSWGSDKAFGY QC762_112330 MAALTTSSPPRAAPSTSTSSPGRRKPVPVHSVSPANRYDWGENA KPLPGVPAVAESPRLRQSPVSPVKVNFQPNDWARPSMGNIETHPSAGGPAGGASTAPA VSARLDRALPKTPDAPTPPPHTPVHEHGLQQSSTPDALGLRNITNGTKMSMSLPASGP ALSSQASNSHILGADFDELSLSDTSRRQGMNRNSRESNTTASTSQQPNSADISATTSA SSVSGVLDPSDCQDNSADASTVSSITDDAATPPEPVPQLHYHHSAFLPRPTSMNVEQD PRAQSNPNLAETGAAINRHLTPTTNGYRRSSLPRPQSSYSVYSDYGARGRSPGLLGSG NRAPSAHSTRKSPETRPSSFAELLNVPYPQPAPAPITFDNSQLRSAVGNNASLLSAQK TLEMYRQNVKKTNDYSILYSFAVFLIATAQEEGIDFEDMKRTKSPKVGTPDGQPSPSS PHDLIREARAILQKLSNNGYPFAQYYLADGYASGLFSKGKEDYNSAFPLFVLAAKHGH AESAYRTALCYEFGWGCRKDPAKAVQFLRTAASKRHPGAMTRLGKACLSGDLGEKRYR EGIKWLKLAAEAADNIYNAAPYHLGCLYETGYGDDIFLDESYAAELFTQAADLGHPEA NFRMGDAYEHGKLGCPRDPALSVHFYTGAAERGHAAAMMGLCAWYMVGAEPVLEKDEE EAYEWARRSAELGYVKAQYAVGYFTEMGIGCRRDILEANVWYVKAADAGDERAKVRLA AIRAAVSGGTPMEVGPPRNGKIKKHADNDKECIVM QC762_112340 MSLPERPGAKPSYEERHSYRHTPSRRQRPADIEAGYVPVAGQSH HQRGPSASSFAETIQSPNTEIERTPLSPTGDAPPAPESPFQRKRSLIRPERNRIGKDH PNYHYRKHAANMATLPSSTGNDPIAEDLEGTTDLSNTGSRNEETRSDESPPRKKRNSK HHRDADAEKTTRSRRRSSGARHGKITKDSKGNKKKKHVEQMSPPSLWNIYCAIITFWC PGFVLKCFGMPAKAQQRAWREKMGLISIILFIMGVVGFLTFGFTQVVCGKPPLRLRVN EVGAGYMIFHGSAYDLASSHHPPAEGIPRRLDGNGANVLFDLPEKHGGKDGSFLFQNV NGKCKGLINPAPGSDVPVDDGNLGWYFPCTTFNQDGSSKPNTTIPYYLGYACHTTARS RDDFYLKLSGAADVYFTWDDIKNSSRNLVVYSGNVLDLDLLNWFNDTQVTYPDRFKEL RNRDSAANKAIRGRDITRAFQSSGDKRNAECFEELIKVGTVDTDTVGCIASKVVLYCS LVLILSVVLARFVLAIIFQWFISKTYGASKTSQSSDPRKRQQQIEDWTEDIYRAPPRF PGEAGSSVNGSSDRQSKRSSTFLPTTSRFSAVYSVDRSQRKSSVPTTMGVQSAAGQLL SPNAVYRHGNDSRSSFLKSDPYTSNGTPSDQGFGPGGFKEGFIHERVVAQPPSDWMPH GFPLAHAICLVTAYSEGELGIRTTLDSIAMTDYPNSHKVILVICDGIIKGKGEQYSTP EIVLRMMKDEVIPPDQVQAFSYVAVASGAKRHNMAKIYAGFYDYGADSIIPTEKQQRV PMMVVVKCGTPDEAVKSKPGNRGKRDSQIILMSFLQKVMFDERMTELEYEMFNGLWRV TGISPDFYEIVLMVDADTKVFPDSLTHMISAMVKDPDIMGLCGETKIANKRASWVSAI QVFEYFISHHLAKSFESVFGGVTCLPGCFCMYRIKAPKGAQNYWVPILANPDVVEHYS ENVVDTLHKKNLLLLGEDRYLSTLMLRTFPKRKQVFVPQAVCKTTVPDEFMVLLSQRR RWINSTIHNLMELVLVRDLCGTFCFSMQFVVFIELIGTLVLPAAIAFTFYVVIISIVN SPPQIIPLILLGLILGLPAILIVVTAHSWSYVVWMIIYLFSLPIWNFVLPTYAFWKFD DFSWGDTRKTAGEKTKKAGIEYEGEFDSSKITMKRWAEFERDRRIRANYWASSENIAG GSQQQQPLQGHPHGHGPYDDYYSDV QC762_112350 MRPRKSILGTASSPTQPRRLGSLINQTFVSLAQSTEPFQATIAF PSTSHYTSRPELTTTYAPDEADDEGEDSSYDLEEEDDGDGIYLDEEDDDEDDNNGDHS SDNYLDENLCYNDPYNFPVHNHPPQPSDVPPHPDLYLSEESDFSDGAGTPLVNHYSDV LNLLSHDMDIESASDTDAGIEYSSHEEDDGLDNSPPGSISGPEPSLQSGDDVFYDGQP PVETTMVDYMSAASFHSIPPPAPNPPMMHHAMTTWFEGDHPVALSNPNSGTLRPSNYG LADFLHNWTRQSNMLQGLVRGRAPWPDKIKEFVSAEPTCVKRDDLQGDICDFQGVDWD DMGVLRKEARERRLLTYNNYVNIPGSDKWTPDLLDVMLPQRESFFRFRRMNIRRNVNL AHFQLRYLLGSTSRSRVFYPSINSIQQLNPISGEARPIVKLSDTAGSQISTLIADHGV LVAGSFTGEYTLRHLDSNEPESKACHTGTITTHLSGITNHVQVHQARSSSSPLAAFAS NDMVFRTLDIATETWLSCENFDFPLNCTALSPDKRLRVMVGDTLNVTITAAESTLPDG KPEVLRELSGHHDFGFACDWADDGWTIATAFQDKSVKIWDARYLTDSSGASVPLCTIR MQMAGARNLRFSPVGSGKRVLVAAEEADFINIIDAQTFRSKQTLDVFSEIGGVTFANG GQDLMVLCCDRDRGGLLQLERCGLGDESVFQTEDDNGKPVRLGEHPLRHHRGGSYDWP ESMFTEDRRRKGSSTKRYRKAAAQFDIEPF QC762_112360 MSTWSGKPSVKGSTETMRMFLLTCVSIGITFTWGVEMTYCTPYL LSLGLSKGQTSLVWVAGPLSGLIVQPIIGVVADESTSKWGRRRPIIVIGSFIVSGSLL ALGFTKEIVDFFISDKDTAQLMTIVLAVLSLYSVDFSINAVMSCGRSLVVDTLPISKQ QTGAAWASRMGSLGHIIGYAMGAIDLVGIFGPTLGDTQFKQLTVIAALGMLATAFITC WAVTERILLSVRHDPRQTDVRFKVVRQICSTVLTLPPRIQAICNAVFWSWIGWFPFIV YSSTWVGETYFRYDVSADARNSDDALGDMGRIGSTALTVYSTVSFISAWVLPALIQAP EDKSFTHRPPASIAPLINTFNKYKPDLLTAWIAGNIMFACAMFITPFATSFRFATAIV ALCGIPWSVAGWAPTTFLGIEVNKLSGQPDPTARNIEMRDVSVLEMGKPDEESSSSSG GLSGVYFGILNIYVTIPQFLSTLMSGMVFAMLEPGKSPELAHEAHPSETADPTGPNAI AVTMFLGALGSLVAAVVTKKLRYV QC762_112370 MDLVNRLVFPSPPSPLLYAVLSGLVCASFFPIYIMPPPELISLN SLEGRLLFAVPKKGRLLQAALNLLEGADIQFKRENRLDIALVKNLPIALVFLPAADIP TFVGEGRVDLGITGYDQVQEHDAGVRAIARARRMSNEWSPKDEKPRGCDTILDLGFGS CKLQIQVPAKGEYQTGKDLIGKNIGTSFVHLASEYFARLELEQEGIKVDSTASYAGRK LRTKIIELSGSVEAACALGVADGIVDLVESGETMKAAGLKAIETVVETSAILIKSKAP SNPAMVDLIAARINGVITAQKYVLCQYNVPRAQLVDATKVTPGKRAPTVTSLEEDGWV AVSAMVEKKRIAPVMDDLTKVGATDILVLDIHNTRGS QC762_112380 MSDSESVDYLQPDFDPKSLTVPRLRSILVTYNVQYPSTAKKSDL IELFVDQVLPQSKKILAARARVKRMSRGIVDADSEHTSSTDFGNDYEDLPPPPASVSR RSRSPRKPTRIKRESEDPEHLPVVTPARNRASSPRKRTSRSVSAQPPSFSDAETAAGP EPPRTITRETPFKTPKAEPDQRDNFFKRTPETESVFSSDNPFQSGANTPATAIKTPSH RRKTSGFDSVRRQQFVTPSTSRRQTDGPVFGGTPATSTISRTFEIPAANYRAQTPEME AGEEFTPDEQLALMQEEAANPQLALARQPPHPKKKSSLSTPFWVLFTTLLVAYAAWYR QEKVAVGYCGLGREPTELIPSTIRIPEWASEVASRFDIEDIQVPEWVFTYIEPQCEPC PPHAYCYEDFTARCEPDFLLKPHPLSLGGVIPLPPTCEPDGEKVRRVQAVADKAVEEL RDRRAKYECGEPLEPEGQPLDSPSIDEAELKQILSQKRNKRMAADEFDDLWVAAIGEV KSRGEVEVHSDENVTGSAGGFPTTSLSSTSLAGLPLTCAIQRSAKLGLARHRLSIGGL IMSLLSILYGRRRFQKNRALAARVPALVDVVLDRLANQKELAFEDEKEDAFLFLPNLR DDLLRSMHSLADRERLWTRVRALVEQNANVRTGQRESHNGEVGRAWEWIGPSRIANGE GSARRSGRKSMRVSWGPGVKDEDEQEVVPHRKWEEGPGRPIY QC762_112390 MSFNGPEGQGRRPPPGNRPPPPPPGYGPEGFWNFIRSMTPSGTG PTSPPNTRPGNEGVNAQPPFPPFGFFGGGPEGFNFNPSWAMPHNPPPSHRRGPPGPRS GDDSGDDSDGHHHHPGRHGRHGRGGHHGLHSRSRNHSHPRREPEVEEDLYDISAAAGA AEHDLTGAYDRAREREKETEKDDDMKSTSTLTDGIDTPTTTTFEDEKEKEREHPDPPE DIPSASSGPGHRGRCRGGPGRRGRCGRGFGGGRHNSWGWGSGPNGFGAEFGRPPFAPH FGPGGGFGGPRHASGPQIDIGSAVRGLGNLGWLMGQHPLAKGLREYFSGGQSNGENNG GEEGVLFEGEETASPPADVFDSNNAWAVHVAVPGAKKRDVGVHWDKERGVLVVSGVIY RPGDEEFLKGLVTAERTVGLFEKKIKMPPAETENSDVEVDADGISAKLEDGVLFIVVP KKKKEKGTGEIKRIQVL QC762_112400 MDSSGWPVGDHGVHTTTAEDDFQQYLDMTNMNNLAEGIDYNFQG FQSSAGAHMLQVPGREQLDTPMTGSDAPMLLSPSMPAMQHQVPAITTTGGPYQSIPTT MMPPPTPSETIVNSIDAQIHFLQQQKMQAQQRQVEEHAAFFAHQQQNRMIPPTPQSLE MVPAANPFYAQRNATEPQQQHPHPHRTQHPHQQQHPQQAVDYRYQRAKDQSDMSFTPL VSPAVTPLDTHFSVESQFTVPGAYFSPLTSPALHAQTDPLAMFEQRHGPLTTSSPTDM DLDAVGGTMSIGTPGDLAKKMRKNAAKARAKAGVKQSPISKPLRKRLATTPSLNSQAL SDLMENAEQGQDHQPLPTSMMHNSSSSTTTGPTDSEDGSISPEALNDTTPIETEMPPP PLPKPRSAKPSPYIAPQNTGSAPVIALQPPRPGVASPATPASLMKLSSPSTHITVAGA SRAGSHEVVDTENIELFELPESVSNVNVPPSHANDTPTPKQAPQDAGPSGTPSLAPLP SPSLGPTVVRPSGTVSATASPQLGPGSGYGAKRTPQLLPRNSKKRGSVSSIPVSPALR PKISISPNIKPLLPGGADLEETASQLLASKSNYQRILEGNTVPGVSYPSELSTNLTSK RTSHKIAEQGRRNRINSALQEIATLLPKPPKDSEGEGSSDNKSKDKEKEKEKERERNG GAPNSKASTVEMAIEYIKQLQQQVAEANKRAEEAEKKLAETGGA QC762_112410 MSLPQPETPLNDACSVIFDHTLYTYSADAFQSLRLEPGAEWEVL PQGEKVTGGACVGSTTGNPATSAFFVVGGKGGNPEYLGLQKFTFSTGQWENVPVDTLD ISGRTGHSAVYLNSTDSILIYGGGSEGQASSQTFTVGAAAPHTIRSHESWAPPAVKPI LLPWSTHEAVMLGGNPDNKQVYLFNNQEGKWLDSKATLATPLKDASAVQAVLLGGADG SQNLLTFDMTESPNIVRRTVLYTGPGQPVAAAAPVIKRSARRRARSVARQQRRRSEPL TLNNWPAYNSSLAPTTTRTNFALAKDIDGMVVIAGGNSEDPVAMFDTTTNTWQNATEM LGQVRLLSLDDEESSTTLSSTTATSTTATLSTSTSSTLATITSDAAAAATATPTETDA PVADGSGSNVNMILGAVLGSVFGLALILGLIYFCLRRRTRQQAHTEAGHIRRSSGASS SEKDGIGYAKDSLAFGQGPAGVFRGHQSQGSKSSFSSMAILMGRASESKPRLPGIGRK GSKSSNGSKRDSGDSVFRAFKSTISKPILPEAQSPQPMRDEKGVSFITETAEPRPRNL TTTDKRESTRRSSGWNRYWSGGSALNMLGFGSSNSNTKNNSQRTTLHSERSSKYSDQQ NRMTQDSVTVPRLQIYEPRLSFSRVNSHSPTIATYNNTKFNEGMSAQIETGRPISAVS DLSMSAYSSGIPESVHEAWDPTVSNNRPWGMERQNSSNTGIYATALAPASAAKPPAEP APLRKQPSAVRDDMSWLNLG QC762_112420 MAPPTGPRGGSNNRKPTARASRGGVAKHRAATRTDRDGDVSMGA PISGSNPPTGPSGRGTRGRGAARGAPARGSRTSSRLAQNLKNYIGEGGSKHAKTTLKI LGVKNSKAASNSDGGVKGLLQFIERKADKDKKIVLGRGVLDGDYVWVKANKDDVSSIM HLNGYQYAGAPITIEETTEPFPTGTKFSKDAADTRQKLLALLAKRYNAEQKLLDLSAL GTDDILGNLGAVGSQSLAEKSFRAIIHVAGEQFKTAEEKRQGIQSVSLARNEISDVDQ VFTLAYSLPHLRRLDLSNNRLATFSSIAKWKQEFRYLEELYLVGNPVTGVADYAVQIT QWFPCLQILDGNIVRSPQEAAEALKAMTPQPLAQLPSNLRDGENNVASIFLRSFFQLY DHDRPALAAQFYDAESVASLSATPEPGRDVEWKPYMKYSRNIQKLGGSRNPAVVQRLF TGASLIAEMWKSLPATRHATLDQPELWIIDCHTFPHLADPSGHGFAMGLMINVHGQFE EADTTEELYGTRTFSRSFILGPSKPGAPHPYRVLSDQLTIHKWTLRAAGAASVPVTTG APAAPIAPVAPVVAAVPVIDDATRVQLIQELARRTGMNAQYSELCLSGTANWNFDLAL QSFEEQRANLPPAAFTAPA QC762_112430 MDDEFDETFDFDDDLIALTQVAEAEAAAAANSTAHRPQESAVRT PGNPPSVPAADRVNTAAAISEFDDLPSDAFDSSPQPPNNQKRPSQVPPPKATPISHGQ GQSFRQTTLWGGTARQGSIRPSHVTKSRPFRADLPPEIPTHHELNDCELSTWVYPLNL GPIRDYQFTIVNSALFNNTLVALPTGLGKTFIAATVMLNFYRWTKRGKIVFVAPTKPL ASQQVKACLDVAGIPRSEATLLTGETAPALREAEWETKRLFFMTPQTLQNDLSKGYAD PKSVVLLVVDEAHRATGDYAYVKVIEFLRRFSQSFRVLALTATPGSSVEGVQNVIDNL GISHIEIRTEESLDIRQYVHSRDTNTITLDPSDEMMEVRGLFSKALQPLVDKLSAQNI YFGRDPMSLTTYGLMKSRSDWLAGAGRHANQGLKFAMFAVFSILQSLAHSIKLLNFHG IKPFYHNLLEFRNSEEERGGKGSSMRRQVINDESFKKMMIMIEKWMKLDGFSGHPKLD CLCETLVHHFMDVGEGSSTRVIVFSEYRDSAEEIVRVLNSKPLISATVFVGQADSKRS EGMKQKQQIETIEKFKNGQYNVLVATSIGEEGLDIGQVDLIVCYDASSSPIRMLQRMG RTGRKRAGKIVLLLMKGKEQEKFLEAKDNYARMQQLICDGDSFNFRHDLSTRIIPRDI KPEVDKRMVEIPIENTQDPSLPEPKKAGARKKASKKKFNMPDGVETGFVKASDFFGKA AAKKTAPPKPVEPQETDEIAEPPPLGKVVLSKAQIEELDRRYRSLPGNANAEVGPIDF GAHSQRFLRPTSLVRHGKKTKRFVKLMKKLGDSKDPFEKFTAPYGDTDMSNWGDLPVP IFASDTDASEEEDSAGTRTGGNRGKKRKVLAARPDEESEEEDGDGLEAASPPARKRSA GGKKAAKRKGRVARKLDEIGDDCMRTSDMEITDGSDDGADLEDFVVDDDADLFGSSAN QKSTKSRTPGSANKQPSVEEKPFFEPMDFTATQDSDEEMPDITELLKSSVKQTKHSMA ATAFGTEGKEDLSPEPTTSRKKKRRIFVADSDDDE QC762_112440 MSSNPRRTPMTRPDSRGLSLKTNTLQKGATFHSPTSPTSTTENV FRPPSLPRRSQSNLDDVIDSHRRRAALTLDEFDRTLAGLSISDSPFSAAARKILREDS PPIPRGILNHTLDTVIAKQKQVERKVLRPRTRRTSRHHDSDSGLGTSIASTNEKIAAK EQTVAKTTAVTRSAAATRTTTTTTTQVLGQRANNRICEHTLKPLLGKPEFKDFHPLLL ECPKKIQDKEIVCLRDLEKTLLLVAPERTKSAGLYLDFCLTTIQCIQATVEYLSDREQ TRPRDVPYNSGYFIDLVDQIRHYAQQLSEAKEKGDNDEMDVDPTDEIKLHGGIHINGR PAELVRIKKNGKMISMATGEPIESIEEESSGAVRIKRSASEELEDEEEIMRSMARRKK NATPEELAPKKCREHGCNKEFKRPCDLTKHEKTHSRPWKCPVTTCKYHEYGWPTEKEM DRHHNDKHSAAPPMFECYYKPCPYKSKRESNCKQHMEKAHGWTYVRTKTNGKKPGSSI AGGSTHPTPQLGHISTPSSDMSAGVATPPDDWSHIYSSGLEFPTYMPDSDFGMIPQEL HLEYSPVDNPTPSTDSGMDHSSAYQDISTDFTLYEDIYSANVQLPTPMHANIYDKPME PQFTPFTGAELCPLPAQLSPIGQANAMLFTPTSMVDEGFDDQHELAAMTNMASGGDFI LFPNQAGVSKPMYNDSLFATDLPLQGMGTGYSQPSTQDLMNGFHVDWSAHDLSAYLPQ QC762_112450 MTPTTSNDLITPLRAASRNLAREWGFLRPKIAGSDLSAAAVHAL IELGNGRSLSVDELAAELRVSTGQVRGVVVPELVGRGLVRSEGEGGSYGLTEEGKETL GRINGFAQRQVAKALEDVGVGNGVTARDITSVFRIWTQALERAREVGENFPTPAVTPG QEESPFGLPGTGAVAGAGVEPAVAKRTVEILSGYQPGILGRVVEMHMEYYYPKYNWGR EFETVFTEGMLDLLKRVGNGKGNQAWAAVLKQSGGKDRIVGTVFIDGEITAKEGVAKL RAFIVDEEARGLGAGKRLLRAAMDFIMEEGFRQCELTTSKELMVARKMYETEGFKPMG EYWYGGWLEGVCSMEYLWERPTGKHTPSEDGTLKGKEV QC762_112460 MSTSAPAAVRAARAKFNQADVKVGDQSSLYKLIMTPIIFTTFLI SLFLVDTRNSALRRHYHASDSESRMPEWLHRIVYRYKRYEYVAVDENGKPFPISNLQT PAVSPGQEKEDFYHSKQKKLMKMEVAEAFEIRSIVVVLLGVLGVAFLWGSWKAASWII GGLWTLASSR QC762_112470 MRPWEGHIRICQSLTAARAAGLTTVVRRTERGEGVHQKANRQFH CQENPPSQSMFAIAARRSLSVFSQFSPKRTLPRLIHNMSATPVYTDKAAPVAGPYSQA IKTPTTIYCSGQIPCDAQGNLVEGTIQEKTGACIANIKAVLEEAGSSIGKVVKVNVFL TDMANFAVSTRSFRSSSDSVC QC762_112480 MATTAHQGTLRQRSVAGSKKNKDGASSDVELDKLVKAANQRPKA VSENDHRIAFFIITILAFITRFWGISHPNEVVFDEVHFGKFASYYLEKTYFFDVHPPF GKLLFAFVGWLVGFDGHFHFENIGDSYIANKVPYVAFRSLPALLGALTVSVVYLIMWE SGYSLPACILAAGLVLLDNAHIGQTRLILLDATLVFAMACSLLCYIKFYKLRHEPFSR KWWKWLILTGFALSCDISTKYVGLFAFITIGSAVVIELWDLLDIKRPGGALTLAQFGK HFAARAFGLIFLPFLFYLFWFQVHFAILTRSGPGDDFMTPEFQETLSDNIMLSNAITI DYYDTISIKHKETKAYLHSHPERYPLRYDDGRVSSQGQQVTGYPFNDTNNYWQILPAG ADDKQLNRHIKNHDLIRLRHVVTDTILLSHDVASPYFPTNQEFTTVSLADAYGDRAAD TLFEVRIEHGKPNQEWKTISGHFKLIHNPSKVAMWTHTKPLPEWAFKQQEINGNKQIA PSSNVWLVEDISSLPADHPRRAKVAKKVKTLPFLRKWFELQRSMFWHNNQLTASHPYA SLPYSWPFLLRGVSFWTQNDTRQQIYFLGNPIGWWIASSVLAIYAGIILADQFSLRRG MDALDHRSRSRLYNSTGFFFLAWATHYFPFYVMGRQLFLHHYLPAHLASALVTGALVE FIFSQDAVEHEVAHQAAKAGMKNQTPKHHLTARERFAGQSLLGSWVATGVILTLVAAG WYFFLPLTYGYPGLTVEQVIRRKWLGYDLHFAK QC762_112490 MDLFRTLPVRSISVVSLTTAYLASSGKLPIWPQWSLVPSFLALW SLQFSFWLIWVLFLYNSLFSPFQDLPTPDGKHWLFGHFPIIKKFPTGKPMIEWVNTLP NDGLIRYFGLFNQERLLPTNPKVLTELLTTKNYDFQKPSSWRWLIGRMLGVGLLLAEG DEHKVQRRNLNPAFHFRHIKNLYPIFWSKSKEGVEALTKKVLSEKKSNGPSGPKDQEG SRTAVVEVGNWASRIALDIIGVTGLGRDFGAISDPGNELNQTYQNLFSPSKQSQTLGM LNLIFPARLVQLLPVQRNADILEAARYIRNVCHDLIRAKKEKQERKESLGDDILSTAI ESGAFSDDNLVDQLMTFLAAGHETTASAMTWAIYLLSKNPEIQSRLRAEVRSRLPSLA DDSSQEITSVDIDSMTYLNAVCSEVLRYFPPAPVTIRVAACDTSIQGRHIPKGTQFMI IPWAINKSEALWGPDAREFNPDRWVPKDETDKSAASGGATSNYAFLTFLHGPRSCIGQ QFAKAEFACMLATWVGRFEMELEKKEEEDEEKISIKSTLTARPEKGLFVRLKVLDGW QC762_112500 MLLPSALACDSSQPPLTRLQASLLASPPASPPQISTQTAVGNIF STCRSLHNLLASPAPLAPQPPATIEPVTPPTTTHAQLPTSPLAHAPAPLKLRLRSRKN DTPSSSSATDPTTAPPRKRIAKRTPVHPSRGPNKRRRAVDDDLGREEDTSSDVEPEAE EPEEDPTPQTPKRSRIAPEVIPLGLERGDYHSLHHSGLYVDNNPTTSEGTDIVTEGDG SKWSAEDDRILVELVLEKLKLSKSEWQDCARSLGKDRSSVGKRWKSLMVNGDVGLKRT GLRRGRIHGTWR QC762_112507 MGQVYEPLSTGRTPLPSMHPPRCTAGPSLLRNSDSYTRGAGPQA AETTRPVNKAVTGLERRETEGFSFQPPPGAYHQPQRSQEMGTRQAETYMTGPQRDFQP GRTSSHPVPVPSNNWPTSFSPEEIRQVQTIYSITFGATYTGNIGSFYHRPVPQQLITA SIRSSASPGMPPSNTFGPQHHQNVGTASRLLPPIFSTGYGHRSSDKNEKMTLEDERLW NLPHSTWLHNHDVSVIRQFKGDLLPLSQFWDAFNLYCSQNNIQHAHRATNRFVDQKWH LDIKERDARQAERKKEHE QC762_112510 MAWTATEIPGSERLHELIGVGAAVLVTVLSTPAVTRSWREKGIW GGSGYTPINANDEYQDLDGVATEESIRAFSDTRPRIAVGLAIFAGIGALIASNVLIPP TLSDWVFWAEVVCWSLLALQAILLPPKHLYLSKFRLTVYGLASSLVIAVLVVVLHGFE ALALILESDSDTKYRAVSFLYLVQFSASVGAFLGFASFSRRPDVYDDQGLVDQQHTRS LLDLFSFSWNRVIFDVAKERQIKLEDIPNLDFATKSRNLQARFIKTRREKLPLWKQLI YAYSKELALQWLLTLVVALLALFPQVVLYNFLKRIENRQKDTATDPTIFIWVIGLLLS QLLQVGVNNWIRWITTSRLEIPVGSLLQSLVFFKALKQYETAPPAQKEDKTDSKKDGK AGEPNGVNDASKPGKKANAKEKEPETRQNIINHMKLDSNRVTIFCMYNNNFPMAIFKL IFAGGFCISLMGWLPVVSGLLAACFVIPISSRLSTKYTALHFGLMKYRDGKAHLLTEA LQGMRQIRFSALEQHWEDKILKSRNEELKQYWRVAVWQCLVVFIINLGPIMLASVTYF LYVWQNGTNIKASVIFTALGLFDQLDEAVALLPLLQTYLLEAWTSAVRLEKFFSQSDK EPVSKPGDSILFKDATVAWPRIEDTEKIDDEDAAEERGAHSMLRNINLEFPLGQLTLV SGKTGAGKSLLLAAILGEVKLLNGVIRMPVLPPFDHDAKAIPESEWIIPELTSFVSQT PWIEGGTVRENVIFGLPFVEDRYRKVLVACALEKDIELLIDGDQTEVGPKGVTLSGGQ RWRTALARALYSRAGILILDDVLSAVDAHVGRLIVDKALTGKLARGRTRILATHHAEL VLPHASFHIQLHNGEVLSVEHLTPSEDSSALTALSEQDVPSTDDSLVNGQPPLATKSK PKEDEESRETGRVKTKVYKAYFKASGGLLPWIGGVCILLLGHFLSVFRAWSLKELAQT ASEEPEALRFTVQTTSKNAFHFAASSSSEEREGWHWGYGIYFWLSVWLVIDFSTLLVQ IIRVLAFFVIGMKASRVLFQDMTHAILRAPLRWIDTVPAGRILNRFTSDMFIVDRRLS NQAFTLIRTVLFLLVIIATSLSVSVYVIFFGILLFILYVRVSMAYIDAAREVKRINSV SHSPIYDQFSSVLSGLSTIRAFDRTEFYMKRMFTLIDNSSKASWALQLSGRWMAVRMG VLGALFVAVVANAVAVSDTNAALAGFSLAFALRYTNALTSVLQALTSVELGFNACERV LEYAEIETEPEGGKDVAAAWPTEGRIEVQDLTVKYADDLPPVLKKLNFSVGAGERVGI VGRTGAGKSTLAAVFFRLLVPVEGSVYIDNVDISTLKLSQLRSRLAIIPQDPFLFSGT LRSNLDMEGLLEDHDLLLALQRVHLIEHVEDHDRPAVYPPAGASVIMGSSTIPLADSE TETEASTAVEGEPTVIEPESELNLPADNANIFTNLSTPISTGGANLSQGQRQLVCLAR SLLKRPKIVILDEATSAIDRGTDSNIQESLRKEFAAAGCTVLVIAHRLSTVADFDRLL VMDKGRVAEFGSARELLEAGMRRVIEEPKQQGDSRVDDDTAEDDEEANGTGAFWELVQ KSAEKEKLLEMVFGSDKDRLMKEIFGNTNGV QC762_112520 MTDKGQFQSGHEIPVTHQDFPGKEAKMPYPTPLFDEIPTSDGKN QKYRAAGKLKGKNAIITGGDSGIGRATAILFAMEGANSLIAYLPEEEDDAQETKKRVE QYGQQCHLISTDLRDRKNCQKVVDEAVKLFNGQIDILFNNAAYQMMVSDIKDLSEDQW IHTFDTNIHPYFYLAKYSLPHMKPGSTIINNASINAYIGRPDLLDYTSTKGAIISLTR GLSNQKVGQGIRVNAVAPGPVWTPLIPSTMNDDAQKQFTSPMGRPAQPSEIATCVVFL ASTDSSAISGQTIHCNGGTVLNG QC762_112525 MARENLKLTLFTYPREYAHSEKSCHSNLGTLVEFDIPKDGNGKE GQNEVGYGVDDAVGDGHARDDVFVDAWQPLFVRLQEYMVCLIEFVTYQLPPDGSRT QC762_112530 MGTFSTYRVLTATVLLLGLVFPLLTSGEGGFTHDCAYAGANLTD KHHWIGVYCLNDDVDIYGFNYSMLDLDHCAGNNAGQLVVYENGNYSGSCENCTFIHDK TLHLSCLCWDMNGGHTNSTLDLNTTLYDSNGAVGCYSVLGNKTWEPAPDS QC762_112540 MRGNKETTMAPQYSDANVQQKNEINKAKQTSKHTSHFWDGDEDM NGAVSSSAPAPASSFQAFVKFDELANKLREELSAPFERFDKIVQELQKDLGISFKTAM PSSSDCQAVSTSKETTVPVPSFPSKNLKQEAPAMARQTPVPFPTIPGIDLGNNLPHHH EVHSQLYPLLPSHGPVPSHKPGLPHTDSKPIHSNPSSPRHRARTRTEQAIKVTSRTKT SPFFSTSPPPSQELVQERQEVQQQETPLINRPPTPNRPLRKPPPGVVSSLPIPPLGAP KFGLIQEELAHSPFHLLVAVTFLIKTAGKIAIPVFWELVRRFPTPEELAKEENKNEVV ELIKPLGLSEHRYAIIQKYARGFVENPPVRERRYGVRNYPSVEDGRNVTAGEVFGAED FDNGNFNGDGGGGLDVMDMVKDRRERAIGQAWELGHLTQGAYTLDSWRIFCRDELLGR AENWTGKGGRGEGFQPEWMRVLPKDKELRACLRWMWMREGWEWDPVTGDREPLREELR RAVDEGRVGYDDTGSLVILNSESSAGAMQQAPS QC762_112550 MLARSCVRPMRAFASARNGAVQITKRAASSSSGSTAESPLRLNI AAAAATAVAAGSVGWYYHLYGNSHAMTPAEEGLHPTKYPWVHNQWFKTFDHQALRRGF QVYREVCASCHSLSRVPYRSLVGTILTVDEAKALAEENEYDTEPNDQGEIEKRPGKLS DYLPSPYPNDEAARFANNGALPPDLSLMVKARHGGCDYIFNLLTGYPDEPPAGAQVGA GLNFNPYFPGTGIAMARVLYDGLVEYEDETPATTSQMAKDVTEFLNWAAEPEMDDRKR MGMKVLAITSVLFAMSVWVKRYKWAWLKSRKIVYDPPKEGKTNIRR QC762_112560 MSGFYIENKNVGNKAESEDWRIRGYNPLTPPDLLQHEIPQTVES KRTVLEGREEAVAIVNNTDEKKRLLVVIGPCSIHDPKAALEYCDMLLKEKEKHKDELL IVMRSYLEKPRTTVGWKGLINDPDIDNSFKINKGLRLSRQLFVDLTTKGMPLASEMLD TISPQFLADLLSVGAVGARTTESQLHRELASGLSFPVGFKNGTDGSLGVAVDAIGAVK HPHHFLSVTKPGVVAIVGTVGNEDCFVILRGGTKGTNYDAKSIAEAKAALEKAGLRQR LMVDCSHGNSLKNHKNQPLVAATLAEQIEKGEEGVMGVMIESNIGEGNQKVPKEGKEG LKYGVSITDACIGWEETVSVLDVLANAVKKRREVLSQKSA QC762_112570 MAFNFNRASCSPARNRYGMANQPHPLQRLSSPSRSFSALVHAIG LLSYSCSFWCLQQFPTEIHFGFGGDFQLLTIIGLALATATFAFGLLADLTLSPRLFGI KNVLSVCSTPLEVLISILYWSISAIDKSLLFPPEFALPFLPDFGFHAMPAVMLTMDLI LLSPPWTIRVYSAMALSTCLAFLYWGWVEYCFEQNGWYPYPMFEQLKTWQRVILFSVS AGLMTTSTLALKWLYGKINGIEQFKKEALHPIKTD QC762_112580 MADNTNDEDLKSNKRSHAEFTENDGSDSSSDDDMGPQLPSASAP KKKRRVLPYEKLYISALPKSTRYSKSLMHKEQLAFLTMTPLTEFLITSSVDGVVKFWK KVADGIEFVKEFKAHQGEIRSVSTSADGRSFATAGPDKTVKLFDVMTFDLLAVIQLEY VPRCVCWVHKKGASLPLLAISDDSQKPGIHIYDGRGENLTPIHTITGLHRSPVSLMAF NDHYDCVISADEGGMIEYWQPGGSYQKPDNVFEYKSSTNLFDFKKAKSIPTSLTLSPD GSRFATISFPDRKIRLFDFASAKLQRTYDESLQVIEEMQQAGTAIQKLDPVEFGRRLA TEREIESPALRDKFHLIFDESGHFLLYGSYLGVKVLNTFTNKVVKVYGREEHYRPLAL ALYQGQPQKKGVTTVAMAASSNPLLQESETRDPILITTGVGKVRFYMFTNDEEFSKST RDVQNEKPTILGAKKTEQKKVAETGTSAVIHTTYGDIHIRLFPDAAPKAVENFVTHSK RGYYNNTIFHRVIRKFMIQGGDPLGDGTGGESIWGREFEDEFSTLKHDKPYTVSMANA GPNTNGSQFFITTEKTPWLDNKHTIFGRAVQGLDVIHKIENVKTYKEKPAEDIKIVNI DIS QC762_112590 MNRPRSSNSDEADRPNLNNLNANIRDEKTPRSTAPTNNDNTTTT VVASNSNKIPYGQYQIDIYFQALTAGKKPVTTTDPNKLEQQAREAMSPQGFNYVFGGA GEQATMHANRLAFRQWKVIPRMLRDTLPRNLSVKLFGKTYDSPILMAPIGVQSAYHPD AETGVAKACAALNVPFIYSTASSTPLEEIVAALEDTGGPSPPSPETEEPAPPAPADDP ATAASASASAEGKDPGPARPPTSSASTLVPDPKPSRWFQLYWPVDDDITASLLSRARV AGCEVLVVTLDTFIMAWRPLDLDTGFLPFAVGEGNALGFSDSVFRQKFSDKFSTGENE AQVEDNIIAASRYWAGEVFSGHAHKWEDLATLRKLWGDRPIVLKGVLSVEDAVLAARS GVDGIIVSNHGGRQLDGAVPSLEMLPEIVDAVGDRLTVMFDSGIRTGVDVLKALALGA KAVLVGRPVIYGLGIAGTEGAKHVLASLLADVDQSMGLLGVQTVGELNRSMLRKISYG GDVKTSL QC762_112600 MAPGFNFPSISQESSRASSESRLREPSHRDMTDLESTYRHSTVR VGNDVDFFAAELDRYNTDLRGRSNSEKREQIFKLLDSYYNYARNRVDRLQASQVGGRN RGAVWQRPGSVDMDVDEVEEGHFSVSAEEVRRAEEEAQTWDLLRRILPFRYQDSELAE EKNGRNPVPQSRREWWEEFLITDSVARERKIVLEWLQNSATHGPPVDVVVSELQHNAE RGDILAHGWLHTRHKIKLQKSVNGYQGVLDPNAATSQSHLGSNILVTQLDPDAVTRQG RKLEPQDEFFERAIWLGCFEMLRRGYSMSEIRDWCAERTELWRAATIAPLPLSNPQDE EQFDFEPGSLVLWRRMCYAAAHDGGTSEYDRAVYGLLAGDLESVDKVCKSWDDKLFAH YNALLRTQFDIFLMKKCGKDLVEIAARFPAFNAVVYHGEPATVAKRLVASLENDPKTS NEALGTSKSLQAAIVANDLGRYLFHQGVVLSKKANARAKSKLIPEINFPISEHINEKK YVGLGDYDGLRTLAHVLIIVNTLDRLSDSKQESGHKAIRHAQENILTSYVSYLRLANL EELVPLYCSKLHEDRLYEVLGRNLIHIVGDEPREHQLIIMTKLGVDIKEFGRKLPLIY LNDVNDKSVRCDVKGHFKILEEGPATLKYGRIVRPDFIGDDSNDMEGESEELIRTLEW LIMVPGLFLETCTYAIRVYKYFLKRADLRAARALSVRVSGRYIAMEKLTFITFVDQNQ DDVHGWFDEVANHDFSEAFLQECGVSRDRLLNVVRNLWELECLVRALDSMETLASMAG LSRDPSHPPAREMWTQAVGDVRNVKNCMQPVLNNWLMVSNEVDNDFQDLREAYIPETV SAYISTLHFAGGAVSRDNFMECMDLAALIADKDSNIAQEFIKSGRMRELLESFAACSK ALAVSTAESKGKSGKKHRELGWSRELWSIKP QC762_112610 MALERDLQTDPPCHPRALTGITDCLTRNGFDEAKCTRYVDALYE CCQAFYKKNGDEATTVSCPKASLLRLKMEQREKAKQ QC762_112620 MADAAENGSPGQGPDVPQGGSEHLNIKVTDNNNEVFFKIKRSTK LEKLMTAFCERQGKTIQSVRFLFEGQRVQPSDTPDTLEMQDGDTLEVHQEQVGGGGL QC762_112630 MFAHNKPRERGSPRKVTPPSPSYMSNEQFAAYLADLRNNRINRP GGARPLPTSPTKQRDVAGLPPSRPSMGVMPASEASSLRHHHHNDSQQQGPPSEVCGIG PSASLPSMSASISSRFSAGTRGRDYYPNRPVQPLKPSDVVPSATYIERGQRWMEKEEA FSLRQAMEDMTVKDEEVNEYGAPVAEDEDEKRLYNAALDEAAELVWQHQNPGKVPQPG TPYRYKSHLRKDSYSHARTASVGMYGNDVAPTGLARNSASASVSGSSSEGEDGPASIR SRSSFTSAHPVDSGRGSLDSLRGGSAEARNAKTYNGVAAPAGPRPAGRRRSSLKRNIS GEVQKPFSGDQIWEEPDNQEAERAEDSFPQDGKAQALQSKPKNPLNRVQFAPEAQSVA VTSPPSPQKRINRFEIHRNPPTQSRNPAYTTNSRPQAPVVREDVLRKHGIEVRSDEIR QATTMKLKDRSPALPTPSAVSDVPGRPIVSFDKNWKPHEEATDDKSDSTRSGRGIEAQ HPVCLVPGRILSKQSKEAQQQAPPIPSISVPDDSPTPSPPTRRSPFRRPQPAPPTIQV DGPAVPSISVSDTSSPAAPPVPSIVIAPDDADDGPSIPVIVTPDDSTSTNNSGGSRRP LPTPQPGAPRVRQAAARPRGHWTPDPRPVGSRATARCHECGHFIEGRFVSLAGNSERF HPQCFTCFSCGTSLEALEISPEPDHYRAQRLERISRRAAGEILPEKPGETEAEDGDER LRFFCHLDWHELFAPRCKHCKTPIMGEHVVALGSHWHFGHFFCAECGDPFEKGMTHIE KDGYAWCVSCQTKRTERRAPKCRACRKAVIGQYIRALGGEWHDECFRCASCHGGFDDG QIFPQEGRGAPGETVVLCTRCMEAELKA QC762_112640 MIPSSTVPGRLPGTSAPETGLVDVEGTMRSGKEEITGESDSSEV KDFKEGGYGWMVVFSVALVNAHTWGLNSSYAVFLAYYLRSGDIAGSSPLAFAFVGGLS ISISLLVSPLVTWCIGRFGTIPTFRIGVVFEAISFVGASFSTHIYHLILSQGVCFGIG LGFCFTATVGVVPQWFVKRRSFANAVATSGSGFGGLTYSLATNAMITNLGLPWAFRIL AIVAFVVNGGCSLILRDRNKAVGAKHVPFHLDLFKLPEYWLFLGWGFFSLMSYVIVVF SITDYAQQVGFSASQGSLAAAIFNLSQGIGRPLIGLASDHVGRLNVAGLGTLTAGVVA FFLWVFAGKSYPGLIIYALFGAFAGIIWPCVAPVGAEVVGLSLLPAALSIYWLVLVLP ATFAEVIGLMLRTSGVDGYLNVQIFTGVMYIASFVSIWLLRSWKLQQMEILGTMDDPL AVEAPNAVQSGAKASKNGRPRSYIMNMFVFRHV QC762_112650 MRVVQPIRYGPGSVVPRQQALRRNFGTTVRLQSYADTLPNLRIG AHTRVMFQGFTGKQATANAKDSIAWGTNIVGGVRPGRIGEHLGLPVLPTVQSAMKELK PDATAIYVAAHQAPGAIEEAIEAEVPLVVAVAEHIPLHDMLRIHSILKTQSKSRLVGP NSPGIISAVGKCRIGFQPLPCFSPGRIGIIAKSGTLSYETVASTTRAGLGQSLCIGVG GDIVPGTDLREALTVLENDSDTEAIALIGEIGGLGELDAAEWIRDYHSRTQTPKPIVG LIAGIHEPRGRIMGHAGAFTIVGEPDAKEKIEALVSAGVTMVTHPGQFGEAFKARLGG STHGVNSSAGRGKLGNQRRQIHTAVGRPQTRTRFLAKPCTQQRRHLTLSEDDSMDLLR EAGLNCGHYSGLGTRRFLAIGVDRSTKSPCILAAPTVDDDQIEKMVKRYPFDYRHGPD ELAIERVASHLHISLKESAHESLRRLVHRLSDIFYEKEAYLMETEIVERLGEIKVVDA RFGFDDAAYRSCGRQTELQKLRNTAVEDASELEAEKSGIMYIKLEGSGTIGTLVNGAG LAMNTVDALGGHATNFLDTGGKATSETVKHGFEVILKDPRVRVIFVNIFGGLTLGDMI ANGIIMAFKELSPRVPVVVRIRGTNEKEGQKLIEESGLPLYAFDDFEAAKAKAIELSS A QC762_112660 MSTFGSPGALPNTKPTPPQRGSFPLDHDGECKDVMMSYLSCIKK VKGVNQDECRQLAKSYLGCRMDHNLMAKDDFKNLGFKEDKTPSQAGTNTNGVKGELQW QC762_112670 MAALGDDLLATVNKLQDLVFNTIGNDSLDLPQIVVVGSQSAGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINVPSEDEAEDPLAASYRNPNQALRNEWAE FHHIPNRRFTDFGDVKREIENETARVAGSNKGINRQPINLKIYSPHVLNLTLVDLPGL TKVPIGDQPTDIEKQTRNLISEYIAKPNSIILAVSPANVDIVNSEALKLARHVDALGR RTIGVLTKVDLMDHGTNALDILSGRVYPLKLGWIGVVNRSQQDIQGNKPMEEALKSEM EFFRHHPAYRNIATRCGTQFLAKTLNTTLMAHIRDRLPDIKARLNTLMGQTQQELASY GDMHFSGKEHRGSLILTQMTRFATSFISSIDGTSTEISTKELCGGARIYYIFNSVFGS SLESIDPTSNLSAHDIRTAIRNSTGPRPSLFVPEMAFDLLVKPQIKLLESPSQRCVEL VYEELIKICHTCGSNELSRFPRLQAKLIEVVSDLLRERLGPASTYVESLISIQRAYIN TNHPNFLGAAAAMSHVVSNKQERERKRLIQEERERRERRRLKELGANGADTPAEEEED KGTPEKESVAIRKAAAKNVRSLSPAVRESASGGLAAALNGGRSDSPARLNGQGLGNAK DSFLNYFFGKDGAIVPGAPPPHSQMGRHINQMSEPSFSQSMRRQEEKPMRSPMMPLRS DDNLDFTSKTTETADGSSDPAMTDREAMEAELIRALISSYFNIVRESIADQVPKAIMH LLVNHCKDVVQNRLVSELYKESMFEELLYEDDAVKKEREKCEKLLQTYREAAKIIGEV V QC762_112680 MASDGLHGAGHPMASRGPELPNAEAPVLTRKRKSSGLEAKSDAS TEVSSQETNKKVKVDNDCAERKSVAVSIPVDRSALPPEIWHRIFTFCPPRSLGNLLSV NKLFNLYLDPSSKVSKDAPASSSSGAVAQIKANSIWQVSRRLFWPYMPSPLRSKTELE MWRLACSHRCHYCGKLDPRKQTTMLDPHLRGPGENGVATIWPFETCMCAVCLLKHTIK ELDLDLSPTIPSSVVPALSFVYLTSDLQVLPATTFEQGQLPVETQVTKRFLSSEVQAL ERELLEVREMGPGTVTEWLKGLPARGSGIRQEVLKWEKWESLGGLAKMCSQFYPGYVK DVVSTLPASTTHAPSSDSSSSMLPNQPSSAATRQQFPHIRHERTAAEVAELKAARRAE IERRALLLEPPLCPNVLRHIPSFQAAMQIPHPPFDDKAWEVLKPRLLAQRADAEQRER ENAAAIQAKQDSHLETTLASTKEARDLIDKAWEDQQGPLRERIAGYADEIIRDGWNNG KVTKETCARFAVEALGYVRKRFYAEIAKDVDAARSAGQTPPVDPLEGPFTQKLTLENM KWIFDTKIKPITERYHKELFYCNGCEGITKTFGFEGVIQHFAAKHTSALSSGNIVVYW RAEWPEHPPFAAEIRQVRHQPFFAPTQPVFPATGPPSFPVGHSYPPAPLAPNHLPTYP PGPYGYGNSAYNDPYQPPPPPYPLQPHQIVPSYPSQSGYEHHQSYPAPSDPYPPYQPP VGQYPPGPASATDPSHHYPQQHPQGNPYDHGYLPYPVAHPYLPSATPHPDMHRAKLED IARNSREVWQELGNIRGLPGSVRVFVTIHHLVKRFHSKFYEPPALAIFIEGLSNNKDM RPVRNVNDLICKACHLGLGNAATVEQDRKSFSLPQLTNHFQSKHVKPLQSAHAPPMDW TLDMIFLPELAPISNLHSAMSEVQKRLIVEALPGIFEQQGPKLVPADVYYGQPASSSS VYPAAQEVHSALRTKSPQVSSAGAYGNTAPSYSHSGNLSNDVPAITTPTAASEKASGH PSEGGGHASQGSRPANRRQNGLQNGKKASGKNKRKRNQDGNPSGGRRAGRQFRRHESS TRRRSTSDNPDTSSSNRVDRAPVSITSFGGSSGQDRTTVGKDATDLLAALESHLTQAP HGPVYHKNGTAPAAGETLHNVAQPHARQSGDEDQHRYFEPSTRQRISVDKRVEQQSSY HSRIEVERPDHRYPTTSDAIRPSGYAAPEERYYTRYDRPSSVLPAEPERGSGLRLLRE ESDYPRYRDGPRRPINPADEIVEIVHVIEGERSYYIERPVRREPQRIVPHREPVDRFA EGGGYEAGYASAARPARSASEMNMARRFSMAPEGRRRTDGGVTVNNSAYLEEYDPRFP AA QC762_112690 MYTLNFGMRLLAAGAALCGVMGVSGLSAAEWRKQSIYQVVTDRF ARTDLSTTAPCDTTHQVYCGGTWRGLISKLDYIQDMGFTAVWISPVVKQIDGNSRDGS SYHGYWAKDIWALNPAFGNEDDLKALSAALHARGMYLMVDIVTNHMAHMGCANCVDYG ALNPFSSSSYYHPPCWINYNSQTSVEQCWQGSDTVSLPDLRTSDPNVRRIWNEWISHL VSTYSIDGLRVDSAKHVETSFWSGFNAAAGVYLTGEVYHGDALYVAPYQNYMDGVLDY PSYYYILRAFQSTSGSISALVAGLDTIKGVAKDLSLWGSFLENHDVERFASFTKDMAL AKNGIAVTMLKDGIPIIYQGQEQHYAGTGTPNNREAVWYSGYSTSSELYQWITKLNQI RSHAISQDSKYLTYKAHTIYSDSRTIVLRKGFTGAQVIGVYTNVGSSSSVPVTLVSSA TGFTPNQALIDVMSCTPYTTDGGGGITVTLNGGVSRVLYPASRLAGSGVCPSLTGPPT ATSTTAAPTATPTANPSCSLVAVDITFNHLVSTSFGDSVKVTGNVAALGNWNPTNGVA LNASQYTNNNPLWTGTLKLAPGTNIQYKFVKVSSSGAASWESDPNRSYTVPCAAASVG SSWK QC762_112700 MTPTPPSTTPSSNGRSPDGQFRVVRKRNRVPLSCYPCRQRKSHP CSNCVKREGSDANACSYAAPTSRKKGQNQGEPTPDDMQNRIDRLEGLVLSLMHGGANI EISPASVANRSSSDPASNATPSTVDNNSATFKVDTHLEDAMQDEDESDIEDVAKSLGV LKVDPTKSKHIYLGEEHWHTILLDITEVKNYFASHRKELENSYEQVKRSKPSTAMAPP ALLMGAIPATEVELRAELPPKSTVLTLCGRYFNSMDNAVNIIHAPTFHQQLRNHWQDP SKTPIMWLGLLYSILCLAMLSYHKVGDEPPEWRGRALELADEYRLRTVQCLIAGDYTK PAEYTVETMILYAFCEYSSRWDADLGLWLIISLVTRVALRMGYHRDGKWFPTTITPFQ AEMRRRTWALVRTTDIFFSHQVSLSSMINDHDCDTEMPHNIFDEEFGPDTKVLPPSRP SSEPTPISYMIVKIRLCLELGNILQITGRVKNQVHYDEILRHDSKLRDIKAELPPHLK LQPLEGSHDPLTLIIARFNIDILHLKIICLLHRKYLPRARHNLRYAHSRRSAIEASLE TLRHLATLHRESQPNGRLQSIKWYVTSVATKDFLLPAMLIALDLHFDNESQRSGERQS SHSLYFWTREQREEMIRSLEQTIEIWKGLVDTSIEAVKASNVLEVMLAKIKSFVRPGS VGASPPEAVMRNDFFGSVDSGVSQPEHSAAVTLGMLSSGNPPSGNLSSAFDTVQSPGG TTYSALDLGLKSDAGAASDFANASLLDGVQSPLSMFNSMAHSGMDFGSNFDWDSFENY TQTANFGGETYTFFSGNADTLQQQPDGSLFYGNPD QC762_112710 MLGTVWRMFGLPEPLELLRSPHRTLHTDSHAFASLGLPVTRSNI SQYNNMSTIREITSLSNWEHHVTSLPPSTLLVVSFHAPWAAPCAQMATVLSTLASEYP VTEPPSTSWVSINAEDLSDISETYNVTAVPFLVLIRNGQVLETVSGSSAVKVRNAIEA HAAKAGAPVLNGAATATDGHDGEVATEEDPEKKKEELFKRLGDLVKAAPVMLFMKGTP SEPKCGFSRQLVAILRENAVKYGFFNILADDEVRQGLKEFADWPTYPQLWVDGELVGG LDIVKEELSNDADFFKAYSIKSNGETAAAS QC762_112720 MSAAEYYQQDPPQQSYASPHPPPQAYPQYPQPGLHHNKGTIHRR GRCSISNRHPRRRAAVAVVVLRAV QC762_112730 MSSLNLSTNGVAIKNSYQGVINGTLSSTSPTAARWALFTVQAPL LNAFQNTGSKESVLKVETTGEGELADLIEDFNEGRIQFAFVRVKDPNSGLPKNVFIAW CGGGVPERTKGYFTSHVAAVSKVLTGYHVQITARSDADLEPEAIVQKVADASGAKYSA GSAPASATAAAPPPVAKKPVFTPTTSTSGTSFNPLVAARNRRQDNTDDDGWGADAPPV SRTQIEKVAPAYKPTKVDIAGLRKNPDESRHSTPSQSDDQARDIVKGGYQPVGRVDVA ALRAQAKQDDRPAVVRGAYEPVGKVDIAAIRAKTQRPVEASEEPSAPKSLAERSAAFA QSERLTELPKPKVAKKFGSTPFTGTKAPTPSGFGAASVPAPPPVGAASRTFADQGGKT PAQLWAEKKAKQSGSISSASPGPAPEITAQKSGSEWKSGYAGKSWAPVSTTNFGRGGL EKHATGGSGTERSQPEAEPETVAPSPGGIAALRDRFKDQPPIGVSSRSAPAEEEAAPP PPPQASRPAGGFALPGLPSRPAPAEEEEEEEQQEPPVPSRNYEERDPSPVRIAVPVAR SSVPDIEPPVEALPPRPVPVPEEIPREEDLPAEEDAYDPRAAAATVAAVASDTTRPGG AAPQSNTDGGLRAVVLFDYEKAEDNELELRENEIISNIDKVDPDWWMGTDAQGRSGLF PCNYVEILEADVAAPVPSAPAPAPAPAPGPSHASAPDSKSEYGVGSGPTATAQFDYEA AEDNELSFPEGATITNLDFPDEDWWFGHYKGASGLFPANYVELDQKP QC762_112740 MYSHTPPAPPPKPGNHDTSGMSTPVLVGPSSHTPRPPPPLPEAV TAGGLHSADVGAAALNGALVQAQDIPDPGDQWLPKFLQDKSKQDLAEILSDPARLSAL THSPQTVHPSLESSHEALQVALSENIERAAQLLELEARLAHQRSTTQSQLLSTHALER QWRAKQADMDHALAPFAPASLYQRLSQGVQEQEGVCYALEESFLEGEGDGALATEREV GDWIRRYREAKKLYYLRQERKERWDEGRVGGWR QC762_112750 MTTAARSVAAVTATDVESLDVVETPTSPSSGLALSRFEFETGKG NEGTKVLMVEWDASFGGREQRQQETRPEEAEKGIADATDWEVSWEGKKSALAVHDIVG DVGGGGSANGGTRRIYFLLPTGAAVPALVSITRKGSSGGPVLRTMPMPAIFPAELTSK QEAGLRGVLHTIWAKKRLAELQAEIEVEMRTNGESVGLEMAVQERQWIVDHFGLGPDH GVPKPTRLHIPQTAAGPASPRSPIGGKLGEKLRGLKLATSPAELAAASQASKDTQHRL HSSLATASDGTGPAGRSIAMGSAGAGVASLDAVLGNNLPQSSSAVGKAGTEDATEEDL FALPMSPRSPEMKRSPFSIL QC762_112760 MDPLHITEFGSERYLEKVQQSQAQTQANASQGQGAVSTLLQSPF ILPIRESGATGSDVGAKSNEQKRPEKKGFSSWRNRFTKPPAIPPSSICEQPERRPSLP ESTTKQPLPFDHLFAALPNELQVEIIASLPLSDVLNLRLASKSLHALVSLNEVPITRY HLDYHIPAYAKRLYPLPQGRSLNFHYLCGIWHRLHVAAKLSHLMCQLIIREQLLLNTE EKRRQYAPQTERMRRRLIPILFTVFHFFETYRKLHLKYMAEHDGFGLSKTPYTVNPIE AEIMNMYDDRTLLRVHEAFPLVIWAFCRRLRPPSYVGRVERSLRGYLKERPPDEVHVA VLCLGGMREVLRLWEVKGYNARRAAVDAWYESILHEQPVEPEPKRRRGMLGLKRKKSS LNMGKTNGHGHEAQHGANHVSSARGKQPDSLVFHTSLAAGMPMAALSKDESKLLYPDL PVLQRIWLVTAEAMILDRKIVERPAHIHRNAQVFTDLISESGIDEEDQWLYGTTAPES VRPNLDAIEEDPDE QC762_112770 MAPPSGATLSSRTATTSKVTPVPLPKPGSMSSRAPMEKSAPAVP TAPTTTASFGVLATSDSNVPDASSGPHRDGRIRNPVPSKLKGKTDGSKGNFSVMHIDV AGRTEATERDAEAKRTSESTELAAKRAYENGYVSLRRSRFIHIPDEPEPAAKPIVLPQ APVTAAAHRTRQTPLTPEETKAEQARLLTLLRSLHPVLVVDQICKALAFFGGIPGGPP PGDGFPQSAESNGSGSLFVGWIAEIFPRLGGNTAGQQPVIPAIRDSDPPPLVSTRRKR GRPKGSKGTRPRIDKGIKKGPLMKAVSGTAESQQHTNAADESWVDVEDDAVDEVDANV MLLAQSSTPQPVPQLQQSGVAHERPLLATSTPVRTTLPTAPTTSSSTIDLTPSARKRG RPKGSKNRPKDGSSDIPARAQTGDPLYSQRPDAVGTAARASSPRPQASQPAQASSTPR VFEHSTSSRPFTPVNAGIPSTATKKVGRSKALEDKQRPTSQRSHIPPGITTDHGARAA TLSASGAASGVTTRVEPETAPGGALPGAAMDTVTGTTQKNLAQTGNASTSGPRTESTN KEPATLSQGLDNTLGSFATTDFVYSAPPDGLSSTSSHRSRPRPSQTSRQTENPQGQTL ALPTPPSASPALPNTAPGSLGQKRKRTAKTGGSNHVVQSGASNQASPQMNGPALPTPP ANVGSAHSTATSVLQPPVAKRPRRGKGPKETTAVANQDPAAANIELSTTGAMVGLRSD SGPHSALSSSAAAALSLTTMTESRDMASDTNETSVPSVHSPHQNHYEVQSPTMENYEA QLQAQIEQQAEMESQTVSHQTRVDPPQYRSARQPRQQQQQQQSTSASTPQRRSPNTQP QVSNPQAGLSLATQLQTRMTGQGQYPQYLPPNSQYNQSQHSKQSQSSSQSLSSSQHQQ HHQQQQQQQQQQQSSHFLGQQKLQGQIVQGSPAQQYSVNSTQQQQRPSNQSSYTNKQQ QQQQQQLSSQQRYQQQHLTTTVGSTNSYNTQPPSQFAGSATNNYTATTDGTYRASSTS LGTSTYVQRSQSTTPSTAASFRSSGTHGLPHHSPSFNTGSGAAQQRAGSASHATNQGV QGMSGSMQAFSGNTGGSWELFDTGHIDASGQPSSLGLTNTYGINTTNARTSGNSSTFG AAGLGTYDTSGLPYNERYHGVGRR QC762_112780 MAPAQYILVSLPLRVFDDDPLKSLAATVGRDNGEVLPYPVPSFK IGTLDALVQHADDLAKLNGACEAAVAKVADSLRGILDGDEDLVAQQKIVNDKPTDQYL RSFQWNKLRYRADRPLVELIENLQNDLQNSDNDVKAKFNQYNTVKTNLAALERKQTGN LVTKSLTPIVDPKLLVQDSEYMETHLIVVPTNARKDFIRSYETLAPMVVPRSSIQVAQ DDEFTLFAVTTFKKTSAEFLQKCREHKWTPRQYKYVEGGKEEEQRELQRVEKEARKVR AEALLLGRTGWGESVMIWAHVMTLRVFVETVLRYGLPLEFASALIRTTPKQAKKVKTA LDSAYSYLGGNAFGRDKHGQVTKDDASLTSEMAAAGLSVGEGNEYTAYVYYEFDLP QC762_112790 MATNITWHPSLSRHERNQLRGQRGFTVWFTGLSASGKSTVATAL EQHLLHIGLAAYRLDGDNVRFGLNKDLGFSEKDRNENIRRIAEVAKLFADSSTIALTS FISPYRADRQIARDLHANASQSGDDALPFIEVFVDIPLEEAEKRDPKGLYKKARAGEI KDFTGISAPYEAPENPEITIRTDQLSVEESVRKIVEYLAEKGLISQTTETR QC762_112800 MSSRLLSATRRHTSTLRLVSTPRSRHCILTPPSRAVSTTTDSAS ASVAADKPPFFRRTASVTGRILLFTALGFIMAAAPAYESARTILSPPDDAASLTMYTP EDDDAKAKEDYINSHPLVASLRADPDMIESRPHMKIPETWRKHNLTGGTLMGPGKVTV PPFSWSERSGKSYVQISHVGTDLCGHEGIIHGGFLATMLDEGLARCSFPVLPFNVGMT AKLEINYKAPAMANQYLVLRATTVNAEGRKAWVEGHIETLPTEEGQQPTVLATASALF ISPRQASTMAKIYPVT QC762_0011580 MKTVAEVLKPCSASFTPALLPAGADCWSHPSLPGSTLSVCPLAC DIDASKVDPSKAILASRRAVPGRQVAKPGFR QC762_112810 MATAETVELGATHEPKEESLRVFEQIEHELKKTLVHIRHEHDKH EPEYFAATEHLSDAELAGFTLDDFQQVRVAVSAYGIHIFGKVRIPALPDDGPAYIHFR AFTGGPDDEAKLHSIHTEDKEEPDGGHTFRAIFTKNDPLEWFDT QC762_112820 MGIKRTKDKKKAAKPTFDEAALAQLTSKIDKTLGGSEKQETTKR KRQRDSDDAPNSKRRQTKPHEQQKQGGRSDKRTVLLDEILALGGDKEDLELVANLESD NEDGDAPKSKPAAADSSLDDALRQELAKFASSLGFQKLRDDEEDPNTDDSEPEDEDVK GGKAELDTEEEGEGEDEQQQEDQEEEEEEAELDEDQEGQAEEPVAEKVKEVVDQRQEA RQGKKSGKLIFEPRPDWHGLSMEGLPSTVSGNAKPHFASIANLKTYAQALLDEDSAAF NKKQSSSSTQRFMSTIMSSGTLSDKVSALTLSIQESPLHTCKAFENLIALAGKKSRGQ AIAALGALVDLLGNGSVLPSDRRLRVFGAQPALLCALQGQGSSPWTQSSKLPGKLTQS HLMMWAYEDWLKDAYFRIIQLLETWCSDEIEYSRSRALDFVFGLLKSKPEQEANLLRL LVNKLGDRERKIASRASYLILQLLNLHPGMKAVVIKTVELEVLLKPGQSMRTRYYAVN TLNQTILSTKEPAIADNLLRIYFESFLSLLKTGSLGDMGGLDSEKKVDHNRKKDKKWK GPSTTVTGNEQETAQKLVSSLLTGVNRAIPFAGADDSTLEKHLDTLFRITHSSNFNTS VQALMLIQQLASSKLLAVDRFYRTLYESLLDPRLITSSKQALYLNLLYRAMKNDVDVR RVKAFVKRLVQVLSLHQAPFACGILFLIAELQSNFPDLHTLLDEPEDNEDDEDEVYKD VRDDEPHTQAPVQEGETTTLRRSGAYDGRKRDPEHSNAHRSCLWELVPFLSHYHPSVG VFATNLLSRQDKKLPKPDLANHTLMHFLDRFVYRNPKTEDLKRGGSIMQPVLASGSAS HIVASYKAGAKQAKTVNSASFWNLKPEQVSAEDVFFHEYFARIGKPAEGAKKKEEVKV AADSDDEEAEDEIWEALVNSRPEVEGDDADEVSDIDMEGYEDSDEDMDVDATIDQDME DLGSDVSDDDGFEGIFGDSEESEDDDVEGEDDKAQGPKEEAPKKFSEARRRKKELKAL PTFASVDDYAEMLADEQDGLED QC762_112830 MIPPALARLSRVWFPTKKRPASGVENGHAKLIRAGFLRPSHAGI FHMLPLGRRVQTKLEELVARHMEHMLAASRVSLSAVSSQSLWGKSGRLENTASELFKF SDRKNVGYLLAPTHEEEITALVAQTAKTAKDLPLRLFQITPKYRDEFRPRHGLLRGRE FVMKDLYTFDSSKESALETYDNVRLVYSQIFEEMKLPVLAAKASSGNMGGNLSHEYHL PTPLGEDHVISCDSCNYVANEEVAESVLSDQVVSDTTFRVWRGITKDRTKLVNVWYPK QTQPLDGGALREYTDLDINIAEVKSIIPDLDAGVEDALPLWSTAAAAGGTAVELVNIV DCRLPLSFSESLVGTKPTIPSWPKNLTPRNQVPVSVSVQNTNQWNKDRPLNLIRIQTG DKCPSCASGHLKAQKAMELGHTFYLGTRYSEALGATILVPNDERKTVPMQMGCYGIGI SRIIGAVAELLMDEKGLNWPVAIAPYSCVIVMGVGVDEQDAVEVYRQINQIGRVQAKY LDVVLDDRQKTLPWKLMDADLAGFPIIITLGREWLAAKRLEVQCRRLGVKQAVEITEL PQIIAKLHGDL QC762_114290 MPDKTLTVATYAAGASFAAITLVYVFGPTFFFDAGPSASTNRNR GAVGLSNPANDCFINSVLQALAGLGDLRIYLIRETHRRSLDDELVYAQVVPVGLLPGD AQHFRGDMPHWKMEGFQKGLVTKGLKDILDALNERPLYKKTITAMPFLRVLEEAFRQR ISRRQQDAQEFLQVIAERLCNEYHAGRRARASARRSCALGDAALELAQTAPDKDSTQG LAIQVNDIEKPQGQSEVVNDGLGEGQEEGFPMEGQSESQIECLTCGFKPRPTATTFCT LTLNVPQVSSATTLEACFDGMFKTEYIDDFKCEKCRLMHAILLLEADLQRSTSEVARE NIRAAIDKLQSALKTDPEDPPNDVVLPDLSHAPKRRIARHIRLTSFPKILAIHLSRSI FDASHYSQKNSAKVVFPEKLPLGGLLNPKKYRLLGVVTHKGSHDSGHYETFRRQVMQP PFSNPSTFKPAEVYSKTVSPAPTPHIRAQRPDGGEENSLMSTPDLLSPSGGDSLTPPL DPWKHSAPAPQTSILPDGDKPASPLGSPQKDVLSVSRGRESESTSLRSVAANAKSTFS KITSRPSAGTESNSKSDVMDTSTAKSLTTAKPRRRKVQDRWWRISDDKVKEASTRDVL SMQREVYLLFYEMERA QC762_114280 METPPQQAQAGAGGGWGAFLKSIASFNGDLSSLTAPPFILSSTS LTEFSSYWCEHPSVFAAPAKESDPAKRALLVLKWFLTTLKQQYASRSEQYGNEKKPLN PFLGELFLGKWEDGAGTTELISEQVSHHPPATAYNITNATTGVRLEGYNAQKASFSKT INVKQIGHAVLTVPSSSGEPDTYLITLPSLHIEGLVFGSPFIELDGSSYITSSTGFTA KVDYSGKGWLSGKKNSLTAVLYPTGKEKDVLFNVSGQWTKTFEIHSGPAKHNSAGNLV ELWDPAQNPTSNLIVAPIDEQHPLESRRAWNKVAMAIAKGEFDVVHVEKSKIESAQRE MRIKEKAEGRTWERRYFTASADSPDLVLTRLGPIVNLAEHGDADKTGGLWRFDSQKSA QAHSTPVPTGDEAARLAKELLGQ QC762_114270 MDMDDKTPRDQGVVPPPPPPVSECPPRLLVIGAGSRGRAYGRAV ISSSNGVLSAVAEPDDYKRNKFGTTMIWGSTLPPPEGASFRDWREFMAYETERRARAE AGEKDVPLGIDAAFVCVLDEMHRDVVVALSKLGGIHIMCEKPMATTLDHCLDMYKALQ DNVDATGQQTVFSIGHVLRYSPHNKLLRKLLLEDRVIGDILSVVHTEPVGWWHFTHSY VRGNWRRERTSAPSLLTKSCHDIDVLLWLLCSPPDCSSRGCPPPHLPSTVSSTGSLQY FKKNRKPLAAGAATNCLSCPIEQSCKYSAKRIYVGPELVGVGTGNRGWPLSIVIPDIE SYGTGQDAEAAVLANLAEDYDDNTTNAEVAQRNWFGRCVYESDNDVCDEQIVTISWDE DPRPSSPLSSQDGSSGSTAPTAPLADNLRGRGSKLATFHMVAQTKEVCERYTRLYGVD GEIFADSKTITVHNFNTGQSITHNTQVESLGHGGGDAGLTRQFVMAVDMVKNHGWSTD RAQRELIGCTLDEVIRSHAMVFCAEKARKERKVVDWAEWWSKEVESKLDSE QC762_114260 MSDVLTHLTYELTVTDHFTMICQMTGLDPDNDLILEIYCLITDG QLNLLDDEGWGTIVHQSKARMDAMDDWCTRVHGGSGLTDAVLRSTVTPEQAADGLLAY IQQYVPDRNTALLAGNSVHADRAFLRKAPYDKVLEHLHYRILDVSSIKEAARRWCPKI ASKAPRKKGLHKAKDDILESIEEAKYFKAAIFHGTWSS QC762_114250 MRAVVIGHTCGMALGLPASRHAAEEVAVLFRCDAIAIPNGTVGR VPLGNCCHGPHTPRQCPPQPNKCSSFVPNQSLTPSSSISYNAPLLVPIVFSPPTPLYS CRATESRRHLESVKMQVKSVEFKPFTDQKPGTSGLRKKVTVFQQPHYSEAFVTSILLS IPEGVEGSYLVIGGDGRFWNPEVIQVIAKIGAAYGVKKLLIGQNGILSTPAASHVIRK RKATGGILLTASHNPGGPKNDFGIKYNLANGGPAPESVTNKIFEVSKTLTSYKIADIP DIDISTIGTQSYGDLEVEVVDSTADYVEMLKDIFDFDLIKKFFNTHPDFKVLFDGLSG VTGPYGKAIFQQELGLGAESIQNCEPSPDFNGGHPDPNLTYAHSLVEVVEKNSIPFGA ASDGDGDRNMIYGAGAFVSPGDSLAIIAHHANLIPYFKNNGVYGLARSMPTSGAVDLV AKKQGLNCYEVPTGWKFFCALFDANKLSICGEESFGTGSNHIREKDGLWAIVAWLNII AGLGVANPGVAPSIKQIQKDFWAEYGRTFFTRYDYEDVDSDGANKVVGVLKDLVADPN FVGSKVGDRTVTEAGNFSYTDLDGSVSSNQGLYACFSSGSRIIVRLSGTGSSGATIRL YIEQHSSDPATYDMDAQEFLKPEIKMATELLKFKEFVGRDEPNVKT QC762_0011670 MSLTHQSQSRTWLSRRSKHRVWLKDVQLYVFCSAYRQENMRQNK SGAFEIYFDREEGAKRFREVFALRMANVESSEEVDNDDAGPS QC762_0011680 MELETAVNLNIPTEAHLLRGCCREADRCEIVAMGLDQLRIALPE SFHGHLIALTGEIRSSCRLLRDLADRSQVHFSRVPIVANYLNVVLPCLSRTLKDIIGY YEEKSISREMRWRKMYNKMTEEASGLPLPQRFVLYNHFLSLLKQLLTRSPSFELNTLE TLRTRILKLREQRGIAPPPMQIGTLVRQELMPLAIMQDANAHWAEQIFSLPLPSRTAL KHLRPSKSYGPFYPWGHFTIPPESKILFRRPFDEDRISIVVYLNSVDQSPYLLMRTMQ GDIPWFSLFGAHELCINREGSALQLKRWSRSEQCSKLWAALYFLTWEGKLLMTASNTP SLCTKTYKHEAYASMQRYGKGS QC762_114230 MPKAAVKSKAEPKVKRGRGKKDPNAPKRGLSAYMFFANEQRENV REENPGVSFGQVGKILGERWKALSDKQRAPYEAKAAADKKRYEDEKQAYNADGDEEES S QC762_114220 MAAINATTSVPELSQAEAGVLELYDKVQQLQLQLAVLRAQERYT QGKRSPDGRTRLLEAKASLSLRDRVIESVVAVQPTLKAVHHATHASPVERDLLTHIEQ RDHAAKRTAEHCSALHSAMEKLAKVKVEYLETKQRNVELASETLDLAADNVGQEPNNV RNTQLKMELDTFETQLRATRGKWRVMKGTASAIVAGSGLDWVRDERLRELVLDIDD QC762_114210 MASQVLIAHTGQRLHLDASQASSLDNLKATVALNLSIPAQYIIA LTPQGRPLKPQATHTEKEIYIYDSRLALGSSPGTPPPARSELPMPKEYRFSDAPDLIE DSRSIHAWQELYKARQLWAFRVVEDCRQMATAADDRYSEIDVMLRCLDAAVTNLESVI RGLEPKYAELTRWIPTARADYAALTTGWEEYLSLARSIPVSSAMVRFMTGQEVSGTKA RLQRQATLEDLIDLETTRKAGRLAPAALRKFNNRVADLDKAATRLFQDAEDLFREFER TMARSTMDHSRDAHQLLQDIEAVAKKIDTDYQTTLEYTSSTRDALSQISKIAVNHTER LLPSMAKRAVELSNMLQYATQARNTLAAESTEFMRSIADITSLSSSVKAQINGVNQED ELSTFDHLRLVQQSPYMYASFVVEAIRRREWLEKVKQDSSTLANEMALFQEEEIKRRK KWYKSIANTYGPQTPTSESNVPGLEVNLLGEEESWPSMTRGDLEEFFALLQIPKADPE IVNDVGKLVAELNNPTRQQSRRMKAFKNGSVHEAALGRSGLLIRGDDDLLRTLQNEKA KLEGKLKTAESRVRRLEDLLHRQTQASRPNIGNLFQAPSQQLPDRNDSTISVRSPRIT DDRRGSLEGADVLAQRIQQLESDLAAEKERSAGLERELNVQVAQHNNIKGQLSEVNST KKDLLENMEAQKREFVEERKSFQEEIRQLQLRLEHTEDEIEHYGESREHEKTSYDERI RLLECEVLEKQDALLKFEGQIEVLRKETGLQRERLEAQERQLQHAQDERQDLVTKVEV TSEEAGHHVKTLHSLWGLLAPDASIPVDPTKLSEAIVGKINDVLSRLQRLDGDMSLLR LDLDSSQSAAKIAQAERASLEARFDTLRKAVSEERAKVAELEGKLADSRSQLQQLRSK LADGETGTESLRKQLEQQEKKIMVITEELASRTSQVGSMEEGARLLKEKLKESQVRLS ELGAWFESRTEQAKEITQRLYAQNECLIRLLERLGFSVTRQDGNMTIQKVPRAERSTQ STSDLDPSMSLRHSSNLNLRPIADSADLKLLYWMDSKNRQSESARYTAYLESLGYFDM DAFCETVIRRVRDIENIARKWRGYRDKAHALQKDAHNKIAFKHFKEGDLALFLPTKNQ ATGAWAAFNVGCPHYFLREQESHRLDNREWIVARISRIQDRVVDLSKSLQHQPGDRRR GLSTDAELSKDDNDNPFGLSDGLRWYLIDAEEDKPGAPNTPGLAKSTVTVAANKVEAM ADMHTHGRSGSKSGGLVGRGAAPSGIEGVSKTLSKSLESRRSSTGSRKALPFAIGVSR GRDSAVASETNSLRAAPADTPVATSPIQQHAVPQVTTQAVDARQTIGADGEEGSSTEA ASAQQPRQSLSEVRNPLDSLIGP QC762_114200 MAGPVVSRSPACLWCMRRLAQPFLSPNGPVNSLPLVQTRAKSTH LVPSDKGVVVRLLANIPRFGRKDAIFRVERGRMRNEWFPRKLAEYMTVARFKELGLSP KNDVGERDPTFVDMKVLEQLPAAKPPAPVAEPQQAAKPVKKTIKPERVRELLERLVPK TITFYRIPIPAPPSNTATISPLVAVAVAQETPQGPLAIYGSVSTKDIASSIKSCISAD EDGTQINIEPAHVTVLGLKDEINKIKELGRFEVKVSIGNSDLEPITRSVEVLPSEEKT QEPQPASKKTAV QC762_114190 MMETGHRQARALGPCERYSSSRHALGFYKCVINTCRYTVPTSGV QGQSVYEVFERALASVILDIPSLRVGIKDEETSSPYFVFLSAINLHFHLEYHEVSKDL DVELIQKLEHQHDQYFPDISSRPPWKVIIVSTPHPANGVLAFDVIFAVHHSIADGRST AAFHTKLLNELACPSVRPIQLSGHVLSLPPSQQLSPPLEEVVAFKQSWGFVLGTLFRE LGPAWLSRPSKAIPWTGKLITPEPFQTKLRLIVVPAEVAPSVLAICRQHGTTLTPLLH SLVLASIAKLVPAKDAQAFCSSTPIDLRPYISDHPPSGKPGALFGVLVTTQAHHFESH EIHGDRDEDDIWKTAVSLRGRMKKHLETVPKDDIISMLSWVTDWRKYWLSKIGKPRET TWEVSNIGPIHGIPGGSHLQPGWQIRRSIMSQGATVAGAAIGVNVAGVAGGDICISLS WQEGIVETDLVEGVVLDLQRWLGQLGRRKKLAWK QC762_114180 MDRDKDKPDHRFAQTTRAIAPLTITSASKRRSLFSRPNLNDDGT RPAGADAPAESRVGSPNNTRIPRLAHHRGKFTMEDAYRLATEEEEAAARGSPSPAPRT WRSRRESSEKNTSKLPGVGALGTQHRRTMTSKSTDAVGEDRVGLSMGLGARSIRSNIS DSSFDEKIRQHALAQGDPEAPISHSNSASSKAGLGTRILETGRGLVRRSSRGSPEGNA SPRNPKTTTAGNRFSGLLSRKKRELSSSTQTPDLADWIRFSDGSAGDLARPASNPLLR PTSAPPDQESPERSFAWEAENDFTAGDLQVSESPAVSLGRSNTKIDEIRALEAEHSDK DPESNPNSWKNLRIDEIRNREVETASKPPELTAAPREVVEQTASQDRDLTTRSRSRSN TSTKMDEIRSREIERVSRRAITTAQLGKIRERNAELTSRSPSPDMGRKPSAEPLHSFS PPDEQRWRRGSDVANSASQVREPSARQNSVSAALNSYDEDGPVIDDHDRQQRLSENVG IRRRGSRGRDESRDVLRRLSAAAITNQLTDLPASGISEGVSGCERPRQSSGSLRQMMF AGAKGDGKPTVGFVGLRRNDSMESNLTKRSSFILSESDPTERIEGEMNLFAPHENQSE RGSLRALSPEPEEVTPDKTPKPSKLDPLTMPTPRVTGAFVETPATVKVEKREEDDIKP QPDKHDELPAERGRRGESVPRQPKQTQSSRGDRMSRRSSSISVRRRARSLSRGRPLIN SGKPPTVRDDILEIQRANQIDDSTLDDIADLILKQGAKGHGSEAIEIKTDDGGDDEKD AGDQDAQRLRRMSRSLQNGIMNIRTAKQGIQRLEDQVAHGNLKSAADDATETEHTHSK HDVDAPCPICQGNEPTATTTLTYVHLPLPRLWHHQPRFKLTFLGLCVFLLSLWYIAES CMCHLYCKPPYCGPGEPCNWDIEYPSWGFTIPLKLDEWVTGGQGKHLVQEWTPEVTEW MIGLWDTAMSTDPESTVATRWSRSANRRRRRRVLRQGGLNH QC762_0011750 MDTNCHADLRNYQDSFLDPLEDGCGERNQDLPASKLDAAKLLAK DFIPELPTTTTIISTSPSKVTSKSLTVETRPSSSVQEGDIPDPTTTSTVDRNNQPDST STSNSIAASSAQTSIPSPEPSPEPIPPPLTDTSPFTNNNFVSSGSQARPPFRMLELSL VAAAVWIGF QC762_0011760 MAPTKPKKKSTKDKARDRLRAKAAAAQSSNVNPRELLVQAIGML EVGDAEGAARVARTAYDHIGDNGRQAGAALSLLGQIHVELGEVNSARQFFLSAVKVDE DGSLPEDLGGGAEKFLWLAQLSEEGGQDSVGWFERGAAALRAHIQTLTDALESRPLTR DEQETAINEKRRKLADTLCAVAEVYMTDLSWEDDAESRCEALITEAIMLAPEQPDTWQ TVANVRISQSRTEEAKEALKRSLGLWTDLPPEDPGVPAFPSRVSLVRLLIEVGLEEQA IEVAGRLVDEDDLSVEAWYLGGYGKYMLGQKLKEVGQTGDADKWKRVWRSSRKWLIQC MRIFEAEEYEDERLGEHARELMGVIRDELGEALGDDLDEDVWEDTDDEDDVDTDMQ QC762_0011770 MERGLLNARGFIRIWLFRGQHNSLGNQRLAPTLSIVLPAEICHV DLGNGAECICQLSSFLLCKPKKLFGTATQIFRKRTIFIHLDGRQEELASTVNLSQLDM YLTQKGQRGASLSSVVSDVIIRCPGDSGGALRIADLEHADGLHEKLSGVDI QC762_114160 MGREDQIEEREVLDSIFPDEITDISETEYRILIALDIPDDKEEP PIMLLTVRYPEEYPDKAPFLELSASQNAIPHPHLNIAEDKEQLLRGLDATIEENLGIA MVFTLVSTLKEAAEQLVLDRKAAIVKAHEEAVLAAEREENKKFQGTPVTRETFLKWRE SFLKEMEEGRIREEEERLAELKKARIKEPTKLTGKQLWERGLAAAGQDEADDDTVIEE IQKLEVGEN QC762_114150 MAPKFSEPSVKRKVHHNDGSRAKKAKTTTGPKHKKVEPKASESD GATFSDSDDGGAALKQGKTANGQAAGKALEKGQTSREAHAKQKQLAQERKAAKPLADE VQRTKKLWERLRRKSHVPKEERQQLVDELFSIITGRIKDFVLKHDAVRAVQTAIKYST PVQRKQIAKELQGAYAQLAESRYAKFLIGKLLVQNDTEIRDIIIPEFYGKIRKLINHS EASWILDDIYRGAATKEQKAHMLREWYGPEFALFKSGNKGEVTADLSKILAEEPSKRS TVLKYLCDMTNNLIQKKMTGFTMLHDAMLQYFLNLKPDSEELKEFVEVVKGDENGDLL KNMAFTKSGARLACLLLAHGSAKDRKQILKTYKDTFQLMSGDPHGHMILLAAYDLIDD TVLTSKSIFPEILGKAEDLDPGNITFMANDPNARIVSLYLFEGQSKSLFPSSHADDLE LLSELHEIRKTTCKKDAEVRRKELVASMSPQMLAAVAAFGKELAATSFGCQFIADILL SSIGDKTAALEAVAATAAGEPNPALPEDADPPYPPPPHLSLTPHGGKLYKTLIAGGRF DRETGTVKRIEPPLNFADILYPVIKDHIMQWAVGPSSFTVLGLLEAPDFSSKKELLKL LKLEKKTLERAATAAETTAEGVKPKLKNKDNGSKSKSGGNQGARLLLEKLQA QC762_114140 MISLPETTSFDSSSQVCASASGYLWYICTFTTPWYAGCCDINPC HQQPVGCPLTAQGEPVTSTISTFTSPSSREATATITTTADISSQITLPASIFPATQED NQEDSHGMTISINALVGVVVGCTIAVIFVALVTCMWWSQRRRERDEKRQQAQRLASPR LVDEELVPPGLEGVFNPMASDGPGSIFDRAEGRMSKISHESGSFPILPPLRDSYLSGS TPRKLATSPLSPNTPNTSSTTPKSAELDSSPMCELSSSDPPKHGKLPCPQQEDKPRAD QPTAGDAEQYSARTRVQHRCK QC762_114130 MSDQKHAPTSRAKPPPAGEEEAGAVLKLGEFQDVDTLTLSEASL VINALMAKRKKDRKDRNETDALNQTLDYLDAFARFKAKENVEAVERLLSTHKELSKFE RAQIGSLCCDTADECKTLIPSLADKISDEDLGELLDELEKLL QC762_114120 MVDTEANVPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQN ASHYLLSTNDKTIKLWKVFEKSLKVVAENNLSHDATPANVHGGGGASRALPTAQFRSA NDLKLPRLTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNI QDQSFNIVDIKPANMEELTEVITAAEFHPTSCNWFMYASSKGTIKLADMRERALCDQH AKMFEQEEDPSSRSFFSEIISSISDVRFSYDGKYILSRDYLTVKIWDVAMERQPIKTI PIHEHLRPRLCDTYENDSIFDKFEVVFSGDGKNVMTGSYNNNFMIYPSDPEKEVEVVL QADKSAFKAKKVGVPTPINSSTSPTANGKKGGSRAGSPAAPGQGQRMRKETDADQIDF NKKILHMSWHPFEDSIAIAATNNLFVFSAL QC762_114110 MGHQSTGPDEGAEKGKGKDRETRHDQDKSIHNGDPQNQDTGSAL TRIAQSAASLPSYLMSGAPEIGPGGSEKGEGSRVGQALARAGDSSVRIQTDPSAGGTL RPGHTEAHMAEQDASFAAFLDSDDVPMLSEPAGLEEAWQSTTAATPAWVPSQSVQEQM AKDGADVVALLSGQSGPEPDLLPEEAVSQADLTSLRKALFGEDAGRSTAAVAWDNVLN FIPEYLRADPAAGGNTELYSHLGAENTDEAWQSWLDQWSRVLTDYQDEVWGDLNALVD DAREEIKRMEEVKPGERPPEPKALLRLRAILGHLRGT QC762_0011840 MRTRRSACLPAPLSTLLLALTAACSVYANLQPFQPAETAAIVAK RQQGCLSNFYSCANQGPAFNGVCCQNGQTCGLDANNEPACCPAGAICTGTAPASFVTP VPAATTAVSYVANNFFSFPYVATYFANREHCSAAARQCDANHEACQSQLQGLAGGAGY AVTIAVPGGGGTTVTAAAGVTYEPARATSICSSLSSVACNGLQASMCTMEGTTANGFY FGSGNAAPRPTAAAIGVVGAVAAGVAGLNLMNGF QC762_0011850 MNNRASPARNGDGDGISCPAGKALKLRLACLVVGIALPGSGRAV LPVGKVGGDIGEREEVVRDVGHGGRGCRDGGDEACGCGAGTYSADATKRERRVNG QC762_0011860 MPITPLPDDACRRIGSTLNITSTFMVLKELLDNALDSGAQTIHI SVSPNTVDKIEVRDNGSGISSSDFNALGRPSHTSKLSSFEDIDKIGGKSLGFRGTALA SINTVATVHVITRVGLETPQMIRLADNGGVETQSVHAAEQGTTVTVTNIFSNYPVRER IARKPVEISKNIEKMRHLVQACAFARHPLRIHFAVLQNPNQSLRISPHPGKDIMETAI QIFGVQKASQCFVRTWPDRPDPNTGFPGEERSRRHVFEAILMKPDVEHSKIPKGLFFS VDSRPISATRGTGRKLTMALRECLRQSVCPLTSAGVPKDIFICLNICCSLGWYDVNIE PAKEDVLFLQEDQLISDFKTFLRVVYPSSVNEGQGRENRGEVLEACSHLEDGIGRQGN HRPDEPNSTSIADQHKKHAAAALPLLHSSRIRGDGDGEMSPQDNHNHRDLFTGQASSW TVDMSAPVDGSDGDFDMESQNELGQPVRPTLEEESNTDTQSQEESRQRSESHGADGHN ALAELNPWSIAAMGSLKRRPLPSGRPDNRQFHEKQPKLSRVPPRAEATGIRGPAQSHQ RGLPIVRLNPSFKLPVTPLTPPPSSEPDQLIPPSLRPSHGNTSHPTYKDRLLPRRIYP HVSRAARLLIGGSSDNAAQRLEVPLGETPMSSNAVQRTSLQPLHIPKRQQNQQRNRVT RGVSAKNVLSSTGDPNQHSSSDSDNATTESHSSENTDSEDETAVSGLTNPGARQHRNG GDIRKHFEKRYPDMRRADGHSKQATLPFEKHRNNVEGDSDGLSVAVAPLPQSPILPTG PAFFNSARPPAAYSFYRRVQDTETVDTPPDAADINTAALLNMRDVDAPDIPVTPKPPF HPESPSEPRGVRARGYHDRPRHAADGLSVSVVAMTGRSPSPDILSSDFVRHTGPRSRL SELRSFLRLPAVLILLLSPLTRPAAAASVPFENCLSDTYRNSQPPKLQWEPIHIDATF EATDRHSLRVTLWGNVTGSYTPVSLPPATSPDWTDPNKTDGKLLGVPDVNKFTTLRAR IKVLTYEPWSNLTDFCNDELKNGACPLGPVFGDWNGSDFGLVKDKLPFVSISNDFYSS YAFGSFSTSFEVIYGDQAEIITCVAANVTPDLGSLAWMLKFLPLAVLVFVGVATVFAA VFSPWGTSDVFHWSSNYGRDPDLLRLVTPGFGDCLQYIQFVVLTGGLTLNYPGFYQPI VSQAAWSALMFNQSFVTQDPGWVSLRDGIYVADGAYGLQRLAQLAGMANPEDIWTGTI IWVLVIIAAITVLVQAGFVVQWLYRYFQKVSEEDLRAKNIPFTLGNVVRIVLNYFLMP IVALSTFQLVIAGQSPVYTVVVAVLALILIIGFAGWLLFLVAKTKPRAFLFDDLPTLL LYGPLYNTYSDEAAAFTMIPVLLNFVRGIAVGAVQPSGVAQVVILAICEVIHVLTLHA FRPFHSATSMNAYHTLFSALRLVTVLLMVVFVPSLGVPESEKGWIGYAILITHAGVLV LGFLLNAVQTIVEVVARMLGAGGDDIRGQSRGGLSKIFGMRQLSRRMSRRETGPSRQS QLSSSAMLDVDETSKAGYIMPGGRIRSESAGSMGVMMHHRHRSSSGFNTPSFDGPARP LESIAGSYTPTTPGEASNFSFLPSPGHPGRPQGSMTMQAPDPYYRPPRQRRPTIETTY SPTTKVGGSWTSSDWAQKRLSEPGTVQLNDPLEIGTQISRDATPAPYVVPFGPTRTDY SMREADFYYGVRGQRLNSDAPSRKLRTGPADPTRPMASAAGWFRNMFTPKGKDKGKGF EVVRSSRMPPAMQALNGGFEDDRAPQGIPVAMGVLRSGPIDSDSDDGTRSKNDALRST DVEVPEQEPLNGRGSQQDDNLDGLGSAPAVDAPPSLTDEDAGIVSRSPTTSVRPGPNS SLQIEVPNVPRRSSKRNSNPQVQVPQLSLPSEAGRSSNLAPFGATSSRLPFERTPSQK RLSGSSAGVTDDFSQVELNDRSGSSDERPAGYGFVAKGSINRVDRETDLLGTSAEVID ERR QC762_114060 MARASNVSGPSGAFRMPTAPHLFNPLPELGSSLFYSYHSNYSLH DYTKPSARVKSRNATICLPDPVTFRIIDNMHTKGPAPQYHDGSNLRIGIVHARWNDTI IEPLVQGAKDKLLEAGVKESNIVVQTVPGAWELPIAVQRLYAASQVQSSSAGAGGSAG DLLGSSTADLASLSAGSSVSTGPFDAIIAIGVLIKGETMHFEYIAESVSHGLMRVSLD TGVPVIFGVLTVLNDEQAKARAGIIPDSHNHGEDWGLAAVEMSVKRKNWATGVIE QC762_114050 MPRFPTFVKRKSTADSLENVAVTGPSFRVLERTEVSGGKSFDGG VRLTGKPHGAHRSTASEVTVDDNIFADLKPNRGSGSSNTTKTNSTDNSSRHSNASTAP SSADMGGQEDWRNNKKLHADIPVPPIPKSSSANFLKAAGRTFSFGGQKKQLPPAPEMQ EDRPSSPVASDVPTAQTPVNGRSRATTTSTSTTVTSPRIDDNDFSLDLGGDFGKMILG SDKRSSVATVKDEQKGRQALAPRSLTASRLNQPSPLHIDMTTKVEASPYSWSSQHSND QLIAPSSPSPPIKENLPPPVPRHTSPLAERKPSASPEAGPRKPLLAPKTPTPEEADGE DEEARLLRDSLSTANKFMTGSVISSHAPSTSRYRRDEDDDSLFDTTHSFSSKSASRFT AKKASPPSSNKVMTPAEFEKYRQDKERQDKERQQEAVSNKDKDDDDEDNYEDDEDDIE RAKQQTKQRRKQEAHMAVYRQQMMKVTGESANLPSSRPSLQISFSTPNLPNIALASGA NLAVAHASDPSDEDEEIPLAILAAHGFPNRNRPPTRLSTMASNPNLRASQQPSFQRPM SVTGDAVAGAGAGTPGRLPAFARNLPQDPFLGAGLVRNSVRESFALGGGAPAPGNPAG AIPPGGLINIIANEERNRAMRRGSPHIDGSASMPAMPGAGPFDPMTGMPSHLMYQNQA APPMLTPGDQAQIQMTQQMQTFMQMQMQFMQIMAGQNGSAPTTPNGYLTTSQSAGSLG AMGPLPPMPGMGGAPEMRHSFLGNDSMLDLPTGRGGDAQMRTMSMVQPSSASWIQPLQ HPGFAPSIMMQGGGYAPSIAPSERSNIGLPGRYRPVSHVPAPHVPVAPGHLRKSTTMS GAITQPTISVTKSGSGSDDDDEEGWAAMKAKREKKKSMWKSKKSSSIGGDLGSFIN QC762_114040 MSSPPTTRPMFPRSAFLLLQDEPPIAPMGHGALASLIRNRPAAA LANPLSFGGRDEEEGATETVEDEAHRRDERRMSAILNSSHMRSMRLIGNSNPRYRWEK YWKTPEELAAMKKDIREYYERVNLLVQQYLYIDRLLDSSLPHDLLNEYNNMPASAFRG VEIPATITENSPTTSREPARKVKRTPKDIYRSTETTPLLQSNGGAAVDDDDDFENGGR AKPEIPWLEDDLVDSDAPIVTLAIYINFAANVILLAGKIAVIISVPSVSVLASLVDAV LDFISTVIVWVTTVLIRQQDQYRYPIGRRRLEPLGVLVFSVIMITSFVQVALEAIQRL LSPDRHIIELGNAAIGIMFGTIVIKGLCWLWCRMVKNSSVQALAADASTDVIFNAGSI AFPIVGYWARVWWLDALGGLLLSGVVIYNWSQTSYEHIRHLSGFSATADQRNILLYLT MRFAKTIKQIQGLQAYHAGDKLNVEVDIVLDASTPLKDSHDLSESLQYVLESVPIVDR AFVHVDYATYNLPTHMQQQGSS QC762_114030 MARDVRRKALERIAALAASDPASTSFDKSDLDRLCRVAPSHKRS FSGVNGHSAGGPPWPEAARIPMTIREYEVLIALCKSAPALRSAQSAQKLARHLVPYLL DSHVQAFAHSPFFRKIEPSPTESLSYHVTAALLSLGNRHADVQQTVADSISAFLNACA HATESVSPTDDDPAALEDATRTATITVALLGFLDAACAQTSFWRTGSRLGLVSRLRDL LSQPFLVAVETAFSIIRNFHSPDRHVKQWRRWTHHYEDAGRPLGAMLLQKSFMKLLVA ATSLLVAEEDALRETHILDIIMSGRGLRRPLTARSSEGDFRSVELYATVAIDQMNYLE SSADFDSLLPAKQKLAFAVKAYALVSYLNACTLNEDAADGEVLMAWLEEALGDPSGMA NHELASVVLKSMALLCKVSPSYAINVSRLLPRFIVQSGAKSHIVVVASKCLAFVLQML SKDAVITTLYTLGNVLSPGNERGLPGGPNGDGQDSSQIYAGRQSTGSSISFQFSGEEE SAIVQGNVVQAICEIASACKDEKITGLAQSMLAQKIVKLSTPVDARIITGAASLALSG GQSEFRYLLKKYASVAHDAVVEHREALLHSVMKARNHISANIRADSPLYDTYLEHLLD GIISQGDAHTHQNHTRDSELELAAREIAQLLPPLATFMSANDFSLNDAADDDRRSMLR DAWFNIVVHGFTASTARGKESMKYLRIMAIHSPPLVLENRGEENESDIDLNPILRRGE STDRESLMKKHLAELVPSRSSEIKGLSYRKTVFLHAAFLVESLRADAGDCTKALSYFL EPSMRRGDVSRTMDGIMNAVVDRYLVKTINATNPAFTAQYAATQLAKIFSSCCHRIER VQQAAFSCADRMIDAIPSALCQRSSLFALLELLTLMWSSCLEAEIDRYEPRTTFKSNK GDVVVELSDDYQFRQLTLQNLYQKAKRWLSSAISIAPADVKGLLQTYLSEFDDDSAFG HISLGRSFAVEVGSTIPSTDQRLGSLDRLGDCLINTASDFMAQYTTRQEYRYSEALPD QSMEWMSLMRSDRRASYLPSPGNESADANTALAHIEKRALNRKITSVTDVRDILRRAA ALLCRSNRDECSIAHYLVSIPFSMFTKQSIKLGISLWLGVMNENPRMEPRILAEIVQQ WEFTIQKKMGLFSPTIASPDPFFQRQEFAPSDSAAMTKRKQTVHNLLAPHSRLLQFLS SHYNATRLGNPDTNHMFLRLLDVTLEAVKHSTPHPMAREIRFQVVLLGLRVLKTSSTM GAIAQYRLKDQILSAGLGWFEAPPRWSFGSNILQLKTEIYLISDVQTALAATTNIGAQ SAGSIKSLAAKEKLLGLLLDSELSRLSVWVRPIDSSRPPSTFHHHHHHTGKESPFEAS IHPLIRTAWAESPSLAVHLASRFPYPRILKEVRWLLLNFPAKAIKEPEALPILIDGSL PEDVTFQLKYMLFWAPVNPITAVTLFLPAYKNHPYLIQYAMRALESHSVDVTFFYVPQ IVQTLRYDALGYVERYILETAQFSQLFAHQIIWNMKANAYKDDDSQIPDAIKPTLDKV MGHMVDSFSDEDREFYEREFSFFDEVTSISGKLKPLIKRSKPEKKQKIEEELRKIKVE VGVYLPSNPDGSVIGIDRKSGKPLQSHAKAPFMATFRIKKKKPELDEAEELLAEPVQE NQSADHDNTIEVWQSAIFKVGDDCRQDVLALQMIAAFRGIFHSVGLDVYVFPYRVTAT APGCGVIDVLPNSISRDMLGREAVNGLYDYFISKYGNEDSLRFQQARNNFVKSMAAYS VISFLLQFKDRHNGNIMIDDAGHILHIDFGFCFDIAPGGIKFERAPFKLTSEMLAVMG GGADTQSFKWFEELCVKAFLASRQYTEKLSQIVLLMMDSGLPCFKPESVKHFKERFVL DKTEREAAEFMKGLIKKSYGSYSTGIYDQFQLLTNGIPY QC762_114020 MAMFSQNPLMNGPNYSFSEAPKFNALEGARQHRFDPYTDNGGST LAIAGADFTIMAGDTRLTSGYSINSRFHPKVFKIGGTTSDQSDATLVLSVVGFAADGE ALKERLDAICKMYRYRHGKPMSVKACAQRLSTILYQKRFFPYYAYAILGGLDEEGKGA VYSYDPVGSYEREQCRAGGAAASLIMPFLDNQVNFKNQFIPGSGEGHALQERERRPLT RQEVETLVKDAFDGAVERHIEVGDGLQMMIVTKEGIEEVILPLKRD QC762_114010 MGDTPLQHGAPTEFLGQDAPPGTLSVSSSLGPGHIAAEHPSVYP STRPQQQPDAGSQHAFATQLEMTHQQRTGGFDMSSMANALPQQAYRPGPYGQSPQRYN APGISSPGTAPQIPVSQYGAPNAMGPAAPSPQYYIPQPHMAAQYYPSPVPPQQPAGAN MPPRGDVNYYGSHVVVNQQHHPGAHYYYPPTAHFAGQSPHVVTQLMLGQYAQSNLHHL DAGAQHPHLNNQGVPIHSPAHHGTPSTESRQNNVVRGPPRKPRQSGHAIWIGNLPPQT ELMSLVHHVCKEADGLESLFLISKSNCAFANFKDEQSCIAAQRKLHDSKFMTVRLVSR LRKSTVEGPAGITAPTGPAISSTPTVTAAQEQAATIGADSDETKTPASSTPAAAADSS VISPKVESGSSQKDRFFVLKSLTVEDLELSVKTNIWATQSHNEETLNNAFKDSDNVYL VFSANKSGEYFGYARMTSPINDDPAAAIEFAPKAQSSADVDLPKAIATEATEFAPKGR IIDDSARGTIFWEADRGDQTPDGGQDEESGGSVGGDGGSVQGVGQENGVGAESKAWGK PFRLEWLSTARLPFYKTRGLRNPWNSNREVKIARDGTELEPSVGRRLIGLFNRIQSPA MPIIPGMGPGPVPVHVPVSTAGIPLAAGGYPMPPNVLMR QC762_114000 MFSRSFYGADPSFTPLFRLLDDFDNYSREVQGNGNDNHQNGSRN SHRAIRTFTPKFDISESVDAYELHGELPGIAREDLSIEFTDPQTIVIKGKVERTRTAG TPPAGLLENNGQASKAITESGEDQHPSHKVTVEDEKPEDEKATSTTTVVKTGENKEAA THHKNKQPETKFWLQERSIGEFSRTFSFPSRVELDGVKAGLDNGILTVVVPKAKKPQV HKVPIC QC762_113990 MSTTAISFRLPKAASQGFQNAPAYDTHRPHTLKKPSLRYFPRWD YWASKIPRSSRLPLARGSSPSCLPTERRDSISLGKELKGVDVRDGHAGRLAVEDDEWA DGYIAAQSFHWFANEETLKEAHRVLKKGRDNKSREWKATTTWEEKLNSWIYSISSDGQ PRFRDGQRRSALDNQQLFALLLSEETVKWTVWLSEGALWSRINTLSQVAILEGSDREA AVRVFKEALQSPDVERNEKGEIALHGVTYFVWTKKLDSLRFLPIHQPPKLLSRISDPT PRPPDAVNNRLKLLNRQRYT QC762_113980 MENGAITQGEGKDPSGWLSEIIGHPVTVKLNSGVVYKGELQSVD GYMNIALEKTEEYVNGAKRRSYGDAFVRGNNVMYIAAD QC762_113970 MGGSAFSDLENPPYTPRMPIDIYEQVKASCYTALEKIFLHVANP VEGPAKADHGDIDILVANERRLTLQDSDEDTTPSPLPTLHTKIREALGAEFHKAVHNT TNLVVPWPGSNGQKHIQVDVRICKNEEELNWYLFKHGHGDLWNLLGSTIRPFGLTVDE SSLWIRIPEIEKFDRKKAKILLTKSPTAILTFLGISLEPFKSGKPFPTVEALYEYVTT CRYFFVRPASEENDGDGAGLVGGEEGVKKLKSNDRQRMRQRAVYRRWIEEYIPSLREQ GLHVRSDVSVKKMRASVQEDAFAAFDVEVEWNARLKEWRLQKNVEATRKLIKEIIPAD VTDVNYRVCLLSGLKKIIMEDGDLAGFEFVSRPDFKNEDGLYDEEVIRRFVEEKIDEV GKVALEGQLERSRAAMQKKREKMASAAE QC762_113960 MAVNMDREGKVGCAYYSAVDETLFLEDDIAMGGIETVETFLLHL EPTSVLISNRAADSLVQFLEPNAQKFDDDQTSRTDKGPYILRHLVSAQFNYDTARELL ANVGIFSDESQEPDPLQVQSGEEEPIQCIGSSRHINLVRLARIINLESQLSVGCAGAV LTDLERRRAVEEESGSGEEGKVPFRVKRIKMNLSTDTMLLGADALISLNIIRPHLQAI PGLHGSSDEPRAKENLCVADLLASLASTTQGKQKVRQMLLRPSLNLDVIHQRQSTIHM MLDPENVSIVKSMRKLLKRLKSPKTLLLHVKKGVDRVRGHLSLRMGDWKAVVRFATVA ANVHQAVTSLVRTSGLEIISKICDTIDPRPFLLLADKIMRTFDFEASKDSQRTIILSG ASPGLDQLRQELAEVCDMLPDIRDNIARDAPRWANKHILHCTVMEQSGFLIGVAIKPD TGVGVYYGHDLLDDEWELVFVTEGVAYYKNKLMHDLDSQYGDLPSYITEEEMLLVMEL SGHVREQEKAILAASEIYGELDSLSALASAAEKYNWVAPTMTTSNIIDIIDGRHPLQE LVVPSFIPNNCSLRGGFGKTKPHGSLDEDECMERADETASICSTKNTHDLNMNDSFWY NDSLVDDDGYNRMSLDPDQEDMGGEEKENTMDELENYQRTEASFMWPSRSISSPEIAF SWPTTQAPSRPSTLSQRASSTGLYGSEVSDEEDFTVYEGKPCMLVLTGPNDSGKSVYM KQVALIVQLAHVGSFVPAERATIGLTDRILTRILTRETVLDDQSAFMIDIKQAAFALN FATKRSLLVVDEFGKGTTASNGAALLASYLSHFIELGKSQQPKVLAATHFHEVFENGL LDGYQEDDLALAHMDVRLNLGADAIEDQVTYLHRLLPGRGPSSLGIKCAEIRGIPARI LNRADEIVEMLEAGVSLTQVPARLSGEELQRQIEATFLTRWLLALPRGVLERAKNGDP SIDVRAMLEQAMRDARQAALDGLAEYVGEDDGLSGDCEMEGEEEDIEMEDAGESSDFD W QC762_113950 MHICGPARPVPICETSLACSSQKTKRGQVESKTGSPQLEFLEDG WVWMKEPSTWDRVLEKMRIGGESFRLSACTQLDRCGYATIGIWPLLPWHFWECSQPVG IVVTRSISKSTAKGINPDSSTMTLISKSITAFGSLLLAHACYSAQEHSALQSFRAATS AALTSTPTAATSLPIDIAIETAVAILVILVGLVLGTSPLRPIQWREWAGKIEREGEDG FKDNNGQVNRDYLGNPFTYIEGRPNFVDVRKQRNEFTEWVKGQGQEQK QC762_113940 MDRNTPLSPSLPKGEPLPVGFNELLQHNAATPHDMNAADSIRVQ SQPTSRYGTPAPQLTHSQSFDPSMSYQRGGAQIPGFHPMYPDSSPYGPIPDMSQPYGT PAASPPTPSRASDIMSIHGGNIVIGKALAPRRAGVEKASSKKKKKERAKPPKNLPTLD RPLSDLTKDSAIPITDIETYVNRDATARQDEVTQCRKNPGKVKRPMNAFMLYRKAYQQ RAKEWASQHNHQVVSRVCGSSWPLEPEHIRQQFKAWADLERDNHQKAHPNYKFTPSKP HKPAKYDENFDAHSEASDLDEYGDWQHAAARMRSATNTPNDDGDYIPSRSVYAATHHP LMGLHGLAPHHQNRSAFEFSNPGKPMPSTYDTRGLTNTFYESHIQNTQRSHLHPGMIE DVLMRKTPSPSMAFQQHTHGLPSHYELNQYHHPQHTCPSHEQQAHSQSQSQGHSQPQP PRFEHRIDPSLMSHEELFNGTNNLNNINNSISNLFDGTNNSQHGWQTGQLTSNNDPEN QFSHFNLGLDNTLSVEQHSQFLGGADEWRMELLSEGAHFEANWAETKADQ QC762_113930 MKSALQQIRSEPTRNNTRLGHEQPLNLKTPSLSRLVPVASLDRL HRKPQLAQQEKQQADRTMPATRKRALPEVSSENAQEPPQDSLLLRIRNMWQFANLVQF MMLFGKALKLDDNLDVEDLEAECLKPGSMALQNIGLGFLKFLSSHRGLTHDLFDEYTR RQYLNKAPEKNPFGNSEVSLRFADFDVFTKIRILQQMTQFIIMSSEKLRDKTEEQKDT DQTNWRIEPYGWDRHDRTYYVLDDNRLYRMSEAPAAPAKPKKNTKKAKAAQRANKRRR VASGAASDTEDAGDEASEGGAPAEPEDDGLGGMKWECLAATLSEVRDFISSLEKTKDP NEKILRNQIQAHLLPILEQQEESRRRKQLQREKELLNLEKMAHAKRSSRIAGKLEHRK EEERAREEERRQREEEAARRKEETKRAKVEREREHRLMSRERRLKEREVRRLQHEEEL AQLSEDSKSTASARMSDRRRIAEIERNKKALQELEEEEEDWIFDCICGAYGQIDDGTH SVACERCNVWQHSKCLGIDEKEAEEEDFHFVCEPCRRREKEAEQRPLIKLKVNKQEEA PVSSSPQSAPTENTTGEADGRARLVVELPLKASSGVDESTEQPASAPSLDVANGDRPA TTKPPDSQGSNLFSSPRPNLFPHAQLSRGNPVSGASDSSLPVLPESGNGISPTKELHR PSSPPTKTLASIGEPGRPASPILNSTNTFRPPQSQDSRSDGRSSPLPPSSISPTKHSP PPPQRRGVNGTNGNGIATPSQSFGNPGASIFPPAAALSPSPRQQILTPPVKNPGPVRV SPLGPAPAVLPPTPNQSSEQIGL QC762_113920 MNDNTPHTPRRTSHNSIPPPHSIPLQEIQRSPEGTSNGRGGGDG FGAGGSYNGAQDENNRPPASPVSMSANLPGFSSYWENPYPTAPHDAGGTGGNHSPIDH LGLQFALPPEIRQPSPSASQGYITSADDPYQIPHPYYDERADTDSLESDRVPLKPSAQ PIGRLEPPEGEATPRHSFQTVSDLGNTPSRARSTQMLGFDLEPGFSADRHRNYGDNLS PVDGGRRSRTQSTSGALHRAGSIMRAMSQRVVAISGEGDLVDQATRRERERSRSRSRS PSVDGRRPGHVSAPMLVDTSYPSHSFQIPAEKDSGATYVHSQQPSSPMPRRRGPLPNP LRGNSLGIFPKDNALRVWLCDLLVNPWTEPFILLLIIAQTILLAVESAPDVFVPGNER PERWGTTRIDWAIFALFIIFTLEIIARIIVSGFIVNPEEYAPPKTKRRIKERVAEQYR AIFRPQRQRSTRQPKKEEEFMPTFARSFTMMHGVAAGQGTLEEQQRLLLARRAFLRHG FNRLDFVAIVSFWISFGLGVAGLESKHSIYVFRMLSCLRIIRLLALTKGNLIILRSLK KAAPLLVRVSFLMGFFWLIFAIIGVQSFKSSLSRQCTWLNPEDPTNFDITYSPSMSFC GGYLNATTGKAEPWVYSNSPTRNLSEKFLVKGAQNSKGHVCPRGSICLQQDNPFNGTV NFDDIGHSLELVFVIMSANTFSDLMYYTVSSDFLPAALFFGAGIIIMMLWMTNLLIAV ITSSFQVIREESKASAFTSEDSYFAAPTDAATRRLSGLQRFVKNTQWLWALVITFGLF AQALRTASMDAMRERFINTTEVVVTILLAIDIAIRFAADHHRFHRSPRNLFDLFLAVV TVIILIPPIRNSGQVYNWLTIFQILRVYRVVLTIPMTRDLIYLVLGNVTGIGNLMLFV FLMTFLMAIFASQLFRGQIPALDSDDEWIGIPFNTIYNSFLGMYQVLSSEGWTEILYN VTTYTVSKKTSWIGAVFLIGWFILSYFILINMFIAVIQENFDVGEDMKRLEQVKAFLQ RRELGGSSNLALSKVFGFGRSKHRSDPLDQGTGMIDMLFKEGFVREFLDESLDPLETT DDQAPPRATTTYNGNVKPGFLSAIWGHLVTKFTSREPNPFYSNIRFEAANATLDPGQL ARQAVSATAARRKAQREYLMRHPNYNTSLFIFKPRNPIRRLCQKMVGPGRGTERFEGV QPNKYAWYTFSALIYAAIVAMVILACITTPLYQKEYFQDKDKTKVNWFVWSDMAFAIV FTAEAGIKIIADGFIWTPNAYFRSTWGAIDAIVLVTLWINVITLFTQNGEVSRAVGAF KALRALRLLNVSDSARETFHSLLIVGGWKILSAAFVSISLLIPFAIYGLNLFNGKMVS CNDGEDIINLSDCVGEYFATPFNNEWPMLAPRVADNPFFNFDDFGSSLFILFQIVSQE GWTDVSFAAQAITGQGLQPLGAPPYPAEGNALFFVVFNLMATVFVLTLFISVFMRNYT EQTGVAFLTAEQRSWLELRKLLRQISPSKNAYDDSKNKWKIWCHKRAIEKRGKWYLAI TSVLVFHLILLLSEFYNEPEWWRWTRDGLFLLFTLIYIVNIIIRIVGLGWTRFRRSSW DLFSLVAVTGTFATSILFLTDQMQDTYIQLHKFFLVALVLLLIPRNDALDQLFKTAAA SLTTIGSLLATWLIFFLVFAIALTQTFSLTRFGSGEDGNINLRTVPHALILLFRFSCG EGWNEVMEDFAQIKPPLCVESPIFSESDCGSTAWARTLFVAWNIISMYLFVSLFVSLI YESFSYVYQRTSGLAVVERDEIRRFKEAWRSVDPLGTGFISKEAFPRLLGELSGVFEM RIYEAEDSVRSILEDVRKDNDAASTRHASVVSKSQYQTGVDLAKLNERLSKIDVQKVR ARRHRFNIFFEEVMVSADPERGISFTTVLMILAHYNIINDSKSLRLDEFLRRKLRLQR VEEEVRRRIVLGFFDMLYYSRQFKRHMQSKQNARMTAIPQLDVPEILVDNEEERRERN TVAGVPGGAGIGTLTEEGATLLAAAAADNNGRARSWSGLGADLSSYDTSYGHPLAGPR TSKPSSSGHQAQRSGFSFDLPDPADGAQDDEATSPIGRRGSSVSPAAVRDMLDDSVWV ESIRRSATMRKSGWGPSGGF QC762_113918 MHSPSRFQIIAARFSPHKLRTSFRRSSSASTSSRASSDRMSFTS QASSPVETINSIVLRQKSFDLDGDEKDLSVLEPRPAATFCSLEARMSSF QC762_113915 MTLLARHSPGSAAFTSLIKHLRRSGIIDILISWRASTVYCIVSP ISILKTVPRNSAARLRNTVRRIYRSNRSKMAGPQTKPLPKGRQSYTAHETTPMSTTTK GRMMDSRVMKRQSQTAMAKRQKVSVVASHCSPHPRHKNLEDFLTTKIFPDMYLGNFVN ILQFSHQGVAAQGSSDSDPKTQLSSRRGNPCSFRTLLNDGASSCNSLSSSTFKELDNG DIRFDDSECISIGRTLGNVPNHQLQKRVDSGIVLETQGRNLYSVPADLLAPENEHGDE TMGDYSCIEQDIDMAICSPIFTTQDHTFKRSFSANKFPAASNLSGVSESDKQVFGSME AMNMPFSLNEPVLRRHNRFPFRDHDAAKDDEDDDMSIISDFSLIPSYPPGGIDQQYKV KVKVGRATFKRLLKIQAKVATKTVRHIKRSCREAMGQAFDHSSPVYKPATCVRVGGAC QC762_113910 MVRTRNLRSVANENDENSTDTTRLTRAKAATLKVDELASKGLQS KKTTVAANPTRKRNALGDVTNATKGDAAEAKKPAKAALAPKATAGVQKRTTATTRSAA VPLKEKANNTKVVSKTGAGAIGPLKRKVTSTAASAAVKERVAEESEPAPKKVHTLEAE KKTKVEESFRVKSSNIEEDLRRKEAVPAPVAAEPAEHVFPEGVEDLDREDYDDPLMVA EYANDIFEYLRDLECNSVPNPHYMDHQDDLEWKTRGILIDWLVEVHTRFHLLPETLFL AVNIIDRFLSEKVVQLDRLQLVGITAMFIASKYEEVLSPHIANFRHVADDGFSEAEIL SAERFVLQTLNYDLSYPNPMNFLRRISKADNYDIHSRTLGKYLMEISLLDHRFMAYRP SHIAAAAMYCARMCLNRGEWDETLAYYAGYTEAEIDPVYRLMVDYLARPVCHEAFFKK YASKKFLKASIVTRAWGKKQAGLLGIQNLGLTVDQISDDA QC762_113900 MLRSQFRPLAAGVSAFCQSSLRLAALRGYATQVRSNHDSDASTA LSGAGSQADYEPVKMRTYKPRTPGLRHLKRPINDHLWKGRPYLPLTFPKKGHGKGGRN NQGVITVRHRGGGHKRRIRTVDFERWAPGPHLVERIEYDPGRSAHIALLTRKANGKKT YIVAAEGMRAGDVVHSYRQGIPKDLLDSMGGVIDPGVLASKTAWRGNCLPMHMIPVGT NVFNVGSQAKGGAVFCRSAGTYATVISKEEETREDGSKVVTGRFVVVRLQSGEIRRVS KDACATIGVASNVMHHYRQLGKAGRKRWLNIRPTVRGLAMNAFEHPHGGGRGKSKGNR IPTSPWGTPSKGGFKTRRKNNINKWVVTPRVRNMGKRRDKKTA QC762_113890 MPDDSIRPLITARSISPAPTFPTRDTFDTMDLAGDNVVRKGKLS WVVALSYAIDWIILIAVGVVGYILGHITPNKRPFALDDRNISFPYAEQETVPVWLAVV ISVMCPIVIIAVICLVFVPGSTVPRGTPKSLIWQRKLWELHQGWLGLALSVMAAWIIT NGMKNLFGKPRPDLLDRCQPDMENLKDYIIGGLLRLNSSLTPGHILGPGTLVSPNICT NPDKAVLDDGFRSYPSGHSSSASAGLVYLSLFIASKFAITIPFFSTSGSSADHETTAA AFPSRTRIPSVKVSGPDSYELSNRSPSALTNSIEDPALLSKGVTATNRKIAAVRRQAA APPLYLLLVAIIPFFASIYIAGSRWWDFRHHAFDILFGYLIGLVGAIFAFRYYHLPIS RGAGWAWGPRSHDKAFWAGVGSYSYATSRTRGTYRSGDEEEALGAPIEPYGRGSGMSS VAPVAPRKGGSQGMDARDEDTSYTGASGNDHSPEPHAR QC762_113880 MAGMDDFVSDSDSDYTSYWRDWFISSRGNEYYCEIDEDYLTDRF NLTGLNTEVQYYQYALDLITDVFDLDCDDEMRETIEKSARHLYGLIHARYIVTTRGLA KMLEKYKKCDFGKCPRVMCHSHPLLPMGLSDVANQKAVKLYCARCEDIYNPKSTRHSA IDGAYFGTSFHNILFQVYPALVPPKTTERYVPRVYGFKVHAAAALVRWQNKERGEMRR RLRKMEVDSGFAKVDGEDDDEDEEEEDEEEEMMEDAMDHNPAAVVQYRGAGEVATLQ QC762_113870 MDSLYVPGVEAGPRSSSRSPLHLHPHHGPQHQLHLHSSREAGDS QQIQHSHSTHGLPALSVPRVDSFPGNSPVLTADLSGYASNYNNRRAEISTVAHEAHQH RQQQTHQRKQLPHQRQGQEVGRHPDAPASSTTPGLFDGLSSPAVTPNSPAQPTSHHGH GVTPLREESSAVKAWRQKLFDLEDIVLLSNEEYETYFPWIDNIYSHRSTQQYKSKPFE AKYYDCRLKGRPSGTKKSDDPLKKKRKRNARGLGLCDVKIKITKYEPGSTAELEAAAT NNPELGQALARIRHQDQVFYTFQRINGSVTNGVGDGKPAEHKHDLARSDQIKKSTRHR ELAEQERERKRSKRQKDVKRPPKPSSLSPWKATGLAAETAKKHAKEGMIKFYASCFCP FSQRVWIALEAKGFDYQYCEIYPLQKPKPTLLLEANPRGLVPAIRQGDWACAESTVIL EYVGVVPTWALMLRRDTPSMLTSELKKLVDSGHGNLVLLPTDARLKANCRLWIEFINS RIVPSFYLLVSATEEEPKRQAAEKLERDIAELVQHAEENGPFFLGDHFSLVDIHLAPF AIRLPYLLRQLPGWTQPLFEMRWKKWVDALEQNEYVKNTTSKPELYEKSMGDLIKAFQ ARFQGGDV QC762_113850 MYWPLGTPRIYATNTVRQPGALPSSVSHDGLPPPTPGAGASGQR PDQNSSLLSPNPSTSQDGLLPPPTPMTPMTPGIKSVEHDYPEDGTPEHSPGPELPGIP LHEPVVALRVARSGHLFATITPTSMTVWQTKPTVVLAVVVRSEASLASYGTNINLLLR PDSAIFVVHTSLGYLITYSLATDADSRVYKPHFASHTNVQRRRQSHAGDPGHTAPDQI LWGPGEGAGVRDVSIRFRMVIKVDAGIESALALDDELVVATRKPAAVQCIRWSPDSSG SQTATELLSRMAWLEKKVTVKEMTHDRPMNLSTWITSDGKAYAVQRLNPNQKSSESDE PPDPKKLFKGYCFHVPSTEQHHAVRCVINARFSLIAVGCADGTVRVYSARDYSGNIPS SHTHSVPVSPGASGKLTTLSYSPDGYCLFAGYEKGWATWSVYGKPLSSSFHADHLIAS TNGEEWLSGVLDAAWVGDACELLLTNKNHDAIWLLEMARSAVTGCYSPANLFRTVLQS TASVMVYRGYDLPDLTSISAEPSLWHTARIPSTYLLNQWPIKCTAISADGRYVAVAGR RGLAHYSVNSGRWKTFANDALENEFQVRGGLCWYQNILVAAVDANRSFELRLYSREAA LDSGTALFVQQMSAPVVLITPTGEDSLLAYTYDNLLYHYIFAPVSGSIRLIEVGHIAF HGIVRSPARVRGLSWILPERQLLEGDPSQDVAHASVLFLVDGKLVLLRPSVQENNLKY DMRVICHNVEYYTSMRDQPFLEVASQRSGELQNGPSDASLQDSLWIFDGTELKAWADM DPVLKAISGEVSRDIPSMISIPVDFYPLSTLLGKAIILGVESELIQRRDINFSFFRFC IRTHLFLPDILRSYLVANKSTEALQLAREHEHLEYFAHALEVLLHHVLDEEVDAHPPP APENAILPRVLSLLSCFKQYLDIVVQCTRKTELRSWRTLFAYLPPPQELFEESLQRGS LKTAGGYLLVLHTFDELATASEQSVRLLSQAMLEEDWELCKELARFLAALDETGDTLR EAMEMVNIPTRGRPDRGVVAGNGPMARLEIPSSGVFRPIGDASRRIGGSDSELEGQSA SDAGSIISGNRSENRDDYS QC762_113840 MPPPVRNRQSLSKDKFVGYFAPLKSQTYHESTQSRGGGVGSIRS VAWNPVGSLVATGSADRTLRVWNPEKPNARFSTDLKGHAAGIEKVAFNPVKDAELCSL SKDGVAKFWDVRTKACVNEVKDLGDAHALAWAPDGSSLLVGNRSGGLFRISPTESAIL SSHPQPVEAYQMSFCWSGKKVFLPTRDGSIRILSYPELEPILRVNHAVKPGESDEFIL KGHTAPCLTTELSPTGKYLATGGGDAIMSLFETQDWICKRTNTRIVGPVKSISFTFDG RYVVGACEDGPGLDVTHTETGEHIHTFKTAGPCHALAWAPTRYCLAYSDLGILRIIGL DADRK QC762_0012110 MGCQTTAHKSAVWLGMETSELSARCGRDGRAISTVQNYPDLTTI FRDGYGIAIDRGGCAWALSGRCF QC762_113830 MSSSSSATPPDTIMLSDPTADIGDAVNRIDVPAGGNSSVPKTKR LACMICRKRKLKCDGIKPSCSTCSRLGHACQYDEVRRKSGPKRGYVKALEERLKQVET MLKSQEVTSTSPDGSKAMPTSVDSGSKQQRPMNQRAAATANFNVTDPSIGIASSRDMD RWPFNPESPNRVDEFNFNSNMMGMSAVDGNFTWEMIGLGLEEPLPPQETIDELHQIYF EKIHPSVPMIHKYRYLAAMNLAPAQRPPVCLRYAMWTLACSVTEKYQNLKDLFYQRAR KYVEADYIKGYGEHMISVAHAQTHVLLSSYEFKWMYFPRAWMSTGSAVRLCQMIGLHR LDGAGLDVKQCLPPARDWTEREERRRTFWMVFCQDRYASIGTGWPMTIDEKDILTNLP SSDEAFEHSRPEQTPSLANAMSPQGAGKLTAFGGIVLLACLFGRNLVHLHRPDADERD HDLNGDFWKRHRQLDNILLNTSLCLPSQLKLPNGLTNPNIVFMNMCIHTSTICLHQAA IFKADKNRLPNSVSSESKVRCITSANEIASIMRMISHLDLSAMNPFISFCLYVSARVF VQYLKSRPDDSQTADSLRFLLAAMSALKKRNPLTESFLVQLDVDLEALGVRIPKLKSA FPRSTDSPGPSRNPHSSQLNRVREEATRNGVPYTNECHFLKGMGDDGNPANAPDIVDA DPPNPNISGFPATEPANLPTRERAQAPYLPAQGLMPHSYPEYGAPEIDPGNNDMSGTP PSGGQSSRPTPNSSTASETLSNLTPGGNTFETTSPPSSLKNLMNMPGTTTQGEMGANN VGFNFNDPPFVLGSGVSEYPVPSGWGGAGDMSVQAGMTPGTERMLQSMMAGSIDAMDM GWDPNT QC762_113820 MTGRMYPDPERKRNRGYLDEDSSGLPPRDLQDRQQLRYELDLNS WNLRIWGVAASGFLTDSYNLFATNVILASLSFVYFPHERWCGLIINFFTLLGSVVGQL LFGYLADKYGRTRLYGIELVLVIVSTIGVATTSTGYGDISFLALFTFWRFVMGIGIGA EYPLSAVITSEWSSTSSRATMLSSVFLMQPVGQALAQLVGLFVLLGENRAHRLHELQC GIDTRNEEECKRIIDGIWRIVIGSGAVPAILAIIFRFFLYDCGLYTLEVKNKPGNAFR DTQRVYGAPGNENNSNGVPSSNGHTVQTIANGGGTLTLPASPPEPDEPATSLQFSMSD LYNFFIRDKNWYYLLGTSMTWFYLDVSFYGFSLDNRGTLSDLWATTDAVSITADLECW NTPGSAVPSWAAGSGLPTWQTDATKPCNTIYETLIEQTKQYLLTVSLASIAGSACFVV FANRIPRREWLTASFGVLTVLFLVTGGLYYGVAHTERSWGTVVCVAICHFMFNFGANT LTFIIPAEIFPTCYRCTCHGISAAAGKVGSIVAVLIVYGINAGYKSTTRQGLVFLLFA TFMALGALYSWAYLPDVQRVVHDSTPDGELKRRLETKSLEELGGGYPGAVAEGQVFGV RNKWAVMRDKVRFRVGKGRKHSDGNEAVMEGAIGGQYPLRGGNANHFQRGGGVVNVVN GTIELPAR QC762_113810 MTGKRISDDHRAILTKLLQISKLTNHEIAWVMGVDERTVRRRRA EYIQTGQLAKHKDVSKNAEKFKEHHLEKLLAWHKDHPDALLDDMQLYLKTTTGLEVSL PTISRKLKKAYGTMRRIGRCARIKSRKEREAEGRSIASEIQQQTQANEQRQEQAHEQS VGPITATDDKSSSESGSMAVSPLRQDSPFQLQGDAQQQAVQPQNSQQQPLPQLQQRPQ QQPRQQHTQPHHQLHHQYQHPLPPQQQQQHQIHSTTQPQQQQQQQQFWRLETPIAAPT PQGSKSGNDDTLNLSLGVDGYSAKEQLEQRLDFVN QC762_113800 MAGASGSSSSFNSSAFGGVPPSSHGQHSSPLYSTFTPTSAAISA LTAESSLAQSPLGSLDLGPPGYHATIKLFERTPNETTIYLGPWEVLGPSPRRIVWQCS YEGDTLEHYLPSDTPSSPHSHPYTLHSHHRRYSSPHELELFVSFPLEPHRIRYISHTD GLTCDDLIEVKYEFTTLESSLNFQSDLRQRDLVDWFDVDVVWSDVHRRTDSYGNVRGL GTIQRMKLWRDRHSSFHYLSVYANHRRRWKEYLVDNFEREFRQRDDRHRRLQLTAKAA GSGGQGRRGSAASGSSGEQQPPQQQPPARRFSAASLFLGSSRRGGSSSNSSSSSQQAN GGAASIASQNDPDIRYLGIQFSRNGNVQPGTEDYNRFIVRWEAAHDADSQFETPYPTE TVELQSPYINGQEVPREFTLPYVNGVHGIAELPSPELLGLPPVAESVDGREFDGTQ QC762_113790 MKKKIALSVNAGSSSVKVSVYDVEFGQAPVQLAEAQISGLTSPP PTLKYVRDGKTVIKAKEVDDNIEGQRDAFSLLLETLVEDEDLPQIKSKEDIGIVCHRI VHGGDYSKPQLISNETYEHLENLNDLAPLHNANSLGIVQLCIRALPTANNVACFDSQF HSTIPEHIRTYPINRDIARSNKLRKYGFHGISYAFITRSAAEFLGKEPDEVNIIALHL GSGASACAIKNGKSWDTSMGLTPLAGLPGATRSGSVDPSLVFHYASDVGKLSPASTSD LHISRAEEILNKESGWKALTGTTDFGKITSSAFSSSSSSSSSSSDDDDDDHEVMKLAF DLFVDRICGFIGSYYVSLRGKVDALVFAGGIGEKSPQLRAAVVDQISCLGFQIDDEVN NKNEIRNVVEEVGKKGGLDEPRVLVVQTDEQFEMARACAEDAEFWSKEKDKMMMTWRD DK QC762_113780 MTMHVRDASGRRVSLLNDDDTAAPQHHYPQHQIDPSYAAYYAHG PRSISSSPNTPELLRSDSYDSQMGSEPMSPMTPLNDPRYVGAAVDPYVHQYDDYYSDQ IYAASKRPTVLDARAVSYEEDITAHTTSTERPGKRFPCRYRDSHGCEKTFTTSGHASR HSKIHTAEKAVPCSFAGCTKKFTRADNMKQHLETHYKDKARSSGSRPSISSSDRRGSS SGKSSSRSKSSTTVPLSNNTPLPSPGGVWDLRDLSGPLLGRPTIARTPTAGLDALAMA VACQQEV QC762_113785 MKSHERWSHRSLSVSLDTIPAIQRNAQTIQQIPHNSFVIMVASN PAFGKSIISLVLSAHANGLHGHYHSPSSPWLQYWCRGLSNRNSTQMHG QC762_0012190 METWSMVAPSLVIRQALPWRLWGDISNLQIWAKIGRDNGASSWG GVAPGALQLGKVIFERSAQQQTMISSQNQDLSGTCPAVESYLPLSICGWQIAYTHRRS LGSMR QC762_113770 MSSYADELLDDFGDDFSEEQGNDDDFFTDEGLANGAQVDTDMVE ARDEGDGNEDADMIDEEAAAAAEDEAEAKAKIEKMNLGAVRDVRTVAGLMKTLKPVLE KIAHYEKQPAQSVDSVGNVEDHPEYHLLTQSNSLSTQIDNEIALVHKFIRDHYSVRFP ELETLITNPLEYAKVVACLGNGPMDSDSIKGLLESTNNILGVSLKKVLDGPSLMIVTV EATTSKGQAMSEEELQRVVQACEMVISLDEAKKTLTQYVQSRMNIFAPNLTALIGSLT AAQLLNQAGGLTGLSKAPACNLPAWGSKKQNSSALATNVGIRQQGFIFQAPIIREVPS DLKKQAMKMFANKIVMCARTDCFHQFRDGSEGERLRDECLDRLDKLQAKPNAKGARAL PAPDDKPSRKRGGRRARKAKEATAMTELRKAQNRVAFGKEEKEVGYGVGDSTKGLGMI GQRDDGRLRVAQIDQRTRAKLSAKSKGWGGTTSIGGASSSLRSLTGGGAGNISLASKG LRTSGVGTSLGGGATAGTVSSLVFTPMQGLELVDPKAMAESRKRKAEEEDRWFKGGTF TQIGGGSGGGGGGSGVFKKPALPPAKRVDTGSTKS QC762_113760 METSRGPPRVKNKAAAPVQISAEQLLREAVDRQEVALQKPTQRF EDLEELKEYQGRKRREYEDYVRRNRVRLANWLQYAQWELEQKELARARSVFERALDVH PNNTQLWIRYIEAEIKSRNINHARNLLDRAVTRLPRVSSLWYKYLYVMEMLGDIPGTR QVFDRWMQWHPDENAWAAYIRLEKRYGEYDRAREIFRAFTAVHPEPRTWLKWAKFEEE HGTTDLVREVFQTAIQTIAELLGDGAVDEKIFIAFARYEARLGEYERARAIYRFGLDN LPRSKSMILHAQYTTFEKQFGDREGVEDVIITKRRRLYEEQVKENPKNYDVWFDFARL EESGGNADRVREVYERAIAQVPPTQEKRHWRRYIFLFLFYAIWEEREAKDIERARQIY DTCLGLIPHKKFTFAKIWVAKAHFEIRQGQLTTARKTLGRAIGMCPKDKLFKEYILLE QKLYEFERCRTLYEKHVMYNPANCQTWIKWAEIERGLDDLERTRAIFELAISQPVLDM PEVVWKAYIDFEEEEGEYERTRELYERLLAKTDHPKVWISYAQFEINIPEADEGGEED EDEERPVSEEAKERARKIFERAHKSMKERELKAERVSLLNAWLAFERTHGSPEDVEKV NKQMPRKTKKKRKLEDDTWEEYVDYIFPADDQQTRSLSNLLAMANAWKQQTGGKIGGE E QC762_113750 MQSTRSTALSRALRTAVRSHPHTAKRHTSSVALLSQRFQPQSQH QQHQPQNILSRPAASFTPSLTQQIRHASSSTTPQTDLQKTPLYDLHLSHGGKMVPFGG FYMPVQYSSLGVAQSHLFTRSHASLFDVSHMVQRLILGPGAAAFLERITPSDCKNLET HKSTLSALMTLDGKGGISDDTIITKLAEDKFYVVTNAGCRGKDNNYIDREMAKWNTEM GHEGLKVREEQLDGWGLVAVQGPKAEEILQGVLAEEGVDLKKLLFGGSLYAKVKYGGG KVSSPLLISRGGYTGEDGFEISIPPAETVGVTEAFLASGPEEIQLAGLGARDSLRLEA GMCLYGHDLNDSITPVEAGLSWIIPKERRSENAGYYGAGVIAKQLVPRSKGGAGVHRR RIGLLVEGAPAREGAEIVSRSEDGKEAISLGTVTSGCPSPSLGKNIAMGYIKDGFHKA GTEVDILVRGRPRKAVVTKMPFVPTKYWKGTAPA QC762_113740 MAVGGENGVLPNGGGNQSNGAGDHHIRRIPLSYDGANSEESALE LVLTIRPDWADTASNKVEFVRFTDGITNTLLKAVNKRPGLSKEEVDSEAILLRAYGNG TDVIIDRFRETQNHELLMRHGLAPELLARFENGMMYRFIQGSPTQPEDLRKPVIYKAV AQRLAQWHAVVPCIKAPTGHSRKNSRADGPVTLDSNLGDAEFQNVIDNVAPGKPPPNV WTVMQKWIFALPTDTEAQRARQAELQKELTWLVSQLSQRPGLGVNGLVFAHCDLLSGN VIVLPKTSQGVTKGDKATENVTFIDYEYATPSPAAFDIANHFAEWGGFDCDFSVLPTR AQRRQFIDEYIRAYFAYREEKKPGAAAGVDQKAEVEQLLTEVDHFRGVPGFYWGIWAL IQAEISTIDFDYASYAEIRLGEYYAWKDEVTGTRQKDGKEAPLRERRWAQEE QC762_113730 MVAPVLPSQDSFMGVALVINRSRDGPRLVFHYPRRKVLPAGVPE VVEGEDDLDDDDDRYGWPEAGASSVMHGAEMAPWNYDDHIFTESGTQLVPWEYVAGYP TKDLENLLTPNRAYHKRLFQVSLDNIYCVSCPIYVPENGMWQKKKRKKKQEQEQHQAE SGPGAKEDDALPTKTDTGFRSGDRVARDGEPLGAGDAEGKEKAEKEKKASMTMFNLVF FLRPKKHEAKDLVDIMYTNIIKKINKAYKYCQERSDFVWKESKKILTLKDKGREERRK MSLLWDEILSTSSLAASMRDLYEAVSQNRIAALQLCTAEGMVTHSVQIPVPFHVSDLP DNGEASQPGLWLSTANTLMSDDAAEEPGFLDKNFALLLLVDEKRIITELQDDPDETTL AMIEFVRHCKPTLSFYQVGQQSSNVLSPTQVRKFAQHFIFWRRAIAIPPLHARDMYIV SPNCDMRKLPQAAAHWARQFPLVPALPSFLAELSVSPRPYKLHCPSKAHRPTYMAMLA WLIRGGWVTQICTFAYVVVWPEIIYEVEHALEAEQIAKEKKAQAMGRNANSIESDDAA TIISPTAAALSDPSLSGFLPLLNDDNTPSSPSPNNPIAPSVTFTDLPLPLSHSQSLTN VQKAAWSTTSTSPTSPRNHHHRHALAGSHHQLGHQSPVTTSTSNLDLKSLSLRSQASP SSPSLSSSQNSGGGGGTTTRAEEIAEKARLSRIASRAAHDLAERSMLHTRKPVPMATS HPSVNHARHLEAMTPYIILDAKKATGMESLYLSAIWKRFKERAAEAKAARRARDGQQQ QKTGSDTKSMASSGGGRSSNIGGKPHISGGVGQQQQQEWEERVADAWPMFLKYFNGRS ALERIALQEEMKRKDVWNLLTAMSEYLLTVRHW QC762_113720 MSHHSFPMNGHGGNGGIDPNDLSMAGNFGSSFQNNNFSGGHTNT NNVSNGFLGDDELLDSLTSPNPTDHQGGLHGQGQDFGMDNMGGMAFSHGAYGSSHHGL SIDNYSSTPDGDPIQSPYVHQPYNQYRPMQHPQSYVSMQSPLAYTPELNEPTDLPFKP VRPPMPQVMGRKPSAPRAPTGATMGLSIGSDSTFAQPIRPTGRVGHEKSHSGQWMGTP NSLSSFPGSASGFSSPITAGMHTQMSELLKGGASMPAKLGSPAASTAEQKKKKRRESH NMVERRRRDNINERIQDLSKLVPTHRLEDEKVRKALQNGGTPMSPDSGTPGQATSSLA GPGARAAVRGTAGSITTGLPMEEKDKGPNKGDILNGSVAWMRDLMWLVELKIHQVEEL SNSLMAAGMKLPFEITEDEQRMQSEVLDMMSKDLGIKFTYSRTDGSNLRVPDFTDYAG KKLSSGNNGTSGGYMPVSPSNGMGGHDMLDADDFLDYDEDDNDNGAFKSEAEYGRMDM S QC762_0012260 MSRSANQRLRNSGPTLASTTSHERFTPSGNQTNPTYVYLIPYPR ATPTYTPDDLRIRCFTARGAQFDNRRRRAQPQLVQQPDSRIPSHPTHTHLGERSIITN HLSIASDHRLQPSCFPSAARRGYHLSP QC762_113710 MASSSFRNSINSLGWARRDTDLPVHTSRQTGLFSSLQSLNPFGG RGYVQLPTTEGPGAPLPAPSRREEEEGWFALSRWDRLLIFAACNIAALVCFVLVIALF PALSLARPRKLMLLWTLGSILFLSSFAAVMGPWAYVQHLTSTPRLPFTAAYFGSLGLT IYFSIGLQSTILTILSGLIQLGCLIWYLVSYFPMGSSGLRLVSSFGARRAATWMTG QC762_113700 MSSAAFSYAQAARGQAPTQPNTQVASSPAPSTVDSNVKDDVSTG NSSVTAPSVTSNIALDAEQSIQPDSENGTAKVSADTASQTTPTPTVVPTADIEEKKEQ GSANVNSQQQQSDARSSRSASRTSRRNDNGENKKGRKGKKSRGQDKEAEQQEEAAEKQ AEKPVYTEAAIPSVNPWLKRAEGLQSKTQTASTADAAETKTSEAAEAQPVVNGVNGDK AAHKKQPDSRAAEQAPRRNAPRGARANEKEEKTSVTLPSVADASAWPEPKATAAAVKE QQPTRKSVEKTESSAKEGQDEAAPKKKDWKKLEINHSVVFETQLPTSRSSKPRGGARG GRESGSMRGNLNGSATSPAAAGPAAEKAVPAGGVPGPRATARPREGSLPARSAGQNQT TSPTKRGSVDASAQDQQKPAASASNEQPREKTQSSSRRYTRDIRTENGQLSTEGGSTP VRPFPQERVNGFHPKDGAHGNVNGHHYPVRENRPERGRGGYRGRGGHNGAGAHVTGSA GFQVNGHYPTQNGFHGHSHSRQAHSAQSPPPFNGQFSQTFPNQGRGRGKWNGANQHSP RGNHMSAGYPPKSANPVHDFQAPVYPPVMYPYGPEAMIKNQVEFYFSLDNLCKDYFLR KMMDGQGFVRLEAIANFPRVQQLTTDLNVLRYACLHLENVEFVVGDDNIERLRSGDAR RATFILPEDQREPASRHDGPANFRVMNSQNPYASFGAMAPPMMGYSQYPDGHMYQPEF MPRAHNEFAVNGNGAVNGYHHYPHDSQLSAGVPAFAPPEEPVSLESMTKFSDSHVDNL IIVLGAKDSEETAAAVAGYVANGNTQDGSEPTIVWIQETQPEKHERQPYHEIRKTALE RRQGAQAGEVPEEMQNLYRFWSEFLLSNFNARVYEEFRNLAFEDASSSVPATAGLKTL LGFYDKLLLDTSVPKPWPSHRAFPLVLTEHLQAAKDLDQKTQPHVAI QC762_113695 MASSNHHHHNHPHNSYPNSAASQSQTPISPPGLNGGGGGGEDGD KPRLTKEQKKTNHIQSEQKRRLAIRQAYDDLCTQVPGLEGQARSEGVVLNGVVGYVRK LMLERQRMIAECEARRLEVPAGVREGLANMPVGFLDEGEVGRDGSGSVSPGKGS QC762_113690 MASYNDPYDIPTRERTTRRYYREERREDPRAYDTRDSYLNVQSS RDLVPRAREDSDLSVEEIRRDFPPPGYSTRDLRRARSAEPGQYDDYDDRRSHYSRYDD RSAYGHEHDRRSLRRTDSYYEEQHKKKVRMLSKQEQIIAAISGAAIAIGGKELYDRRE ANQAGTPEVQRNILASVALGAAGALAGYQGTEFYNKKKEKEEKKILAHRGYYSDDDGE SAKEKKGHKNFLESALAAAGLGGAVKALTGKDKDKDDKSDTRSRRDTSRSRSRSRSRS RSTSRSRGEKGKGEGANKIQKAAMASLIAGATEAFRISKQPGSWKGEKAKRVFTAAAG AATLDAAQDTEKAGSKLGLAEAVMGGLLGNRVINGSKKNIEMDEKTGRSRSRSRRRSK SGDSQSGGGVSGLAALATAGLGAFAGKKIMDSHERSKSRGRSADSYDSRDGDRRRSRS RSVVDSARRKMAKIGLGNGPDDDDRDRRRDRSRDRDYSRSRSHDRGHRDDHDDRSSRR HRDDDRDYDKRRRDSDYDDTRSHRSGGGRDRSRRRGHSLSDDDLGDSDDDKRRGSKMR GKQILTTGLAAVATIHAAHSVYSSMEKRNLRHKAVKDGRLSEEQAKKMKKKALLQDAA AVGIAALGVKGALHEIKEARELKHELHEWKEERAERRKRRLERQLEMVHGDVNSGSGG SDRGGGDRQVGRHRADNWSSPNPPRTERYYGEGPRYSDGNPYAALPPPPYDRR QC762_113680 MGRDYEDDRSNKRRKLDFNPLRNDDRFSDIPSRPQNRFNSRSST PRAGSRLAGASTPKQREFDGPAEGVDDQDAINALDRDWYGGDDDLGGHTFGDDTHNPF GDEAGWAAQEREAALIEKKGANFSRSGMNARRLQKQKDVDAWETNRMLTSGVAQRRDM GRDFEDDQEGTRVHLLVHDLRPPFLDGRTVFTKQLEPIPAVRDAQSDMAVFSRKGSRV VKERRTQRERAKQAQEATKVAGTALGNLMGVKEEDTDSALPIASEESGKAQNSNKFSE HMKKSEGASNFSQSKSLKEQREYLPAFAVREDLLRVIRDNQVVIVVGETGSGKTTQLA QFLYEDGYGKFGMIGCTQPRRVAAMSVAKRVAEEMEVKLGSTVGYAIRFEDCTSKETV IKYMTDGVLLRESLNEPDLDRYSCVIMDEAHERALNTDVLMGLFKKILQRRRDLKLIV TSATMNSKRFSDFYGGAPEFTIPGRTFPVDVMFHRSPVEDYVDAAVQQVLAIHVGKPA GDILVFMTGQEDIEVTCELVRERLDALNDPPKLSILPIYSQMPADLQAKIFDRAAPGV RKCIVATNIAETSLTVDGIMYVVDAGYSKLKVYNPRMGMDTLQITPISQANASQRSGR AGRTGPGQAYRLFTEKAFKDEMYISTIPEIQRTNLSNTVLLLKSLGVKDLLDFDFMDP PPQDTITTSLFDLWALGALDNLGELTDLGRKMNAFPMDPSLAKLLIMSEQYGCSEEMV TIVSMLSVPNVFFRPKERQEESDTAREKFFVPESDHLTYLHVYTQWKANGYSDRWCVQ HFLHSKSLRRAKEVRDQLLDIMKMQQMEMVSCGTDWDVIRKCICSGYYHQAAKVKGIG EYINLRTSVTVQLHPTSALYGLGFLPDYVIYHELILTSKEYMSTVTSVDPHWLAELGG VFYSVKEKGYSAREKRITETEFNKKMEIEAQMAADKKRHEEEVQAEEELKLVKKAGPA GKSKDKKTVTSGAVVKPVRKRAGRGF QC762_113670 MASMITTTAWVPRGFAAPFPNKYKFDEEEYERIAALAKLQLDDA EEDLAEAQEAEKKGSEKSDKKKAERETKDDDASDIEIDDDLKEYDLEHYDDTDEEAGE GQSMGMFGNVKSLAYYESNKEDPYITLDPGADEDDEEREDLQILATDNLLLAAKIEDE LAHLEVYVYEDAADNLYVHHDIMLPAIPLCVEWLDCPVNKAGVDKDSAANFVAIGTFD PDIEIWDLDTIDCMYPNAILGQGANPEEETKKKKKKKSKKANDEYHVDAVLALAANRK HRNLLASGSADKTIKLWDLHTAKCVKSYSYHTDKVCSLAWHGVESTVLLSGSYDRTAA IADMRAPGEQPMRVGVESDIETVRWDPHDPNFFYVSTENGIVHYFDARKATKDPSASS SVWKLQAHDESVSSFDLNPVIPGFMVTGSTDKTVKIWDITAAGPSLVVSRDFDVGKVF STAFAPDREVAFRVSIAGSNGNVSVWDTSTNAGVRKAFAQKVPNLPTREDGAEDRLVA VNNDEEEESSSDEGEEDDEDDDSEEDSDEDGDSMDED QC762_113660 MSIARAFTTRRVKQSLQTSDLNGSPQQRSLKGSLGSIRHKISSP VELIHTTNMLSYNAPDLHPLSASSTGSHSRSDDDMSDSALTNGTTPPTSPDVESSPKR TMQKRVMSPEPNHLSAYFTAPGQPIEKPSLQKAAPIIPQRAHSHSKKSPAEVARQRSA SGVSQRSQASTNASYTFSRSSSTSTATTATSQSSLPLHMQQHKSKNSAAAAAAAADFV RMAAPPLTHRYYPAQPQPQRQHRKEVSVSQHPFGQELAQVSEIAEEYGLKEQMNVVDV EEKEMVAKGLKKFNANDYLGEIQGMFANFFGDESEPAVPASMAAAAWI QC762_113650 MHLAGQAAFAAQPHHCITLGNHQIIMATRSPEATSEPQIVFKAG KKRKAYRQRVEEPESANADSQHAIEPTPENPPAVAGNGAQTTAAEQDEEKGLSVAEVL RLRNSRKHKFGGVGFRATPGQSTHGDDDGSVPEEQSLVLHGSAETEAEPFGGITQRFA PQTGLAGDLVNKHMEEYVESALARRKRQAAELTVQQESLDAGASSANTANSDPSTLPF SGPQVDSQRALQGKLMEIDLGDEARERNIEMTERARKRLQGQIDEEDENEGRRKTRLG PDGKPWRGRRRRGSEDIKRDQLVEEFLSENKLDIYDVPSAETPSAADLGDGDEYAADD RIAEEFRRDFMDAMAQRHRKKRVAQPAAKPGASRTEEVLKGPKLGGSRNARAAMREIL LKEQATKRR QC762_113640 MRISVSRAWMAVTYMVLGLANGHDRGQDAASPINTGVGKVNESV LFDPLKFNGNYNHEAAIFLVKGSMPGTTFTWRSQNYEVVKKIALWQSSEDNNAMSGMS PPQEITFVAVVYENPPEQPSGQFPEVTTSTNTLNLMMKPTVPSPTPGAPGVRRRQPPK SDGCSKLISARQNGPGGSLMFDPNELRAKCDKVFKPGFPLYFVASWVDNGQYRKSYSR AFTVVYHEEGYAVASTNPLFKDTNPYIQMGSDDGTDVPEAPSATSTPLGGGSIPTNGD PAALSPAPKSGLELGAIIGIAVGCGLAGLLAVLGIIWFVVRRRQQKQSLHPTGSFNSD HRGDDLMVEKEAHTGVDVDASPNSPYSDDGHPNGTYPTGTAVTTIAAPAAAAPPHLQD QSRSYTPYSDRAGAAAGITTTTPSIRTESLAHNDDGARANVPSPIPGRATPRGLTTPY AHLVEEGMTEDEIRRLEEEERQLDAAIEQAGRR QC762_113630 MEQPQPAPGSLSWRLSSHPITLLTFLGFRVSSLLVYLFGLLFTD NLVMIFIITILLLAADFYYLKNIAGRRLVGLRWWNEVDPSTGDSHWVFESSEPGTKVI NATDSRFFWIAIYAQPLFWIALAVVAVFSFKFIWLPLVAIALVLTITNSLAFSRCDKF SQASNIAGSAFNGGNLAGSIASNMVGRFFTR QC762_113620 MPTTSTLRRALLYVPSSSQKFLSKSLLLPHTTDNITYDLEDSVT PSLKPTARTNLLSHLSALPKPPPNIGELAVRINAISTPYALTDLTTLAPLPSSTLSTI VIPKVNSPSDLTFVIDILRQVSPQNEPQKKVIALIESAKAVMDLKQICEAGKGSLDGL IFAAEDFALDLSLTRTPSLREFLYARSAIATAARASELSSTIDLVCTSYKGEEGKARL EEECRDGKEVGFNGKQCIHPSQVEIAQRMFAPDEKEVEWAVRVVIADEKAQAAGRGAW TLDDKMIDAPVVGKAHSVVTKAEKCGIDVKGLRRKWQGQEPE QC762_113619 MTAAASRKKKHNHRPKDDHRRSDQPERSRHARNTKERSHDRGRH TEAVEKPLNNAGKRYLPDDRADPSERVRAWVQRTQNRHPHRSLLTALDSDERLRRTSS PRYRDTDIIRGKKRGRSVSRSPSVSLEHGFDGGQVEPRFEKRRRYKTHEDKYEYKGEI NRRKQPNKDRNGKLDQEAKVRTDRVPLQVINTESRMRVPDHKFAPVSRHDHQPSYAPG SRQSTSFSKVFEDRHHSAHRPLALPSRTSRQYVSAHPRDSSYDLNQDESYFSSPSRTN TVAYQQERKRRHFAKKGSHREQQILHNSWSVSKSISQPVDHTNHIRLSVERHPGVQPS GQLEIPLPKNSSRQESPGVVPSAPGHIVTIVLPRYKDAETQTDQSLLAELLKPTEQVP TAFNDSKDAATQPRCGPEIQRRIQLLPDSPYSNTNPTPINEIKQEPFHQHHALPNRQP YSSEQALGRRQVLGEQRYLEEFVTNHIVPMHSRHYVQAPSSYHANGGSQQQFLGQYHA SNSQPFHTNTSAEGLRIDRRLSDLVQRNEAGSPPRSMIASQQLAQGFSNRVRFQEPNS TVQRPQAPYREDMDEFIRRIEEEDLGELSDALAVEDNGVPVKTEEYFSLEELEGEGSN TAHDAQNRQYAPIIGADDLAENSDTEVLLGPRTPERKSRPPARILPRYLGQDRADLSL PGRMWRSGQGLAIPGQYRQLYET QC762_113615 MSKLFIGGLAWHTEEATLRQKFEEFGVVEEAVVVKDRDTGRSRG FGFVRYTNPDDAQKAISAMNNIEFDGRQIRVDKASDTGPRGGGRGGPPGFGRGGYGAV QMGGSPMPMAYGAPQPYGMPPNVYAQPYGRGYSQAVPAGYAAPPQTWQQPYGYPDPSQ QGQPHQQQQPNPGQGY QC762_113610 MPGQINEQIGEGGPDGRQATSLTIILSACPSNSEDHRGTPEAPG RVVTLISRSYWEQLTDHHDSAREKVWGVAYRIIPERVAEVKDYLDIREINGYTIHYTP FHPAPSIDNNTNDPLTALPGKLPIQTLVYIGTPDNEQFVGPQDPQKLAEHIYRSEGPS GLNRDYLWGLEKALGELSPESGDEHVTDLSNRVRAVAAAAAAEGKGEVVVSQTDRKNQ ILRAQSPVTVSLDGSSSSLEHQHEHHRHHHHHQQHHEFPKVSSIDEQEETEKTS QC762_113600 MAYNRSYNPDELPRFAEPEQKGPRSPTGQAPPPSSRYENKPPPP RPIEHKNSHYDRYDQTGRLSPRHAPPPDRYGGMSPPPTATQGRPVQQTRPPASSRPPP SPAPRDGAADPTLLPLFRAVDKDGTGQLSEKELSAALVNGDWTAFDPQTVRMMIRMFD SDRSGTIGFEEFCGLWSFLASWRTLFDRFDTDRSGNISLEEFKGALVAFRYRLSDQFI KVLFRTYDKRGEGVMSFDLFVQACISLKRMTDVFKKYDEDRDGYITLSFEDFLTEILR QLR QC762_113598 MAVTLQHLNSDATFLLTFEPRSYEHSRAGPSKSPKPFRILLDPW ITGPAKVMHPKIVNETHRQPPCILSLLELPEPDLVIISHHSSKHCNKATLQQLPAVGT KTVILTTPASGSKIKSWKYFEKSRVRTLPKWKPQEPGRLHILRFPTPSTPPGDPGEIT VAFLPQQPDILGLQYAIGITYRPPPPPLYHLPLPPRLRSKSQTSLRTPLSPVSIQQQP KQHPLPPPDDRPISILYIPHGIPYPTIHTYITNHLISEAALPLTVLLHPFNNTHHRGT VPSGIEIAIKLAAKVWIGAHDGDLVLRGLINRLLFSRRRKIPKQYTKDDIHQLLATKE EEENTTTTNKTAVLVLEPGEKTTLHSTTSKPGGGGVVMGTITDRGELQDEKQGGHDHG GAESTKGQDDNNHNNNNNNNNNKNKTAKDNVGSSSSGKTPATAAAGISIPSLEKCNWR SNLELFLKKEEVHHGGRVPA QC762_113590 MPPRSSLTSSFSITDANNEVVCPLHNQDGSSCRKRCIGEKRYRS MQEHIRRAHPEHYIAKLPATEESFLLMINTPPRPTAQPTSAPAHMNQNKGPAHGYRRD DSSAPGTPRHPDEYQGGAAMYPAAAALAQLHSYKSEHGWESEGDWHSDHEGGRPRTSV ELPPIHLTNADVTSMPYSGLDPNRRREVLPSIMANSPPGRSSTLPPLHRPLDANRPRK QSISKRSHHRRKSKGAAAEWLRRIQNDVNPDLLKPGGADRKALSVEPTTDFGKRWEDL IDAAASATEDIDEDRTPSPVSIPRASLPPFPHNTFTSYQASPLQQALTPPSYNNEGPD PFPSVESGESGDNFHIESRGLSDSSPSFSSQNTQIYCAACQGVSLLRESYACTECICG LCQACVDVLMGEQGARRKCPRCATIGGRFKPFQLDIR QC762_113580 MANGIFFVSWELWQQMTFILAMGIVAVFCAGLMKLWWNNRLVKK QELLDDEKRLRVEEMRRSGLGMKRTSDIPFGVRAIQGGVEVDGIWISRPASQDDPATA RLVSSNSTASSQSSALPPVSQVSLESQRPLFRTMGGLNEDTLRSLEGHGSRQPHDIYV PTSSQQIPRYPSQQSSASSLGESVSARSGSGKGNSSALNSSVYTPKPLREGQYQYHQV DSARNGQDSPPDPFQTPARTPSGFSVRLPSGTQTPLLQVSSIPAPEPTFGPGDLHLNR SSRRVNGGFEILPAGTFGGPRKLDHSVNPVPGRTASQSPNKPRT QC762_113570 MSAHEEYDESAMADGGPNPGAPTPLAALEGVAGLTKRDIQMIIE GGYNTVESVAYTPRRVLEQIKGISEQKAQKILTEASKLVPMGFTTATEMHQRRSELIS ITTGSKNLDTLLAGGIETGSVTEIFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCMY IDTEGTFRPVRLLAVANRYGLSGEEVLDNVAYARAYNSDHQLQLLNQAAAMMCETRFS LLIVDSATSLYRTDFLGRGELSSRQTHLAKFMRTLQRLADEFGIAVVITNQVVAQVDG GPSAMFNPDPKKPIGGNIIAHASTTRISLKKGRAETRIAKIYDSPCLPESDCLFAIGE DGIGDPAPKDMEKN QC762_113560 MPSRKFEILYKEARLNLEPASPSSIVQLRVAPQSAYGRASSNRT STDDEKGYRSRSLATASSIYYRKHHKSPRAFLWRVLENGTILSIRVADVCKQQQVVDA PLILNLRFPSAIHSSCIDFADPKDTDSLCVFVVDQSNQLYSITLRPELFRNKTTSDGG LGDACRVYSPPGFGFKHPHRLAVVNSDQFIVTMHDGGILKFDRNKTHDVHASPWKETI YNVAGWGQSLRGLVPFQRNPTVRYEKINMELTAAVSTAVATMGLENTAFLFTICLDHR MRVWDVRTGSILYTGDILNANRDVQEGSSWNINPSQSNLIRIEEGARGECLVTTFSPV GNGEFKFWKVKANDQGSIHVADYFPGQQLIPPSPSSMDVWSMADFAVMGKTEGPELWA LWKNNTSYRVHRLQIHPRNGNRCFDDGWKTVYIENSAPTVGASGPWNSIDSTEKWLDL IFFPGRFSKATLETALAVYEKGLGTYKETSSRAGKSIVESICSVLGSTAALGKTSAGG ADYEQFRGTSETQWMRFWRLLLELDKQRGEALALIYDPEEEMVWIACADLLAAVRECS DMDRVYHNLSSPEKKDEDVAALVAAGLTFVEGFNDSTSQLSHAALRAELFQESSLPDS ERMQLFLDRAGFWSSVGDDDIAQVTDILGQNYRTVTSRLYEDLFDLITATSDANSQEL REQFTGFGRKVIVRSVQDAVELHRRVLFSQLVLLVHMEFDIENEEESPLHSRFDVGSI YRRLIGALRRLEHLRWMVKTELNIPARVAVVSGVSSPQLAKRGQEETHTVTALEGLTG HLYGLPEDSNEPLISAITDLVLDLCAPGSTTILQTCLLQCGLLKHDRPDLALELKPFA DQDPFSIYIQGRVFLAHKDYDTAAYYFRRAAIGLSITMKHMDRHSSGLLDDTEWNLLN SGLPNYYSHIVSLFERQKAYSYVVEFSRLALQFVQTGALDTASIKTEMLSRLFTASTA ISHFEEAHSALLQMQDEALQRSYLRKLVEKMCETGQNKQLISLPFSGLQPKVDDILLE KCKATRDVLSGVPYHQILYAWRISHNDYRGGAAILLDRLQKLRQAGEGDKINSVNGDA LDTQVTRQYLLLINALNCVPPEEAYILEDVSSEEKSTPPAHRINNGGGGGDDLDAQLE DLSKKLDVENGVDGEEEEDAALVAKMKSFLSRPAEGQAPRKLLTLADIRKNYQLELDR IVAIQNNQFGIMGEGDEDVDMMDIA QC762_113550 MSYFFATPVDIDIVLEDADERSMVDVKLDKNRREKAPLYNDGES VKGAITIRPKDGKRLEHTGIKVQFIGTIEMFFDRGNHYEFLSLVQELAAPGELQHPQT FDFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDIWVYSYRIPPEMNSSI KMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAPN QYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKFSTRYYLSLVLID EDARRYFKQSEIILYRQAPELTNGPDGLPAPTEDRITTVPAKRKEGTSSD QC762_113540 MRYIHSEETIEVPENVKISIKSRLVTVEGPRGKLTKDLSHIAVN FSVIKKGVIGLEIHHGNRKNVAALRTVRTLINNLIIGVTKGFKYKMRYVYAHFPINVN LDVNKETGNHVVEIRNFVGEKLVRTVVMQPGVEVEASKAQKDELILQGNSLEAVSQSA ADIQQICRVRNKDIRKFLDGIYVSEKGNVEEE QC762_113530 MLRLWLFLAGSAAAIPFNNGLYAAGYGYLVQRDGCPVPCGYQNQ YCCDNNSACVTNNGIAACTPAAGAGGGVAWYTTTWTETKTYTKTWQSVIPAATGVSGA DCIPEAGSGWIACGSICCDSWQYCQHAGQCMANPGAGPGGVVIVTNTNTAVQTVTTQF SAPFRVTSGTATTTNSAGGAIQTGDDAEPVEGGTAGGLSPGAIAGIVIGSIAGVALLL AICACCVVRGLWHGVMAILGFGKKDKRTKETIIEEERYTRRGSSHAGRTNHGSWYGGR PSTVSSRKDKKSGAGLLGMGAALGTLALLLGLKKDKKKRAPVRSRSDISSSYYSDVYT NDSPSSLSSDRRTRRSHRHSRQGSRVTRTTTTRVSRAPSARSARSPRRSPPR QC762_113520 MSLDPPTYLASLQSNIRQRPIPWDGAVRAGTLTEEQLARIRSVD KVKKDVRKQTIESDLEGYSALFVGGSGKKSVLELAAKRQDVVQYILVLLNDLLTTVPA LSKALAKTGDPYQHFIPLLGHRTVTDDPIPLLTSTVLVSLMAGSRDESQAASKALPLI YSYLSSLTTNSDAGLQDIGVQEYSSLLYGRVPRAQFWEQRSETVAPLVKILRAAAGIG SGGDATASLWSGTTNPSRSGFEGSLGGGVGLQLLYHVLLVIWQLSFEAADIGDDLNKE YDFIALYTQLLRLSPKEKTTRLLLSTLLNILTANQNTLLAIAVLARLPTLLETLKTRQ FNDPDLREDLDRLRELLEEYTKTKTTFDEYVGEVNSGRLHWSPPHRNTVFWAENARKI LDYENGALIRKLVDIMKQPWEDDKSVLAIACNDVGCLVREVPEKRGQLEKLGLKTRVM ELMGEADENVRWESLRALGGWLQYSFDTK QC762_113510 MVKRVYFLAHGGMVQGWLIYHAARLLYFTRARAAEHKLTGWVRN TYNNKVEGEAQGAEDALSKFLKEVDKGPRGSQVVKLDKEDRDVVEGEEGFEIRR QC762_113500 MPGVDGPSAGNDQNGPSPTTTTKDAPPASKPAVELDDFGLPIRK YVPPPIEMSEGSDGGDVATSANGSKSPQMGATTVQDDGLWRIENGRKAGQSVAGSTKA KSDGIRNGEESGSDDEFKDAVSQRRGVDEKKKEPGASSVTFSKELEQSSKTTPTGTSA QTSTGLDSEPAAIKGGEEDSERTKNETVDQAVQETKNPQGHQRNKSSVANMPAEASEY SHQQLTAKQPEKVQGDDDGWQTMPAYAPYDIYDDDNRLIAKEYHEEDDDQKYGYGNLG GAGKGYTKVLVDDDAESATSMDENTQYLFQGRDAAGTSITEDEENQRDAVSQLQATKG LLTEGQRIAYVGLTRLELSAMVKTVEESIGVSSKSKKHVATAAESMQMWSQKMMIRLY SHMDISSDEQVMIEQLSAHGVIPADLTPVLMANARVKNPMAEEKEKWTSTSSRPSRAA SLVTTNSDDNAEPPPPYSSNNESELAEPVQVPSQMSTAQKIDIDIRWTVLCDLFLVLI ADSIYDSRARVLLERVAKDLEISWLDICRFEKKVTDALEMQQSAEKENWDETEHMENR RQRALTKRYAMMGLATVGGGLIIGLSAGLAAPMIGTGLAMGFSAIGVGGTTAFLSGVG GAAIITSTAAASGSIVGVRAANRRTGAVKTFEYRPLNNNKRVNLIVTVSGWMTGKVDD VRLPFSTVDPVMGDIYSVLWEPEMLTSMGDTINILATEALTQGLQQVLASTFLAALMS GLQIPVILTKLSYLIDNPWTVSLDRANMAGLILADSLIDRNLGTRPVTLVGYSLGSRV IFSCLKELAKKGAFGLVQNVYLFGSPIVVNADEYLRARSVVSGRFVSGYNRNDWILGY LFRLTNGGIRHVAGLAPIEGIPGLENMDVSEWVVGHMYYRTAMPRLLRECGWLVESDE FTEIEDPDPDNHQERQRELINEIEEARKELEREGKSNEKKNRFSLFGRKQKLQKQEWE VYEDSSKTGGAKSSGKTEDKEGNNHGVLFDVDAIRAELAKEAASSKQNGQSVDEEVLQ VKEIKSTLPPMKLDSSRTRGDSLRESKSYDAVPSGFGSPSRNGGSDEYRSAASPPAYQ GYSSISVGGGKQDSGYYPAYGGGGGFGDDDDGIQMTFDTGIDDRKSSSPTAAITTITT TTTTTTTTTTTTSTSRGMDYSGINNNNSHEPPRPELRGSQTLPNIALADPWADYEDDD FGKEKEIEMTFA QC762_113490 MGKRTKQYKKLMRSFQMLGFREPYQLLMTSDIVLDTMKLDLMTL FEKALSTKSLKPMITQCCIRAMYARNKEPGVAAAIERAKTFERRRCGHLMDEDPLTER ECVMAVVDPKRRNENKFRYVVATQDEMLREKLRAVVPTPLMYVKRSVLILEPMAEASL KVREREEKAKFMSGITRGTHKRKREDDEDQSGSDEEDDKEKGKSSTQREDDKPKKKKN YGKKEPNPLAVKKAKKVTDGQKEQRPRKEETEAPAAEVAGEHKAKRKRRKKATTGKGA SDKEISAAVDAAIAGADMQD QC762_113480 MRRLAPRLLKAPTTHARLRAAVPAALVLRSPWSPRPKFPTLLHE ALPTPSQRATFRTATPLLYSTDNNDTIAGETVATTTESTSSASSSEEPPPPLPLDDDG RLDPSVFPKLPFCFDTGIGLFAKRTPRPFPPPFLSPPSGSFSDPLSTHDRSRDRRKVY VNGHLIQGYTNGDDAVFASKYFICANDGVGAWSMRPRGHAGLWARLILHFWATNIFQD AASHSQRDYHPDPVSYLQHAYEQTVEATSEPNDWQGTTTAAGALLHFRKNSETGDPEP LVYVTNIGDSQVMVVRPSTREMVFKSKEQWHWFDCPRQLGTNSPDTPVNCAVVDEVPI REGDVVLAMSDGVIDNLWAHEIVEKVSESVERWERGEGREEGIVEGEDGKDMMGFVAE ELKEAAKVIALDPFAESPFMEHAIEEGLASGGGKLDDISVVAAMCRKNKG QC762_113470 MARQGRVRSFIAQFTPRRDSGTPTPAPASPPKSIESSCQSSPIP SKPDSRLDTSVTSPSSSPPFQTSPTLQGSPTLQESSESELPPDSTAETTPISSPEDEH APVVKRLPPSPPPSPRPKANRRERPRSLSQTYRPLIMELSSQTPAEFLPIFSLLNSHS NKLYQEGYFLKLDDQDIKGKPNPDRTWTECFAQLVGTVLSLWDAAELDAAGDDGEVLP KFINLTDASIKMIESLPTRSADEQPLQNILSISTAGRNRYLLHFNSHHSLIQWTSGIR LAMYEHSTLQEAYTGAVVAGKGKTLNSINIIMERARVPVQEYVRVRFGAGVPWRRCWC VIEPPSEKEYQKAQKEHKKRSAYDRSHAPILKGEIRFFDTKKEAEKKKKHQKPIATIT DAYAAYAIYPQAKALIDGSTLLKIEGDIHIHSEPPSSTEGFVFIMPESHPMVPGFEML LRFLFPTWDTFGLYGRPGRLCASPRDSRSLMFAMPKHKKYGYLELLDVSGLITTDGSS SWSEREWRKKLKELTGTRMLDMEAAGDTASQSSKRLSQGGQSKPRVGFASDDGSSGRS SRSMSMTRPGTRTDSAPPDANRERAPYVMAGHGKHTRNISDTQIENHSPYPYDMDHHA VGPSAMRPADRARTFASDLAPTPERVSSEDEYPRGMAGYNLNEMQRMMTPEPVAPPPA FAHGAESRPYPKPQPSPELRREHNRLSDTTLSQLANAGGLGPGGYPDEQLRGDYAMSP QSGYNGPRGQPVQTQTTSAVTMESDANYNGSREVLTAPTTYQGSPGSLPPRLDSLQNQ SDSPLKHSVLRPDSNTTRHMNSGPSAGPSPTDFGMRPAMSQQPHGNQTTQSSGPPSGR QTPPPSRADMAFNFPERSPIHRKPLPASTTRLQHKNQEPVSPISFSPPPPNRSESHSD DGASTASPDYASTHRGSIELPVRSTERPRIGVLKSTGEDAPVSGVKAGEGYKIPDIDF GRTLNYGALPPGKVLSAPGSSHGADSGSGMESPRHATTPSGRKSPGPGPAYCHRRQES SDSFRRSVAWQPGVVPGPSTSIDRSISPEQFVQQRATMHQRNPSGYTLNEFRAGTPTS PYKRPNSNPASQSRSNSADLLSSGRPVSQAGMLALSSGEVSSHLSAREQEHVARMTGS PLIAIAGNKNAPQAKVGLVGAIEARERERAQMRQGIGGQAVAHAIDQRNREQHQQAQR AAQAAFAQQQAHFAAQYQGSPRPQFPLQYGPQSWPPQGMSQHGSLSGAPPVNQAFASG GGWGQQGQIGLGMSNSPPPQAPFAAATVPGGPRSGTPGRMTFQGQAF QC762_113465 MSRQILSRPTNLQPPPFGVTTLSISDSPYLHYLKPLRGIPQNVM GFVHQRHQAPPASASWKR QC762_113460 MDSFGEDSQPTQATQNVVDPRRLGQQNSGFSDTDIADIICLLVP QSDAARREIRRIRLRTLEHTVGRDEALNLDVEEDGEDVIGGQGFPGHGVGEYHIALRF STEIKSVVNGFTFGRNESRCDIVFEDDPMRRLSNTHFRIYLNDHGVLMIEDMSTNGTV VDEQLLRRKGEPPLDVKRTLRSGSNIKILMHEEARDLKFRVWIPIRQGYSNEAYKQNL RTYISNRAALTVDVNATIVPGPGGRVDIFKPAAPRAAPAQRQTPNNPVAVRRAQPGPS DQQNTDDIFDGLPKAWGGSQKYNRVGEVGRGAFATVYKVTSRFSGEPYAAKELDKRKF MKNGVLDQKVENEMRIMQKVKHPNIVEYVEHLDWDNRLLIIIMEYVGKGDLGRLISEY GPLTEDTTRTMATQLLDALDYLHKMNITHRDVKPDNILVSSHDPFVVKLTDFGLSKMI DHDQTFLRTFCGTLLYCAPEVYSEYTEYDSRGRRHPRNRRLHPQTGQRYDHAVDIWSL GGVLFYTMTKSPPFPAQSGASHSALLHQIMTKPLNIAPLQQAQISEEGIKFIQGMLDR KPENRATIEALQQHPWIQPPPPPQVDEVSDQELSFNASQLSIQDQDLQMPFEDHLIPA SDDEDLPDQEPVPTGGYESEKENYTFGAGNQPQPQPQRLFGEVNPSAIGSQGAVAAHR LNLPVSKDSFASSASTEILGSDNEIKDSFESDQHSTPRQKKQFSQIPSVGLEEGSFSL SQSRSTEDLNTKTFDVASQSLGGAESILENLNMKSRVGSLLASRGSEVNSSKRKQDSS SEDEAQRGPVSDGRGLKRFRSDPTTVQKQQQQQQQQQQQQEVAIRTLDRKDFDLMSQI PSIPKQSVQIDIPVHKATYWLANDRSTWHLTYPEMTQLQFDAFKTAAKARGEDFAPGK TPLWDLAMKYFPPTNRERPGKIRRTFEDSGDHTMPSTAIDSQASQHVEIPDTQDAYTT MAMYSERMKPVIACLKSTPSSVVHFIQVLVTECMISWGRSVDNTRSYEPKSESRVPKY AFKLLLWKNNFDATINRNWRPWNKRYEPDEEEFMFYICTKATNGIWINGEKLRSHLNE NKKADGPYKYWAPLYDGDRVSVWQTIDGNSRTELTFRCVWGGSAKPRPGYGPQAIPTM VDTDTARQLDHLCDKIERKMRSLNEHDLCMEEAEYDMNERHKHIDREREKSKQFEKLR RQADRGGRRPSPMPGITYGNADSTPAMWTSQFRGGVPVFRRPSPTASDLLRAARY QC762_113450 MHLVLVKSGAPLTPLQLFCKQCVDMREAKRTTRRTGRESVILES LDRKIQRQESLREDAQEGFKMEELPAEMGERRGLISTDRSAGAISLGVSISSLDKLAD VIPKQLGVKERDFDAVEGYNLDSNRHGNRHFPAGTQEKGMDSRERLPLTSGRKPKGVS KLHFAALHRSRSEHQIANLESGVERHSLVADSSKSKQHERSLSKTSLDPMTLGFSFAT SSRRLSSASLRTLEAYCSPPQSNRGPVGSLKSSSQVSTPPEVVTGNNILPSPPKRQRQ SSHNPSRFRVNLKDELYPPSAPISLGNKQTPGPNPKSSAPSSSSSLSTSPTTRGLSGL YFALNLGAESKVNRDLGFKPPSPPSSSPVREPRSRRHRAIIRARAAVLSPRTTPYAPF TLSPLRRSSPVVAPESTATQIPTSEPGDRGSATPFRSPGNREGKVNSNPKRKRSEPLL HYQQYAGGEESEEEMFIPDVAKLAESYERMKQYEGQAFGSFGSPTRRRELPEGGVVTR MSELNALFEEDGDRVVEVDSDLAWKIERYDE QC762_0012590 MNGARLEANQYTSASAALTASPAGAHSCFLCGQLREESYQRLHA GDELARSSLCVSCRERYGLWRQQPIFFTCYLETLASHPNNFLLSSK QC762_113440 MSAETLEILADVGKGKSTRSVLRVIILALIAGASIASRLFSVIL DPWFNFRATKYLVANGFYNFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGAIWHALRAF TIPVDIRNVCVLLAPAFSGLTAIAAYLLTNEMTTYNSAGLLAALFMGIAPGYISRSVA GSYDNEAIAIFLLVFTFYLWIKALKQGSMLWGAFCALFYGYMVASWGGYAFITCLLPL HAFVLILMGRYSTRLYVSYTTWYALGTLASMQIPFVGFLPVKTSEHMPALGIFGFVQL LAFLDYVRSAIPGRQFQTFLWIFAGGIFVLSLAALVIATSAGIIAPWSGRFYSLWDTG YAKIHIPIIASVSEHQPTAWPAFFFDLNLLIFVFPVGVYLCFQELADEHVFIIVYAVF GSYFAGVMVRLMLTLTPVVCVAGAIAISTILNNYLVAKTPTEEDQQAAEAAEKKGSKS GLKSTNKPVVGIYGLWSKILMVGAMAIYLLIFVMHCTWVTSNAYSSPSVVLASRMPDG SQHIIDDYREAYQWLRQNTKEDAKIMSWWDYGYQIGGMADRPTLVDNNTWNNTHIATV GKAMSSREEVSYPIMRQHEVDYVLVVFGGLLGYSGDDINKFLWMVRIAEGIWPDEVSE RAFFTPRGEYRVDGEATETMKNSLMYKMSYYNFNNLFPPGQAVDRMRQARLPEIGPSL STLEEAFTSENWIIRIYKVKDLDNVGRDHISATAFEKGLKKKKATKKRGSRVLRVD QC762_113430 MSSGKVKAGQLWSKNKDELTKQLGELKTELGQLRIQKIVSSGTK LTKIHDLRKSIARVLTIINAKQRAQLRLFYKNKKYLPLDLRAKQTRAIRRRLSPEDAS RTLEKTKKRQTHFPQRKFAVKA QC762_113420 MSAPTTKTFGKSTRSVPAPAEKAQKWYPAEDEAKPRQVRKTIRP WTPRQTLVPGTVLILLAGRFRGKRVVLLKTLDQGVLLVTGPFKINGVPLRRVNSRYVI ATSYKVDLTGLDEAKIEEVAKPKYFTADKAQQKASEEAFFKQGEKPQKKEVTSSRAAD QKAIDKALIANIKKVDLLASYLASSFSLRKGDKPHEMKW QC762_113410 MATTAGQGWTQLRQQARALETQTETYLHTYSQFSSQSNIPPKPT EEERSTEAKLQELLEKRDNVISQLTRLLDSEPTLSSSSLKQNNLSLLRDKLADHRRDL SRLRLTLQRARDRANLLGSVREDISAYRAANPAAAEADYMLDERGRIDNSVGVADGVL SQAYAVQDSFLAQRETLASINRRITHAASQVPGINTLIGRISTKKKRDGIIMGGFIAF CFLVFWFMM QC762_113400 MLAARTGLRTGARASTCLVCQWRTFSTTGYQRLPTDHTPGAAAP DGKPKPRQTFAPAPVAATEPAPDSPLAHAPRSYGKKVKDFTPTPLSRPVGMNTPPAAG QNTGIDHRTFKQRRDDFVDYERHLAKREYLKNKVSRPYFRDWVNLSFHKGKTFLAPPR LFKADLSLYFPNLFGRTLASRQKADTTPLLAGHASVVTVFSGMWAENQIKTFVSPEQN PALHRVLKESGGRAQLVQINVEEDWMKMMLIKLFSWSLRNKVGKENWHRYFLVRKGIT DEIRESVGLLNSKVGYTYLVDHRCRIRWAGSGSAEGDEREGLVKGVRRLLEEIKAEGV TGGVAEGHVLKPLAAGKPTTGDKK QC762_0012650 MFLNQSSRVVRQQWRFSSRRLSSLSQQHRARGPTLRTPLSPPFA PPARLFHASRSFYAVKPVLLADIGEGIVECEIIQWFVEPGARVEEFSPLCEVQSDKAS VEITSRFAGVVKKLHYEAGEMAKVGKPFVDIDIQGDAKEADLQALAPAEPVTPTEPTT KIENQVAAQLPKQPPPAPPSEHKPAPWSNGVYEHTSPKPQPGEKVILATPAVRYLAKE LNVDLLQVQGTGKEGRILKEDVYKFDEQKNAPPAPAPSPFTPSSSITPTSQQQQETPM LLTRTQEMMFKTMTRSLSIPHFLYADEVDFTSLVELRSRLNKVLAKQPLFLDSATHPV AKLSYLPFIIKAVSMALYKYPILNSRVDIDPATSKPSLVLRSQHNIGIAMDTPHGLLV PVIKNVGSLNILQIAAELTRLQSLATEGKLSVGDMSGGTITVSNIGNIGGTYLSPVVV EKEVAILGIGRMRTVPAFGENDRVVKKEICNFSWSADHRVVDGATMARAAEVVRGIVE GPDVMVMHLR QC762_0012660 MHNHHIRPLHNPPHHLGRPRHRRPVHNPMIRAPAKVANLLLDHP IILPKSRHGPHPPNPQNCHLLLHHHRTEGGFGCGRVDVDAGVEDGVFV QC762_113380 MLSYDSAISLKGQTPGSPAISNGHQNISPSPSTMRLPTSSLSNG VRTNGNVSSSLSKNEQRHIWLVTGPAGCGKSTVAKYLATVLHMPYIEGDEFHPQANID KMSAGIPLTDADRWDWLTALREASLKELERGHRGVVLTCSALKRKYRDVIRVAPYFSP NLHLHFIYLDASEELLLQRVMARKNHYMGANMVHSQFEVLERPTTDEVDVISIDVSRP AEAVMAEALDRVLDTMETVAAEAQRK QC762_113370 MRLSFRAPLPSRGLLRLTSSAPTARPSLGRPSTDLKSTRSLLPL QPRRLFNMNSQELTQYYADAPPAVVRLEIEKHFNALDDKAKRYAHFISRASLAGNRIV LRQVSPESESIYDFIIALHRAAAGDWKGLAKKVGVDEAGLSAFLQYAAQFLGNSGNYK SFGDSKFIPRCDESVFTALASASPEAEKHLKATDGAIFSADKPGLLHLGFTDEGHLTT YYPDSPSIIKDEIDAVAKWMQVKGLLPENTRLRKTEDGVFELLIASAITSFPADGGDA GKEAEFVISEGPLEGRTIKLVYGDYSKEMAEITKNIKKAAENAENETQVKMHTAYAKS FEEGSLLAFKDSQRYWVKDQGPMVESNIGFIETYRDPAGIRGEWEGFAAVVNQDRTRA FGELVRSAPSLIPLLPWGKDFEKDKFLSPDFTSLEVLTFCGSGIPAGINIPNYDDIRQ LEGFKNVSLGNVLSAKAPDEKIPFIAESDLEVYKKYRDASFEVQVGLHELTGHGCGKL LQETAPGVYNFDVKNPPVSPVTGKPITTWYKPGQTWGSVFGGLAGAYEECRAELVAMH LSCEFSALKIFGFGDGSTDIDGEAGDVLYASYLSMARAGLTSIEFWDPKSQKWGQPHC QARFAILKSFLEAEDDFCKLEYKNEDLSDLTIRLDRSKILTSGRKAVGDFLQKLHIYK STADVETGSKFFTEMSNVGLEYWGTKVRNVVLKNKQPRKVFVQANTYLDEKTGQVTIK HYEPTLEGVIESWADREE QC762_113360 MDGSFGQPQRFPGYGDGFHRHSQSSGDQQLSNLDFSAMAQSQLS HQTMAHMGTDPSRMNTGSVAYESHDDLCVDACMGVGLYNGFQQFNHRGAPASLAPQTR WQNNQYQMDLSTMPLQQHQFHTDLDLSNPYQQCFDHHMSSTMASHCEEEDCQSMSASG CCDSECTMTGKCTGEECETEEDACTDQNCPSRPVVHVPEEVRDGAAALISINHAPGLS SPQHSFDFQQQSMNNLGCGLAQPSQNNFLLSPPWNAVGSVANHLLIAHDDSNLQPCTT PCPLGDPSIYTQCHMPVFNNTDAFNQFNLQQMNQLNQINQRNQLNQMLQECGAQYHDP EAYAAHFFSHHKVQFANMPPPPSTRPGQMRGFQNHNIISSRETMSPPEPALDTSDTTA SLGTPSPLTPTSTNVEMTDVKHSRSLSIVTSTDDDDNVKMETEEHRCLWREEGASEIC GFVFDDAEALFRHASNCHIKHAQKRPGDQGFRCGWDDCPRSAPGASGFPQRSKIERHM QTHIGHKPHICPVCQKGFSAKQALTQHLFIHSNEKPLSCNLCSKTFRYPSALTMHQRV HSGAKPLTCPVCGKGFSESSNLSKHKRTHEVKGRFNCLVEGCDRNFHRQDQLRRHMKT HNLGRGDSGGEEGGGMRSSEAPEMAGSQGEET QC762_113355 MGNLCGKPSSDTFSSPGRRLDSAPPPGKPSSASVPQLVSAAKTS KAPPKVGGPPRTLGGGGNPSSSQDDARRKAAEAAEARLQQSKKGGKLQAQLDKDKAKT RVDTLKDASDIERRHRDADSNAEILRNS QC762_113350 MARPRDSRSPSPAGSHAARKRKEDDRRDRDRRDGSRDRRRRSRS PDRRYRDRERDRDKDRDSYRWRDRSLDRRDDDYYRGARRDGVGGGHRDRRRSRDRGPD RVRSPERRRPRSRDGRDSREGDRDYRSRRDDSRDRRPRRDGSTDRGDGTRPRGQPKPA ESAAPIKPSEPAKSTPTPAQSEAEKKAERLRKLQAMKEKHAMKEAKEADVSAGSTRKL LAAMDQRAGGTVPSSPAKASPTPTSPAPTTAAASPALTQAFVSKFDPKAIARNARGNR ASSPTKLGDVKLGDVKLSNQGGSAIVAKKEGGLLPTNRTLSTFGFQKAADNQKSTSKR KLDMDDEEIIKRKLVKLPDFALENADTTPYVDADADDDVEDDLDLVLGRNEEEMAEAQ RILQERRDERIQKEGMAMEVDSEILAAEKEDPTPADNAMDVDEEIDPLDAFMADLEQK VPNSGISSKPNGNQANGKKAFEPEAYYSDDNYGYEADKADPSSILAMAAKKKKKDIPV IDYSKLELNKIRKNFWVEPLELSQMTEEEANELRLELDGIKVSGKNIPRPVQKWSQCG LTRPILDTIEGLGYEKPTPIQMQALPVIMSGRDVIGVAKTGSGKTMAFALPMLRHIKD QDPVSGDDGPIALIMTPTRELCTQIYTDLLPFTKVLKLRAVAAYGGNAIKDQIAELKR GAEIIVATPGRMIDLLAANSGRVTNLKRATYLVLDEADRMFDMGFEPQVMKIFNNVRP DRQTILFSATMPRIIDALTKKVLRDPVEITVGGRSVVAPEITQVVEIIDESKKFVRLL ELLGELYADDDDVRALIFVERQEKADDLLRELLRRGYGCMSIHGGKDQEDRNSTISDF KKGVCPILIATSVAARGLDVKQLKLVINYDVPNHLEDYVHRAGRTGRAGNTGTAVTFI TEEQENCAPGVAKALEQSGQPVPERLNEMRKSWKEKVKAGKAKDASGFGGKGLERLDK EREAARLRERKTHKAEGEEEEDKGDDKDEETKKDKAKEAIQAAVSAIVSRDASKTEAA EGKASGGLEHGVIKSSGVIAGSSSAGAGKGGGALDKAASAISEINARLARAGQLRPGQ PIDNKGPDAGAFHATLEINDFPQKARWAVTNRTNVAKILEATGTSITTKGTYYPPGKE PGPGQEAKLYILIEGDTEVVVGNALSELTRLLREGTIAAADAESRAPASGRYTIT QC762_113340 MLSSTGLAAARRVRVIGMRSVFSSIARISTRSAACPKVRASVRI AAVFNRGFAAAASRSATKTATATATRKTTTTTKKPAAKTTTAARQKKKASSTKKPTAK KAAPKKKTVPKKTGRLKKTVKEVPENVKLFRKVKELKAKALLNEQPRGLPARSWLVFV QKNGGVPKGQTATEFMSAMKKQFAALSSVEKQALQDEARANKVRNDAALINWITTYPV ETIEAANLARSHLKRLGKTAKLSLPDPRRPKGLLSPYIIFTTQRMKSGDLDNIPPTAR VAAIGSEWRALSETERQPFYEAAEKDKERYKVERASLSPSP QC762_113330 MLISLRLAVFGSHHPRPRLSSTVHLQDRLDTHFARYHSFDEQFV LLDLPLGTSRRRMKEGHMVRPETEILVHIAAPARAADDVRHRALARAYLDFEPSNVTE VQPRVRGEVEGDTQLSRREFVGYGIHQAPPSSQLNRGIESPILSFQSAEHNFDSPGLR AVPVTEHGISETQSSWQAPPSEIPDSMPNNHPPFEIFCTPSRALDFFTSSLDSQHVDS SPLARRRSQRLATASEFGSHLCSQPRRSPRRPNIRQAPGAPEIGSLQPSSVPEPSLPR LRIPSIPPQSSSYPGSTRDPINNRQLRARPHSSLNKELHLPSPSDPANKIIPQSPDIC VQKRPPPQPSQLSTSDIIEETVLYSSNPSQTSVPCSQEPPALARADSEPIAKRRRTAA DPQPGQPLTRSTSDIGPRRQPLVQLISNKPTTYYKSKLQIYAPSPPAAQTDLRPEDVI SPVLAKLATELDLSVRFRPQSQTRELRPFERGYWLVDTASWPADLKLSAWMFLTDYIE KGIAGWGTSCSRDQDFRWLRLRCWGCVVGHMHLLLYVAIRRQVKDMGMKWFGGDGEAV VVMAPR QC762_113320 MASEKKPSLGSAMVIGGCGFLGHHVVRLLLRDYTTTSISVIDLR CTRNRRPESDGVKYYEADITDADKLISVFSEARPDVVIHTASPPAQSNDSVSHALFKK VNVDGTAAVIKACQTTGVKALVYTSSASVMSDNKSDLINADERWPTVRGENQTEYYSE TKAAAEELVLLANRASPAPSLLTCAIRPSGIMGEGDTMVLYHMINIYRQGRTGVQVGD NNNLFDFTYVENVAHGHLLAARALLLTSSSSTVPLDHERVDGEAFLVTNDSPVYFWDF CRAIWAAAGSPMGTDHVRVLPRGVGMVLGFLSECFFAMIGKPPTFNRQRIVYSCMTRY YDISKAKKRLGYRPLVSLDEGVRRSVKWTLEQEKVNAERK QC762_113310 MAGYDYGGAGGGAPGSLGLGGGDWSHGDPRGNPHGHPHSGRAPN SPAAQGGNGEADLELRQSGERNRSRPRQARTASGQVRVCKKCGEPLTGQFVRALDGTF HLDCFKCRDCGQIVASKFFPAEDENGEGQYPLCETDYFRRLGLLCHQCGGALRGSYIT ALDRKYHVDHFTCSLCPTVFGAQDSYYEHDGQVYCHYHYSTQFAQRCSGCQTSILKQF VEIFRNGQNQHWHPECYMIHKFWNVRLNSPQEAPVITQDDTAGREHVRGEEERMEEKV YRIWSVLSTFEESSAACISDMLLHVSNGAYVDGVMVAKKFIFHVDILFRSADRLDATM SSDADPKSGLAYGREAKLLCKKIVAFFSLLSKTQDRVTRKPGVTQELLTLVTGLAHYL KLLIRICLQGALRIEKERNSPDGLYNFLDDLSDLEALKVDDHSTTTLQLTSGMSRLSA HDSDQCILCHKPIEDECAKSGDKRWHLACVNCSHCGKELGRKLQETRLKPYDTKVFCS TCDPHIPAHLSPFEHITKLQQYVFLLQVALARLLEILRVNGALDNGDDPNGGDLDSAE GRSRQQGGDYPRHHRESSYESALNDVRRLKSTRMDKHLSSSFRKARTSRILDGPESSS VRPGSAGGTGEGGQSRGFHIVDERGPIDEEDMTLPNQDALTLDDIPRIVAAEQAAREQ RSYQPNQNRQELFRSPATEPRLGAGGANNMHQRSLSDGKGVGSRGLPDQGTMRGTRRY FSELSGLEYFIVRHLAVMTMHPMVENEFTLEELLGFIENKKAPTFWKNIGKAFKNDKQ KAVKKKGVFGVPLEVIIERDGCESTDGVGPGTLKIPSIIDDIISAMKQMDLSVEGVFR KSGNLKKLGEVAEALDREEDVDFSSTHVVQLAALLKRYLRELPDPLMTQKLYRLWLTA AKIPDPEKRKHCLHLACCLLPKANRDCLEVLFCFLKWVGSFHQVDDESGSKMDIRNLA TVIAPNVLLDANKAASLDSDPMFAIQAVEVIIASIEEMCLVPDDLADLLQDQSLFNNS AELTTKEILKRFGDRAANGPIRQYSDVGELMGRHDNNPSRPPPRRIETDPASWQQESS VRPMQELTIPAFAAAATPPVQGTPPPHKRGPYDPPQQSPYRGPEAGNQGHLTPSQPPQ QQPQHNQPQPGPPGQGNRGEWRNSGWGRQNNGLTTGTA QC762_113300 MSTNGIRSSDRRYSSEEDHHPTTTNEHTRLLPNRVDSTTYTPHN SSNGPYLSPDDPAVSPYNLFTVRLVRYATVALTILTFIWWVLMVVSVFVTPPGLHVRG SPFFSFSYATIALVTLTISLIFFAVPSKSQRVLSLVLAGLLLVDTIVIVAVTRTRHEE IWVGIGSVVWATLMVGWVVIADRTVQWGKAEEEERLTGRPEHRRTLLEWTEVLLSSVA LSVITAVVVLMTCTLILRAVDSGLRPPGERYWVDEDKYQIHLYCYGNKTDASGAKSTT VLIEGGEDPVERGLWQLAENAVKNGSIERFCFADRPGMAWSDTAPSPLSASMASDVLG ETLSRAGEEGPWVLVSAGIGSIYSRVFSSRHGEDVRGLLMIDPLHEDLLSRVGDPGRG FLLWLRGVISPCGIDRILGALLRGRRSVDRVWGRASYQSGTTIFAKLQESLVADSLTK RDVASSRAIQDKETPLVIISSGEQIRRDGEWEAKQRDLSHLTRKLEDWNIVDKAPHRV WDTLEGRDVIERRLKKLVKA QC762_113295 MDPTYRTSYPPAMSGANPEATDPQHRSKSRKSSKSTSVKSSSKK QQPPSPIKDRSRSEGGGGGKLLGKVSKLTGWLSTSEPSTQALLSHQKEAFRKAGIPLT DSEAHSKLRAPIGEIPSDAITSTTGPDPEELLRRRKEERRRKERRGSELTAGGSIRSG GSVGSGSLSGYSMSGGSGSFRKGEVSGLSPVGGDGGQQQVPWNYGGMTNGSEGEVFSR G QC762_0012780 MSACFSLGLGAVEISQEYHNHKKLNFWTGINLKTHAKLQHLVQI AIPVPAKTLILSSQPSILPKSLLKPKNPPDTLLQNGENLS QC762_113290 MPGITIINDGTQATPASGAAHGSGPGSAPQQQPSQPQPPPPNSS SRQAPQRHQQPPQLPTTITTPRAPSPIRPPISPITPKLDPTKPTLPPHLPKETPIPPP TIPDFSLSCPPLSHTSQPQAQTAIPPPQPVPLDFDSNPDVLALKSAISILQLQRQRAQ ADIQSLHKAKLSALSDPASFVADLTTGKVGQKEEGLFGGSPPSDSDSDDSDEDTKKQD GEEKEKEPAWRKLPKPQTVVRCPPINWNQYAIVGESLDKLHAEQLKAPTPGVPVVMTG GSNQGMAGRFEFTAGQQGGGGGGAGGGLVGDNQPQKLVGIAAPYVPGRDKIEKKRGGK RS QC762_113280 MADQSVFRITKELSDLQKNSDLSLAVACRDIDVRNVKALIIGPH ETPYEFGFFEFDFKFNKDYPRKSPTVTCTTTNSGRTRFNPNIYANGKVCLSILGTWRG EPGEEWSAAQGLESILLSIQSLMSTNPYENEPGFEDANESSDKKNQKDYVQKIRHETL RISVFQRLEEYLGMDAGGSINPMVPSDYDLDMDTLDEDSTPFLPFKDLCKRRFLWYYE SYLAAVQKGKEETKDGQSFTRMPFEGASNSMEGKFNYPELERRLRNIKHALDAETDRW AAEGMEAKAKETTVAVNLYRQFEQVVESFKRADLPHNIELVDSNPFIWAVTYFGKPMT NLDGGLFRFRLHFSPRFPEEQPRVRFETRLFHHRISPDGTPCYVSAISKREDVKSHIE AVIDALEEENPPYDPRTLVNPEAFKLYWGGVDERRNYNRRLRRSVQQSMEDF QC762_113260 MASFFDLKARKAALANGAATKETEKKDGENTRVQPWVEKYRPKT LSDVTAQDHTITVLQRTLQASNLPHMLFYGPPGTGKTSTILALAKELYGPELMKSRVL ELNASDERGISIIREKVKDFARMQLTNVSSAAYKARYPCPPFKIIILDEADSMTQDAQ SALRRTMETYSKITRFCLICNYVTRIIDPLASRCSKFRFKSLDQGNAKKRLEEIAEKE KVGLDEGAVEGLINCAEGDLRKAITYLQSAARLVGAVQQPGGGDDGEDGMDVDKKTVS VKIVQDIAGVIPDETIQRLVKAMRPTTLGGNFTPIAKEVEDMVADGWSAGQVVTQLYQ AVVYDETIEDAQKNKILLVFSEIDKRLVDGADEHLSILDLALRISGILGGR QC762_113250 MPSPTSDTLTTIQRVLDPYIRPREEAAHIRRMIALHLESSLQHG SVREPLALVTDQKPGPASTTQGLYRQYLEALRANIKARDEFRKQTHETSHASEPEEDD SSNGGLERLQEHLAIISLEKKRERLQAIEKHLIQLSQKPAASPDFMNPKEVFRDSRPL PSIPREIVSAMTLDNTSTNAHLKDLNDQLEKHVFEAKLLLQKEEKALEKVRSQSTARP ETTTDSAKLEALNKTRIELISWIENELSKASGDDADHPPGSPDNRFRASKASINEPLD MDAQLASIKDKYDQYLEARKSLLNLVSQHPKPDIKPTKPEDMNSHNESALSSQPTSAA QLLTPYLEQLLALSREQKGLIAQKHHLNNTISKQVKENTTALDHLAQESQLIPAHPMP GGNRVKSSDHTLSTSNDDYTQVSGRVKQWVYAAEQAKIATFETVAEKIEEGQVALEGS LQTLAEVDLLLGRKAGEGASMNEGEEDIWMAEGSSPARKHTRRGSKMVEQPAAAAKTG TVWDMVNGNLGLLRYDE QC762_113240 MSDYQRDVSQYKYSAMSNLVLQADRRFVTRRTDEATGDPESLAG RLSIKDMGARVGRDAAPKTKKTSAMPNVERGDVQEGADILQHLKDKKKKGKTETRGGG ILASTDMIEGIIYRPRTQPTRDAFNLILTIVAEHLGDLPHDTVRSAADAVLEFLKDDD LKDFDKKKEIDGILGESMDPKKFNELVNLGKKITDYDAQDDEDEEMGDARPDADDEID GRQGVAVNFENDEDEDGMVDVVRDESSSEDEEEDIDDEDRPELQEVAEGGEAGIDRDE EEVGLVDGETMVIDAAPNGKDKSEEKNFVPARNIDAYWLQRQIGRLYPDAHVQHDKTS LALKILSGEPDEPGGEEKQLRDIENDLMELFDYEHHEIVQKLIENREKVVWLTRLARA EDQKERDTIEREMASEGLRWILDELHGKSKDDQKKPKMEIKMDIDSGAFADGKAPKQE KPDGQLVGGLQPKKLINLENLVFDQGNHLNTNPKVRLPEGTTKRTFKGYEEIHVPPPK KRNDPSDVNIPISEMPEWAQPPFSTTKSLNKIQSKCFPTAFHDDGNMLVCAPTGSGKT NVSMLTILREIGKNRNERGEIDLDAFKIVYIAPLKALVQEQVGNFGKRLEPYGIKVSE LTGDRQLTKQQISETQIIVTTPEKWDVITRKATDISYTNLVRLIIIDEIHLLHDDRGP VLESVVSRTIRKTEQTGEPVRIVGLSATLPNYKDVASFLRVDMAKGLFHFDGSFRPCP LRQEFIGITERKAIKQLKTMNDITYTKVIEHVGTHRNQMLIFVHSRKETAKTAKYIRD KALEMDTINQILKHDAGTREVLSEAANAVNNTDLKDILPYGFGIHHAGMSRADRTDVE DLFSSGHIQVLVCTATLAWGVNLPAHTVIIKGTQVYSPEKGSWVELSPQDVLQMLGRA GRPQFDTYGEGIIITTQGEMTYYLSLLNQQLPIESQFASKLVDNLNAEIVLGNVRSRD EGVEWLGYTYLFVRMLRSPGLYSVGTEYEDDEALEQKRVDLIHSAATVLKKSNLIKYD EKTGKLQSTELGRIASHYYITNSSMDTYNKLIQPAMNDVELFRVFAQSGEFKYIPVRQ EEKLELAKLLARVPIPVKESIEEPTAKINVLLQAYISRLRLDGLALMADMVYVTQSAG RILRAIFEITLKKGWASVAKLALNLCKMAEKRMWPTMSPLRQFPSCPGEIVRKAERIE VPFSSYLDLDPPRMGELLGMPKAGKTVCALVAKFPRVEVQANVQPMTRSMLRVELTIT PNFEWDVDVHGLSESFWIMVEDCDGEDILFHDQFILRKDYAESDANEHIVEFTVPITE PMPPNYFISVISDRWMHSETRLPVSFQQLILPERFPPHTELLDLQPLPVTALKAKDYA ALYPDLTQFNKIQTQTFNSLYGTDNNVLVAAPTSSGKTVCAEFALLRHWNKQESGRAV YIAPFQELVDLRYHDWQKKFANLRGGKDIVKLTGETTADLKLLEQGDLILATPLQWDV LSRQWKRRKNVQTVELFIADELHMLGGQMGYIYEIIVSRMHYIRTQTELPVRIVGLSV SLANARDVGEWIDAKKHDIYNFSPHVRPVPLELHIQSYTIPHFPSLMLAMAKPTYLAV TQMSPDQPALIFVPSRKQTRATARDILTAALADDDEDRFLNVEVEQIQKLLERVQEPA LAEALSHGVGYYHEALSQSDKRIVMHLYKNNAIQVLIASRDVCWELDCTAHLVTVMGT QYFEGREHRYVDYPLSEVLQMFGKASQSSRDGRGRGVLMVPAVKREYYKKFLNEALPV ESHLHNFLQDAFVTEISTRMIESGEDAINWATFTYFYRRLLANPSYYSLTDPTHEGLS QYLSDMVEATLKELSESKIVDFDEDEGTVAPQNAAMIAAYYNISYITMQTFLLSLTAK TKLKGILEIVTSATEFESIQIRRHEEAILRRIYDSVPVKMAEPAFDSPHFKAFVLVQA HFSRMNLPIDLAKDQEVILTKIVSLLNAIVDILSSDGRLNAMNAMEMSQMVVQAMWDR DSPLKQIPNFTTETVKVANKYDIQDIFDFMSKMDPDENPDYNSLIKDLGFTQAQLAQA ANFTNSKYPEISLEVEVEDKDGIRAGEPAYLKITIEREIDEDEEYDPTVHAPFYPGKK TESWWLVVGEEKTKELLAIKRITVGRKLNVRLEFTVPTAGHHDLKLFFMSDSYMGVDQ EPSFSVEVAEGMDVDEEEDDEEDDDE QC762_113238 MSREGSPVAPASEAAQPAVEKKEEDAPIAPAAQDIKEAIAAESK AAVESAPAEEASDNKVEEAPAAEKTAEQAEEKPDVEMTDAADASEAGAPSQPSADNNA DAAPKAKANRKSIGAGEAKGKKLNRKASKPRILHTDAQPGQYFFVKLKGHPQWPVIIC DEGMLPDSLLKSRPVTAKRQDGTYRDDYADGAKKVADRTFPVMYLYTNEFGWVPNGEL IDLDPEEVKNINTDKMRKDLQAAHKLAAEQHPLQFYKDVLDQYQAEQEEKERVKAAKA ATPKGKKKSSAVVDEDVDMDDDAENSTPPKEKKSKKRKADEPAETPQRTESVKKTKIK LTSNATPKATNGATPSAKAKPDAKATKTKSKKTKDGEDKKIENEASEAPKEPELSPQE QRERKEREVLFLRHKLQKGLLTRDQEPKAEEMATMSEYITKLEGFPNLEVSIIRTTKI NKVLKAILKLENIPKEKEFNFKSRSQVLLNKWNELLAVDGGAVAPAPAAKAVNGTAPK EAKTNGVKGDEPAKAEKEEAKEEPKEEKKDESPAEKTKEKSEEASEPADKMDVDEAKP DAVEASA QC762_113235 MSEFDDTSLFHEDDHRVAYIFTAPPTTTAATNTSPTTTTKSAPV RPAKRRRVLEKDTAAPLPAQEKDDDEGRQWPRLFNGLESPSAADLRKKTYESAWPVLE SEIESVLRDANRQTLEEVVEFLNSDVHECEGRGRVPAGFIITGPNIAGQDLLFSQLGD VLAERGEGRVREGMGGQEGGGWEDGRGGRRYLDYDLEALRVEMEGRGGVVVAFQDSEA FDTGLVGELVGLFHSWQDRIQFSVLFGIATSVELFQARLLKATARQLYGAQFDVVQAN AVLESVIMAAVAGTKAQLRIGPGLLRKLVRRQQEQVAGVGAFISSLKYAYMNHFYANP LSALLVDGQKLDKEILQPEHLEAVRMLESFKSHVEQSVEDGRLDHARLLLENDDYLKG RINRLAAKRQQYLGKLLQSLALVIATEHSKRSFVELYEAALEEGISLDQSPGPFDLGD AIKRSTPEELIGLIKRVMTVVVDGNPSLHWNGMGIGQDAEAAIDKFEALRADVERLIE TSKKKKTSLKSKYSSQSRVLRTTVVAQKVQLSRDTATLTEEDNAFTAAIDDFFAFFKT LVGCEPLSNLFLNEVWQYDYHLPYEDVFVPRPGATFARALSRPHDYLGCACCDKANGS MVGTLPATSILYHLYSEAGASINVADLWAAYYALVGEDSEIGMDERSALVLFYRGLAE MRAMGFVKQSKKKADHITKLKWL QC762_113230 MDPTSPRSPHRPSPFFPRSPHPNLTTRRSHISPSFAAKLSQMSL QLAPLVQFTTGLVHPSFPSTLLNFWLLTEAQLDELAHFYHQRTPSVYTSQYPCPVYWP SEGMTIEDKRRKLGRFIGLRGCDSPVMNGQVQEMMGWSEERIREEIRRRMDREREEEE ARGKMGGWRRF QC762_113220 MFDINWRGLLLPLAYLAVLAGTFVTFSTVYRRRKALQSANLAPW FGPHLQRNIYLSLLHMEPEEGSEKAPKIPDSVIRAALLRRAVEDINRIIQIRTAKAAC SSLLQRGSVGDDLWQRFQRAEKEMEDELRDVVMEANALVPGWGQIIFQSANEIAANKV LRDRLDEIEAQADKEKEWWEKRRATIQTEFMKELDTESEKSNTRPSTSSADEPVLVDG PSTPQGGPRKRKGKK QC762_113210 MLLRLRTPDGMIRLTVEKSNTFKQLAEQLLPQLPSTVDPQTIRL SPQPSGAESKLLSEIAKYKLEQIGLNHGDLVFVSYKQQDAVADGNANGDASAPTLLAK TARLNGKPILPTEDHPIDPPPSIDTEVKHIKNPWEVVKQSPLDDRLDKKDGKIPRGKD AKMCRHGPKGMCDYCTPLDPFNQTYLDEKKIKYMSVHAYMRKTNSATNKPELGSSFIP PLVEPYYRVKRDCPSGHPQWPEGICTKCQPSAIILQPQTFRMVDHVEFASPGIIDKFL DAWRRTGAQRLGILYGRYMEYEVVPLGIKAVVEAIYEPPQIDEVDGVSLNAWENEQEI NQIAKFCGLEQVGVIWTDLFDAGKGDGSAVCKRHADSYFLASQEVCFAARMQAQHPKP SKWSDAGRFGSNFVTCVVSGNEQGEISISAYQMSNDAVEMVRADIIEPSADPTQMLVR EEEEDDGSVSRTRYIPEVFYRRINEYGANVQENAKPAFPVEYLFVTLTHGFPESPKPL FTDEGFPIENREYVGEAQQPSAVAKALKVNQRGDNRLPVSDFHLLCYIHQMSVLSKDE EALLCKVATHQDLAEAFQLRETPGFQTLKMILESTG QC762_113200 MMSSLDEKPHYTPLLSQLPSNHQRDHDEESLTPPPPPYVDESLI WKRRFYTLLVSSVTVILSLTAAFTYHYTSYPKSYSSTCPILPTTGDNPEVIIPFSPAP VTYTNKFMNTDPDTSKFLGEPRPELDKAWHDLLDGTLIYFSEDELKKAGNAVSISREG GGYVGGLGVSHSLHCLKRIKQYLHPTYYYPDISTTPGNETWSDLTSHVDHCLESLRQL VLCTADTNVYTLHWTDHSTLKPSVKVPQPNVCVDWKPLHRWMKGRGVGFGEMVHPASH HFGQKKPSSSVASEPTATPEEEKSGKEAEVVTGSEYDS QC762_113195 MKFTVLLFALSAMIVSSLAAPVPQGKFSYIGLDVPDDAVEQCKI PNGPGGPESRHKRDGTMEFDVDLCILKKRAARES QC762_113190 MTLLTRLQTALLALTAASAIAEPIVAIAKNKITYRGTTSGSIEH FQNIKYAHDTSGHRRFAPPQPYVPPEGSEMDASAPGPACPQNRAGVPPFFADTPDISE DCLSLRISRPAGTTADDKLPVVVHLHGGGVVKGSAYDPHYNPENLLTLATSLKKPVIY VALNYRILIFGFARLPILKDQKSMNVGMRDQLAGFQWVKDNIAAFGGDPDKITSFGLS AGGTFTSLLLTSYRGERGVPFTQAWCMSGPPGTGLNMTSDVTELHTREVAKTLGCTST DDSELLQCLRGVPLEKLTEKAAEYASANHPPLGLFTFIPSVDDDLIPDRQTTLYKSGK FVKGIPLVYGWAQDDGALSTAPAPTYQTEDDMKATIQGVAHALTDEDYKKLFSLYPEA DFAEEVSDYEARKAESDPTVGVHYFRVARILRDLQFTCSSIDFGSDMLKESKRLDPEY PGVHLYSLNQTMLAPMFAGAGMPYIGATHGSDLNYLFNLFPEGKITDEDQKLSRAFIG SFINFAYTGKPSPAEPEQQVEETLGRWPEAFPVHGPESVSLLVIGGPWGTGNTRLTAH KEQRDGNLKQMPIDGGNIQVGEMRVRSCKERKRQLKREKLFERCRYINSLSEKLGN QC762_113180 MSEAQYDNPAALVAGTVSMQLVTTACIGLRFYSRRWKRQRIITS DWLVLAAYVFGTGLSVMMLYGISQKAIGYPLGGTIEDPAAVNNRLNKAKHMELSCLLL GIVALGLIKLSIAFLYWHLFAKVMFRRFLIFWMVILVLWILGFVLAGLLECGTHLTAI FGTPQDYIDHCGAAIPAGYGMIGSDILTDVITLLIPIPVIVKLQMNKRTRILTLLVFS IGVLCVVASVLKAWIYITGSLGRWSMDAISALSGIAIWNLVEVQVGIVAACGPPLRAI MSRLLPIEAATISLLSLLGVSRLSSSKSDTLPSFVRRPSKIDPEDNQGSPIKGTSTRS TDTAVDHDENDLGPWDQPVPVVRVASKGRGGDV QC762_113170 MKQAIHSFSFQRLCSCLFLSSSHAFPMPPRTLSLDLGRTSNYVC KSCLAHLRPVTNQPPQWLARQASTARSLRSRTRKPATELKDKDPDPAEIQRMLAEDLF NGSAAQSNLDIKYFSENIKTSERKSLQTNEEFSEDSTGLDHEVLTSIEDLERRMLDTL KLINTMEKEGKKEKAAQLRKQFKTTIRAQYKGKVGPEGEAYGVLRIKGFSGPRYRVVE DLNIFLAREKVLEKGVPSHKDLAECWKFYSSARKTLALDWAKVPREVWDFLFMVLSFD SRDNPNRMQHTYVLAKDMQAAKVPLSDSQQLLAIEAMFIEGWEIEAIDAWKKAVITIG SNKETFTPYYELGVRMCALHGDVERAQQAADTLLRSSHPPSPRIIIPIVRALAAKKSM LDEAWERYQDMRTLLGGSMTIEDYDEIIGSFLTVGAVEQGLQAFVDMMFSEAIDIRGR DRLPMVVGNHFFTGKWLKRLIGAGDLDGAYKVVTYLQKKGIITAPVQLNGLIAAWMRS GAAENLEKADKVAWQMIQARLDFVELRKRESIANGNLTLYNPKPNAINEKQELKNEVE PKCLTRATAETFSLLAENYCSRRLHERLEELFRVLDQAEIMPTTFLMNQLIRSYSQAN ETKKALNLYSRLADEIGVQPDGHTFLTMFNSLSVNRLIKRIPTFTEHDIASARKFFKD MVETRWEFDTPELFGQLPRTILFSMFKAKDWVGAIVACRAMRRIFGFHPTDALLVELM SGIGSLQVKTKRNTLRLTEGARIIENFKHGYRKDLIKQGHPGEEMTPEELVEENHAVF EQIVYRKAKVRQVVPEDLNKWVGEVSKEMGVYDIVVKADQEAISECMKFDKQAIMG QC762_113160 MADSKPQFIRLTGHRAFTQRLVLATLSGKPIHITKIRSTSPTNP GLAPHEVSFLRLLEAVTNGSVIDVSYSGTTLAYQPGLITGSVPGAGTFLSNDLIEHTI PANNTRGITYFLLPLALLAPFSKAHMNVRFSGPGVITSSTNAPGDLSIDTFRTAILPL YGLFGIPPARIELRVLSRSCPGSGGKGGGGVVELRFASQVRLPKTLHLNRKPGRIRRI RGVAYCTGVSASHNNRMITSARGVLNQLVSDVHVAAQYDPAPLVQEKGSTMKKKIGIG FGLSLVAESSAEGVIYAADVVAPPEGGVVPEDVGTRCAYQLLEVIAQGGCVSAAAAPT VLTLMAMGSEDVGRVRLGRDVLGREETIGLARDLKAFGAASWGIRDADKDEDEATGEL IVSVKGVGNIGRKVA QC762_113150 MNDDPGSSSTARPNTNTTDPDHSEGSTTEASSRNDGQSSDSEVK NKQRVGLAKKLEFVTHLQRSLDMLVWSYMCTLYYMECSLSRLIIRGLPHLAFLSPKEG LLLPAQRPHLFAIFLPAFFCILFHLVLSLPVAGEATRGYLQGGVLIDFIGQHPPKTRL TFLSIDITIFLIQCLMLAVHQDRERLKKAVFPSLRTIIPGDEAQLDVPPAIAQDHDAE ERGVLSDQTFMVDNEGIELRPLNQSGREGDNDNDNDERERMGSGPYASVTTTVDMIDI MRSGNAVLGNFHVVNAVRTVGSGVQNTAAYSLRSFGYNATLAALAAERRSRLVRLRQP GTATTLPT QC762_0012960 MLSTVYKAGRAPALLRHGRRVAVAPQTAQLRSLTSGVQSLPVRQ PTESPIARLQKRFLSVSAARPGNAAQCAPNPYAYLDSGVIKPKEFVDVKKVLVIGSGG LAIGQAGEFDYSGSQALKALKEAGVSSVLMNPNIATIQTNHSLADEVYYLPITPEYVE YVIQKERPDGIFLSFGGQTALNLGVQMEKLGMFEKYGVRVLGTSVRTLELSEDRDLFA KALEEINIPIAKSIAVNTLDDALEAARNIGYPIIVRAAYALGGLGSGFANNEEELRNM AARSLTLSPQILVEKSLKGWKEVEYEVVRDANNNCITVCNMENFDPLGIHTGDSIVVA PSQTLSDEEYHMLRSAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSAL ASKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYIVTKIPRWDLSKFQH VKRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPNFVGFQGAKFEDLDFELQNPTDR RWLAVGQAMLHENYTVDRVHELTKIDKWFLHKLQNIVDCTKELQQIGSLQGLKKENVL KAKKMGFSDKQIAHAVNSTEDEVRARRLEFGIRPWVKKIDTLAAEFPADTNYLYTTYN ASTHDINFEDKGTVILGSGVYRIGSSVEFDWCAVSATRALKAMGEKTVMINSNLQSGP SSLLWLSTPSLRARAWLCRTVSRTCACPISCLCLVLPDLSRQQRALEVAAARGRLRIL RTAAFVNPAPEMCLEWRQDLVHIC QC762_0012970 MRSMILKYNPETTSTDFDEADRLYFEELSYERVMDIYELENASG VVVSVGGQLPQNIALRLQETGKANVLGTDPRDIDKAEDRQKFSEILDSIGVDQPAWKE LTSVEAAEKFAQEVGYPVLVRPSYVLSGAAMTVIRSQDDLKDKLEAASNVSPDHPVVI SKFIEGAQEIDVDGVASKGELIIHAVSEHVEQAGVHSGDATLVLPPANLDQDTMDRVK EIAQKVAKAWNITGPFNMQIIRAEDPEGGVPALKVIECNLRASRSFPFVSKVLGTNFI DVATKALVSKDVPQPTDLMAVKRDYLATKVPQFSWTRLAGADPFLGVEMASTGEMACF GKNLVEAYWASLQSAMNFRVPEPGEGILFGGELSKNWLATVVDYLAPLGYKLYAADAE VKEYLETKSKHKINVEVIEFPKEDKRALREVFKKYDIRGVFNLAQARGKTVMDVDYVM RRNAVDFGVPLFMEPQTAMLFAQCMSEKLPRPEGIPSEVRRWSDFIGGKPL QC762_113130 MGGFAFKSDMPLLFRVRVFLAPATLYLAHGLISSIALTLSPRFI KNSPSTSNNEHCPRLKTLATSALSNNLTYYPSAVYPPHQPQTLKMSTTNNNNHPQEEE EDDYMSPLFLAPPTTTSSALPIKESSLQRHARLKREAEARSRPKSKAELAQEAELQRE KAHSTSLLASKPQSKGLAMMAKMGFRPGSTLGSGSFGSAEPIRVSIKEGKEGIGLESE RKRKLRELVENMEKTEKKIKVGEVDYRERMRQEREEQRLEGQVRGAQKVAEGLDSERA KERGEEMGKRLKGIPVVWRGLVKAREESERDRRMRRDLEEHAMSRLPTYDDGDEDADD RKALGKNKVVYEVAEDLDEEDEELDEFNGLTGEEKLRRLVEYLRKEHHYCFWCKFKYE DERMEGCPGLTEEEHD QC762_113120 MIPTGLGVGLSSLLLCSGTASALVWNTFDGPGSPACHNVSRVHD ATSVEDMQSVVKSTIQSKSLVRAAGKGHMWYDTQCSDDSTIIIRTANVAGIYDFSLPE GAPHGSVLVDAGVTFFQLAEYLHDRGASVGYTLTNWNISFGGSVAMGAHRSSIREDSM VAAGVLAMEIIDGKGEIRKVERNESDDDWLAASTSLGLLGIIARIKLKIYPDSKVYAK QNTFDEKELLEGDIYGMVAPYATANLWWWPYKRKFHQRYYDVVPANFTEQQGFQNTFS VTELEAFAAKNLLNSGKYLPTSNMLMEEIFFGQWEKPNFREKTTNKAIDKWPVYGWNY DVLIGGLYPDQKAQWDYGLRAYTLELAFPVTMANAVLKRARGLFDEELKRGIIMTSTY RSGINIKFGRHYFDFLGQQTYNTSDGVDWSKGTIMFDFPSYRPSVGDEKRFNEPFYRK VANALIDEFPCRPHWTKNTREVFARSKKHLDPNYLARFKAVRQKFDPNGIYRNVIGEI LDMY QC762_113110 MSDDLCTLCLSLSTTIHEAFTDEAEATPLSPLWHKTLGEVHTSS FTCRFCTIVIKGWSQSRVVQVERATLEADYDAEHPPADLHRPIHEIPAYRNEAELEIS LEKLPRYLNDGRRLRNRWAVVCNCNVKISTSFDVHPSLRAHLNLARHDGEGDTEAADR DAVCAPQELRIDQATLEAEPGAETGQDINVVSLVSASPLSKQSLTLAKTWLNKCVDEH GRTCQPLDKPVGWMPSRLIEVFPGGDRIYLQEKKSIDSDGHDQDDRFVALSHCWGAGG TPFMTTRKTLALHTHEGIEISKLPQTFLDAVILVASLGLRYVWIDSLCILQDDPEDWA REAAQMADIYRYAHLVIIGANSPGVTLGFLSSREAPDVVPLPPPPPTSPSSSRTTTNI KLCLQLDGRDWTYSWKSTENPDHLRNEPLSSRAWCLQERFLPLRALQYGTRQAFWECN TIRANEDGEVVGQNILSMQRNYVSRLAKTANVKKTIFSKGTWRPADREVSGGCRYNWV DWHWMVQDFTARDITKATDRFPAVAGLAREVVRIRSKNDHEAMREMQGEYMAGLWKSG VLEGLFWCRAGPERLLEPTKEHVAPSWSWASVVGQVQFPVYEWYEKRVAWKSNVLDFE PLAEYVSHSLELRDHDPFGRLAGGTLTMRGPLLPVTKIKARQEKEPPLNDVYGLAPSR SEVTDGVYRLQTERNGCIWVEGGTDFPSSDSQIDTDGLAAMLLIRVPHVLDHGFVDYR FGLLLKRLDDGCYQRVGFIDGAIMKEMGLPFFKSFEVVGYPRPYEEGDMDDVRRDRRA RRHNDLALDPLRLEKKEVTIC QC762_0013010 MLAVEKPLLLISSVSWQRQRGLRVKVFGNSAKAKLYRSSLGTTF NPSGLRSIIEEYLHPCGEGEEPIFGNHNLVLNLYLPCRLEENGSANTDNPHAVQRRTS NGGVV QC762_113090 MVSLLSRHGPSVCLRAPALARTVTAVPHRQAQVADVGKGLETTT SSIPLFMNRKSAAQSTTPAPIVRPVATALPQDRDEFWREVPVWENVSAKDFLSYRWSV ANTVQGTAKLFKFLQAVVPEEVPLHELGTQMQSRDEFIADVMEGVAAATMAIRMTPYI LSRVNWENPRHDPIIRQFLPLKSVLIPDHPKLALDSLHEEADSPVKGLVHRYSDKALF LPTSVCPTYCMFCTRSYAVGADTDTVTKASLKPTRRRWEEAFAYIENTPALQDIVVSG GDSYYLQPDQLRMIGDRLIGMPNIKRFRFASKGLAVAPSRILDESDGWVNALIDISNK AKKAGKVVAWHTHFNHPNEISWISTDASQKLFEEGVMVRNQTVLLRGVNDDVDTMSKL IRDLADNKVFPYYVYQCDMVERVEHLRTPLQTILDLEARIRGSIAGFMMPQFVVDLPA GGGKRLACSYESYDPKTGLSTYMAPAVTGRDKENKVYEYYDPIDTLPN QC762_0013030 MSGVATITTVAFAVVSHWFKEKAGLATGCVTVSAALGGMFFSLV LQSLFDWLQWRDAALILTLILAMFVTLGNLLVETNLPPQSKTQGEQRTAGETEISRKA CGTWQSILGIIQNPKFWLITYAIFAYELVLFIQWGSIPSYAVATNFGEKQFYLMMSYN IGAAFGRILPPFVSDRLLGPLNTTIAMNIFTLTAVLAIWLPAGASSIDMLYLVVVLMG IGTGSFVPLGGRISRNISLA QC762_0013040 MGALCKPQDMGKWLGFAYAISGFATLIGNPATGAILDRHGSNGL VAFLAAVLASGLISIGILRWQCNGRRWLVMGKI QC762_113075 MIPPPCLGCKNPTASCKSNVLFRTPAQLSVQNHPVPLPDNCSTE THITNHQCSRKIESHTFLFSVWIYPLLSLTGPAICPCHLTGALPSYQYPKIPRSQLAG FTAIFQDITDQRQRPDGRAEAITSKRSTPLKAQAQRHECHYGMYFSPPTPPKPTTHHL VRSMPTFIMIWDCQNFALFFFFFFASSHR QC762_0013060 MALSGDIATKRPTGPLSNVDLPDELEFSAASNQGGWKRKLPVTV TDDKGEEENKPHCPEP QC762_0013070 MALMPPRCPAPDLRMDQRAENALLVLIARWDWPFSGTVETSPTA ISKHRIFRPQPWVSFGTVSASPTVWFLRRTEVRRMPQCSFGTLDTRQDFSRTAPSHRR MTR QC762_113070 MSTAPSYFFYRPGPSVESRPNHFVQQPFHPAFQQQQMMMNLPVV APLPSTPVYSRPTSSCSSQQGPLLSHTFNGTTISPAVLTPASSPQPINYKSLLALDTG LNEFDGLRSPSTPALSCSGSTVSSPGSTYEMLATPLNPMLSGLDGFETKGKREEEGLE CFPDLEPWSSTCSSPQLVPVYLSSRAQAPQTATATLNRQASNDLLSPASCPSLSPSPS PYARSVSSDDSFCDPRNLTVGSVNSTLAPEFAALPAFCLGEEEDQKFVLRGDSFASSH KASTIFTSQDLHRTLPSSFTTGDLSDFDSDDEFQGLAILSEPLVSQASSRSRSCSETS FKCEEDFEDSDSFAASYLPRPPSSCEDADEHQPKRQKKSADSCCSKPAMNVAAEAEAQ SGAAQDQSQTPANQDNTTSEAQNNNSGANSDTADTPSGTPAAPTNRRGRKQSLTEDPS KTFVCEQCNRRFRRQEHLKRHYRSLHTEDKPFECHECGKKFSRSDNLSQHARTHGAGA ISLDLLDGSDMAAAAAAGHLGQGYPHPHGISLAPDYHTLGHVLFQISAEIPGSESSSD ESTESSRKKRKRSE QC762_0013090 MWSFPLHISTLALWQYLDVHSPGSAGTWSSPKEKKKRDAAGPPN DPGPGIEHCGIVLFWNWVFPPAPKLSGGSLPHLERLHLPNGLASPPVTQKPGTARRAA WIPERDKMGKRPQNRTTKSVPVMAVVRGFIKATVKEKIPEDSINHVPMTELAEKNDSL RRCDEIKSFTELQLELWEL QC762_113050 MATTPSPSSQAERLNVIALISGGKDSFYSLLHCRENGHRVVALA NLFPSAAGAGTGTGRTSPSSSVSDDAAPPPGATIPDQEEEDLNSHMYQTVGHSIIPLY AEATGIPLYRKAISSYGATQHGKDYSHYVSTPEEVKERKHDETESMFFLLKGIRQRHP EVNAVCAGAILSTYQRTRVESVAVRLGLTPLAYLWKFPTLPASPGSDDGQLLLDMEQV GLEARIIKVASGGLEEGDLWVNVASREGKNKVERGMKKYVFGGRLDEGAVIGEGGEFE TLVVDGPGGLFKKRVVVEEEGRRVVREGGGTAWLSITGARLEVKEELRHGKIRVPEMW DDKFQAILDTLASNDELPIQDLSLEDGQGNTDAALPDLTKLQPSNIQHLIFTSIDHPS VQDETTSVTTLIEAYLASKSLPSTVILSTTILLRNMSDFTTINPIYGSLFPFPNPPSR VCISCGDLLPKGINIVIALALSATPDIQRDGLHVQSRSYWAPANIGPYSQAITTPLFP NSQAKAVRIAGQIPLIPATMTLPPPEEDLNTQLVLSLQHLFRIGVETGVQLFSSGVAF FPRSSSGNMQEKVKLAAKVWELAHALPKSENDDDDEEEEEDEDEDGPDIWDRKYNSAY TSFASAGQATHRLRLPDWSAVKTKTTIPPVFVAEVEELPRGSGVEWQGHLGIASAGED SVEIVKRGESIWQVVVEERFVQTVVVEGLDRDSVDEGVGAVVKELEGRWLVPVVTYLD KGFEYRLGEEVKGLVVPCRSLWDGKGERVGMVRVWEGVLRED QC762_113040 MAPIMDDNPSSTNPNHPESSESEYDGSFMSTPVEADTDSSDDKK LTISKVVVVEVSPSPDTDNATQTINPPTSRPLLDISDPESVIKAAKLIATFANEIERL GGVKFLEDLEKIRNNQTPPKSNTDPPSTSISADPENPKPEEASGSQYGTSQAQETKEA HTSTATGETVAIKDHDVPAKAEEVTAKDQAVQAAPPEKEKSSPEESPAKDDDTEGARE SSKEDPPKDASLDTTTEKKDQESSVEDGKEDGKEDVTPEGIEKKDEPQKDESPKEESK AESTDDDPKETDSEVKEEGTKEEEGEAKESDVKDEAKEGETKEGEAKEETTDENKPEE AKEEAKEEAKEETPEEVRQEPPPAPAPAPLPLPDPPHDPFKGVRADPTETEEIPLDAN GKPKPSASRPGMPILPALGRRWVAKESDGVELLAPEKEWERRKKELGEKSLAIDQLMA MVGLEQVKSEFLAVKSTIDAAKNRRGMLRRQEFNLALIGNPGTGKKTLTTIYRTLLKE CAAWSSVNSPHNEKRSGFDFQADKDIEGFHLVLNNYGENSKVFVFIDSIEGMTGSLRA DLLYTLDRHAERLRLVVVIAGTETAMNKLLASRPSGRWQFPRRLTIKDYDDEQLRLIF LQMVRHNGFTIEGGETGPYPRIVAKRVARNRESGGFANAYDLVLAWEKILDRQAARLD LEHAAWKAAEDKRAEEWEAALEKKRANLRELLKENQSLQATEEWLTEELEVATQKLEK KQQEREHLKEKALSSSESSVTEPKDVEKPEEAVKTEAVAQPTEVLKTEEPAQTEAVEK AVEPETEAVEVEGEGLRTVEEGVKPVEEDVKPVKEDVKRDQGGVKPESEAVKVGEEGA DTNQGGVKPTGEDVEPEKEGVKSAKEKVDPEKEEVKSAEEEATPKQEAANSEPEDVGK DAKVDKEDPKEKQRVLSPEEVEIAAKIEELKKAIEEGEEMLSSLVEYKNCRGQEITAR LIKLLKYKKLPMPVSVDDKTSVPKEPSEKSEMSTDNDSKDERTKVQKADPSSAEGKTK PNFDEKNLELEKDRDKKDGGLEKDGGLEKNEEPNKDEDASKNEDASKDEEPEKEVEPS RDMESTKDAESSTKDIKTSQDEKPAREEEATDGETTAEDEAKARQLTEQDTEADTDGQ SDKKSTEGGTKAEDEKSASTDESDESDEVDLSFLSQGPAPKPNTRLLTKEDIIGPEPE DIRDKSKAWKELEKMAGLESVKKAIDELLDRARANYRREVLGKEPLKTSLNQVFLGPP GTGKTTVAKLYGQILAEIGLLSTKEVVFKTPADFIGQYIGESEVKTAHILDSTIGKVL IIDDAHMFYHGGRPGTTHESDEFRLSCIDVMISKIHNQPGEDRCVLLLGYPDMMEEMF QKCNPGLRRRFPLEEAFRFQSYDDKTLNEILRLKMAKEEITANEGAMEVAAEVLRRAR DRPNFGNGGDVDNLLNQAKTRYRARTKAKAETEAEGVEKQCTEKPVELPPVTRVDIEE AVAGEQLAQAQVDLPTAVKTKAAEPPTDSTQPEKEELESPPPTETTEKPTDNADILTH SEASIVLTREDFDPDWNRGATASSKCKSLFSSLIGFESIISKFEGYQRLAANMRRRGK DPREIVPFTFIFKGPPGTGKTHTARIIGQIFYDMGFLSTNEVIECSASNLIGQYMGHT SPKVINLFEKALGKVLFIDEAYRLGGGNRATGHASYEEEAIGELVDCMTKPRYLRKMV IVLAGYDKDMDNLMKVNAGLRGRFATEINFPTMTASKAKQHLENLLLKEDIELRDEFD PGEEEREKVLRYLHQLGKTAGWANARDVKSLAAAVTGQVYRDVDLDELEREENEEEVV KRKLLRVSTKELNGHLREMLKQRMRSGGLA QC762_113030 MHPLPRQLLSSSRSHPPPLSSDRFANMAPATRLCLTLPLRAAGR RRTSAFTSTRTIHNNPPKPAKVVPVYGTGPPPEPPTPAAEYAVEERLARRKRQAEMLR QARDIRKNNGNNSKPADPNAPVLKRRFWKDVSIKEVVGTYQIHLDSRPLRHPTTKSII RIPLSKPQLAHALAVEWDQLLSAQEATKQHLIPLTSLVCRAVDIGAEDAAHPGGPGPI RESIVTGMMRYLDTDSLLCWAPPADSTDPHAPSSYLNDEGKSLRDLQEEAAGGVVGWL TSKVWPGVNIVPVLEDSGSILPRKQEPGVREVVQGWVLGLSCWELAGIERATLAGKSL LTAARLVCEWSEERQDLTQGEERKFGVEEAARVVSVEVEWQTRRWGEVEDTHDVEKED LRRQLGSVILLVGGTGR QC762_113020 MNTIQQKCRPKHQVLVLKCYPRTAKGAVDVKPNSSELSYLLFYA QSRRSKIQKVGSFLEKKTASDVYRLRIGNVQVTLGILTALIEKTPKDLPLFASCVLNI LDQILKSNDITMVESSLPTFEAFCEHHDPTSLLGDQAYLRQYLSIVQRYASLASTRAI PGKLEPSKPLALRWRNSGLEAIKSVASSEALSSVTTQQYSVAIPMVLENLWTDNEDFL DILHQRAEMDDKIGGSPLLRRRTSIATVQTAGTDGEPNPIALAGTAVDADKLAEEDIG VLAMQCLKEIFVAPNRPQIHAATLAVLKFIEERVSQREPVVRTDMHGKDSGWAIKIFL LAARWTPVADRFTILITAMDTLASYPLTDETLEQHIVMAAIIGALLRSDINLIGLSVM DILLQFIAHIRRLVQMPGDPNSMRSEPLKPGMPDPRSPATLEFAEKLDRAADERKNLL LRLQECIGDLATHVYYADQISDMIAAILAKLKPARSNSISGSPQGEKADGTPGSSANA LADEQHIDSLFALTVAKIAALRAIKSILLVANPRSKMSGNVGLSRNHVPVSTWDGTQW LLRDPDGLVRKAYADALVTWLDRETTSADGKVRDETARSAVKNRDSQAASLARRAVSS ASAREKPVKTPRSQFLQLIHLAIYDNAVQYVDYETDMVLLHVLLAKLVNRLGINSVRY GLPMIFRLQEDIQDAETPIQKVRIGSLVHGYLWILTEKFEFDGVPVGRAIHNEIVRRR SKNFWVEGINVPVPVMELVGTPGMARPQPRLPLKEIESEALLPFDERDALVDAVCAGY QTLTTSPPTSPAASPGRSFTHPMLGVNLNAIPTIETENRVPDHVREQMHNEWSRDIVL AAVQNTSKSASLAGSRTTGTRNGTGGGLAVNGNGLRPDRMSPHGSKTNLRPSTSPAGL RKSSLRSGHSPARTYTTDGKEQVTSVEQLKLVLSGHLQPPSTSHGAGFQPQHDDSSSD SLVSYDLTPSELSFNPPVAGEMETVPEGAGLELDKVPTYHERKSSLPGGPLSSHPTHE EDEDQDGRVPPVPKIPEGVPGVRVHKTSAHDFATLPRPSTSKRSLKSRGGGQDRSLSS SWMSAEDKLPVMDLQALLKGIDSHGGDQHLGGITKPPY QC762_113010 MIFSRGWLPGLTACLLSCSPLLTSASSSHKQQCKAIPGTPVWPS PASWKRLNESLAGRLLQPPPPGAVCHPGQPTYDAGECPNLLADWSKLDYHHTNPVSTY WNNWSNDTCLPYPGYPCSGQGYPLFVVNATTARHVQLGVRFAKKHNIRLVVKNTGHDF IGRSSAPNSLSIWVHSLKDWKYHGEGFRPKRCKTTLPGTYLTAGSGSQMWDIYTRLDE MNQTIVGGGGKTVALGGYLTGGGHSLLSPYYGMAADHVVEIEAVTPSGEVITANSCQN QDLFWAILGGGGSTFAIPTLFTLKTHPTPSLSHLNILIITPLPNTSSIIFPLQAYITS QFPSLSTSGLSGYAFLLPPSTPFPLFPNITNGIGGFFMSCVVLQSSPSSFPQDILSLW DPVLSHINTTFPLSANNFTILTIPTSYPSFLAYFAAHHDTTPAGTNILPGGRLLDAPA LTRNLTALSETYSSLSRGPQPASSIIAAHLVSGPGVHTHPNRFKTSLLPSWRTSYLHV AIIGGIALTGVVFGESFPPLNETAKSEAYARVLGKDEIIKQLAPDTGAYMNEASPIEE KGWQQKLWGENYDRLKRIKRTVDPTDVFWCTPCVGNERWKQVGDRLCRV QC762_113000 MLRRRSLKSSSDLKRRKSTSSTRGVQLEHIDPALAQRDAQIAAC QAYTRAQNRANAEMSLFPPTPESLPTRQGSKRNSQGQDDIGSSQLNREGEQGLRRQQS VRFVGPCSVQGKKSAGALGAEAGTTLRDHKSDFIAMHDDCGGHSVQSYIIKNDIQLPS QSSHQPRRAPPPVPVTVMAADYLNVMAAEEESYTPEDDRASAPSSYRRLRRSRSMFSS ETQSIRRDQDTPTPVMNGKSLNSRPLPGSQTRRLFHSEPKQPKVEMSVPQLRAPKSMS FLRNRHLLRSGSSSRRDNSTRVACVMEASDVEDELSEAAEHGNNNPPGPKSPVLFGSR SRRGGSGMRKSLRSSSSESPPPEKAPPALVEKPDGLKHKARKVSKSFRTKLKSFFSLS RSEEEPPSIPSQHIEAQKTHVIESFGNAITHTLNTEDDVQNDYDWRPIHNVPSKIPSL QNVPPNLHHSNKGSLESLRSESQRDRQVSEESALTSWVHSGPSTLTSQQQQQWREWER QRLSVIKENGMHAPSSSVRRKALDARLFEQPTNGSTISAIPDTVTVDSQRVYSALVKR MKILNEQVTQAVEQQPRAAWDFRETAPVIPVMDPESTPVVSQLGGNKESTNTPDDLPD TPTRVSRKTNPGDRQRLGIQGIAGSHRGYVDKARREAMGICAAFHVGRSILGSTDSDG SVTGIPEPSGKDGLPLFAPTTYHEDSTAERSGSKGDSGPLGSPNSHLFRTGSPYRQAL QRTMQEDQRAWNRPIPVYISNSLESDDCTQIRAPQGKGRRSGTDSGSEKDEDYTESIY STDEAETVPAHATLGGSSSDQDSKVVGGHLPQPPVTYQPAGYRESSSVSSVDWKTWLS ANIAKLESSPTPIKPAEVEFALPTMPKNFSRGHIREAAQLYNDDDADYFELPTRKPTL PTTPLAPVEPNILKLFPTQRSVKRTTPPSAMGKTLQENDSPGGPPPIPARSALRPSPL KISRPGTGRSTTAPSISSSPGLTAAVQKQFGPVSGCNGRGLSHRPSGKLREGTPSSAG TRAFI QC762_112990 MKGYAILSLAAAAAAAPSASIETIHGEAAPILSSANAEVIPNAY IVKFKKHVTEEKVSDHHTWIQELHTTRENERIDLKKRGQFPLVDDVFHGLKHTYKVGS EFLGYAGHFDEETIEKVRRHPDVEYIERDSIVHTMRVIEDAKCDSEIEKAAPWGLARI SHRDTLGFSTFNKYLYAAEGGEGVDAYVIDTGTNVDHVDFDGRAKWGKTIPSGDADVD GNGHGTHCSGTIAGKKYGVAKKANVYAVKVLRSNGSGTMSDVVAGVEWAAKSHIQAVK EAKDGKRKGFKGSVANMSLGGGKTKTLDDTVNAAVSVGIHFAVAAGNDNADACNYSPA AAAKAVTVGASGIDDSRAYFSNYGKCTDIFAPGLSILSTWIGSKYATNTISGTSMASP HIAGLLAYYLSLQPATDSEFSLAPITPEKLKDNLLKIATEDALTDMPKGTPNLLAWNG AGCNNYTAIVEAGGYKVKKTKGTKADFDVSKLEELIENEYDVISGQVVKGVSSLSEKA RKFSKKIHEMVDEELKEFLEELA QC762_112980 MLAVRSRRYRSSTSASTVQTSKAAEVLQRLLRQLSETANSRSAD GYPEIEDLLEQIRQIHQHVATAQPPSQPQDDFRHLNGFQTLLEVLRSFSGFYNIQKRS KDERRGIFDLLHVILATVSAAFRGHPGNRRYFQDRVDGGGWESLEQIIASIGVGGGES DLWTNCQLFGKLLSFALDDQRLDELCRSVASSSTASRPTSAPGTEDQGESPTPIGDNT KESQGQLDILEAIEARLAQILGPNTVVQNAEIIRTVVGFWESIPRTKGAPPDLASMIV LITLSSVGSASLFNLTALHGTGMLSRLLRLLFSSERILTEAETEKALPLSKSLMYLGV DQLADAQFLLCNRDEDVSEFCLDMTEKNNGPPFIQFDLSLHGHSSVELPNLGRPFPPH SSAGYTFAAWVRVDRFDPHSHTTLFGVFDSTQTCFLLAYLERDTKNFILQTSVISSRP SVRFKTVSFKEKRWYHIAIVHRRPKALAASKASLYVNGEFAEQIRSAYPNPPPISNTS TESFASFASSSHKTNPVQAFLGTPRDLATQVGPGLVHSKWSLASAQMFEDVLSDDFLA VHYRLGPRYQGNFQDCLGGFQTYEASAALGLRNELFHPGKDENSDILRAIRDKAGSIV PEQKVLLSILPRAVFRTDGKFMDSSLFRSLSRNSAGSLFHTTTKTGVPVAINAAVPCI NDALIRMNGVSLLVGDPVLTTPYYFDDNFWRLGGFTPVVLKLVERATTPQDLLRSVEM TFHCIEKSWRNSEAMERDNGYAILSMLLRAKLGYGIPASDSVSQSWRLAVTNEARDRL SFQLLSLVLSFVGYKHADPIESFVVNPLAYRILLIDPDTWRKAAPITQELYYKQFVTF AVKSKHHQFNSKRLLRMRIIKRLLDALKAETISEDILPHFMASFESLVKCNFNAEVHR SLALFITYAFHTPAGSLPRTPKPISRAGTPSLSNLRRPVPVETGPSSSNGPSRLLTKK QLGIKFLEMYTRFLCEKTNPADIRKFAKTVTNKWLLYLLAEDDPEIVVHGCKILARLI VSQPTTYTTKFSTRSGGFHIMAHRLKQWWDIATLWPILFSILFGYDVANINFDKSFDF FSLLEIFGNRHVVFPDVLPVIISMLQHGLRDILKYQDDPDSPQGDWETPRSGSEGLAA VQTRPRARSMELGQALEPRKTRLPDKERVSASVGVLQTVVRFLSDMHSRSASFRDFAL NSDYVRLLFSALYPIIVSADPVTPDTELNSKDSVLTFEGGDVLIRPVPGSSTTATPII RTANAGQMDVSPTSVQGRGTPLRRPSSFILVASQQQQPLSPRIPARLSHVMSPKKRVN TQKVSNVVLEGVLELIINVFTDQLLVRKEFPGFGLFLKVPPGFQEHQAYFETYVLRNV ITHLKNTIQLEQKTLLEPRILQNMSRLNIHMVEAIFEGWFMNGAETMIDFAGTLLEYL LRPDISSLKSVRLCSSAVATIRACFLKLTLLRLSDMDDPQCQDADAVASMGQLMYWQT VLLNCLQVEDDYMKLLWYQLYNKLVDPREQVRMIAATVWRIMLVQKPEEASVLFRQIM TPDQQHLTRGFRKLTELDDNAFLEWVDQHRPSLDVLFVGGISKTWEEFVGGENQRYND TARTRLRNRKEKLKQWHAELRERENVLLRHEMANSAWMKSIYFTEHFKYQRHLQDQQD DNAFLAWTFSKMDRDLRRPGAVFAERQEVKWKLDRTEGRNRMRLRLLPEYPDQHREYR PKRGDNSGLKLNTGLAGGRQSSIGMTPASSTPPIEAGEEEDTAEEGEEVVDGKGLDRQ GVTPEDDFELVEDPNDPDGDDNFEDKNRKVMRRLQQGDSVQNVFNISRIIGLDASEGI LIVGKEALYLMDSLFQSADGEIINVWQAPPEERDPFSIIIAGKKADEARQEQSRAGSE SRSWKWREVLMLSKRRFLFRDVAIEMFFTDGRSYLLTAINSTMRDEIYARLTAKVPHN SNPSLLPNPEDAWRLEALKFTEEAPQTLGAKFGSIFNSSGWNPLMKRWQKGEISNFHY LMLVNTMAGRTFNDLTQYPVFPWVLADYTSEELDLNNPATFRDLSKPMGAQNPSRAAD FNMRYKSLAEIGETPFHYGTHYSSAMIVSSYLIRLPPFVQSYILLQGGTFDHPDRLFF SIEGTWTSSSKDNGSDVRELIPEFFYLPDFLTNVNGYNFGERQGGQGKVDNVILPPWA KGDPKIFIAKHREALESPHVSQHLHKWIDLIFGYKQRGEAAVENLNVFHHLSYKGARD LDDIVDPQERAITTGIIHNFGQTPHQVFTRPHPAREYDRCPIKRLDTSISALTKLPYP LLESHERVSSLIYVHKYDRLLCASPFRINLPPFYDKFVEWGYADNSVRFFFSDNRRLA GLHENLHIGQISTLTFADSKTLITAGEDCVVSVYNVQTSPGKPVELQQRSSLFGHKTP VTNIAVAKAFSTIVTVSQDGVAFLWDLNRLEFIRRLPMPMRGVGQQVECVAVNDTTGD IMVCVGQSVVLFTVNGEVILDQNVCGGGGGSEGEVTDDYVHSCAFYEGSGGNEWLENQ LVFTGHKRGRVNIWRKTVSKEGRWVLEWMRRLDHVNQGKMTSERGENVEAAVTCIAPM ERLVYTGDDDGRVYEWNLVQRER QC762_112970 MPGATPRFWATPLKYCRWAARERPSLFWSCVIAGFGPLHLIVVP PVRRALGDYDAPQIPMTYPVPTTPRKKLTGYGDETE QC762_112960 MLRSTSPLLSLFSFLLCWGAALVSAVSISGNRLLAVFDEVGEKE NYSKFLGDLEGRGFQITYETPKSESLVLFHLGERAYDHVIFFPTKTKGLGPNLTPQIL VNFLNAKGNILHTLSSETTTPNTLVSLLAELDITLPTERTGLVVDHFSYDTLSAAETH DVLALPPPVPVRSDISPLFSAGAPKDALLAFPHGVGAVLGPREHLTPILRAPKTAYSY NPKEQADVLDVAELFAAGQQLSLITAFQAINSARFVLLGSAEMLQDKWFVTEIAAPGG KSVKTFNREFAKRVSAWAFQELGVLRVNWIEHHLNEVAAVNESNPHIYRVKNDVTYTI SLSEYAYDKWTSFSLPANDILQLEFSMLSPFHRLPLTLDETHSTPEASAYTVSFKLPD QHGIFNFKVNYKRPFLTNVEEKNTVSVRHMAHDEWPRSFVISGAWPWIAGIGATVSGW VVFVALWMYSAPTDKKVGSKKTN QC762_112950 MSGCQRQFFQMARNSEKAQSMLFRFREAQAADLGIIDAGRTRRP RAITEQTSIPACEKWRGQVLKEISRKVSRIQDISLSDYQIRDLNDEINKLMREKHMWE IQIKNLGGPNYMRGGGKVYDEAGREIQGGGKGYRYFGRAKELPGVKELFEAATKKREE DEKPLEERTDLNRRNVDAGYYGYAPGEEDELLLEYEKLKEKKAFEALRKAGNKEAPPG WEPLPGDIGDGRVWELPTLDEVQQELIDRRRARLLDQLQ QC762_112940 MCFMAPTYQLSSVDGPCDQWATAVTTPRPAGQRGSRSGVPPLLP GNHQRADRQRCARNDSDQLRTTSSTSNILQLATGKMGLKTTIIGTVLATLAANPATAA QPRAARPIPAPMRNLVWGKLNFLHTTDTHGWHAGHLQESQYSADWGDYVSFAEHMRQK ADDLGVDLLLVDTGDRVEGNGLYDASSPKGKYYYDIYKEQDVDVICTGNHELYIASTA DREYERTVENFRGRYVASNLDYVKGDGERVEMAQRYRRFRTKNQGVEVVAMGFLFDFG GNANNTVVKRVGDVVREGWFQRLVREQEKPDLWLVIGHVGVQMEEFKTVFGEIRRWNG DAPILFFGGHVHVRDATSYDERSFAMASGRYFETIGWMSVDGAIKKAEDDPKGERGLS FHRRYIDNNLLGLYHHSGLNASTFHTEHGKNVTSMIAKARKELELDYTFGCAPQDYWM TRSPYPGPDSIYTLLEEEVIPDIAVNPKRKDVPRLVIANTGAIRFDIFKGAFTRDSTY ITSPFLSVLNYISDVPYHAARKVIKLLNNAGKIMADAHMDNEFMAIPEQLSIRESIIY DTPANPFSEDGIQKPMGQHGHEEPFLVEGYTTRDDIGDDGDDALHSRINFYVVPNCIQ SELSFPEEGEPETVDLVFFDFIQPWVLMALKFSGAVYTDKDVSLYVEGTFTELFAGWI EDNWPAKC QC762_112930 MSAPSSAPSAQPLRSALKNDNDGEGSKTPPLSALAKAVQIAEPE SSPHDEASVKKQFPAGVGRRLSGRPGLSATPSRSSTLSQTPSIDVAGEIELTASPAPI EEPQNSGVASYHRHRIDRVSEKLVAQVAEWLHREKAKKESRKSRKHSSRRKSPPETPE AGRPRADSLESDSSEVSLDRLQRIIDDSMSALGLGSVPHLGPRLSKKHRKRSSRSLRG AASSDTEFFDGDVVVPSCDAALDNSKTMSYTGGKAADDNASISSRREDKEKQAWTVFK NEIIRLAHTLRLKGWRRVPLDSGEQISVERLSGALTNAVYVVSPPPESALLPAEGKKT PGKVLLRIYGPQVEHLIDRENELSVLRRLARKKIGPRLLGTFLNGRFEQYLNAAALTS QSMREPDTSRQIAKRMRELHDGVELLEEEKDLGPSVWRNWDKWLAQVEKTVLFLDKQY NDGPNDLSRGPCDSWKKKGYVCGVEWPAFKELVRKYREFLDGQYGDPKKIREKLLLMF GAQTQYGNILRVRPDDQKSPLLQPANEHKQLVVIDFEYAGANIPGLEFANHFSEWTYD YHDARYPHVCDTAKYPNVEQQRRFIRAYVDHRPRFPYIDSAKSTPATTPTGTGPGTST HTAGSTTSIADFMLDARVPAGGWKEEERKREAATEKRVKELMEETKLWRTANSAQWVA WGLVQAKIPGLKVSSDGEAEDVTPAEEETEEDADAFDYLGYTQSRAYFFLGDCVQLGL IKLEYLPEETRGRVKIVEV QC762_112920 MSQRAMRATINVLPRAAIRQCLRSLSTASNMAARRPTTSCLPKP QTQTYQPLVQRRFKFKTVEEAKSRYRSGPFSWKAGILFLMTGAGLLFYFEKEKERMQR KRIAESTKGVGRPKVGGPFSLIDQNGNTVTDEDLKGRYSLVYFGFTHCPDICPEELDK MARMFDLVEEKRPGVLAPVFVTCDPARDGPKELKEYLAEFHPKFIGLTGTYDQIKAMC KAYRVYFSTPTEVKPGQDYLVDHSIYFYLMDPEGDFVEALGRQHSPDQAAKIIVDHMK EWKGPLKKV QC762_112910 MAFSTSFFTLDPEAATGNSNQSLPSDSQTTIKMERSNSPQTVRE EEQPAADLPTLSAQANFSSSEETQTKHPPPSSEYGFDQDSIAGDSTKPTTTKPPVSRR KKGTATIIKPPKRSRPGGNTSMGPKKKAGKTTKSVGSGAPSLNGDIGSDLAGGASESD SGPYCLCRGPDNHRFMIACDRCEDWFHGDCIGMDKWTGENLVQKYICPNCSDPDRGYV TRYKKMCSYSSCKNAARVGDPERPSIFCSDDHCQMWWNDLVCIIPKASRSKSSKPTSI LDDLTREDFISLLDSPVMASYYQQAQQQTSSTLSIIPGQANLSLPPDFWTNPPPNLLT PEQEDFLSTSLAKRQELGEEMLLYKKMLELINIAIERRDTAISSPSTPYNKDVCGYDV RLDHIGTPHQFSLFLQTDSAAKIFKSGKLEDMDEEEQAKWLKGEDATSGNYKGGMCTR KKCPPHRQWRDILVKSVKYDVRELTRKAKERLDGEQRVRDAAAGRWFRKGMFEGDKVE VIGGAIGEVVGGQVDGAGEDVKMEG QC762_112900 MAGPLLHSFDYKAIPNSKFCLLRKPFLKVSRSLTNSARHTLRSL STRVARRPKMDCHADTTELAAVLHTAPEPQMTANYSRPRTDSVNICPHDAPLEGRDSL GSDGSVPGMIEDHDSVVSADDDYQDGIPGTRPGLWDPLWYFGRRGRDRSEHCRSISPT DRSRRQGYAQCSVKIQEDRPSTRGRASSSDSQNEAFPWPIVEPYVEQPRPPTAKSVSS RPSYSLFPLAAPQRRPPVSPLHVSSLPRQPGSGTASPCSSLPNSRRPSIDTRMHSSNV QISPPISRPGSSNATTPTLAQYASTAASSTASIPLLYQMPAPPSTFEASPPISPLDKS LPDPPNWRQSISKRPSIANLRKLSLSKFSTRSSPTLADLVKSHSRSPTDDIPPTPSLL HPPSTSASNQKFFSDLHTRPLPPLPKPTDLLRQAPPPPPNISVFEIDSDSDEDDSDSD SDIDPTGADSGRRKKKRSFAKRLMRGFVPHSRKGRSASESAPLTCTPEPESTSSPQAT APTGRRRAGTVGSTVESLHHHEKLGKPWMRRQKSGEMMSWGRLLSGKRGSA QC762_0013260 MEQVEATVMTSAHGHGSPKLACGLSMLLRLATAVSQSRRIYLLR HVNGNGSWARLCLRND QC762_112890 MRAGYWGIHDIDIPPHPANQGLFFAYLNRVFYSPLLALVKISAL LFLLRLGGTKHFVHISCRALILFNILQVCAFLPATIFNCTPVEYVWTKPAGSGKCFNS GLFAVALASTNIVTDILTLLVPFVAFKDLRLGWRIRAALLTVFALGAAVTCISIVRLY SVIRVWYLRPADGHYTIGYTTNTIEVNLAIVTATIPALWPLARVWFPDVFESMGINRP YLYPDIEVQVRASVGQQITSPALRAKTLWLPRLPHTPSYIRGSASPPTAPGDRERNTR HRGLADWQRQNAAVVERDEEDDYHGIIRWTNTSAEPLAEQDDEDFLIIQKTEPSR QC762_112870 MSGSDTQLGARISVQPPNSFGNFWASSHAFSKVSKSPDLQLFRT STQPQLCPLLLCSVGSPGWSRTSRSCFHSYIPSTPLLILRFAVSQSQDSRLSGIPTEQ PLIWQPDSSSQPRPQTLATAVTTLSGDSPAFALSTTPAPAGALGDLAAGSQEGSLRDP SDFHHPTSPSFFQRQQQQQQTFQPCPGTVTPQQREDRSPTPSSHRALRRTPRFSATPS PRPSETQRRPHFEAAAGGAIPPPIFSLPGARNSCGQPEQVFSPLRRQLSQDLSDDDEE TSTVLPYLYGHQRLSSSTKDKPPHPLLSLSKQTQSAILWTLEEALRQPNSFSPDFVEE NASMADLLAGSGPTTTNGNGGATTRPTAPPAQPGSPQQVIRGPRMIMRERAEREARQR AEREQMERARAEEEARLLEESRRRAAERRAPVAGAAPEISHTPSDPASQRRQQRAQEA SGHTRMPSSSLAQQQPSAIPPPLRPRNAQTPQNFSSTPQPGAGAAPSQPGADSTSQAM GSTRVKNSFPHAFERWEALSAHWEGMTSFWLRRLQENTDEAERNPISSQLSRQVADLS AAGANLFHAVVELQRLRASSERKFQRWFYETRSAEERHQEVNATLHAQLEQEQQQRIE AADNAAKYEAENAKLQKLVTEMRRELLISKEEARRAWEELGRREQEERERVAALQQGA PTTVGGVPVVVMTQSVPSREPSRHQRSQSQQTADTSEYIAAQGPHYPDYAQSTTSQPR ASSPDNHPGYFVPTNEPPRQAQYAAESEAGYDEDDYDETPTSQAEQTNYPPSSSQWAG TYTGQPDYSGQSFAAPGWEQMPRHHHPTRLSDVLEEDERSRTSASQVSRA QC762_0013290 MGASSLRLLIRPGVLSCCTLSRTSTAALINNKKTSPSLFCPPPQ PPSLVTTRGFRDDTFSKPPSSIGKRWNRPDSATPSEWEAPSFAFAFDIDGVLLHEKAP LAGAAQTLALLDYYHIPFILLTNGGGKFEADRVAELNEKLGSHMTTENFCQSHTPFQE LLPVYRDKTILVTGSDYEKCREIMEGYGFRSVVTPGDIFRAAPEVFPFDTVRGKVGRD LPKPIWRPRKEAGEHRQGTKGMVRDTEQEEREGKLEDHLKVEAMFVLNDPRDWALDVQ VFMDLLQSKQGYVGTYSEENNKGRWQGDGQPKLFFSNSDLIWAAKYHLPRFGQGAFQH ALVGIWKEVTEGKKELVRTSFGKPHRETYEYAEEMLVRHRGGWLRAKGYKEGEIEGGL KRVYMVGDNPESDIAGANDYDGKGKYGTEWVSLLVETGVFDATRMNFKDGDVRQADVV KPNVAEAVKWALRNEGWVDE QC762_112850 MVPAFLSGILRRRHDEEEQLPSVDGSLEGDSSGLASLKHFEKMH RLDPNLPLDELEEVDIAIILNQQNAEKGAEIEQVLNEDNSPYPEVRATVRNFDVEMPA NTVRAWVIGMLLCTIGSAVNMLLSLRNPSIMLTTFVIQLIAYPLGLCWDYVFPDRVWN VWGLKFNLRPGPFNFKEHVIIVVMSNAAYGGGALYATDVIIAQRMWYGQNFGWLWQML FGITTLCTGYGLAGLARRFLVWPAAMIWPTDLVNCALFYTLHDHSPSDPTVTNGWSIS RYKWFMIVFGGSFLWYWFPGYLFQGLSWFCWITWIWPENVVVNQLFGGYSGYGLFPIT LDWSIISGYLMSPLIPPFHAIANVIGGVTVFFVFVSIGIHYSGMWYSEFLPVQNAHAY DNTGNIYNVSKILGADLQFDEAKYMAYSPLYLPTQFALAYGLSFAAVAAVITHVALYH GREIMSQWRLARQQEDDIHMRLMKKYKDAPDWWYVVLFVIMLGMSFAVVEAWDTNFPW WAYIICMLIPLVWTIPIGIVQAITNIQLGLNVLTEFIIGYMLPGRPLAMMMFKNYGYL CMSQALYFVQDMKLGHYMKVPPRTMFWSQLIASIWSAIVQIAVMNWALDTIPDICSED QIHHWNCPSARVFYTASIVWGAIGPARMFSGTALYSSLQWFWLVGAIAPIITWFFARR YPRSLWRYVNMPLIFGGSGWLPPATVYIYYCWGIVGTAFNYLIRRKKTGWWLQYNYVT SSALDCGLIVSTLVIFFALYLSETDAPKWFGNTAVLATLDMTAKSIQKVVPKGETFGP SVWP QC762_112840 MTTQDQVNPPPPEGESSISLPGIYVSLTDLPLDILSIMDKVRSP EAGAIVTFAGTTRNNFASKPVTSLTYTSYRPLALQTLSSIASSLLTKHALKGIAIVHR LGTVPIGEESILIAAAWLAGEEALEECKEKVEVWKREEFEDGGVWRANRDGHQGAEVK EGSKGE QC762_114310 MYDEGQHRSRSPSRDGGRSGSYRDREYRRPDERGGDYRRSRKDS PTPPLRNLNYDDDDQEYYSRQAVGSLRTGGDPDRGRYRGDRPHSGGHLPPSGSPPSDR YGRGDIDLEHSERFSRYNLDRDVSQSRPSRDRNPGAGRAGGRGHGRGEHSGAGSGFGS SKVLILEGLTEDATERDVLYGLDFVTRDHQFSSDQVKLVRLRYDQNGRRIAAVEFHRR SQVEDFLDQFYPEISFPLQHSRGKDSEFFTFGIQDPNYRDDMPDPRESRRGGREGRED DRWTCVTCHVVNYPHRAVCFKCKTERPEDDGYGGGPLLTGETDECPQQMPSQYVVIRN LDRSVTEEVLAKGVMKLFLENPEPPKEAPSTNKLKSTAPTKSTVGMGAKPGSLRRVFV MRDRRSNESWRYGFAEFATVEDAVAAVQKFQASTKFTIASKPVVVAFIHTGVFVPSFD PVTPDNQDLSFSPIYNPAVRIKYWDDRAYPSVHVVTTEPISEVSQPEKSNNANDDPTK NVSKTFKKFKKDLGAAVGAKPIMMPQMELWAKKSAELHGSKARATDPSNTDTESSNFN LTTIRESESTEPDGPFAPHWADQYISYADWEAMTCVACGWKAPTERSTQERTDLLIYH EGTAHLFYHDPEIKDKAAAALSALGKKHRTIIRRTPRLKSEPLPKYKSYADFDRLRCL LCKRQFQKMEVIWLHEQQSELHKRNLAHPKARSRAEEEFKKLGKKQRSCIPDQAFYRE WEAQLKRSQPNYRDRALERRQAFRQPKKPTNQPKTSVPEKRKEPSSLSAEADTPAKKS KGAGMLAKMGWTAGAGLGAEGAGRTDAIATEVYAPGVGLGAEGSKLGDAGEEAQRRTK GDFSDFVEKTRNRARERFDRLG QC762_114320 MDLEEDIAIQGTILRSLLEQPRTSEINKQIREAKAELIKLKERR ARGTSSEASSYPANGSSSRMSNPLSSSPGGGPPPHQPASGSRKRSFGSSHLNVSSSIW GPNNSNRASPSPGWDYSFGGPGGNDVDEELSSIDLTGQAVSCHALDDAWQGIKQRALD VERRHEQSKAQLDQDAAFARQLSQGTSTAPEYGSSIPTGQINAFDRISHRQPSSSQLS NQMSLSTRPRLLQGTTSESFGAQTNGLYGNGNYPTNSGSNVTGGAGWTTTPSRGYGGV HTPHTSSYSHNTTPVKPGPSQVTGGPGWSIVPSGQPPAIQSRQASSFQHSCGVNVKSE PGRANGGPGWSITPSQVNGINREESDRMPQMPGAWEDSDSGSDEVATGGYALWQQGAR STHPSSRTLPPPNPSYNRSIPAWNPSALPGFASVSQLASLDRPGLLNNGSYFSDVDYL GGQPSLASTIHRANNIDFQNMTDMDGNPLNPRLANYLDDFVHNPVKTEEEIQQLLSNI RPDMEIPEEERGETPAGMRYPLYPHQQLALKWMAEMETGSNKGGILADDMGLGKTVST LALMISRPSEDRAVRTNLIIGPVALIKQWENEVKNKLRGTHKMSVYLLHQKKKIPFTE LINYDVVLTTYGSIASEWRQYEKHVQQRNAAALYSERDDGELAKKCPLLHPKSTFYRI IIDEAQCIKNKDTQGSKGVHKINATYRWCLTGTPMMNNVSELYPLIRFLRIKPFWEHR HFQTAFKCLGPRNNGNNEYARKQAMDKLRTVLKAIMLRRMKTSQIDGKPILTLPPKTE RSEFVEFSVDETQFYKDLEERSQVVFNKYLRAGTVGRNYSNILVLLLRLRQACCHPHL IDFECVGSATTADETMDDLTRKLDAAVIQRIKDIESFECPICYDGVEDPVLAIPCGHD TCSECFTSLTDNAARNNVLTGNENAGAKCPQCRGPVDASKVIKYTTFRKIHMPETLPK EEVKEEELPEISDWSGSSEDEDSDNDSFGSLNDFIVEDDDSDELSEGEAAAQAMKMAE AKAEAKARKEARRAEKKTTKASKKGKEKSKLSKGKSKVEPVNPSQLRTLRLEAGRNKE ARRRYMHYLRDNWEDSAKVTQVIELLKTIQETNEKTIIFSQWTSLLDLIECQIKYSLK LRHCRYTGDMSRTHRDEAVQDFVENPENKVMLVSLRAGNAGLNLTCASRVIICDPFWN PFIEMQAVDRAHRIGQQKEVQVHRILVKETVEDRIMDLQEKKRELVESALDEDKSKQL GRLGVQELAYIFNGGARPPQ QC762_114330 MRPLQPLNTTNAGARDQQTFLSPSDYDADAISIRSDQDTDSEDD ERQMRARNSRELRAHDRLVLMEEEELGQLVTETRRKKERERRGSGLPLPIPNPLTLFS RRLSDASRSRSASPSFHSAESVDGNDGGERRSRRKARRKAKKDRLLTEAQHGEDGELM YEMEEGGVKSGSDTGESSDRDDSDEVDRKNLLHMGAVKAKRRRNCCRWGLLYALIIVG FGILVLVAWKLSIEKKATAQTAAKLVSNGTALFAPTTIIISLDGFRADFVDRGLTPRL NAFVKEGVSPRYMLPSFPSVTFPNHYTLVTGLYPEAHGVVGNSFWDPELKEEFYYTDP KRSLDPKWWKGEPFWVTAQKQGLKTAIHMWPGSEAHILNTNPTYMDKYNGKEKLSKKV DRVLEFLDMPDDERPQVIAAYIPNVDAVGHTFGPNSTEIQLTIGKVDKMLDRIFKGLE ERRLTNIVNVIVVSDHGMATTDITRVVQLEDLVDVSKIEHTDGWPLVGLRPKNPNDLQ EIHAQLVERTKANPNLDVYLRDMDMPERWHFSRNDRIAPLWIVPKTGWALVKMEEMNL KEAQAKGTVYAPRGLHGYDNEHPLMRALFVARGPAFPHQPNSEVEVFQNINVYNMLCD SVGITPAPNNGTLRLPLKPIGLHSDHEFDSPAESSTQTPVLSSSTSAKPVMVNPVTAS APLVAPTATVTRTVEKTIEVDKPTAQPSSDPSGGDEGDDGKSPLDKVGDSVKGFWDWF SGKVSHWWDKVSNGSDGESEEPPENPNE QC762_114340 MAQPMGLTLDDIVFDEATPEQQRIALELRAEACTNPHEFIERER HLTQHELTRSDCRQWVLYLKGYPRQIIASCEAIRQKILVSDGTARESYGYTIRHVFTT PVWRRLGMAAYLLRYVKERMDEDSECSVFFSDSGREYYTGLGWLAYQGKQANLILLSL PQSPPLTPIDSPPQTPGEGPRPRAPSFSYRPTGRADAVTVDLLRLEDLPLLCKQDNYQ LSARFRDMPSGKKTHVAFAPTYARLEWQLARAEFDAVKMTGKSITYKGAKTGNSRSWL YWAHDIPAKKLRILRIVHGVECQTKAQKVADTQVLLEAALAEAKEWGLPRVVLWNPDE DVITGCKAVGNRNTASVKVVFDQQMGGCVPSLRWKPSEPGVPVRVIEWEDNYGYCLP QC762_114350 MAELNIGATAWRRVEVGRVLKLESGSLAAIVEIIDHKRALVDGP SSNEKLVTPRGEVSFANTLLTPIVIDKLPRGARTATVKKAWEAAGIDAKWAATNWAKK QEKQEKRQALTDFDRFKVMRLKKQRRFEERKALAKIKSSA QC762_114360 MANPRVEELPEEETKKTQVEELDDSSDDSDVEAGDASLPAGSTT MVHSRNEKKARKAIEKLHLTRVPGITRVTLRRPKNILFVINNPEVYKSPNSNTYIVFG EAKIEDLNASAQAAAAQQLASQSAEHDHAGHDHSHEGHSHAAKEEEEDDGEEVDAEGI EDKDIELVMTQANVSRKKAIKALKENDNDIVNSIMALSV QC762_114370 MAARSAALKVDWAKITTSLGLRGQTVAGLQAFKKRNDDVRRKVQ QLSELPTTVDFAHYRSVLKNQAVVDEIEKRFTAFKPATYDVSRQLKAIDVFEAEAVKN AEATKQKVDLELKDLEKTLANIESARPFEQLTVDEVAAAEPSIDEKTAKLVSKGRWSV PGYKEKFGDLSVL QC762_114380 MSFGSKKFRGSAESNTFGARYRAMMAKRPFLLFGLPFLTVIIGG SFVLTPATAIRYERHDRKVRTMTRDEELGIGQQRRKVDMKEEYYRLAAKDLDDWEQKR VKRFKGESDGIL QC762_114390 MFCGLPHHHHTSHRALRSFRSHNRLRSEAASHSTMHFRHHHSQP NSASSLARSSMESSSSSVSRPSTSGGKSELSVDWDPLRLHPPLACAPVPHLPEETSSR RYQPHELRQARSMHNLRAQQHNNHTSRHNYQASTATVIYGGFDFGFDNSRSHQTSARR PPSPTPSTASDASSLDGTRDAEEWGDNFIVTPLPAPRRRPHLQHPPGQPAALSEADNF IKRGGWKRRGIVFVSDTPALAPEEETWEI QC762_114400 MARLPSILAMILALSLMAPALATIHYVMPNYTWQLNHTISSGPV GGLPLPQLPVNSSTSTPVSNPITNSTSNFYTSSPFSYYNYKQERIKVRPEGWQGPAVT YDNNSLSVYGERIMLYSGEFHYFRLPRSPELWCDVLAKIKAMGFNAVSIYVPWMMLEP LRGEWDEVGWFDLDLFIGFAQTNGLYVIARPGPYINGEVTGGGLPGWLQRTTPTLRTA DLEFLQAAENYVVRVANLMAKWQVDNGGPVILYQVENEYTMSTDSYKGFPDNGYMQWL IEKAKNASITIPIINNDAWPAGNSRPGIGVGEVDIYGHDLYPFGLDCSAKDWPENATY TDLWSKHIGMSPGTPYTIPEGGAYDTWGSVGYDECVKLFDDVQARVLFKNSYAVGVKV FNVYMIFGGTNWGNLGDPYVYTSYDYGAAIAEDRTIGRPKYSELKLQANFFKVSPGYL AAMPFENMTEGIVGFQMNSTDDKLVATQLTGDFGTFYVIRHRDYRQTDDVAFTLKLPT ASGRWHLPARSANFVLSGRDSKLLVTDYPFGGFFMTYCSAEILTWNSYNKTTIVIYGN IGEYHELRFTHPWADPILESSGVNLFADINTTAAQWTIGPDRQWAVINNYVYMHFENR KSAYKYWTVDLIPAYSEGASSIIVYGGYLIRSAAETWLEGGITTGLILMGDFNETTTL EIMNVPLLARTLTVNSDPVNYTLNEHGNWVVTIDYKSANNTGTPDLVTGIEWNYRDCL PEIQSDYDDSGWLRSVLMTNNTDTAPAYTPTSLYGSDYGFHTGVLVFRGHFQAARVKA ALNLYTQGGPGFAVSVWLNDQFLYSFDGNLGTEGNDTLYYLPDLEVNYDNLVNYNLTV LVDNMGLEENLIVGANRMKSPRGIMNYGIFDETVHNIAMPIDWKLTGNWKGEYYADKV RGPLNEGGLFAERMGYHLPGAPLSGDTSNNPFKDGLDKPGVGFWSAKLTINWDRIYDT PLSFVFQETDESKRAANGCRAWLYVNGYQFGRYIPKFGPQNEFHVPDGIIYTNGTENH IAIAMWAPNEGGAKLPWLSLKSGHPVRSTRIWPGDMNSFVAESYYDGREGSY QC762_114410 MSTPAPLTVLYTYKAKMCVPHSVIMTIPSTTFHIHTSLLFDPKK KAFVKNVSIEVNPGMGEIVSVTERPGESFSAKDGDIDLTGKVVLPGLVDSHTHIFLHS YEERNGTQQMRDQSAVERIVRATNHARAALLAGYTTYRDLGTEALGNADANLRDCVNR GLTPGPRLLVATDALASSGSYELRVENKLGGNGLGLSVPRASDVADGVDGVRAAVRRR VGEGADLIKFYSDYRRKTMRFPPDVPGPGGRVLFPPKRRNPAVPLYSKEEMEAIVKEA QLAEIPVAAHAGETKAALWAADAGVTTIEHIFEDTAELEQPLFQKMVEKKTIWIPTLA TAEALPADMFRECKLRVKRAYDHGVRLAAGGDTGTFSHGLNAREVEIMIQCGVSVEDS LEAATISGWEACGGDLSGFRFGWFEKGNRADIIALETDPRKDEKALRNVSFVMKDGRV WKRDGVAADMISVPQWPEDDGNTSEEWSDLEAGSPPKMSMSVPLPVCRGQKRAS QC762_114420 MSGNSNVGNSQVYEAGDQRNAKSSELGSDRFHEGVKHSHNNNDP KDNRSLVNRAAAERQDEGSEDSVETAQLKKDPTLPAKMHGNEPSRGAKIDAELQAEDE ATLRKKNQK QC762_114430 MGFFRKTKDIITLFHKASSPGSKRVAALLKQAQVEAAEKDLRPE FDLEVTEQPPTLDQVKTILDYVGQPGISSVIKGATSENEALQKFKQSADSFQKPLVVD WANGKAHVGENESEILKMLNALPKK QC762_0013460 MATLPKGRLVSFQISSNDRQTPSVVAMASNGTPLPTPLMSYYFK MPRNSPCVISVNKTGKAPRIYSDPLSIPKRDIESWNQAKIEKEARTIRAQHPALADSV IRPTSWEDLYRYYDPHDLWLQGAWNLWCVIDELGYQNEKMECYRQQMRAMQNLGHRFP LQPYELSMIGDFVEGWISYAENRLMLIEWDGSYDILQLFSPTDWKEGGIKGLNQTQAA FLSDELTYWHEHWRERYENPAAFFPPDQWHHLGGKYAKEDSLTEPRKRFVTPYDKPLA APSDTLQHPLPTVLSTTQPSIKQEDYMPVHNKTTGAYSQPVAFSKPVLSFKPAPASPS VPAPPFVPAYHSLPKGHPIVVNGTMPAPHSIVVENHATSEEAKPDNATKPAGDGDAIT VGTEASGPSRIPERSLEETVDRQEQQSATADPNIPQRAYSSRNKGRKNFPNPISTRPI SDSTYTKCYNRDKSPGIYSGKMSAGHFVACPCGRCSTLSRTALVKQIDHLPGMTQCEK VSRFQEYFSRYGVIQQCDIKTTAKTGSCYALIRYSLESSAVAAVASADGLPLSPLSSS MRLEHPWYSKYWAPRPYTRTPPKATRETPRVTPPSRRRSPPDQGFLNRGTAQQQHGGT SSLGSPSGRKKVGQPSISPEYMRGPPPGFPPISQPQAGLKPSPPRMQPHTVGATEYNL DYHGPAHRQPCVPFHHQMAEIPEKPQNHGNLPPAHHFNQSFQQHGPPQWHHGPPHWQH AHPLPPPPPPQLPPFFGPANFVGPIPQPLYQAPPVFYNQGGPHGNAQCPVDPQMRSPQ ARTRQSPEQDMVGSQPLSHSSSSSSAHTTHAISQVWVVVDKAAAAEHTNKRRPVKAGT SPDEPSTPIDTVSTQEDGRVAGTLEKPVQEGESSDQGGTVVRHAQFSIHDVRFSQDMS GTVRIRPNRRNQHQALPAEWLVVDSRSATPAEQRQLSGSKKGKNKRKPSARPSSRPST PVDFSSSQAESSKAQAWASTERPESVTENAALKVPEPKGYRADAGGSLKLSWNPRGPA IRVNHLADQMLPPPLRTGSPQVGPSTGPVLKGINSKQARFQRFDSLSSIPDCPVLPRA CDLFPNYPDLSTSPPKIVMTPAETTPNEEMAPHPTINGLLNSPNRGISDSSLAQSFYT AKSTFSSRENSPPEAAKDELFVSPPETPTKTSQPSWRTLPAHSIPKLTAAAPVLEVPV ETPKLDKGKSTAPLQPETSVSNLETSEPTDSKPEASKPKSSSKNKHKKKNKTRADTEA GPSSSQPQEQQQQLPTPVGSKPNSRPATPAGDANVRNQKKRQAHAKSKAERKRSAAAV SANKGGPSSSGADA QC762_114450 MAYNGQYGGGPGGGYGGGPGQQRPPPQRQYPPGPGGPQQYNGHP PPQQQQYNGPPPQQQQQYDQYQEGYDYGYDDYGNGKGYDQGYDGQYNDNYGRGGPPPN QGYPQQDYYDPGPNGSPGPNGPNGYGRGGPPMRGGRGGPMRPAPGGGRGGPYPPRGGG YGPGPGGPGRGYPPQERPGPLERGPNSDPTANRGPPMNPGGSNGPFPAFPGAHAKSDL AQEQEIVAQMEGVNLSGGSRAGPRGPSGPGPQRGPGPMRPPNGYGGPMSPPRGHPQPH RGQEYPDQRRGPPLGPSGPLGPGYGQQPGGYGDENFGPPGRSMTMPAREPMDMRHPGP PPRRDSAPYNGPIGPGGRGGGMPQRPSTAQSMRAPRGYPPVDAPPLPQNNFQDAQYRN PPDLGYGGPGPGPGQGQGQDRPASVDDFYDYYGTNEEYPAQNPPSASARSELPDFESI RQQPPRNSFDQHMQHGLPEQPRNGPGRQPEVSRAKSQPDLRNSQTAVFEMAGDIPPLP TQRQPPQQLGPHQQGLFDGPPNFSRGPGPSQTGSPGPGHLPPGPTPYRPGQSPLTQTG NSSDSLPSHPAPVRPGHMAGSMVNLSDRPPPVRNYSGVNNNNGIPPYGGNTPTPPAGG YPPQQPQGQFGGNQTPAQPAGNPPPAKPVEEPVTVQELEHLRAVIKNDANDQASALRL AKRLVEASDVLVPNLPDPKARARSRERFLVDANKILRKLEKANNPEAMFFLADCLGRG LFGSEPDNAHAFSLYQSAAKLGHAAAAYRTAVCCEIGNDEGGGTRKDPVKAFQWYKRA ATLGDTPAMYKVGMILLKGLLGQPRNPREAISWLKRAAERADAENPHALHELALLYES AEPNDVIIRDEAYAFQLFKQAAELGYKFSQFRLGCCYEYGLLGCPIDPRMSIMWYSRA AMQEEHQSELCLSGWYLTGSEGVLQQSDTEAYLWARKAAMAGLAKAEYAMGYFTEVGI GVQANMEDAKRWYWRAAAQDFPKARQRLEDLKRSGKNSQPRARERISRSKVGKQQEGE CLVM QC762_0013480 MRSIQGGKKWQNCFSEARTSVLSSESWQRSSVAKWGRNDRGNSL LSCRRGTANPRAALGPNSSNQSLSSSRRSEPKKFHGFSCGVLNLIAVLLSDVAKPVTA QC762_114460 MGKVNWWLWTKMLVAGGGVIWGGPALVRYLQPTDEELFQKYNPE LQKRSLERRYEREKEFDDFVVKLKEQAKSDKPIWILQAEEEKANATNMARQQKLAESL RLAEEVKARREAMRKEAGLPVDLGKQGK QC762_114470 MADVQERLKKLGASARIGGKGTPRRKVKRAPARSAGDDKKLQAS LKKLNVQPIQAIEEVNMFKSDGNVIHFAAPKVHAAVPANTFAIYGNGEDKELTELVPG ILNQLGPDSLASLRKLAESYQNLQKGEKADEEDDIPDLVAGENFENKVE QC762_114480 MAVTADEVANVVLSEFKKLSAKRKPTVRGNGLHEWVPLSGIVAK GPAFLKCVALATGMKCLPASKLPQANGIAIHDWHAEVLALRAFNRFILDECKRLTLDS TFTSDFLCRTSSSSLPPFIWRPDVSLHMYCSEAPCGDSSMEITMASQPDSTPWSSPIL LSEQPDGQLLGRGYFSHLGIVRRKPSRPDAPPTNSKSCSDKIALKQCTSLLSSLTSLL ISPKNVYLTSLVLPESQYSETGCTRCYGFDFDSSPLGRMSCLTTNPPTWADGYKTSPF KIETTQHEFDYSKRTVTARSDKISPSNLAVCWTYNKEVEEGIIGGVLQGRKAFDIKGA SAVSRMKMWCLAVEVAQGLHGPEGELLKELKRGTYDEVKEESVLLQSRRKVKEEVRGE ALKGWVRNTGDGGFRL QC762_114490 MRTYEDTFSGARIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRIAWTVLYRRQHKKGISEEVAKKRTRRTVKSQRAIVGATLDVIKERRSMRPEARS AARAQAIKEAKEKKAASAAAKKSEKAKAAANASKGRIVGKQGAKGAQSKPAIHSR QC762_114500 MSGLKAGDSFPPNVTFTYVPPTGDLNVTACGIGIQYDASKEFAS KKVVLVAVPGAFTPTCQVSHVTSYLAKLDDLKAKGVDQVIFIASNDHWVMAAWGKANG VKDDSILFMSDAGLEFSKSIGWTQGDRTLRYAIVVDHGKVTYAEVDSVRGSIENTGAE GVLAKL QC762_114510 MAILNLLPLNYRRPALVERPATSASQVSTQQSEGGSVYQGDTSL KSIRSGSSGGIPPPLSFDRIIEGGTCPPCTVRDFMNYLIYVERSAENLQFFLWYRDFE KRFNEAQTADLTLAPEWTLAMEEEAIARIKKEQAEKARVKPSKSVSPAVAEIFKGTDF EKPIRKPSVATTIATTPISPLAASNGGDPFGTPPRTPSDRGHHYPNSTTATTYNTAAN EAFALAGLKAPFTVQPFRVELDRIIATYIMSGSPRQLNLSDREQKAVLQALCFTTHPS ALRVVVRSVESTLRQQAHPNFIRWSICNGNPVRVTFARCLGVGTIALSTIAAIILTLS SAPRGFRALPAIGWVIGVATLVAAYKGMCVVLHGLHHRHVRPWELFVVDEEDHQQNEK EQDVRSEKSLETGSNSFENEPWVVKYEKRNLIRKIFDGEVWIQEPALRQIQDTIFVQS LLFAFICAGGLTAIFVPLPGGNLF QC762_114520 MPNDIYQADAPLLSGDVTAAVGEEAREHGAHAPTDGNILSSLVI PNEVPPVHGRRERSGTVCIAEIDETLSHPGSVRINVKGAFIVDQDSSTPTHSDDGRSG SPGRSPVRHETQDIRLPNHTAVVSHIAIDIGGSLAKLVYFSREAHSTEPGGRLNFQSF ETDHIDDCLEFMRKLKRRYQRANGANSPPASELCVMATGGGAYKFYDKIREVLGVDVL REDEMECLIIGLDFFINEIPREVFTYSEEHPMQFVEPGDNVYPYLLVNIGSGVSFLKV SGPREYERVGGTSLGGGTLWGLLSLLTGARTFDEMLDLASEGDNSNVDMLVGDIYGTD YGKIGLKSTTIASSFGKVFRKKRQAETEAEDSGGLAHKDQLHHRQQQPRTHNGVHTPP LLDEDVEQPEPQLSDFMSKDPSRPFSSADISRSLLYAISNNIGQIAYLQSQIHGLSHI YFGGSFIRGHPQTMNTLSYAIKFWSKGAKQAYFLRHEGYLGAVGAFLKRQPRNWGRRG SFEEAALELRMRARTRSADSIPEEVISSVDDKLSGGEEVAA QC762_114530 MSTIFRASRPAFRARTFFQQNASKTTRRFQSTESTANAAPESWM QQFWKSEKGPKTVHFWAPMMKWGLVLVGVSDFARPAESLSLTQNAALTTTGIIWTRWC LIIKPKNYLLAAVNFFLGCVGVIQCSRIFLHHQAQKKLAEEVGEAKEAVKEAKEAIKH KSS QC762_114540 MTSWTAMRTRLSLAVGQRAVAQEVKRCSVASNLRQQQELHFESI EPPRPAKAHFLVALGPDSKRQQQNEPIERLVSLPFSYTEHIKMSGRYAFAKGLKEVRF LFCQTGEHSAATRSFLSRAYPIMKKNNPSTPIMLREAQGTLPKIYARYGLGQEKSQSL EGLSDKQIEDAVTQLVKNETS QC762_114545 MAKMFRHRRSMGKLTEHPSMYSSLLDPSSEHSSDGAASPSSPNS SNRPLRGRAKSSLLDYQSRNWISAVEHQGEKPPPRKLVKDMNGSGRPSFSQSLSDSDG EKEKGLMRRQIARLKSFYKREK QC762_114550 MPVWAPNIRPPGAGSYLALGVALGLTTGLTLNALRQLKGSRKPL LIRSPRETQLSKLSREEIDALPYPPDALPGGRDVETPYGIIKVFEWGPEDGEKVLLLH GISTPCLALGNLGQELVRMGYRVMIFDFFGRGYSDTPTDLPYDIRLYTTQILLALASS NVAWAGNDGFHLIGYSLGGGIAVPFAKDFPHMVRSVVLIAGGGLIRPEHVSWRSRLLY STGIFPEWALEFLVRRRLMPKRREAMTETMMATQVVDRPKIRHKNSDANGGDGWDGAT LLAHRPGHTVSSVMEWQLHQHRGFIPAFMSSIRHAPIYNQHKDWIALGRLLAERRKEA GWVDTSLPGLKGGSILLVLGAMDPVVSKEELIHDATAVLGEDGFEAVVLNSGHELVMT NAIEVANTVAGFWLRLPGTE QC762_114560 MATASPAPSGPQPSLTFTRPVFAKLSPHPYLLRTLSPDSPDQQP TRDNGRAPHQSRPIQINTSSLSQAHGSSLVRTGDTTVICGVRGELLPVTAIPQFRPHN GTLYDQDEGDEARARKELKDYDLLVPNIELATGCAPQFLPGVPPTTLAQTVSTRVYSL LHGAGVVDGRGLRVWFTPESKKEEGDMEVEGEEEREEQKPQVVAYWVLYIDLLFVSFD GNPFDAAWAAVLAALKDTKLPMARWDPDREMVVCRKGQDTRLNVRGLPVACSAAVFLE KEHGEVGRGERNRHWILLDPDRSEEELCKEEIVEMVIWKDEDDDKVEGM QC762_114570 MESLADTLWDVVICGTGLQQSLLALALSRSDKKVLHIDPNDFYG GAEAAFSLQEVESWVEKVETGKEGLFEAASVKKLGGDTGLSFPRAYSLALAPQLIHAR STLLSQLVSSRAYRQVEFLAVGSFSIFKPSPDSSQKPTLVRIPSTREDVFSTTAISAK AKRGLMKFLKFVLDYDSTPQTDTWQPHVDAPLTEFLLKEFKMDQELQTYIITLTLSLN GKINTRDGLAVIHRHLSSMGMYGPGFAALYPKWGGLSEIAQVSCRAGAVGGAVYMLGT GIKTMNDKDDPIVIELSSGDTIKTHKVVRANESATDRFGINRLVAVVGSSLKSLFEST VEGAPKPAVAIVAFPAGSLSTATGEASTSPVYLSVHSSDTGECPANQSVLYFTTGSEH ASQDLLQQALDSFLAALSGDTVPQCLYKLQYKQLQSSAHSQANGSIFDFAPPASALAF DDSILQSVQDAWKMVLGDEAVEEEYLTFADREGAMDDDESV QC762_0013620 MASLLSSLLLLGAAISPAQARVAAWWNGVGPQVIVQNETTGLIR YSRCNLFDNPKYSYTDGSVFSLTYKPKNDTPLAGSGYWSNEFTAASIYYLTERYEIAN GLFHCNMTTGLFESKGNWIISKPSPSVHQNTGLASLLLGEEGGYRLFYHNKDGQVAQL GYTRDDGQWLYKGMVSKDVNTVPALGAAHSGKENITVVSTRDLSNIGVTRWNSAGTWW RSTLPQALENETDVITSKTNKTEIAINATAPVNFTLPAYDAATKGMGISIDRNYTRFI WYIGTDKKIHQIGNTNYFWSIRENQTESFWPEADEPNASVGVAFDFSSNLVHLYYMAK GKLVQVKYENESWKAWSNVPEPPAEQTTTDPTPSGPTTTESPVSEQSDVPNEGLSTGA QAGIGVGVSLGVIAVGVLIAVIVLLKRKKHEGFEHPPQQHTDDGSTIAPTTPAPSYGV PHPQNPSMVHYNNIAPQYHNYQMAQYDGYAWDQKYAPITSNSPPASQPYSSPQSTYAA MPIQQLDSETRPTELYAQPLYELPNQTNSHELVAEPKRTAAEQQKIYETQQLEQQRRA MYQQQQQQQQQQVQMYQGQPPQYQQLLTSHQYGVATVWLVSTVGIKSNAKKISRKAIQ EVNVQKACETILEPGAPIALRLQGSLLYGVSRVYSQQCQYVLADAEKVQAHMMAFYNA MGGNENALDPRAGKAKRKELMLQDDPDFDLNYQLPVFELDDDGNLILPAVESQASRNS TSQMSPHQLDSFNAGGSSIHGGLDLPGSSSGLRNPFHDGPFGSDDIDHGMDNQQVLPF GDEERQLAPIDDWGIEIDADGNVLALFEEPELPQIPQVDVSKADDNLPSDLGLDRFDS EGDFIMGNRDPAIPSDPRLPSEPPVLPQVGQAQQEENQEQQQQEAEMDENASEVQAPA RARRQRRRQLLAPDDQTMLTRGQIRLWGDTYANRADEETARRQRRGLTATETRMNAYN LVFGQGLANLGYLAGYPNIPHPLAPFFAGEGLAQQLGFISPDSDVSGDVPATPRSRRR TASQALELEEDEVARRVRPRLSNEPDAPQGAPQLTNEAQLFLLGEQGEPIEAGRRGTS AQLSDAIHSDAPWNRPSSHIPSSPIKGGAGSKPGSRHVSASPLTHRARAGILGGSDQI ERFSDQPIFGSDTGFSQGGGGAFLSSDPIVDPIDAPQEIKVPADTSQQMINALDREGQ NFARFLRHIAKTKGYANEDDEQDEKAWVSFDDLFEPEDRKRAVVVQAFHHVLTLATKN VVKVKQDGQGGLKPFGEIRVGVDLPLSEDGGEEGEDEEMGDGGEESRIATGDDDEE QC762_114595 MVFLFLTKFHHRGRYSDAENLFSNTNLMRPVQPDLVRKSGDSKK AGLVPSGGPLPMEASRPGTKTSTTPDQNDRDEANRNTESDGNPDVPTINPDDFPGTER SVPQGILNDDDLDKNATVDVGLAVRRARYQLGDYPALFKDVVEVIAKITSGGATVTWA SNKVIERFQRIIRERYDSGERQKSCPLTRAELLASQYCHPYGEGLPAVQKKLTAMGFS CFDFGDLTFKIYKELLSRWNKYRSMIDKLRGECSEMESTLLTKLDTCMGEATKLETGQ LENLSGESRNARKELRSHSEELRNSTEQLTNDVGELGQTRGEIVNCIESALDDLEKFF HKIGGMVWRNVRDETDSSVTLFLKEFDPHFEALNKLKDQLQENYKLLEKWRSVAGQNL KALRILQETQASMVAVLANKSGEEDRRVGRGGRLLAC QC762_114600 MFRRRWSGLPADPIFPANISELGYFINEDDEIRSLENADYYFHY FLTKNERYNDRRRFAYNEAIGNVIHSRLDAESLAPLRLPVGTKPIDPHVPIRISPDLP ERSRVVLIVGEDNQQFGVLAHRVLGGKGGITKGSILNLVQALKKQPSSSTDPTPPGII IANPSELWWWPEGKRGLTPVDRHYIPMTSAVHLGRAYDKARNGIPKNITTAEHVKCVF EEVVDKLVGKDVKLDIIAVGNSAEEVEKYLNDDEVWKKFGTMIGAMVVLGGFYHSDEF KCEGFKQFMQERARAYAIHHTPLDKPIAGSFGNPGALGFTSFGCPAFSAGEANMTELM LIETHHSVLNWLENVALLGEAYRNEDVQIYGDDDGVIPEEFLQAWGEKDVEETEKHMK GTEKDMEETEAEIKKDELHALEDGNSGHDSTPKKEVAVSKESSPGSSQDSRVAAAEKE DEENVVLKVKDLKVTVVDGE QC762_114610 MSMFRAKKFDLGCFTNIKIIRDHSKRKAFEAAEPERQALRYIIR NTTLPMRTRAMAQLQLTQMHCYTRPTQIRNRCVLGGKGRGVLRAFKMSRYNFRMEALA GNLPGVKKASW QC762_114620 MPCMQELAPIWFFVQSALSTPCPPLSCWILVTQQNNLLSSATTI PPLPPNIASRSRKMASPAPLQRLQAPLRRSLARAAVLSSRTYATIPSPSDPELTQSSP SPTASTTPAKKAPRPSYFKDTTVASFSEFVGSQSAPLSLSEAYEIKTVEVGPAGRKRT ITRLPEWLKTPIPSSGANPNFGKIKADLRGLNLHTVCEEARCPNIGECWGGNDKSAAT ATIMLMGDTCTRGCRFCSVKTNRKPPPLDPHEPENTAEALARWGLGYVVLTSVDRDDL ADGGARHFAETIRRIKQKKPTLLVEALTGDFMGDLDMVKIVAESGLDVYAHNVETVEG LTPYVRDRRATFRQSLKVLEHVKAVRGKEGIITKTSIMLGLGEQEQEIWDTLRELRRI DVDVVTFGQYMRPTKRHLKVEKYVTPDEFDLWKQRALDMGFLYCASGPLVRSSYKAGE AFIENVLRKRAGERAAASASLDQVVAAEETKAL QC762_114625 MSSEPYDPYIPAGQQGSSQDPGNARTQALKQQIDETVDVMRKNV NKVAERGEHLDSLQNKTDDLAMSAQNFRRGANQVRKKMWWKDMKMRIWLIVGIIVLLA IIIIPAVVATR QC762_114630 MLSATAVALRAGARRTVRRLPGKTRAIPVAASLRCQRPFSTSAT RKTDLTTRGMIVQTLSSVGSKREVQQYLSLFTSVSSQRFAVIKVGGAILTDYLDELCS SLAFLYTVGLYPVIVHGAGPQLNSLLEQAGVEPQFEEGIRVTDVKTLRVARDLFMREN LKLVNKLEEKGVHAQPLTIGMFRAEYLNKEKWGLVGKVTGVNKQAIETAISNGYLPIL TSMAETDDGQILNVNADVAAAELARALEPLKVVYLSEKGGLFDAAGQKISAINLDEEF DHLMSQEWVKYGTRLKIKEIKELLDTLPRATSVAIIHPGDLQKELFTDSGAGTLIRRG SKLLSATSLSEFKDLDALKSVLVRDREGPDAKETVDKYLEYLNENDFKAYYDGDMNAL AIVLPAKDGRQATLATLTITKSGWLTNVADNIFTVLKKEHPSLVWTVKEDDENLGWFF DKADGSITRDGDVMFWYGIDNGDEIMRLMKDFTLNGRAMLGDSNLESRLHRAAKTGSK PFAQQTRSYSTLARRPVVSAPAFGLNTGRGYVTQTNPNPPIGKKNASKEGPARVALIG ARGYTGQELVRLLDSHPNMDLRHVSSRELAGQKLEGYNKSEVIYESLSPDDVRDMEKR GDIDCWVMALPNGVCKPFVEAVYEGRKGSNHKSVIVDLSADYRFDNSWTYGLPELVQR NNIADATQIANPGCYATAAQLGIAPLVEHLGGMPHIFGVSGYSGAGTKPSPKNDVDNL TNNLIPYSLTGHIHEREVSSQLGAQVAFIPHVAVWFRGIHHTISIPLKQSMTSRDIRQ IYQDRYAGEKLVKVVGEAPLVKNISGKHGVEIGGFEVDKTGKRVVVCATIDNLLKGAA TQCLQNMNLALGYAEYEGIPIM QC762_114640 MMDLPIDHGHLEHLLPASWKTSITAWLAEDTPSFDVGGFVVGSD LRTATLWGKSSGILAGVPFFNEVFTQCGCTVEWHAREGSHVETHGGKKALATVTGPAH GLLEGERVALNILARCSGVATMSRRLLVNLRSAGYKGILAGTRKTTPGFRLVEKYGML VGGADTHRMDLSTMTMLKDNHVWSRGSITQAVKAAKAAGGFSLKVEVEVQSEEEADEA IRAGADVIMLDNFTGEGVKVAARSLRERWKGEREFLLEVSGGLTEDNAESYICNDIDI LSTSSIHQGVRHIDFSLKISVEKGQGPEVAS QC762_114650 MTLIKSEEQTTSSTGPTTAPLSSYPAMLRLDEDEEDASYGLSSE KGPSGSYVAAYPESDDRLATGKTNQENLSHVGARSMSTTGTGRDDAASRTELDKETKK IKDTCLVSVRDELGRSLTCRHSDSTFWCERCAIKVNSNPGSSTPRAIPETKVLEIHLR KNQQSERVVLKKPRTVVNLPESVEGVPSSTQK QC762_0013710 MADPAQCNPEAQCCLTDGADHQGGDVNPHHLHDTPADVDSIDEQ TTTTSTSGDGEEVHGNCVSPDDPPPPLESSGNSASVAGIASRLNLSSHAASSSGRAWS ISSRLTLSDNGDDSAVGDHGTGIVMSFLDSDRENLPSRFLNPAYERTTGESQNRVAIL LADDVAVPGIIPPPYLYPAEIALEDLL QC762_114657 MLTPYSCEPSMQISQEPAHFLSPASSNPEIAQHQSLEQDQDQVL RWKPPSTPCSPARKKNSVSRTLSPSSPPEATPAETQDQLEKAQARRRKIKSQSRLKAK TTWQNLVSESAALQNQNADLAAQLRSLGEQILGLRNQLLVHAHCDGSIAECLTQTADK IVATAEQQFQHSRSCQTCSVPTVSAETTAAFVANEEEAYQDEA QC762_114660 MEKQRPVIELDGRTGEGGGQLVRIACALAAVATVPIRITHVRGN REGPRGGAKGGGLKSQHVTAIEYLATVTNAEVDGLSVGSHTLDFRPRLKPSSLKSRTI KIEADSPAASTLLIFQAIFPFLLFSGSSDKVDEPMTLELSGGTNVSFSLSYEYLDQVL LPTLENAFGIVVQRKLISRGWSLGKASRGKCSFQFNPLRAGETLTFKDNGLGEIYGGG PGDVDLEAIDVSMIVPFAMQESMMQALVTDLEEMFPDVEVNFKVTEDSGQDSRIYVLL VGRSGELRWGRDMLTSTPKKTKAKGSAMSSESLSQSLSRKLCKELFDEVSAGGVVDEF LQDQLAIFQALAEGKTSFPRTHDENGELEQAMNKLSLDEKLRKDKTIIPFGEGSLHAK TARWVTAELLPEVKWYNKGRICHGVGMQMEKVSTR QC762_114670 MSSLTSNNKVQLAATAVVSAAVAAGAILSYQRLQKDSRLNRLKQ SIPNPGDDESGVQKLTRVGPLPKPDKEDEHNFLLAQRAQAGDFDDELILEQLARNRVF LSDQGLSKLRNSFVIIVGCGGVGSHACAALSRSGVSKIRLIDFDQVTLSSLNRHAVAT LADVGLPKVQCLQRRLCAITPWTKFDLRLQKFDGSVAGDLLGDWEGGQRPDFVIDAID NIESKVELLKYCHDNGLPVISAMGAGTKSDPTRVMVGDIGASFEDGLSRATRRKLKLL GVTSGIPVVYSTEKMGEGKAALLPLSEEEFKKGDVGDLGALPDFRVRILPVLGTMPAV FGYVAANHVILKITGYPMDYQPAKARDKMYEAILAYVQASEEKVVRMIEGGRTDVCVG LKVPITPGDIAFLVEEAFRGRSAVTGVPTKLMLIRWRKPATTTLVRIGEGKDEQKSSN LRLRDLVCMTKEEAVRHQKEVLLGGKSLEDLYSQEVIELVERQQKEAEAYERHRP QC762_114680 MAKTVLITGAAGGLGKAIADAFLAGGANVAVCDVNPQRITAVEE EWTKSYDGKFLAQQADVTDEAAFQSLVDATVSKFGRLDVLINNAGVMDDFSPVGACSK ETWDRVLNINLSGPYTTSKVAIAQFEKQDPVGGIIINMGSNASIHGFKAGAAYTVSKA GVMALTKNTAGFYGPKGIYSIALLLGGMNTNITDAFAKGMHMEAFQAISASQSPAGLE KMVPIESVAKYCVFLSEKDIATSANGSCVVFNNNWPEA QC762_114690 MKVTSLAAALAATLSVAVAEMAATQEMAAAQADWPEWPDWEDLD WTLEEVSPLVVGKGKGGKGQDIVEISIIDPTIEILPFGKGKGNSNKPAPLPQGFKCKP GSYACEWSERKGSGWKVCNVLGEWVYGGSCGKRERCEFNLANKSPYCLPYSYL QC762_114700 MRFQLVPETQTALVLLRRRASLPCSRIKTRWLGVGKLGVRRSSG LTRSISEGHRHVPLLGMTIPEHFNTMAEKYADHRAVTSVPAIRNVNKSLLGYEVHWNP RTLTYRELDSISNILAHSLRAQGVQKGDRVAVSLGNCWEFAALTYAVYKLGAILVPLN PQFNAEQVTAALNHLEVKLLIISAMTDLAYKPGQGRSNIPLLKTIVPDLTTGTIKSPT VKSLEKVIVVDNSKTHFPSPPKISEYPSLTPFDTIWLHDAKHINPIIPDSPLSPSETI NIQFTSGTTSHPKAAMLTHENILNNGHLIAQRMGLDPSDRIICPPPLFHCFGCILGYM ACATTGAEIMFPSPAFDPAATIVMAHKEKATGLYGVATMFVSMFEELANLKHRKNYLR PVYENLQEGKKAFPLLKKGIAAGSSVPQSLMHKIYANFGLEDLVICYGMTETSPVSCM TTPDDPFEKRTSTVGRVMPHTTVKIVDPENKGRILPIGEKGELAAAGYLVMKGYWGDE GRTNEVRVAERDEDGQERVWMYSGDEASMDEEGYVAITGRIKDLIIRGGENIHPLEIE NCLFQHEYVAEVSVVGVPCDRHGESVGAFVIAHEGVGVEMEGMESDKDVGEEGKKVLT AEMVREWVRSRLSAHLVPKHVWFVREYPKTASGKIQKFKLRDMAKRWLLEAEERKQQQ QC762_114705 MSTTTSNNPNPNPNTPAAPSGPGSTKIAGKLELLSRQNRYQPPL SSSSSARSSPFPTHLGLFAYGTLTIDAVMHALLDRVPPSTLTSAPGWRAAGLPDLPYP GLVADLTSVAPGRAYNDLTEREWAILDSFENPKYDVARVTLASGAEVLAYIWPADPPA LTTTWTVDFIDTEGMEDYLAMCVEFRQDWEESQRSKTS QC762_114710 MLTFRRALVAAVFFIAVLFLTTRSSSPAASAAAVEYPKVQPGAE AERQESQTTASSGQKAADDQAVQNGGHQGGPPKQKPMKDMSRMTLYEKLAYQFPYDVE TKFPAYIWQTWKWTPAHGEFTFREQEATWTEQHPGFIHEVITDQVAVHLLRLLYGSVP EVLEAYEALPLPVLKADFFRYLILLARGGIYSDIDTYAIRSALEWVPESVPRDQIGLV IGIEADPDRPDWKDWYSRRIQFCQWTIQSKPGHPVLREVVSRITEQVLKRKRAGSLKD VVDKDVIEFTGPALWTDIIFGYFNDERYFDMENSVGLIDWKNFTGMETARRVGDVIVL PITSFSPGVQQMGAKDYDDPMAFVKHDFEGTWKPESERHIGEQ QC762_114715 MSSTYSSFPDDHHRPSDASLSDAWYSDDDDETATSARPTHRTSM TAYSDDAIMPRYVDSTYTNQQPPLSLAGPPYPNNYHEADESMGMNHQPYAFRWMTGDG PADEDPNMMGSLEALPSTTPPQHVPQELRTDIDSHRTNEMFEAEHTRKGGSKQRQTGD AFEVNHTSSFEPGAAKFGTDTTFQEAEGRFSQSPDDVSPLIYAPGMTTHHNFPYPYPA RIHENDLPEPQSTTRFEGTDWEFVDSQCAHGYWEHCPYCPSQRFASSRY QC762_0013810 MPLKHEMELMELDVSPKPISVTSSEDNDATAKPHHNEQNRRYST TNRLDPQEAESAALEETSYAQQTTDPETTRSPDGADDEGFHVEDDNECASTLPPSPEL EAVEDEEMAGWVKEQQTSQPSLRLYGGSPGDILVMARTAAPSFPTYYPRELNMDDEC QC762_114720 MSRYTNARRHAAGLGRYAENPDLGKLKYCPDIQLNEEHPSVPFM PPVPSFNGANDVWSSFPRPIEKNDWVRRITGEQPPARVGESSQTSQAELGRLSSRSYS LRVGDSNDFASVWESIVIPALTDLLQQYCDSDFAVDVHNFPELSTDAVPRVIYITLSD HVDSSFEETIRTELAHAVPTHFHPIYLKFRRGGPQRSGMWWGQERGDHDDICEPRNIT YRPAPIMGISIGPVQVADAASLGGFIKIGSELYAMSAAHAFEDAVKKGHARVHHPAKP DFHKITPSDPRAKQYVIGTVAMKTPSGTLRPSLTFQNTNFSAERTKVEMDWCLIGPVK NGKNIISVPSFQMDRCIAVEQTAPVEGNTEVYAMARTSGYSLGFVSDVPGLQRIGGHL RREWTVRQYSPFKHPRDSRASASWQTLKQWVTSGMGVPGDSGAWLMRRSDNAVIGLIW GRNHDYGDPLERVRLTYFTPMVDILKDVSLPSYSATDLARASDSQRSAVHLDPSRDPW NALASDVIQQHRHTQEDLIRDHFVDNNIPPLAQCSIAHHLPSLVSV QC762_114730 MDFSKKWNEHLRRSSAKKINCPDCSEDPQYAHETFEKHQHEKHY ETVHVKPLNEKSTDKEKKELISTKWKEAPPIEREASKPAIRNLDPSSKDAKAVPSSTH TSNQRPLDPDSGAPGPDRPSRRSTPDSKSQPSRSRSPSPPKKSKARLEPDRVDRRPPA KGTLWTPDADLTASQRPYDKSNVASRVSFTPTSKPPHRQPRTSTSRSSTQVEENDPTE LIKQPETRPISQDQLVAEVKGIYAGLVMVESKCIEVDNAQNSQNDPTNKLNNEQWQAL IALHRTLLHEHHDFFLASQHPSASPALRRLASKYAMPARMWRHGIHSFLELLRHRLPA SLEHMLMFIYLAYSMMALLYETVPAFEDTWIECLGDLGRYRMAIEDDDIRDRENWTAV SRHWYSKASDKAPTTGRLYHHLAILARPNALQQLYYYTKSLCVAIPFGSARESIMTLF DPIMAPTPNQQQSRLSVAEFAFVKVHAIMFSGKQMEKLHSTMDDFLQSLDSQITRSSR KWLEAGYHMGISNCCAVIGYGNEANPISKSLKQSRGADEEAQDHLMTDGDLGSPVPED LANALKLFSQTYDIVARRDGDPNILPFLHVSLVFIYHLTFLPEAIHFVAPSFPWKRTA LMMNTLLGSCKNYSRIEETVLPRSESRRPLPEDYAMRGLAWADRVSPSDCFSNEKIDD DEKYFEVASMAEERKERVLWLGHKIATSNPRWLRYNSSTHEFTVAPEYETEAKGTPMS PVESVEMGELPDAAAITS QC762_114740 MLAMPSATILLVGLLALPSAVRAVFRDEVGHIDYHYQLLGLPQR ETTFFHRPRKDEQGSLLYTLSDEGVLGAVNPGTGGVVWRQFLAGDNNNKTAVGEGFAR AGEGEGWVASAYGGEVHAWDAVTGRNTFWARFPGKVKDLEVMEMTEAERKDVLVLFEE EEKGETVLRRLNALHGDVVWEFREVTKDVPLQVSTNVEKVFVVFLKGTKGAYSLKVTT LDTLTGKRLDELVIGTKANLNDEGDIMLVGANSAAPIVAWTDAEHTALRVNVLGLKTS QEFPLVEGTVEVEIHAPHLIQSQPHFLVHSKTATGNKGEVYHIDLKTGHIAKAYDLPL LPGKGAFATSSISANVYFTRITEDELILVSSQSHGVLGRWPLKNTNSKVAPIHAVSEV IKKAGADGSYAVRSAALTADDEWALVRNGEIGWTRPEGLSGGVAATFAEIPESEELAK SLEQEAHSNPVQAYIHRVKRHINDLQYLPAYLNNVPARLISSIAGTDVTSSAGKLSRD SFGFHKLVILATKRGMVYGLDIGNSGAAVWQKRAFQISKGQKWDVKGILVNESSGEVT ILGAHNDFVVLKTETGQVISAKAPSSEATTQSTAIIDTASGPRLLRIGLDGKIGDLPI DKAPKQTVVTRGADGELKGVVFIPDGTTAHESTSWIFSLPENQRVVSIATRPSHDAVA SIGRVLGDRTVKYKYLNPNTIVVAAIDDKTWTLTVYLLDTVSGQILSSAKYNGVDPAK PVECAMAENWFVCSFFGQYTLRDNSAQSLKGYQIAVSDLYESEETNDRGPLGDASTFS SLDPVDVPTGVALPSVVSQTYIMGAPISALQVSQTRQGITTRHILAYLPENHGIMGIP RMLLEPRRPVGRDATPAEIEEGLFRYHPAIEIDPKSVITHERDVVGIKKIITSPAIVE STSLVFAYGVDIFGTRVTPSFLFDILGKGFNKVSLVSTVLALFVGVTMLGPMVRKKQI NLRWSAPM QC762_114750 MADEEVLPTLPKTPFNGASKRAWAQANAPPPSVSTSSDPAVFSS DDDPALEAYESARRHKRRYVGTWYEQHAALSSDSAMGDHSSLPFVRSSPIVCRPLRRK AGARQQKREFRKLDSGIFMGGPDSTDTEGDVPTPYTPKLFASPAQLKISPRSQPQLSE AEAVARERIKRCVENGTQEVDLSDLGLNSISTETIAPIADIEPIPVVVKGVSFRQADP DIKIYLYNNNLKDFPSSLVNIEPLTFLTLRNNDLTEIPTCIGKLKNLKTLNLAQNKLR YLPAEILRLMGDEGKLVMLHTASNPWLTAEKVSFEAATTNCGPNPLIRTPVEFLGSDG RIYSRFRLPLFGDLAESTGSLELEVEDPTELEMPQDVSYREQDDNRLLNPKGARSLFE YALKTLTQLPEPELEEVNYWLEVDEGYPDVREALNQAAEAHRRGGLTCSVCNRGMVVP LTRWVEFKAPAATKLDRELLIPFLKVGCSWKCVPACQSSS QC762_114755 MTPQLPETPRKQITVGGRVTKAKGSNPKSSRSSTTKGRKLRYGP CPTCKIGILERKRNNPEGSGPDAGLWRLSCSRYTARPPCRHYEAFNEDPQILWAQKQN ELGKGPCPECHIGQLVERVENPFEYTEKYAECSRRGEEDGCNYIRPVIKGKAMEAVKG NIDTVEAGPSKQDNGIKMDQNSGDMNGEAGNSKDEASNNNNPATIPNHIEAQQPVIPE TRKQTRGTSKSIHKHMAEDLSGIETGDSMEHTTAKASSKEKVKVTIDLTLDEEDENDE TKFGSVSACYPAPANVYPARTRLFQSASPQNLEGMQAPITAVSTSSKSGLIIPSGHHS TLVTPAKSPNSLGHSPFSVPGKPAPTSNTSGRFNTPTKSLGMARQQPFSSGMVTPTSN RPNKTFVLPPTLPQKRGREDGEKEDFDIDTGLKDAMIELADQLDTHVPDSKTQPKGQV NTTPTSQFTYRTSYHGNNPFNSYNTTPFRNQFNHPSNNQPNNDPSTQANYQPTNQFAH PPSNSVVKPTLNPVCNTDSDAPTNPWDIWEAHRLTNSQFLNNNAGTKDDKPPAKRQKR DEFDDSDLDDNDFMALAEQTEGQSLGGKR QC762_114760 MAEPTPNPAPPAETPEVAGEDAGPSKKALKKAEAKAKKEAEKAK RAAERAAATAQANAAAAEDHATGNYGQETHETKLSEDATEISLKTLNDEHLGKKVKLR AFLQNARMQGAKMAFVELRETGNWAIQGVVAANADGSVSRQMVKFIGSVNPESFVVVE ATVEKPLEPVKSCRVSNCELHLTKLFVISSAPAMLGMTLSTANKAVTNFSDEEAPAEA PVEGVENLSISGEVSGPPAASMLTHLDNIVMHKRSYVQQAIADIRVEVKHLFRSYLRE HGFKEFEPPCLIAAASEGGANVFRLPYFEKEAFLAQSPQFYKQIEVLAGRKRVFCVGP VFRAENSNTPRHMTEFTGLDLEMEVTDYQEALHMLEGVLLHIFRTIKKTCADEIALVR SVYPSEEFLLPEEGKEIRLTFAEGQKLLREEGPEEFRNVSDFEDMSTPQEKALGALIK KKYNTDFYVLDKFPSDARPFYAKEDPTNPKVTLAYDMFMRGQEILSGGQRIHDPVELE ARLRTKGVDPKSPGIREYVDLFRQVGAPPHAGGGIGLDRVVAWYLNLPSVHLAAYYPR TPKRLLP QC762_114770 MASKRDHSALSASDYDDAPPSSSNKRRRGQPAAKTKQVEVKTDP TYGQRTAFPGLDDDGNGQFSDEDLETEECGDALAYLKSVRQEASHVPHILVAPKAGPQ LPPHLLSTTANSHDAVDRSLYDDGVGDSRGYYQDGAYTAAPDPSPTSSQQEAGLLASA DAEAENKRALSESYYASLTEQFLSLRALLHQEPPQELVDALDKDHGIEVGAFGPKSWT FRVWTKRIRYTDPLPVQIAALDRQSVLKILRIILGGKFIRRGYELRERTSRWIWALLA RLPDRGVLDHTEVGWVRDLGKRAVLMMVSIAHMAALREEVDEGLEGEEYGDEQDEEEE EYPVDEDMESESHEDGFGVTRQDDKGTLEVPPANPVESAEEAEDGEMDMDLDEGEISD EDNNKDIGADIAAAKARMLAQLEDIPEYEQCVPAVQAEKVYADQADEPVFDETRTRIN MRATLNMILTVAGELYGQRDLLEFRDPFPSL QC762_114780 MSAPRTKRPFAGAASDPAQRQITSFFSPSSSGSPTATQSTKTPL NGPILPAPVQTNLLNVGMRVRKSVQEGYKTGAQYSAFKLWEDNAAPITPTSTVPVSTA GGGGMRELLPFSGIHKVGGLGIQPSNGAGADDCEMAGSQESVYSNGSATSAVEATERV NLNAKKRFFVREEDEEEQEEGMVLSWEDVDVSPRSFGPAGFENRRWAVPRGKKGREGA VAAAAGQENTVRVLVDGNDFEEASFLEGMGDE QC762_114790 MASRLPTGPWTGRTLDNGFLSMMCPAAEARKHKLKTLVPAPRSF FMDVKCPGCFTITTVFSHAQTVVICQGCTTVLCQPTGGKARLTEGCSFRRK QC762_114800 MAAPMDQRIAVPIDDPNADTEWNEILRKHKIIPEKPPSPTPMIQ EAILEARRLAHENRLEGKDLSDLDSLEDEEDEDFLESYRQKRLQELSALSKKSIHGSV YPLSKPDYQRDVTEASNNGPVFVHLASSLSTNVESRVLGQLWRQAAEEYGDIKFCEMP ANRAIEGYPEKNCPTILVYKNGDIVKQIVTLMTVGGPRMSMLELDNLLVEVGAVKEND MRVLKRRREAEDAEEERIVNKGIKSSSDRRKQDDDDDDWD QC762_114810 MTALSLSTPPQPAEGHRQHAAQISRVNNTAGFNGPAPVRQQGQA AATGSSTALSSLSRQNAQLMAQAQPRAQVAGYSSLRNEGDGFGHSESPGSSALRSAHP TTNGRHRSISPTSAARRPSSAPGDKHVNGQHTDDEDELETNGVVSRPVKPPLLRSKSE HGIRMEDAETVVEEDIQEWGARHGFEDHYQSKAIISQLANNWYMYFTDKRHETTGKPK TPAFEIQDWRMRDRLKTVSAAIAVCLNIGVEPPDQLRTVPGAKLEAWQDPTVPPTNKA LENIGKALQTQYESIAFRTRYKQYLDPSIEETKKFCVSLRRNAKDERILFHYNGHGVP KPTTSGEIWVFNKNYTQYIPVSLYDLQQWLQAPTVFVWDCSEAGNILTNYHKFVDKHE EEEKEVRQKDPNCERQNYRPYIHLAACGTKENLPTNPQLPADLFTCCLTTPVEMALWF FVLQHPLKTNLTPERARKLSGRLQERRTPLGELHWIFTAITDTIAWTTLPLAALFRAF LLAQRIMPVYGCHPQSYPELPDTRQHPLWDSWDLAVDMALAQLPMLERKELDGTPYEY VNSTFFTEQLTAFEIYLCRGDAQSQKPPEQLPVVLQVLLSQQHRLRALILLTRFLDLG PWAVQLALSIGIFPYVLKLLQSVAQDLKPVLVFIWARILAVDISCQQDLIKDNGYNYF ADILKPQETILVNGTIVQTSHKAMCAFILAMLCKGFKPGQVVCNSTDIMKYCLHHVTH SEDPLLRQWSCLCISQLWRDLPEAKWRGIRENAHLKLAFLIKDPFPEVRAAMAHAMTT FLGIPDLTDEVARIEEGIAWTMLELATDGSPVVRKELLVFFSKFVLRYEHKFLVAAYE QLLEEKEYMLHPPAEDGLDHKMGLHYARKENREADGTIRPIVFGVAHDSIFAACWKHI DILSVDPHPEVQRDATIILDYVHHALLHSPVGPQAQSLMDEILRRARKVSRGDMSQRS SVLGTHTAMAQPMPSPGLLKRTASYLFGPLLKENTPAGLTNPPLTPGLPKATNPGLSR TLSHRSRKGPNLENAPPEQNDQVTSPANYHIANEPLCAGYRERSLTEVPKLPLESTFL DWSTEYFREPQMKLAEAEEPGSHEYNERLWRRSRNEAVLRETQPQKAQAGTHKWNNQI GIINNGAQPAKMSFHQFEDHVAVADDGNTVTVWDWKNGTRKSHFSNGNPEGSKISDMK FINEDDQALLMSGSSDGVIRIYRNYDSDEGVELASSWRALTHMVPSNVNSGMVFDWQQ VNGQVLVAGDERVIRIWNAGHEMCSHEIPARSGSCVTSLTSDQMTGNIFIAGFGDGAI RVFDTRNRPQESMVRKWKDDSRQWVRSVHMQRGGQRELLSASRNGKVRLWDIRIENPL KVFQATKDVLRTASTHEHLPVFAVGTSAHLVKVFDFDGHELSRLEPSTSFTAGLKLTP IATTAFHPHRMILGYAARGDNHIHISACGNEVAAPFVAAFAAEAAAKRLATIQQAV QC762_114830 MAFLFKSKKSHDRSLASRDGSQGSASGMGGAAARVRDEKGSRST PTGSLTSLDVDGSIGSPDQSYARQRGQSLDQQQPTPPQLQQPPSSDLPLRNGPPPTQT APNPNASLYPWSQRRLTYTSSHPSPFPRYGAAVNAVSSKEGDIYVMGGLINSSTVKGD LWLIEAGGNMSCYPLATTAEGPGPRVGHASLLVGNAFIVFGGDTKIEETDVLDETLYL LNTSTRQWSRALPAGPRPSGRYGHSLNILGSKIYIFGGQVEGYFMNDLAAFDLNQLQM PNNRWEMLMSSTESGGPQGKIPPARTNHSVVTFNDKLYLFGGTNGYQWFNDVWAYDPA VNTWSQLDCIGYIPSPREGHAAAIVEDVMYIFGGRTEEGADLGDLAAFRITSRRWYTF QNMGPSPSPRSGHSMTAVGKSIIVVGGEPSSAQTAVNDLALVYCLDTTKIRYPNDAGP NQTSPRNQQRRPSDATPQVTLRNVSPRDGSNGPPDSRRPPGGPGPNGYRSPNGTAEVS PTGGPPSGPQKARSAGPMGPGGPSGAPPSGPPPQIQPPKPGPPGGAPRPARNASTERG DQPQGSPITSAPPQQVAALKEGEGLGATGRRTPISQNPNPPRSSSRQAEGQPADAARS KATRQGRGQGSVDSTTEPALKPAPARPASPPPPTRQPSNPISRRSSARNSQTVTLLKE LDAARNRNAWYASELELARKAGYSPNASLSPILDSRAAETFDDEDKPLIEALLAMRQE LANVQASVDKQAVLAARQIAEAEKQRDAAIQEAIYAKAKLAARGGSARSTPQLDDKEV GDRANEMSKKLAHALSVQKELQDQLERIKTDFDAEKKARKLADDTANAAQKRMADLES YKQQNASEVERLKAELHMAQREAREQSVAAAEAVAATQMLRVEKEEYEQKYNHLVGSN KDQVDTFETFRGALAASEETKALLERKLEEERALKEKIESKLSKLKAEHETRTAELVS ATQRLRDAEELAEKHASEAKLQRQALLSGLDKMSAKDVSKSDKADHDRILALQGQLNA ANALVRKYQQEADSAADKLRGAEERIAGLEAYQEQASREGVSIRRQLQGALRETQSLQ AANSELRQQLSKQQLETNAVVVQHNTLKDILVERGISPSSATRARNSPRGSPREASPE RARVRELEQQLATAQNALEETKAQATEKLVQLENDYQSAVQYVKGTEKMLKQLKDQLT RYKTENGRLKEQVVELEDKLEAGGGASKSGPTDWESEKETLSAEIGRLQAELKNTASQ LEKQVQSIRQDLAEVQKERDVAVKTSEDANRRLEAHKKDLEQLQQENILLERRAQDAE NKVSTLLDQVELSVDNYRRRSRQAPSLNSETIGSNVASGPGGTNGGSSSAGLGHNRQE SGGSESLYGGVPGEREVNSVGGVGERNSKALDSLAHELDNLRNQWEATNKQYRLSTTQ YEYETNPISPGGKPTSTGLSESLADWRKRLDESHPGSPGEAR QC762_114840 MALSPMQFALIQAAVIGSLSNIIAQVIAAQRDNKPITINLLSLF QYVLFGLVNTPPNILWQEYLESTFPSYHPSPTPEAIASASKGSEAELDAEEKEGKLVE PKLNRRNTAIKTLLDQTAGAAVNTFLYSMFMNGIQMGMAHHELEAQTSLGFLFGEKGV VRAQDVNWGVVWERTRGEFWGIVKAGWKFWPVISLVNFTFLKSVEMRNLVGGLAGVGW GVYVNLFAGN QC762_114850 MQRPTYGQSPPLHHPVPQHVSTVPQLRSPPPLTSQPQGHGYDGS PYPQQQQQAPPGGNMFAQYGNFMNDPTAQIATQFGQTAFRQGQDYLEKNVNRLVNVSA LKHYFNVTNSYVINKLFLVLFPWRHKPWTRRQASEVGAGGGQEAWFYLPPRDDINSPD MYIPVMSIVTYVFLRTLFAGFRGEFEPQLFGSVATIAIVMMILEILALRIGCYLLNIS NQSQLLDLMAYSGYKFVGVIVTIAVSEIFNRGKGTGGWVGWTLFVYTCLANSLFLMRS LKYVLLPENNNTNQGPMQTMHPLDSRAKRSQRTQFLFAYSYPVQALLMWILCRP QC762_114860 MLDTFEILTTSGVVLWSRTYAAVSPSVVNNFINDIFIEEKSSVA GAKNGASAAENPPYKHDQHTLRWTIEKELGIIFVAVYRSLLHISWIDKLVDNIRAIFV GLYRDELTKPNTTIVECLTFDQYFDQQLRELEQAGGKSDTRALKSEVLSEDTGDEPPS PPNNRGQQALRGVSPGSSPNVSRPGTPGASHLLVAKAGPGAKLSRRARKMQNTTSAPA SSGDEGPARKAKAKPMKRGRKWDADGLADEDDGVQLDYSVPTLTSDSEAEPGARPGAV EEVDASTWGSQTKGKFVLRDLGDEVNSILADADTKKNAATKTDAPSGLVGTGLSAIGG LFRNVVGGKVLTKQDLDKAMKGMEEHLLKKNVAREAAIRLCEGVEKELVGVKTGSFES INARIQKAMEASLTKMLTPTSSLDLLREIDAITSPSATSLRKARPYVMSIVGVNGVGK STNLSKICFFLLQNKYKVLIAAGDTFRSGAVEQLAVHVRNLKELTAREGGQVELYQKG YGKDAATVAKDAVAYAAQEGFNVVLIDTAGRRHNDQRLMSSLEKFAKFAQPDKILMVG EALVGTDSVAQARNFNAAFGSGRSLDGFIISKCDTVGDMVGTLVSIVHATNVPVLFVG VGQHYSDLRNFSVKWAVEKLLSSA QC762_114870 MTPDSRGRTARSTQHGFSNNTLPHGAPPPSTLAAQIVENISSTS RKSHLSDASTEELKHLQSLVENYNAKSEDIKTPAEQVEYNHLLVYMIGSVSLKVLYWD DPFSTQEKLHEGAIAAFGFLRTTLTETPSVLKCATDGTKYLNMGEEPLWLWIFPRLLK ILAHRRARAITDQVEALFDYILELVEDNVEIWDLGLPLMQYFRATLDSILDIFDPNSS TTRTSPVQIELPPSAFLKSVSETPILNCTFPLQGEENAIHFATSLLSIIQRAIIPGPE ANISVLYGNHTIWVLDLFQPLSLLVATLPVPSETRVSKIVQMSLELAEAYNKLGATDA IFKHKANATLALVCNNVIKNPQQLLTQDDEGVDARRVMCLAFVHLAKAAIAHAPTSSL ISCGLFGISKVLTMENAVIGADTDFSRAVELLAQATVNPAFKGFTAEIQTGNYVDAAL KQQVEKLLAKNNPPQASSQPPPKRRKTDSSSVASGVLGDIHGRLCQLFETDPEMDLGD ILNHLADAYPQLSEADQCWLIELISRLFCAADNTLTVHKHSTPSTLKFRCLYCSGTSN MTPVSGYDADIKNLALSSFSKLILLQEFVESRRPRIFAMIALRRIARHVPNGEFWDNG KSAPGKWCLQSLSSSVRELRIAAGRALPIFLANFSATEVDNSVIKRNTARTIGLLKSI SDQNVISLQETCIMAWGQVGRVVADTELNLVLVKLVEYLGHHNMMVSTVAFNEIINLA ESHDVTIASLFRPFWPSLAFSVVKDLVSRPQTTQLVAELLQISVHNLLKMLQKHALPW LVLGKKREVIQKIAEARGEKDCWQPCVDAENLPSILALLLVQDVPNVESYAMELLQHT SAHLNKSPLVDLLRTGPMMIALELFKYAAAANDDRKPQVRTALVTMANLITGTPKDKK MSKTDVIGRFLQPYALGVATRLVENINDSHNVHPPPQERKLCIRAMEVMIRVCSSYAS IARTQISACLVTALAHDELRSAAFSCWASMLTCMEDTDLEALLEATFYLIRFYYSSCD EETKQFLKSLLQDLLSKNRQIIMEYSIKLPSLGEIDELRDISEEVEGLRPRLTIKETF AVFSQRLGHENPGVVEYALTELVPYLEKHQEYLQTSAISERPDAILTTLTRSILDCSV KYNGWQPSITRSCAEALGLIGCLDSNRLETTREQQHIVVIHNFEDASETTDFVAFVLE NVLVKAFQSTTDTKFQGYLSYAMQVLLERTDFKVAFQMAGEGESEPVYRRWLAFAEST RETLIPLLSSSFLLAPLPKQSTEYPIFKPGKKSYSAWLKAIVFDLLRCTQNAFSEMIF EPLCRLIKVKDLTVTEFLLPFVVMHVILGQPDSSVFSPKIKAELLAILKYHPPSTASY VEKEQTKLYYQAVFRIIDYFKRWLQIRKLKATTPRAQKQVAWVEDVLDSLDPKLLSQR AVDCGEYARALYFLEPHLENLDKKKPQEVRKVDEDYRLRDTLQNIYTQIDDPDGLEGV SAHLGTVTLDQQALNHRKAGRWTAAQTWYEIRLAESPEDTDIQVDLLTCLKESGQHDV LLNYVEGMKRSSATVNRIAPFAVEASWATGRWETLEKYLGLYNAGDVSEVFDLGVGQA LLSLKKRDMGGFKEHIQILRDKVAGSMTYSATSSLRACHEAMLRCHVLSDLEMIASNK ALEGDNQAVLATLDRRLQVLGAYVGDKQYLLGVRRAAMELMRPKYGNEDISALWLLSG KLARKAGSMHQSFNAVLHAQQLGDASAIIENARLLYKDGHHRKAIQILEMAIKENSFI DKAVGPVPPSSARSQESHRNMLTATAQLLLAKWLDSTGQTHAGALRAQYQQAAKTHSR WEKGHYYLGRHYKKLLESEKGLDPEQQSDEYITGETAKLVIENYLRSLNFGSKYISQT FPRILTLFLELGSQVNKTPDGKVTFSRELYQRRRDILTELCAKFHKQLETMPAYICYT SLPQITARIGHPSPDVFKVLEDMIVRVVNAYPRQALWNVFPFMANPSRQPNDRQRRAI KILNTIKTSSPDIKAFLRAGEKLAEQLLVACNNGHFQSNRTTTASITRDLFFNHKCTP CPLVVPVETSLTATLPTLTDNVRRHKPFSRDAVTIEAFLDHVLVLGSLAKPRKLTARG SDGKLYGLLIKPKDDLRTDQRLMEFNSLINRSLKRDVESSRRQLYIRTYAVTPLNEEC GIIEWVDGLKTLREILLSIYRGRNISPNYTQLAQLMKQACAGDNNTHIYTETIIGMFP PVLGEWFVSQFPNPSSWFAARLKYTRSCAVMSMVGTILGLGDRHGENVLLEEGNGGVF HVDFNCLFDKGRTFTQPECVPFRLTHNMQYAMGVYRYEGPFRHCSELTLRILRQQEET LMSILEAFIYDPTLDLQRGSKRTKEVVKLNPTSVVASIKRKVEGLLPEESIPLGVEGQ VDMLIKEATNPRNLAAMYIGWCPFL QC762_114880 MSGYRVERATTGRAGCKDPVCKKENIKIEKGQLRFGVWVTIMEH GSWAWRHWGCVSGETISNLQEYLSKDKNGEYNWDMLDGWEELEEYPDLRQKVQRVLNQ GHIDAEDFNGDPEFNVPGQKGIRPRQPRKKKNAEEEEGNGAEAAETPAKKRAAKRGRK KADEDEAEAEAETEAPVAKKAKRGGKKAAAAAVEDEDQVLADAEPAEEQEKPKKKAAR GKKAVAAVKEEDEEEAAQPKRRGAARGKKAAPVYKEEEEEEEEEQDEDEIVEKPKRVA PAKRGAKGKQAKEPEPQAEPESEATPEEDEEEVVEKPKRAAPAKRGARGKKAKDAEPE PEVEADAEVDAAPEEAEEEAEVEEKVAPKKRGGRKPKAKAADADGEAAPAPKKRGRKA AA QC762_0013990 MNEPKTRLITLKLVVSQAQRQGKQLIPRGWPTGLPVSATTATTF CFHSRSIISVLNTGPGPVGFFSGFPPPFTSSVAHTIDHFHFPLFFCGKSRDIRNFGSF KFSTHS QC762_114890 MSSRSRVEFDEREYVRDAPPPRRAPVREYDDYRDPARVPAFMLR EERPNQAGQLVLRAREVETMERQRPRSPSPEIRMRERIVQRARSVSPGPRRVEEDIRI RQVERTREPSRAPSERIRYVERPRSPSPSIHERIRITDRREERRSPSPAPPPPPPQPQ VIKGPTIEREVITHYRDIDHGMVVARPPSPPQRHEHRDTEIDIYTNRKGTTEVDIHKH THSHSRGRSVERPSRPVVHAYEDDLVVSTNKHLHVDIERRRSISRGRRAHSAAPPVID YDDEAYEIKSRIDARGKMGEAWNGITKDWTIVDVPPGTERVRMDGAGGASAEVTWQKY SGVRRAKFIPDRDEKSVVSETSTTISDARDRNRDRDIERERRLSVQIIDKDRRDRDDY EKITDRRLTISKSRTNSPAPPPPQQRRSETWTEITKDLVCREAIQEMGYEYEETEYFY YIIDFLAHEEVVRLVNLSDRIRQSRKDRAREIQYEREWRDEWEHRHHHHSHSHSSSRH RLDDERVVEREIIYDSHRHPGGRQYRY QC762_114900 MDDRPFNLQPPAAKPRGPQNIAEFWARARSQPGGIQAMVAARRA KAAAAEQKDGQNDVEMADSNEAEEPAETEAEESTETPDINAVRAELLQQTQAALATSN WALDFLSLLISREMPTQATSTLSQETRATVGLGTLGATMLAAPTALAQSRETEMRMTA IGQRYLALDNCISMAKPAQSRLEHQIQAEEKYWAEVSTVKEAGFRVARMAQEPQTMCV SFGFLNAAPEFKNSGIAPLRRADDGSVKLELGRRGGGSRRIRVRILENDKVVGQSSLP DPLPENATLVDRVKDARNTIFAQELWHEINREARTLGGRNVRMSRSSVTYAMSPTSTM SVELASLEEENAKDTADSPRPKDDVAETLSLALALQLSHAHKINERRREDRNAVQTSA PVYSLLGPIVSWFEHERNIAQFIQHLLAYSKALRSAGLPASVLVREPLVRTPPGAGTA ADSENIGPVLYSPPAVEFDVDITPQSRLRVMVKPPQSDGPRYAVWTLPPPPSSQPGSG NPLQSVFPPSPDEYFDANDAVWYINGAVPRALTAHSMLVLEGLKGNSSSGEEGLARWA TRSDEKGLMDETRGLGIGFEFTFSEGGPELKVTGAFAEGGEKVSRQWVWGLGSQAGEA LEDVVRQVLSSCLDT QC762_114910 MPLPDTRFLLRPFSIVIYPIWTLLAFFYRHSPLRFLSSTLQPLF GLRNTTDIDPETPEPTSTTNMSAKKKIAIMTSGGDSPGMNGVVRACVRMAIHMGCDAY CIYEGYEGLVRGGDLIRKMNWYDVRGWLSEGGTLIGTARCMAFFERAGRLAAAKNMIL HGIDALIICGGDGSLTGADRFRAEWPSLLDELAANGEFTTQELQPFRHLNIVGLVGSI DNDLSGTDATIGCYSALARICYAVDLIEATASSHSRAFVVEVMGRHCGWLALMAGVAT GADFIFIPEKPREDNWREEMCSIVEHHRKIGKRKTIVIIAEGALDREGNKITPAMVKD LLADKDGLGLDTRITTLGHVQRGGTAVAYDRMLATLQGVEAVKAVLEATPETKTCVIA ITENKIVRKPLMDAVQDTKKVAKAIERQDFEEAMGLRDAEFSEQYKSFMMTTAVQVDK ELLLPEKERMRIGFINVGAPAGGMNAAVRAGVAYCLSRGHEPMAIYNGFAGFARHHAD NPGAVRPFNWLEVDGWASKGGSEIGTNRELPGESGMETIANLIEQYKFDALFLIGGFE AFHAVSQLRKARDQYPSLCIPMTLLPATISNNVPGTEYSLGSDTCLNELVEYCDKIKQ SASATRRRVFVIETQGGRSGYVATLGGLGVGASAVYTPEEGVSLDMLAADVRHLKNVF AHDQGQSRAGRLILINEKASKVYNAKLIADILREESHGRFESREGIPGHMQQGGVPSP MDRCRAVRLAIRCIQHLEQFGRNVHNRVKVDPMSTTVIGIKGASVVFTPVKQVEEEET DWPNRRPKAAYWLGMKEIVDILGGRPKYELPESDLTGIKAKDVKRGIVPP QC762_114920 MSSLSTVTMRSSRLMLRRWGVQTAQRNFFQAAVARHNLRARPDV PFLSVPSSSTSTRVTRVRHMTTERRAQLRYEILTGIKYVGYIWIAGFAIFGISFAYFC ERNERDYPTTEEWSFMTRFRMRMANIALYDPKHGNAVDWIQVGHWIDTVIKRLHDPEF EGAGLKDAPDGPKGTKDITAKSEEWRRAYFDAMMFWAKAAEHMEGWVWDTANGGTFPP GTMIGPSNPYPKPVPPGFRKAPREEDCILRHENPNEIYLKILNTVGFTDRQKIDAGLA YGSWLEYKGIAGPASIIYEDALHLALKQIENTTPAPLDPKTMTLNEEAGLPSENLLNT LTAYADFRARQGDINFALPVYISLLKARRSLPLPSDLDLTSQLRKAKPSSQNRGFWSG VYNACAKIFTPPPYPEGPGDGIAPPIRNAAERCQEAALSLHIGEIMYATNPDAREEGL SWTREAVDVAEEQLHKINPNLREMKPVRRVCRDCLVTGLENWGKMVTRLQREEQARRE ELERQQQRIATTKENNRGWFGGLWGERQAQREQEVTDRWSAEEKVIEERQRRVKDFVE DLRQPDRGWLSFLKA QC762_114930 MSTTTPFIPTWNPKPFPGISLSAGGLLALADLQTIAKRTAITGG ASWADAFLLAPGLHYQQAAGDLFRKGGSGGASAITKIVDQSSPDREVTLQLNNAATAE YIQSIAKPGQEVVLDIGRVRETTRGKRYFLRRSNGRRNAVAWSEEEEGVDGGLGWVSH VLYLSTLSLTMGTIVVVVLFKDWWCLMSILGYMTSRLLNICIIKRRTSQQPRDPSPSH SPKQSKSGSRHRSRSRVSEYARRAATYLVSFGDDNKTAVRLRGKMFDPGAVTSDAWLR SKTNVEGYLEATAKLIVWVVAALSGNMTQVGSLMMMVLLILSAGLLAVSNSRAGGVRV NGRVVKLGKGDVEQGEKRGGLGSTTSVDSVGSGDGRRRGRGDECRPATAAVDSRAGED GADSAERGEAGHGGQVRVKWKGTLERRPDQQV QC762_114940 MLSEEFVSAICGPPLSSNTAISKDIGIYTHTLSPAYSIKSTFKK SSVPVNCLAVSETHVFAAQEGKAYVHVYSRLRGNQEAFVAFPERIRCVTLSGDVLIMG TAEGRLMLWETCTGRLVSTPARHVQAVSCVVATPTHILTGSDDSDIHVWSMSQLLELD SAAEPEPERSLSNHRAGITALSTNSSVSASTNFCVSASKDKSCIIWNYQTGDALRTLI FPKFPLCVSLDPSSRAVCASCEDGTLFVTELFGEKPLLGPSSEEASTVVQIESPFGAT PPELGPASCLSMSYDGTVILTGHPRGQIMRWDISEKNKTPVELANVNAAVTNVVFVSP FPTGKPTKTVHIVKPSQAERTYTLAAQLDPLATPESRFDSLLNATGFPKEALESAIAA FEQPDVEFVEKEEEELQKHNQEFWEIMKDERIVQKDAFRIGPV QC762_114950 MSDPELAVTLVPASRSFIAHEHAPYQASVTKGRARSRARSRSTH TSTHTRSSSLGSSAQGPPPWRSVSSMKILFPLTLSPTLQTQTPTDPMDSSTTSNAESI CSSASTNEMDLIHNEPLADCNFPEMLVRDLETSEVVFRTKSMTAGCPSAAFATSSEDE HGDEEHAGGRSESTDSLSMASSGMDPPPSIRVRGHSVTTAATSVSGRRSSSFSQKAHS QSSPSTPPSSPAMAQNHHGTWFDADGEGDATISSRIQGPSQDVQSLTGGHSSDINSFS YNDGMKRHTEAIAYHLRPNSPNSYERPCTPSNQISQLVRERPRLVNIPPTAIPKRKSS LNRGHVRTMSGSSVAPSNHEILRRTSVGQLAPSASMQVLGPRNGERYGESSRPPSRGR DGNGHVHARRSNGAFFPDNLSENVFLDDDEEIRATGVYDQPVQTKPHTHSLNGRTSGG PATDIQEIQRITHSKTSYTTLGIPLPPDVLEVLRVSVSCFPETMLTTSSLTVDNIRTY SRKLRHGGMPDCDFMSDDQSLFSSGGNSLKPRPSRKWNLNWFGQSHKLTKHQQHGRQQ TQLLSGGSEDVDALGQVRSPRKTTEASWRPIRAIFPFGSDYLCDALYAHVLAYNYIST LCPPPPVSPLRQQAPGSAGHRPSGSSSDDPNSKTRVPKKAASVLGMQDDNAHNNRPTT PSSGPHHRRSRSHRFLSRDSHGRGSLKSRGSTASGLEGNDNSNSNGKNAVASGMRELH AGLAKCIALLVSTLKKTEAGDLQGVESGGDANTLLIREREVHDESGEVDVLLLRALCE VVRISEA QC762_114960 METAGEQNIPASARPRVTNACEACRSAKVKCMASNQLGICKRCL DSKRECIYKTGPRTRRPKQSKRSQSSTDPNTSTTAPPPLPPPPGPSKTFTIDIPMPAD DDVTDSFEVLRLAHESTLNNLVPHLSSGEEDQENEPIYDYDYDKNANMDWLNTEQTSN CGSVISSHASSLPIGASALSTPPSSTTTAATTGARSKQKSRMVASLGLQPQFNVDSAG KLLQTFTGVMLNHFHCLVIDPERDTVASLAKERPFVLLAVLAAASGSRTLQGHSLYDE EFRKILGLKFVAGGERSLELLQGLVVYIAWYPFHLRPKNKQAYQYIRMAVDIVFDLEL NEDPGTDRVDVPPTKARLEEIRTYAACYYLASSFAATWGRTPTLAYNTYTAHCCEMLS RHSPLKGDQVLVWQVRLQRLVEETNDLRRTQRGGAHSQQSEYQINLMIRGMETQLKEW EACMSRELKNTPSLRILLLFTPLFLSGAPLLKLPSTKLTPLLDPSQTTFRADASKLSS LIPTLREFYSYFLSLPAQEINAFMGQEWGSFILVIILGFRMCFPMAICPEWDDKLARE RIGMGEFLGKMCGDAAREEGGKGKGTSMDVLSASRIVLGVVKKKFDRRVQRVEREQRE EQERQRGLIGRVVEGLGLGLGGGGGGQVAGGGGEGGGGHDGSIGGCPMMDGSMEGYYA YWDETFADTAGLGGGGFQGPNVGPMGGGGTTTTTGGIPQQQQTMPQVSGDLWGTMTMA WAQGGMTFDGMGQ QC762_114970 MPGDQNLVGASSNGPAPTPQSFASLTSNGNGVKSYLATTAASAN YAMAASSFLPAQHRTPAIGSGLGTYPPALPKADSFDANGNGHATTPLGTPFSTTPPTL SAAVIRNLPNDTDEKLLRAMLVFSKDLASIEFLPDDKGFRAAHLKFKSPAGALEVKNN LDGKSNHSNDADIIVEIIGPTSPSSMGKRYPSDVTLPVGTPATVSGGPSTAPSSRQQS RFNSHFHTIEKTAVGNGAYAGDFRTADGRFDVFGPQSPIGTHPNERNGMLGKSMIDAT NDDEDTAQLLGKTHLFAESGLQRRQTAPHIPITSRMANMSLNINTQSVQPIGQYGNHQ GFATMSPSMMTSVGGFPLPQQYRTHMPPANPADQNPPCNTLYVGNLPVDTSEEELKQL FSKQRGYKRLCFRTKQNGPMCFVEFENITFATKALNELYGFQLHNSVKGGIRLSFSKN PLGVRTGQVPGQSGQGALNGPNGGHVGANGFTTASGPPPGLPAQPPPGLGLNRAGFSS SPGLSNPYSSPTYSSPSTDVYDQWNNNLVYGNGNSAHMMGANGNNGYMMGSSATYPSH MMGR QC762_114980 MVFTTDQDDGSWSIVSQVRQSSPVDTTAPYSTTSLSGKTILITG GASGFGAAFARHWASFGSHIFIGDVNDSLGHALVAELRTAYPTQTFHHHHCDVTSWTD QLSLFKFAVANSPTGGIEGVVAAAGVVDMDNSFDSPRRNGSKTENSEPEEPRLKVLEV NLTGVAYTVHLAMFYLPRNGTGRDRHILLVSSIAGIAPLPGQTEYTASKHGVMGLFRA LRGTSWTRGVRVNCVNPYFVDTPLLPASGIALLAGAPKAELGDVVDAGTRLMADEGIR GRALVVGPKMRVVEGEDGVTRLVAEAPTNPEGEGERVQAVWEVYAEDFERVESFVWRY VGMMNVMKSLAGWVGVVRDLWGIYVLGKKAK QC762_114990 MSQLPVKNVGVLGCTGSVGQRFILLLQQHPSLKLVGLGASSRSA GKKYRDAVRWKQAQPIAPDVGDLIVRDCKAGEFADCDIVFSGLDSDVAGDIEKEFQNA GLAVFSNAKNYRRDPLVPLVVPTVNLDHLNLIPHQQKTLGLNKGFLVCNSNCAVIGLV IPFAALQARFGKIDTVSVVTMQAVSGAGYPGVSSMDIIDNVVPFISGEEDKLETEAQK ILGSINAEATAFEDQKTLRVSAACNRVPVLDGHTACVSLRFAQRPPPTAEQVKEAMRE YVSEAQRLGCPSAPEPPIKVFDEPDRPQPRLDRELSKGYTVSVGRVREDDSGIFDIKF VALSHNTVIGAAGSSIVNAEAAVLKGFV QC762_115000 MSLGGDTTTGAAPEQGATSPISAPQTQSDEPSPQVQDVLSSEIG ISVMLNRLKQSIASAKEFSNFLKKRSALEDEHANSLKKICRQSQESMARSEHRGGSFA TAYEEMMVIHDRMADNGLQFAMSLHQMSEDLQELAAIAEKSRKGWKQNGLSAEHRVVE LETAMRKSKAKYDSLAEEYDRARTGDTTGRQGGKVFGLRSHKSGAQHEEDLLRKAQVA DQDYQTKVQVAHTERKELLERTRPETVKALQDIVKECDSGLVLQMQKFASFNEKLVLS NGLSISPLKNGSEGRSLRESILSIDNDKDLNDYLASQHAKVPPKASLPQYEHNHLIDA STRAPATTYTQKQSQTPSSAQPPLPQPGMFQQNARTSTFSETVANVQNQGPYGHNYGQ STSSIPILGNPSSQALHERSFSHGSAMGPGSGAASQQQYGQRGSTPQQTQPPPGSRFH GAYNSPSSHDGPPQLGALPFQSSQPQQPQQTNFSQLPSQQTASGPVSNSLQQHPVVPP QAHRNSPPIAPQMAPSRPVFGVSLTRLYERDGLAVPMVVYQCIQAVDLFGLNVEGIYR LSGSVPAVNKLKTLFDTDSSSSNLDFRNPENFFHDVNSVAGLLKQFFRDLPDPLMTRE HYSACIDAAKNEDDIVRRDSLHAIINNLPDPNYATLRALTLHLHRVIENSGANRMSSQ NLAIVFGPTLMGTAPGSAIADAGWQVRVIDTILQNTFQIFDEDD QC762_115010 MANEKPRTAIVIGAGAGGIAISARLAKAGLKVTVLEKNDFTGGR CSIFRSKAGFRFDQGPSLLLLPNLFRETFADFGTTLEAEGVELLQCFPNYDIWFSDGA VFRSSTDTAAMKREIEKWEGPDGFQRYLNWLAEAHGHYEISLQHVLHRNFTNHAQLAD PTFVAPTIALHPLESIWSRTTRYFWSDRLRRVFTFATMYMGMSPYDAPSTYSLLQYTE LAEGIWYPKGGFQTILAAIERIGRRLGVQYRLNTPVAKVLTGGPDGKTATGVLLESGE KLEADLVIVNADLVYAYNNLFPQESENKSVGPTITPYAKDLSKREASCSSISFYWSFS KKIPELGTHNIFLADEYKESFDAIFKRHTLPSDPSFYINVPSRVDPTAAPPDKDAVIC LIPVGHLSATHPASSWPSLVASAKKAVLATVEKRTGLKNLESLIMEETINSPPEWQAK FNLDRGAILGLSHSFFNVLSFRPRTRAKGVRNAYFVGASTHPGTGVPIVLAGAKITAE QILGDKKMEAPWVPLREWKGDVQAKQGHEKRGGGRLDLVKRPLWTDDWNLFLWSVIGL LGVVVVFLAGPAAWGNGGFVGDW QC762_115020 MENRATLLRPPPPDPNKAPIENVLEVTELAVLGPNIFTNARKPW HPPGARGIYGGAVIAMCLAAAQRTVADDFLPHSCHCYFLLAGASNLPILFHVEQVRDG RSFATRTVQARQRGRCIFTTTISFVKDGSSGAPGTQVSHSSAMPIDPATKLPVQPPPD DYDGEPPSMTQGPFQGTQIQIISPSGTSTDPRDKKTRQWLRSRGKITGGTAAHLEALA YISDSYFIGTVTRLHNLWRFPQFKPEDFDKLKPDMQEKVRTLHQWEGMGDDPRDMAGR PTLGMMVSLDHTIYFHEPKRVKADEWMMNEMESPWAGDGRGVVMQKIFAKDGTLLATC VQEGLVRLQPPEKEAKESKL QC762_115030 MSDAGESSHRRTKSSALSLLRRKATGGAGDDNMSTASVEDTGAA STSLAGDSTTATSHASLTNAHQHSRGHSSKLSVSGSTMGRTPSHNAPSVSGAKSPNPL DKGASLESSVRKFRIVEALRNGDTASISKAIRESAEGGPRTSTSSFNTTTGPLEDTTI LHLAIQCAEQPVVEYVLSDGAGSLDINARDKDGNTPLHIAAAQGRSHVVGLLLANKEI NDAIANNQGRLPIDLARNPEIFQQLQLSRSLFAENKIRQVQDLILHGEFKVLEHVLEE PRFKTVLDINSTEFASDPVTVQAGGTLLHEAARAKNTRLIQVLLLHGADPFRRDRKGK LPQDVTKDEITRAMLKKSPAAVAAQRGIQEKAVLGSAAQGVINATPGDPLAGREAREM KGYLKKWTNYRKGYQLRWFVLEDGVLSYYKHQDDAGSACRGAINMRIAKLHMSADEKT KFEIIGKSSVKYTLKANHEVEAKRWFWALNNSIQWTKDQAKEEERQKAHNAELLKQAK AEISGTSISEPPSENASYIEPRGSIQLSRMHSSGKSHKSGLHGTGTVDSHDDDEFADA ATEAGKTDHHGEGNYEDDDYGEGSSGQDAPPVNKDAFNITAQSAKLQLDTMAHVTAAL LQEASKNPSLTLGDTKASQALSTYDAAIRSLTGLIGDLLRISKDRDAYWQYRLDRESE MRRMWEDSMAQVAKEQESLEARVSEAEAKRKATKRILKQAVETGILDESQTLPPPAVS TSAAATPAIAATTAPQDSTQVETAAADSVSVKSPALGGLSRRETVITQIADISDSESD EEEFFDAVDAGQVEVSQLPPSEIAEAKSENQVVVSGENDISDAFKGYENGIRTRLKME ADNRPKISLWGILKSMIGKDMTKMTLPVSFNEPTSLLYRCGEDMEYADLLDLAADRSD SIERLLYVSAFAASEYASTIGRVAKPFNPLLGETFEYVRPDKNYRFFIEQVSHHPPIG AAWAESPKWTYYGESSVKSKFYGRSFDINPLGTWFLKLRPTHGGKEDFYTWRKVTSSV VGIMTGNPVVDNYGPMEIKNHTTGEVCHIEFKARGWKASSAYLLTGKVLDASGHVRFS LGGRWNSKIYARFTPGYEATVEEPAGGDSGSIYRGNLTSADPNKAFLIWQANPRPEGI PFNLTPFVLTFNHIDDKLRPWIAPTDSRLRPDQRAMEDGEYDFAATEKNRLEEAQRAR RREREARGEEFTPAWFSKAKCEITGEEYWQFNGEYWKRRQKAGPEGSYEEAWKGLEPI FE QC762_115040 MSQYPGFTPWPYNQYQGHPAPPPPAQSPYGYQPPTAYPAPPFPP PPTYGAPSAFPPPPEAHQNAAQGSFNYNTSNIPGLGMGANSAMGNAFPAAPPPANPWG QPLPVSYTPTIPNLHSAQQTVNPGTKPPQPVAPAAQVAVSIEMEEGELSEGQFEDLYD DTQKSPPPASPPKQTAKKLPVTQPSAVPSTVASQPTSASDTPEGGFYGNDEDEGETGS KANGTASRDRSASYSPFLSPRESSNETPASQSQGAGPCNVVKPQSNNPSETVPPVTNV GLPGLQPQSSTVPNANGTPESPANYLDTFKSVPEAKKEAQKAILRLWALGVKYQTYLD EGFDEKVIKALFGDLHLELPKPVVEKAKAEAQTVPSPGASADSPTTAQAQGTQPESKD TAGPVTKSKGEERKDRIARLLAAKAAKGPAVVPPKPAVVPPNPAAAPSPAPAPAPAEA PKPTPPTGPKAKIRGEKERILQEKIAALQKAREAQASGAGKAGSQTPVNTATVATPQQ PAGTSSMTLNFASSQPGPLAVQTSGLPTPPIPGIVGSANVQLNTASQRKRPVAADFVD YPSAAGSTKRPFGQLRQEASLIIDVSDVSDDEEMDMDMGSPTDEPSSMQSKDGPTASR GPSIRDFPPLTNLPQRQLSTPTTPLHTPPTGPALGGKRHTELTIKEREIQEMRRMIAL AEAKRKAKLPQAGSRAQTQSGQTPEPKNAGTPVMSSPAQSDRPTPQPTPEATSIRPPK ASDTATSNPAQKAAQHGRLAALEEKRRRLEQLRAEEALLQAEIEKEMLAAQSDQVGTP SDREAPQDSGLNSGDDRTEVPPPQGVASADVEGLSSSGASLAPDDAQVTEAVSVDRVK TSGEPTQTDIPPQQADEPKQALSSDTPSSSGSQYPSSSDLAQAQSVMSVDGGEPESRQ FVEGSLPNSNPDVRADFESAPAAVLETPQPSTNQEVDDTTPMEIDSEAPSPTTEEFII SGNVDSDVNDAEHPPVTLPDQISSAAQPREEVQEVEVEATGEETRNPIKKQDRAFMPY KSPLHIFRAYRFHPEFEQTVPNGVKSLTYSSRVDVQKPLCPYELNNQQCPKNCEFQHF SNIKILDDQILLELGKSDFTGEQRARFNQGLRELLQVYKAQKVRDFDVIARGIIKFRS EFLGDKSKVLNLEGVTL QC762_115060 MSVRNNPFARNASPSTGSNGPGGGPGRPKSTLFPSSPSPFSGMA SPPSHVRTQSQNSVASTMAQPMPLRTANSHARLLSRDASTASSSSGTFAPSFIKTDDL RKPTDIVKGIEGENDFSGKKYVWLKDPQTAFVKGWVVEELPGNKILVQCDDGSQREVD AESVDKVNPAKFDKANDMAELTHLNEASVVHNLHMRYMSDLIYTYSGLFLVTVNPYTP LPIYTNEYINMYKGRNREDNKPHIYAMADEAFRNLVDDNENQSILVTGESGAGKTENT KKVIQYLAAVARLDSTAKSREQQHSTLSAQILRANPILEAFGNAQTVRNNNSSRFGKF IRIEFNRNGSIAGAFIDWYLLEKSRVVRINSQERNYHVFYQLLKGADRRLKQEFLLDG LDVEDFAYTREGQDTISGVSDRDEWNSLLEAFGVMGFDESEQAAILRTIAAVLHLGNL NVVKESRSADQARLAPDGKEVAAKVCKLLGVPLQPFLQGLLHPKVKAGREWVEKVQTP EQVRFSIDALAKGIYERGFGDLVTRINRQLDRPGMGLDDTRFIGVLDIAGFEIFEHNS FEQLCINYTNEKLQQFFNHHMFVLEQEEYAREKIEWQFIDFGKDLQPTIDLIELPNPI GIFSCLDEDCVMPKATDKTFSEKLNSLWDKKSQKYRPSRLGQGFILTHYAAEVEYSTE GWLEKNKDPMNDNVTRLLAASTDKHVANLFADCADQDDEVGGMRSRVKKGLFRTVAQR HKEQLSSLMAQLHSTHPHFVRCILPNHKKKAKQFNGLLVLDQLRCNGVLEGIRIARTG FPNRLAFAEFRQRYEVLVRDLPKGYLEGQAVARLMLDKFGLDRSLYRVGLTKVFFRAG VLAELEEKRDALISEIMARFQSVARGYMQRRIAFKRLYRAEATRVIQRNFQVYLDLCE NPWWQLLVRMKPLLGATRTATEVKKRDEMIKQLHDKMKLELENRQKLEEERRNVHAEL NKIQQVLESERSLALDKEEIFKRLQMREAELEDKLAGALDDQERLEDQLDSLLDAKKR AEEDVNSYRAQLEQAAGLISRLEAEKSELAAKVADLEKSIDEIAKKQSERSAQEAALE DEVKMLQSQLSLKDRKVRDMESKLLKADQDLDIKLRTVEKELQTSRTKNSQLSAENRE IQQQLAQLSKTSTDYEDLVRKKESELALLRSDNKKYEMERRSLEDQRKTLSAEREKTA ERLRDIQAELTAMRAQQDQLKREAADANKLLQARLSEDAQADENRQLLEAQVKDLKDE LYKVQMELSRERQSRDDVALLGEHKYNTLKEEYDHLNESKITIEKELYAQQDTLRRMM ETRAAAEKERDEARQEIRALRVAKTQAEEARREAEIIGERAASKIAREKEESLRKALE SASNRVNSLEEQVADLTYQIEDLNKVILESGEFGLKNDQAKERLERELVTVKGRLAAS ENDNKALLNKLQQKGLEIARSSSRASEASRGQIMGLQREKARLEEQNAKLNKQLGDSQ VTIASLEKRLEKLQLSLEDLNHEVAREVQNSRAAEKASSSATAQLAEANRTIESERQL RTHAQGTVRTLQQTLDSRDIELADLRGQLLDALKIVDPEFVPTIQSEGGADKFLSKNL DLARKIEELQQNLRVQTAARANAEAHVAELRAARAESPTRTRHGEFNPNEPVFEPGSP EQKRSRPNGRHYSGASTPPRRFATVETDHLDSVKSDKTADILSFNNRQDLKAEVEELQ NQLQIAHMQNRHLQSQLERSVPDPQATVDESGRVQKLEQMNSKLHHMLDESSQKVSAL EKALHAGELSLRDIQTRSHEEILDLLHSQEDSRRRLLSDHNDAIGELVHIKEHFERLR HDRAKIELDLRDAKSDLQEMSLAREQEAASRNQLLQEFADLQIRLDSETSKLADVAAN LQLYKGRADEYFAKLENAEIAVLKATRAEQFAKAQAKDAEDACAEMMSERKRLDGTIE DLQLQNQRLEEKIEDMSTDLEAATQAKKRLQHELEDYRNQRANDIEDKESSMEQLRKK YQAEFGTLTKELDLAREEKLFKQAEITRLREELDELRSKWDDEVLNSSTWSKEKARLE STLSDVMASRDEAVNAHNDAQGKIVSLLQQVRNLRTSVDDITAERDNLAREKQSIEAR LKEAKAGLEDLANSESPSLRNAANIDKEILELKSGLAQQQDIAAAAVEKMRRAEALVS EVQKDIVAEREASAELHKQKNALEKSLNEVQLKLIDLETKGYSAASHDIKFLHKRIQE LESELEQHLNERSKSQRSVRNVDRTVKDLQTQIDRKDRQNVQLQEDMTRMRDKMDKLL KTIEELQASESSNELQARRAERELREERERIMQLERELDSWKAMKDRPSGASVATGAS VFGGSVRGKGWRSDGNILVEDGRVPQRKSSISRVPSLKGFL QC762_115070 MKFLAIAATLFTTLAVAQNLEGQPACATSCLISAISAAGCAASD VACQCGPTQVSIAASAGPCLLDACPMSDLISAESAGLAKCESFSSTAGAAPTRQDNAG PVTGTGTATTTRTSTSSAGAAAVMTAAPVLVGAACVGVMGVLGAM QC762_0014210 MDGPEDTNAEKLTASERSSAVHRKPRFLVIGAGRRGTAYASAVR RERLSAIIAAVAEPVRSTRISFGKKYVWEDGPPREDQAFESWQHFLDYETNRREAEAA GKKVYAGIDGIIVCTQDHTHKEILQAFGPLKLHVLCEKPISTSLQDCQDIYVSLGANS PERIFSTGHVLRYSPHNMLLRRLLLEDRAIGRSYRSSTQSQLVGSTSHTLMCEATGER SPLRLHRSFASLVMTSTSYCGYSATGRTLANRRTCPAWFPQLATCHSSPSIENQRQPK VPPIASRALTNGTAIGVPRSCTSRSSTTRESETGRYASSSQT QC762_0014220 MINLSSASRRLRACSANIRPISNLTMSSGPIKIAVLDDYQGISE PKYQKLDPSTYEVSFFIDTLSPYDHPDTIQDVKDKVVARLEPFTVISTMRERTPFPKE LISRLPNLKLLLTTGNRN QC762_115080 MAPTNVVLETTMGNIVFELYNDHAPKTCTNFSTLAQRGYYNGTI FHRIIKDFMIQGGDPTGTGRGGASIYGEKFEDELRGDLKHTGAGILSMANAGPNTNGS QFFITLAPTPWLDGKHTIFGRVKKGIRVVQRMGLVPVDKGDRPVEEVKIVKAYVAEEG AEDE QC762_115090 MVSVGVARQPQNHPTRRPFSLLLFAVQFAGRQPIQSMSSGTGDT GLAGIVIAPEDATSMDKLAHSVLDDILYDLVHDLLIRVHRDEKSARANTAAIKVEKLA LGAIDGSTPDQRPDVEIETDAAIYKDGRVTLKGNPLKTTKEILCPRCNLPKLLHPTDG KGAKKPDPGVIYCKRHPYIEKPGFDIYGQTWVAPGPGRGKKKKDMEKKDLNDPNSPVP GTEGSAKDRPPNVLSFPSATCSKCKRCILVTRLNNHMGSCIGNSGRNASRAAAQKISN SNGGSQNNDNTPPGSQKGTPLPGSRAASPKKRDMDDPDDDAEESDASKPKKKIKLATT SLNKKVTLKAANTTKKEKAKASSMLNVEQKVDDEDGKNSTVQVAPKKTNPKGPSPVKN KIKVAKPTQPSPAGKAKVKVRERDLESESSGTLSSPPR QC762_115100 MTLKQPIQPHIMSHPQQSSIRSFFQPKPQPAYAAPPSSGAPPQD KLQDGTQNTTNNDTTKSTPAAAPPPPAPPLPPITESPRYPSPVSAQTTGPIPITLPPT IAVLPSPPSIPSGATIVPLEEHHIPALRRINALLLPVAYPDSFYSKVLDPLVSGLFSR AILWQDTPSDTPKLIGGLVCRLEPNFFLDANGQPLANPPPPLGSNLKPSPSLSLNTPY HAIYIQSLALLSPYRSLGLAAAALDHIIASATLLPAAGTTIDARTIYAHVWTENDEGL KWYQARGFERDSSGPVKGYYFKLRPDTAWIVSRHIGPGTAPLPQPTTIRSPQPQTTPG VLTAAVNLPPPTGSLLSTSSAAPGPPKKSPIVSPASSTTSLSFQNRRPETEWNDLPAE MVAGGGLVPPPRSGSGGAGSSTTSSRSSSRIGKKKERAYPSAAFGQ QC762_115110 MTLEAQSAAIAAQFDYTDDQVNNAVNEFRRQMTEGLEKDGTSLS QIPTYVTGVPNGTEKGLYMAVDLGGTNFRVCSIQLNGDTTFNLTFTKVAIPRKLMVAK TAQELFAFLAKQIELFLQKHHEDHFEQHVRRRMTMSSPDGYKDEHVFRLGFTFSFPVQ QVGINKGTLIRWTKGFDIPDAVGKDVCALLQDEIDKLHLPVKVAALVNDTVGTLMARS YSSPGKTGTVLGAIFGTGTNGAYVEKLSNLKKPLSGEYDKTTGEMVINTEWGSFDNQL SVLPNTAWDVALDKASPNPGIQMYEKRVSGMFLGEILRLVIIDLLKDPKVSFFKDENS SSNDWKSTTNIASDSSIYTQWGLDTAIMSVAAADNTPELSTLRGELEKQLGIYSAGLD DAQAFKAIANAIGRRSARLSAVAIAAIVLQTGKLTHPANADEPIDIGVDGSLVEHYPY FRDMIYEALRAIDGIGEEGAKRIRIGIAKDGSGVGAALIALVAAGMEKRLTTADYLGE LRSNAKSNNLTVVPEDDAAQD QC762_115120 MMDIYRPSTRDSQRAFLQSQQQQPRYSHDRRVSQATSGATTAVQ SQLSLTSPLYSDEKSVVEPPLPDPLRVQGSVNVPGTYPLATVNAAQRNGAQPGGFNWP SDGDLDPLPRYPGTPAAAPSTLAVPPIEREKKPRAPGTICGVRKGPLLLLSAVAGLVL LAIAVGVGVGVGLSKKPSDDEIAASLSSPKSSTTDHPLPNNLQTTIFLTATYTPTPTS TATGTPSATPTGLGCPQSQGQHYTSNNNKNFITLCGVDYSDDGEAKNISNAKVKSLRD CLELCSKKKECTGAGWGVMEGDKVGEHTCWMKNGLNSSHEARGDWAFGVLLGE QC762_0014280 MQATDPIHREACRRTSPWEFRLFDAEFKDKLLVVLEEVVGLIEF LPLLASPSGRWEHVIGRLGEGSTRRE QC762_115130 MSSTNSRFKGFPGFGKRKSSGPPQQNGQNGRASPHVPQTQTPPL GAPQIPTLFPSRPGAPSIASNSSQQSLPMNHPGPGPRPPSYTPQNYPPGPPGPVGRTS PLANQGPARTPPSQMVGGPPPINTGAPPVAGYPPPVMGGPPPPPGYGNPTGYPPPPPQ PTGPVAPYQRNVAAEVEGNSRSKAQLIVGIDFAGNDQGTTFSGVAFAFATNNEAKEDI ITEWPGAGSYTKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPGVQKVEWFKLQL MLSGNTYIDPINLPPLPPGKSEIDVAADYLFKLRQAMRAALQKTLGEVFNREERNIRY YLTVPAIWNDAGKAATRAAAIQAGFLRDENDNRLTLVSEPEAAALFCSKTGLLNLKVH DAVLIVDCGGGTVDLIAYEVEDENPFTVAECTAGSGDSCGSTALNRNFSNILRTKIRK MKLPDGSKTAGRVYAKCIMDFENRIKADFRNNGQKWAVDVGIEAEFPEAGIEEGYMTF TNEEILQCFEPVVNRILELVRNQIIAIQAQNRTLQNILVVGGFGASEYLFQQIKLHVP PQFQSKVVRPMDSVAAIVKGAVTAGITERVITHRVARRHYLMATLQPFKEGYHPEAYR VPSLDGKDRCKFTRQIFVQKGQKVKIGEPVKVSFFRQVAPGATLMYEDILYACDDDVC PEYTKDPRIKEVVTLTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYLTLDGSEFSAEL VCQGEVMGRCRARFR QC762_115140 MAQTVAGGKACCRQKQGGVTMAATRPVKTNGATSVINPAPPPPH KERHRGQRLKRLVLAPLALLGGNASAETVTVTVYGGPANLSGPGPTAINNTLATGSAS ITSSSAQVTELDHNNLTPIPLLVTNNCAETIWPGIATQNGIGPGTGGFELGPGASRQM YVSPDWQGRVWGRTNCSFNADGTGPSNLNGVNGAGAACLTGDCFAQLDCQFTGAVPVT LAEFNLIGGMEGKQTFYDISLVDGYNIPLAIIYIPAKNTSWIPPNLTNAACIASSGYL AEPASTGTFFTNASFPIPLETVQTNPTVARWCPWDLQKYPPSKPGSGIYPYPDDKIER PVFDPCLSACSKTQAPQDCCTGEFNGPEVCRPSLYSEMAKSVCPDAYSYAFDDRTSTF IVPAGGGWEVRFCPVGRSTNILEVFGKELRSLASGGWHPDQGVVERVREKVGNKSYIE SVNPVRAGAGRQVKGVGWGLVLGGMLVVIVGGVVF QC762_115150 MAPPKEEESHEGASTAELLIASCRSNNPTLLLSLLPQDDEDAAA ALLNNTTTVMGNHLYHEAASRGHYEIIDTLLDQPGFECDPVNRLEGDTPLHTAIRWIN SEPPEQREFGNALVEMMLEAGSNPRIKNKGGLTALQLVDPRNEGLRELIRKHEYASLN QGDFVDVGDVKGGGKLVQQQQQEEEEEESDDDAEFSGSDDEERAEWERRRKEKRK QC762_115160 MPILFCPYCANMLILSRMDTGGNRVECRTCPYQHAIEKPYYSRK VFPKVEKEDLFGGPDAWANAQKQKVQCSSAECSGGEAAFFQVQIRSADEPMTTFYRCL TCGKNWREN QC762_115170 MASEPMSIGSSAQDPPPVPDATSQEPKYGGHTRFELELEFVQAL GNPEYVNYLASRKFLQNPAFVAYLDYLQYWTRPPYLQYITYPTSCLKMLELLQVERFR KDILSPNLTHALVTEGMKAAVEWHRESLS QC762_115180 MSLENTTSELTDGLSNTTTTQAPEPTAFALILLLRPEYLSVVIS ALCIIWLGAHGSLRRPPSAAPIKTKKGQKQPKEDKFAEGLAASDAIMFPILAGILLIS LYYLIEWLQDPDILNKFMRAYLSIMAVASLGRLAGDSLDVLTSLVFPSTWVDGKGTVY HIDSYKHHQYVVDKATGQNKVVRDRTSPLPGFLSILAQSKKAGDVLWLVRHLFTEEWT VKFAMHGLVFVKFNIKLNDLLGFMVSIPFAAAYHYLGWNILSNIMSAGMCYATFMLLS PTSFGIGTMVLWGLFVYDIVMVFYTPYMITVATKLDAPIKLVFENNKSVSMLGLGDIV VPGMLMGLALRFDLYQFYQKQIKLEPVELVSETLAEDGTKSVTTTETCERRVKAPFVD PRGQWGNRLWCTQFGGLFPVKEATSVRAATAFPKPYFYASMVGYTAGMLVTLTMLLVF RHGQPALLYLVPGVTGALWLTALARGELKDVWGYTEDGSLDTEDVVVDVAGESKVMEK KDGEVKKKAGEVDKKDDSESYDVFHFSISAPRIASKVIKAT QC762_115190 MASPSNKKEPVLVQWILDTRPWYPEATQTKQLETHASRALSLLP ESERASVLRYFHVRDAKMSLASHLLKHYAITKLTPTPWSATTITRNSKTKPVYLDPST GQEPVSFNVTHQAGLVALVAVANYHSGQADTGVDVVCTSERRDRDHKLILTDPAGWPG FVDMHADVFGPGEVTYLKYRVLSAVPGLVSGSKPEDLIDGKLRAFYALWALREAYIKL TGEALLAEWLRELEFVTFRPPRPTEAWEVPAREDDDDDVDIDTAQVIRKFDIRFWGEK VEDVKMCLRSIGPDYMIATAVRTPDRKEDALGWELGPYEFLELDELLDFAEANR QC762_115200 MHLYLGKSHPPTSTIVNVENTTMASEYQSIMTDITEEKVERGEV KKRPLKFPWVGIAWRFTGSLFCFIFIIVMLWGYERMGVLSSWDRRGFNTLNILLSAFV SLALGSLLTLLGHTLRWHLLQREAATPGNVDLILGIGEPTGSLRLLWGHTWRGKGWTK TTIIVLLYFIASILARISVAGLGLTFELNEEAGVDYPVMMTDWRDPGWITEPDPRETL RRFVDFASAGLETSPLSLNKSDPASWTTENVDGLGVNRTVDGRTLTYTFSLNEYRGLE VESNKDHVVHSSSSSIVRNFYNGTVYQDGKSVGEITATNMEQIPRDDPEYLQILSGLL LHFRTSFVDYIWTAGINEETIGQNTSGCMTTYIYREDHLSWKAFNKRNASYFGCTSCL STSSTHSESSSEPRAGLSPAVFSELIPSSNSSFATYILLGMGTYERINQYMDPVNLFT RIYSAMDKQTHLVNYAGHGLPSIKRMSDWYLRPVPIAEEVYVAHLAARLPILGFVGAQ RQLPKITKEKGASEKPFIRTVLQVKWRRAMTVMIAINASAVFVILAVYLACRKVMIPN KGRDSPLLTARFLNEFIAGSWGKTTGVDTMREIAKRTKNVRLRYETRGGELGIWPVGG EESRVLPTSAP QC762_115210 MRFFASTIVAGLAATAAGQKVKVMLLGDSITEISCWRPLVWTQL TSAGLANNVDFVGSMSNLQGKCSRPAGFDPNHEGHSGWQAYDIARNNIAGWVQSTKPD IVQFMLGTNDVNIGKRNVQSILDSYTTMLNAMRAANPKVKVIIDKLIPTSWSDATIEA VNNAIPGWAQQHTTSQSPIVVADCSRAAGFTNNMLEGDGVHPNSQGDQFIARQVGPKL IQFINDVQGGSGNPTPTNAVPTPTSTPATTLVTSTASSPQPTGGNCAALYGQCGGQGF NGPTCCSQGTCRASNQWYSQCL QC762_0014380 MKPQEESLLTHELALWGKHAKGKGREEDEGLVMQVALLLFLRWP WASDTFCAVPTTLSPMSTQPNVPQDRHIEILGRSLACRGPDGQLPFDLPQDRAEASLA DPDNRRFWGVMRGTPEIFWESLREIAVMLHSGN QC762_115230 MAPKSLAITAVYLLLSLASATPVTLEARDLLNPIGPTATAEQEK WCPALDYDTYSCYNTVAISPTGQLNSGQDPGKSQNKILSFCHKEDCLTKPNIYVQSKC NNGWCAHMYDYYFESDFGIGGREHIAVWVQNGQLKFVSASKHGKWNIRFPGQNPKIRI EGSTHAKIMLLGTHAFRYANGGDEPPENHWQSWRWRIGAGLLKWDSIAGNLRTTLSQK DWGKAEVAVRDKGGKA QC762_0014400 MPQAPQIELLPLKAAGSPSKKAQESPTVDATSTRAQWVSSYGNK PPKKGASFSDHWLPVGPGYLDLDALESGYHAHLMIMHDSTSWLQSGMTAIKGFMRRFA QGYGTASQCLLEEAIRRGAVLPVHRLLFIEAI QC762_0014410 MPGIGVDQPFSQQDLEQKKALLAQMAKIVKALQAYNLPSTITGY GGLTFNEGGEIVSAAMPTVGAGPWPSYQASCQYRLDLALKKADSNPYIKGWRANGLRE RIDAFVQRGVPAQFESLSDKDDKVVVHCDFSPTNILFDEASGRITALIDYDFSWISHP SYEFLRSFDGLGGQFRGWSSDEESQEAALRDAKLHGFPVSLPSTTESDSGVDWVVAKA WEEALEAEGVKPPRTMEGIDKVADVDTILCAILPWRVTNADIFARQTEEVIIECRNNN EEHLDKLLTHLGF QC762_115240 MAYDYALVHLKYTIPLAALLTVIAYPIFHRIHFLQIGSLIVVSF LATLPWDSYLIRSNIWTYPPDAIIGPRLYGIPIEELFFFVIQTYITSLFYILLSKPLF HPLYLSTQRNPPQRIARGKVIGQGILVALTLYGVHQIRTGGPGTYLGLILAWAFPFAL LTFTVAGRFILTLPLTSTVVPIIIPTVYLWLVDELALGRGTWAIESGTKLGWCLFGVL DIEEATFFLATNILIVFGMAVFDQYLAIIFAFPHLFPKVPRSPTPLMLVQSRFSNTKQ YDLERIAGLSDAVTRLKAKSRSFYLANSLFTGRLRIDLILLYSFCRLADDLVDDSTSR AEVKSWTTKLYKFLDLHYKSDVKSNKARINDYIDEAFPPEAKSALKYLPATILPSQPL YQLIEGFELDSQFSFHDSSESAKFPIVDEDKLNYYGQCVAGTVGELCVALIIEHCEPE MPDERKKMLMSASRTMGVALQYVNIARDIVVDAEMGRVYLPTTWLKEEDLTPEDVVAH PRGKHVENLRRRLLSEAFKLYDEARPKMNGIPKEARGPMIGAVETYMEIGRVLRELEG GVELERGKATVPGGRRLKTVLKALFSA QC762_115250 MSKIAQTPAPIDTAVTAKQADSASKRLASHFYHQPIRTKAQILL SARPRPGRNVETGTAPQFNQTPTLNQANLFPPCHLRRSPRSQPQPHIPKMVPRIHTYR PLLRLAHRQNPAQPRPNLIAAVAFSISRSTRNLPPPPPPPSQTPSEPLQETIHISDAS PPPPPESLITKTIPNRRPNRRRLISRLLFAGTFLLLGTIGGSTLRLFISPPTPPTPDT DQDKYVISDLHSQASRLPIVQQLSSDPAWTSWEAYNTLPPSHRAQHITAHTLRGSRGV GGYQRIFHNASTGELVSVIFFGPATIGWPGVVHGGCLATILDESCGRAAFKQWGGLAG VTAKLNIEYKKATLANGFYIIRIRPRTEEELPERERGKRHYKSWVDAVIEEPATGHVT VKAEALFVGGKGNGKGEGKKKFSWGGKVQDAHAEF QC762_115260 MLVYRTTTQPSSDRSHSRATMTVSKAPQNILIHIIRRDLRTSDN PLFHAAATAPDEEKFDAYLPLYVFDAQQIDVSGFIHSAGATNPYGSPRSQVAGYHRCG PHRAKFLGEAVWDLSETLKELKSGLFIRVGRIPDVVETLVSELAKKDARIGAVWMTSH EGSEEKADEKAVASLCKKVGAKWKLWVDEKYFIDDRDTGLESIDKLPGVFTEYKKKQL PLREKPRPVLPPIEKGSLPPLIDAALVAPQSSPFHIPDSLDRLVEFLVAPVKDFLPNK PEYPKGAESAHPYQGGEHAALQRLKDLISSGAATTYDTTRNGLLGTEFSTKLSAFLAQ GCITARQVHAAMDSFERGTDPAFKDVEGFGALIKEEGMSEDEAQNTGMESIRTELLWR DYMRLCHQKDGNRLFQLTGTLRYKLDHAADMMDGMASITAQNGHNSENSDTGDNGAAS AASDGDGSAQEKKLKSPDKERARPQQGSGINESLLAKEWKSADKEKALPRQSPTAEEV AKILERFNLGTTGMGLIDASQRELLHTGYTSNRARQNVASFLAKHLDIDWRYGAEWYE MLLVDYDVSSNWANWQYVAGVGNDPRGHMRIFNPVKQGFEYDPSGSYVRTWIPEVSGL EKLENAFQPWTASKEELEKTGLSGNDMVANPVKRIQFVVEGKPRTNKNGGFRRALARG NPSKASQANGNGSGFGNGSGNGYRNSQDVRTDAPVVNGTTRGPRGRGGFRGLFGGPPR GSLGGGRGGFHGNTNGSPSPAANQGNGNLAPGYGRGRGGGRRGGGGGYGAPPRGGGQN GYFPAKMPRVNGSGNHDQVNGNQN QC762_0014450 MGAGSVPVGLRGPVQGPVGEEDDEGYAFAWGLFTWVGCGFGGRD YGKRTAVFLYDRSGLDRRRHRRCTIPKC QC762_115270 MQFLTTVLALAGAVAALPVEQKTEIVEARQLFGSDTKNELINGG ACPPVIFIYARGSTERGNLGTLGPSVGDALKDRFGSANVWVQGVGGAYTADLLDNALP DGTTRAAIAEMKGLLTLAHTKCPSAKVVAGGYSQGAALAAASISTSTAAIREQIKGVV LFGYTKNLQNLGRIPDYPRERTEVYCATGDLVCTGTLIVTAAHLTYGDEARNEAPRFL IARINAS QC762_115280 MATKPTIVTEVNVLVDPEDANIDIVAIPGLGANPVKSWMWDEKD EKSFNWLKDKEGIKKDFPQARIMLYHYASAYQGTFKVRQYMSNIADTMLVSLKQRREE PKECRRRPIVLIGHSMGGLVAAKVLTLAEQRRDKFPDVYEGIVGILTFGTPFGGAPVA DIATEWTKLNEHTGKAITSKLLDLLTPGNENLRELKHDFVRSAMKLGQKVDLHCFWEQ NETRWDKVMEKLAPTDFPISTLEKLKLREYREFVGRESATLPGASETGLTRTHRDLVR FESCKDSQYQLVRAALKSIVHSAARNAKGRFNCMRQFSIKPETHKAVIDALDGADVQR KFRSLSQRLASDSWILSEPEFQDWMDSKSKTDDFLWIYGGEGKGKTPAATAVVKSVES TICKEEMESSDRAPALLAYFFCDQVPDFCTAEDVVKSLLRQLCLQQDTLANYAKQFIS RAPSEHGGSNGSNNSTALGIENLCQSLRDMLTESTIGTVYFVICNLHELPEEEDSTKK LLSFVQSLIDSPQLQADKRVRTKWLFATRDRISIRRVLGSSDVVRQINLNDSEKYGDK VKLELQRHAWSKVDGLREQKGYNKAITYFAGSVIGNRAESTKWIDVAIVQLAALPAES NDIKVRKMLERVPQDFATLLDHAWRSILRPNEEGLDAIKELLRALVLTYEDPTESELL VLTGLPAGEAKHKEELFKTIQKCRPLLTLRKSGDEARIGFVNADVKKHLHKNSNKLLD LPEDAIQLQHGILALRCFSHIMDNLTAALQSTIVAKSPTSSQSQQTQHQPVAMQGPVT AAGPGVSGAPDIGDDTEDEEIEFDFDDDESTDLDSTAQAPDTPQQAPILPYATRYWLQ HASEATRDIAERLSLEKAFWEPNSEIRHLWLTEFGRLTGAFDGLVVDKRLKALHVAAS VGFPRLVASLLKAGYDKEVNEYDTYDNAPLHLAALFGKADIIEQLLEVKSVKLDDAGK NSSACTPLSMAAARGKILVMKKLISKGANVNSVDVDIGPVINAAILSGNSDAVKLLIE HEAKLNYPEHEHEASDWPPPLALSALFSDLSMFQTILDAGNEVLSEEEYSKALVLASR SGRVEIVSLLFENEHGQDTFQKSLQAALEEENWDVTKLLLRHSQDILDCNDVFEKAST SVESLEDVLDEIWRHRQGQINQEILNRCLYMATDNEKENTVKALLRMGADPNAEGALF GNALTAAANDGVTTDDGNVPIVQALLEAGATVTSDSGWALQAAAEQGHLAAVKLLLDY GADINHFSVQHSSGTALQAACDCGHEEVVEYLLSKGADPNKGGGRYEYPIIVASMQPK ILPHLLAAPGIELNVVGGPGHGSPLVYAAASLPAEYIVQLIQAGADVNMVDLDGDTPL MAAALVGDYECVKLLLEHGADIMTISPNRGTALEVALEEGHDECIKLLANRAVFIQKQ LQISAEREEGLALEIIEQERENRLYLHQQQQQQQQQQQQQQQQQQQQQQQQRQQQQRI GSDFGQQEPELEDQIGRLRLHDDDHDVDVDSLSQGGDGNPHPTTGEEEDSYQQGSW QC762_0014480 MASSATPATPTLPKSSSPYIIDKKPIACGKYQGLGVLTYIDPRD KDEVIRERDFVFLRNYPDLHQPVGMLVPNIYRDSRGRWCTILVQQFRPQYEADTIEFP AGFVSRRRAETAEQAAVRELEEETTKTGSVIGPTSPPLTSEPVPIVAKLSAVFVCARD KDGEEEGQQRLDKGEFTVEWEVPLRELETKLNELRDQHDVVVDPRVWMFAMGIRYAQE LEL QC762_0014490 MQVRVIAEEDKVPFSDHFVFVSWVDESSKERDRSPFINLRWAYL SMTSRYTIQSSTITEQG QC762_115310 MAPLPASPFTCNILETNQENVQGDGNRLLDQFPASYRADPDDLR APATPELNLIAQEMNVKRLHGIIHLLWLAGRPVPPRPLHYQLALGREITVIERMEAHL VWGSGRIYLKPLPRYLLNPHFWTSYLSCSQCLPRQPLEAGPPPCPHPRLKSCALGFLL SYIALIAYENDFLIAKDKRLIPSEITWPQWRQLVREVLAGDGHGDGDGANRLYAHVNP RFIYGELRLNRLNLIFFALQGPLSTGFVATWNSYGAFYRDNSAWIITVIAYIVLVLSA AQVGLSTTRLAESDAFQDASYGFTIFSLVVPLFALAMLVGLSAVLWAYNVVRTRRFEA KRSKILGRAWRGEKTESQQR QC762_115320 MADDNPRSDPVTPDEFAMSSPTSPASIDESSEASTPLDPPSSSS DAQPGFGSPSSDPPRRVPSLRTVSDPQGSAHMNPTSSVAGILNNARRPPPSANSLPMD IMARARALQEQRMGMARANAGASPMGGMSLNMGGMGGSISGGPMGAQMGGPMGGPMGG PMSGGLSGGLKLPGGMARPLPPGFAKSAPAVPGTGPRKPLSLSERRAMKMGNISESGT PSPAGTPKLSDMNQGDGPKRPSLNGEKHSSKLMDFKNYIDADKGWITFEGAATITRTG VNFAGGQTFSISLDEIDVMDELGKGNYGTVYKVRHARPKAPRFGQGLSHFKPLSRQSS LSEEDASAESPLSPTSNSGRTTSGVVMAMKEIRLELDEAKFTTILKELVILHECVSPY IIDFYGAFYQEGAVYMCIEYMDGGSIDKIYAGGIPENVLRKITYATIMGLKSLKDDHN IIHRDVKPTNILVNTNGQVKICDFGVSGNLVASIAKTNIGCQSYMAPERISGGAMAGA ADGSYNVQSDIWSLGLTIIECAMGRYPYPPEISSTIFSQLSAIVEGDPPDLPDEGYSA SAKDFVRCCLNKIPAKRHTYPMLLQHPWIKALGKPETITEDAEAEDAAADDELADAAG AMSIATPSGHIGQGDYEVAEWVNSVLDRKKNGLLGDLANKPALHAAPLDAVSPAGSPM TGA QC762_115325 MIPCRYYACRLLVMHMHRWGIIDASRLLRTDWRNTLIVEIIQMT QDTKLVSMTEAWLCQRVLQMDPVPSKCVMDHIPGRIILLLWALVLLDVVKAIRRSRRG PICLRDRCRRTLKSLSAPQLHLLWQRLPWRCCASPGGQLV QC762_115330 MGFLELRAPTALRSAMMAAGEPWDNQISLTGSSPLAILTSSHDS HPPLDHLVLLVFGAVLEVVCVSLPGYVIARLGHFDADKQKFLANLNVMLFTPCLIFTK LASQLNAEKLVELGVIPIIFVIQTLVSYFVSRVVGKCFGFNRRASNFVTAMGVFGNSN SLPISLVISLSQTLKGLHWDRIKDDNDEEVAARGILYLMIFQQLGQLVRWSWGYHVLL APKDKYDEYADETVEEGRYGALSPDGSSETQGLLVGVHPDTVGIERPSSPTHTDDSAV YEPAGRTPVAGSSRHSPHESDDEGHDAWKKPTGNCVDGANGTLNQLEGNEEDLNRILS FPRIRNNDEVETPKGVKGLPVRIGRATRKYQAWVTNYICDISRKTYHSLPRPIQTLLS GIYSVCQRVYNFLWEFMNPPLWAMLLAILVASIKDLQELFFKDDTFVKTSVTAALRSS AGVAVPLILVVLGANLARNTQNHETVDPEEKEIGKKLLVASLISRMLLPTLIMAPILA LFAKYVPVSILDDPIFVVVCFLLTGAPSALQLAQICQINGVYEGVMGRILFQSYVIWI LPSTLVLVMCALEVLEWAA QC762_115340 MEQQIPLSHEASAGDTNMRITNSLPTEVVQCLENARFLHLATCH DNVPHVSLMNYTYLPSSPYGNNLPEIVMTTNPASKKMNNLAANPNVSLLVHDWVSHRP TTTSQSRRLSNGHSPARADPPSSLAALLFNLNTSAVSSISATINGSARLVERGSEEEK YYQNIHLANNTFDSAAGPAEESTMREDDERARLHDARVIVVGIKDVRIADWKGAVRDW VISGEGEENRGQVNGI QC762_115350 MPLRSSFFLSFFPNYVIPKNPSTEPPCAYLLVPLNLIMRSLKRN SHRLRAIRPPTSLPAPPRIFSAPISTHPSASNDVTSITPIKSLLIANRGEIALRIART ASSMGIRTTTLYTDIDASSQHAKCTPNSLALGPNTKGYLNGPQIIELAKKHGIEALHP GYGFLSENPTFAQACEDAGIVFVGPPPKAMLDMGDKARSKIIMNAAGVPCVPGYHGPE QSVEELRGHAREIGYPVLLKSVKGGGGKGMRIVLKDEEFEAQIASARQEARASFGDGG EVMLVEKYVVRPRHVEVQVFADRYGNCVALGERDCSVQRRHQKILEESPAPVLDDATR HDLWDKARKAALAVDYVGAGTVEFILDKDTGKFYFMEMNTRLQVEHPVSEMVTGTDLV EWQFRVAAGERLPLTQDEIEARIHERGAAIEARIYAENPDKGFFPDSGKLVHLITPKV SEDIRIDAGFVEGDTVSEAYDGMIAKLIVRGRDRETAIRKLELALQEYEVVGLSTNIE FLKRLCGSQAFVEGDVETGFIEKWKDELFERKHVSDEVFAQTALGLLTSQTKKTTSGP HGETLGFGEAAAQGSRKFAFQVKRDDAAAETSDEAPEVVQVEVTQRGHSLYNVSVSRS TDASTASPVVYENIISEPGSAVAASHKSQLTTFFPRARVETTLVQDPGAPEKLAVFQL GEKTELTLMQPGWFEKALGLKEAAASVVAPMPCKILRNEVSEGQEVEKGAPLVVIESM KMETVIRSPQKGTIKKLAHKEGDICKAGTVLVLFEDPDATPAAGSE QC762_115360 MAAGRLVSLLATLFLSVSLLLLWFTLLSGITSTSPLRQTYFLRA DTSGITGARGISQWTYFRICGIDNTDCGPARPGLPLGDAWATDADNVPRELIGSYGGG TTSYQYWYLWRFGWVFYLIALFFMTCAFLGSWLACLGRLGAGLIATVSSVGLLFLSVA VALMTATFVKTRNAFIADGRNADLGAYGFGFSWGSWAAMFIATVLYCVARRGHASDGV GRTNKRWSGSTFGRRKSSGTAGSRRSWDGRRVKDEYA QC762_115370 MAANPPLPSPTPISLLTAQHSTGHTHLIIGSNPLAASRATQSLS AGAKPILISPTPPEELHYTLTQYITSGQLTHLSRPFESTDLFTLGREEVDNVVDAVFI TLSPKDSSVPQISTLCKKNRIPVNVVDCPSLCSFSLLSTHLDGPLQIGVTTNGRGCKL ASRIRREIAASLPQGLGSAVARLGDVRRRIIAEDKSSSSSGLDSEGLDDSVDQTSDFN KLVLEGKESEEEQKTRRMRWLSQVCEYWPLRRLAAISEEEILEGVLNSYHQSQQQKPS PTGGDGPRDKIGRVILAGSGPGHPDLLTRATYKAIQSADLILADKLVPAGVLDLIPRR TPVRIAKKFPGNADRAQEEFLEQALAGVKEGKTVLRLKQGDPFIYGRGGEEVQYFRQH GLGDRVVVLPGITSSLSAPLFAGVPPTQRDVADQVLVCTGTGKKGKAPVPPEFVESRT VVFLMALHRITGLVAELTEYLPEEQEAAEVKGRRKLWPVDTPCAVIERASCPDQRVIR TTLKRVAEAIEQEGSRPPGLLVVGRACEVLYTPEKGRSWLVEDGFKGLDLEFGNDFAA GALGVAGLA QC762_115380 MPCGIGGSKTVQRKLVLLGDGACGKTSLLNVFTRGYFPTVYEPT VFENYVHDIFVDNVHIELSLWDTAGQEEFDRLRSLSYDDTDLIMLCYSVDSKDSLENV ESKWVGEIADNCPGVKLVLVALKCDLREGNEEEDGANEDGNPREKKPMINYDQGLEVA RRIHALRYLECSAMRNRGVNEAFTEAARVALSVKKERDESKCTVM QC762_115390 MGSGDLNMKKSWHPQRSANLAATQKAEAEAIAERKKLQQRLQEI EEERKKEEIQKALEAAGGKRKIDRVEWMYAGPTGQAGDAAENEAYLLGKRRIDKLLQD NEVKKLSKQSAIEDVAAAPAIANPRDVAAKIREDPLLAIKRQEQEAYEKMMNDPVKRR QIFASMGIEDPQSSKSKEERRHKHRSHHHRSHRHRDDDRDGERRSRRHRSESRDRSRS PRRRDSRDDDRRRRRRDSPERRGKDRRDSRDRRDSGDRRDSRDRRDSRDGRDSRDRRD NYERRDNRGRRENDERRDRPRRDFEDRSGNQDHSAQEEERARKLAAMQEAATDLDKTR QERLAAIEARERAEKEAEDLARQRNKRYGGDAGFANKLHSRAAEMKIADRAERR QC762_115400 MSDDDFMQASDEDYDFDYEDEEEEDSGDVDIENKYYNAKQTKTS DPEEAITEFLSIPSLEPEKGEWGFKGLKQATKLEFKLGRYQQALDHYKELLTYVKSSV TRNYSEKSIDNMLNYVEKGADNPAAVKFIEQFYSETLKCFQNTNNERLWLKTNIKLAR LLLDRKDYHAMTRKIKELHKACQKEDGTDDPSKGTYSLEIYALEIQMYSAMRNNNQLK ILYNKALKVKSAVPHPKIQGIIRECGGKMHMSEENWKEAQSDFFEAFRNYDEAGDLRR IQVLKYLLLTTMLMKSDINPFDSQETKPYKNDPRIAAMTDLVDAYQRDDIYKYEDVLQ KNTDLLADPFIAENIDEVTRNMRTKGVLKLIAPYTRMRLSWIAKQLQIGEEEVQDIVS YLIVDGRVQGRIDEHAGTFEIESKGDADRIQAIETLASAVGDLYTSVFKDSEGFKIMQ SYDNIMMDMHSGDDRMMRPSVPGYRRDGRSRSGVMIH QC762_115410 MYEQDPAAQFVHQVSAGYSLPQTLPQYTFDETPLRLTDRRGRGG GYGGLGGPGVGGAAGRSLLYSPIFSTPASANAAGVGPASSAAGHFSFHTTSATSASAL TSSVPATTHHRSSPPHFAIQKMEPNPEDLVAQEAAAREFQPQLEGPFVGEKTPSSAIT SEYAKADPVYIQKTAVLPRTYSHYRPIQGDGNCGWRAIAFGYFETLVKGGNKGQIEAE KLRLEGLNSYIETIGGHSPYVYTDFVEETLLLLDRVAALAGDPEQAMREVYATFNDSE IGNAIMYHFRLLASSYLKGNQDTYGAFVTDEAGVQGYCSNVLERHQVEIDHLGVSLLV DVLLKPVGFVLEVAYLDRSPGSEVNSYRFPEEARDRHPSTLGPIIYLLFRPDHYDLLY VAEPEPIPEPVTVQVHRVAFSPTYDIASAPASMPSYGINMGVLGMLPGFHGPPPGLAP TLLDTSPSPLSAYSPSPTSTWMTPPFAEPPQQAPPVSVAVQAAPVPMSLPIHTAPAVA PAAPLQTHPLRFSEYCQLPEYVENDTWREPSFQTSTFRNSHFNVAHYNNPNFQPEEYR PEAEDYEGPQRGNGKKRASV QC762_115420 MPPPLRLQHIHLPSPSPTNLPPYSLASKLQSLLRRHHLNFKDSP STNPPPPPFLISFTPLPIYTLGRRQSSPLSPQELTRLSRPLSYQNQTLPVTTSHSPRG GLTTYHGPGQVVLWPVLDIHSKRHKTFTVRCYSRLLENTTIATLEKMFRIRAFTTEDP GVWTRVGGGEEERKIAALGVHLRRHVSGLGTAINVDMPGTDEVLSERENPWRRIVACG IEGKTVTCVREVVAHITAGEGPGLKGTEEVADFWGRELSERIGVDGVDKISGGRVAEL LEEAVVRSEEGWEGGEEGYVEEVRRGLGGWVEEALDRGV QC762_0014640 MCHENRPANCRANARPSPGNRVPHSVVQDNRELDVLALQVLGIF NVIRIRKLIGTHLLHQILNPDLPAVPGSPLLRSFAAGMLLIGTSDTKLRVHIDLHHLV PLPTRRLQRPPGAHASILHHNRVLPGPAKANVAKGARLGAQSPQVTPLSLASVPVRLL GERLGHHPPLNRRLRCADIECNMAVALQRWENVAG QC762_0014650 MTIAPRTSQNTLVLTSTQTAQRTTMLSAGKLQNRCPGCRAQVLG FYDALLQPRNIASRPFVRPRATPVTAASRALSSRSRQPNPVRPFSTTKRVFNEVPPTE KDTESRSAEKEAQTVEGSGEATAEEIELLVRQARQTFGNTLPKDYFSGEEYKVYERLY GPPLRETAPEDVGLPLRDEHGEVIDETTPEHALFRETEHGDYEQVQYRINPAVPGGAV EAADTAEPGFPGELAEEATDLEAQAEQNQPVLSDAHIDYLNITANNSREYHALIKLQR DFEAAAALQPMDEIHEEIREDEGITEQDYPREEEEIEEDDEYDPGTEFEVDESRDTRE HPFTSMGKFKTSPSTIYLPKASFVEPISTLLKRTDSTHIRQCGEENLGGPGFPHGPGS PRSKVNVPQKGLGLQAGVGWMSEIEADTFLATIMPAVYATAMSTLVEVRKRLGPEWLR GLLARDGGPRVLDVGAGGAALAAWQQVLQAEWDILRENGEVSDRYPPGKKTTVVGNDH LRHRVSRFLHNTTFLPRLPDYLHVANEHDMGVGDKPAPRKQYDVIIASHLLMPLDKEY KRKDMLDNLWKMLNPEGGVLILLEKGHPRGFEAVADARDRLLDNFILAPHSEPHADEV RTSSQHVREPGMIIAPCTNHQKCPMYHQPGFSPGRKDFCHFQQRYIRPPFLQQILGAS RRSHEDIAFSYVAVRRGAYPEGHTPSADFAAAAASAAADVSSDAGPLTTITSDNAPVY VQGKEASDLAFKGYEAEDSKKPHPLSLPRNILPPLKRHGHVTLDVCTPQATIERWVVS KSFSKQAYRDARKAQWGDLWALGAKTRTLRNVRLGRAGQDAVVVKDAGVRSRRALEAA GRKRDKVVEINVHPQFGVTGAYEKHPRGKTPEQRRSRNGRKVRIENLMEEMGANELPD PDDIEDAEYLKSKDV QC762_115440 MPDQITSAADWRSTVSSNAVVVADFYADWCGPCKMIAPHFESLA NKYAKPRKIAFVKVNVDNQGEVAQQYGVRAMPTFLILHNGSVIKTIQGANPPALTSAV EAAIKLAGPGAVGGSSFSSGGQRLGGTPVGGQRVGSGQRVARPISWDINQWVNAVLSF LGLYFVSLLSLDPYKSAEASRFNKKNPPPAAKPATGPGGRPAGRATFKTLNDLGSE QC762_115450 MSSWEPQSKKRRLDTDSGIGNGILNTKERFKPTSARDWTISIAV PTSIIASCVTREQRTTAAGSIARALAIFSVDEVVIFDDSPIEQRPRNFDPDAYTGDIE PAHFLEHLLNYLETPPFMRKVLFPIHPNLKSQGLLHGLDMPHHPHKDEWLPYREGLTL EAPPRSGKGTAVDIGMPETVTISEDIPPKTRVTLKMPDDAQGKPEPVNPAEPRTEGGY FWGYSVRKAKSLSDVFTSSAYEDGYDLSIGTSERGVPLSKAFPNHNQTATFNHMLIVF GGPRGLEFAAMNDPDLGQMGIQGARTKELFDHWVNVLPNQGTRGIRTDESLLIALTAL RRLWDNS QC762_115460 MAQCVCRGEDRRPGPNGRCVGCSERINPATRPVWSPLPPVPQQQ PLPPPDPLQWPFLQQQPSALVTKQWQLLQQQLVPQVPLQRQLLQQQPLPPDPPQDKIA KAMGKAKAYEKVVADKICPCSLPEIERKVKLPLRCTGVMVAQGRTNQVCSYRPCIDAC CRRRPRKPKLASAEKPRVLHPCHCLEVIKHGEKCYAEGRCEQTSDRSGWCCPACGPKK PGRNSADCAAKRSSKKLSDRRQIWRPEGSEQ QC762_115470 MGVIGIVKGLANFAALALSNTKPALPQKRTNGASLLGTLLFPLI PFMLTNNPLPDGYPWGKLTDSGTNPYVENPHTGVTRYYDFTISRGLIAPDGYEREVLL VNGAFPGPLIEANWGDMIVVNVRNNITNPEDGTAIHWHGFLQTETPWEDGAPGISQCP IPPGASYRYEFLASLYGSTWYHAHYSAQYAGGIVGPMVIHGPTQAKYDVDLGPILLSD WYHKEYHDIVEEMLKPNGSPRVVSDNNLINGKMNFDCSTVAPGDKSPCTNNAGISKFR FQTGKTHRLRLVNTGGDGVQRFTIDGHTFTVIAEDFTPVKPYKTNMVILGVGQRTDVL VTANVGKPDSAFWMRSNLTNCSPNRQPNAVAAVYYDKADTDKAPTSQAWNIPEDPSCT NEDLAKTEPLYPMAVPKPTYTQTMEIELFKNASDVTLWKFNGVSMRTHYNEPVLLVAN EGNFTFPREWNVVNYYSNSSVRIIVRNRSAGPHPMHLHGHNPYILHEGPGDWDGTIIR PSNPHRRDVHIVRGNGHLVMQFDGNPGIWSFHCHIVWHASGGFLANLVVEPKKVDWLR IPRDVERNCKAWDWWTRFNVVPQIDSGA QC762_115480 MPPPPQHGVGQSNVDKFKMGMLMGGTVGCIIGFIFGTVNIFRYG AGPNGIMRTLGQYMLGSGATFGFFMSIGSVIRSDSSPIIQEAYLRAQRRPTIMASGAF RPYQQPVRRSNDN QC762_115490 MTAVASPPSFPNLNRPGWMNGGQLLNTINSEDARGVNMPMPRKT LPRSNSSSSVSSTSSNGSTSTVTSNASSQMNGGSVSSAGDPGAWPNGAPRKRPQQKGP WPNPKTEGTNEFARTSSVRPPMANGVNGASSLQPPQSILATPQNQLMAPNGLPRGPDG AASGRQPVLYLLSLNGSFERKTISVPYYPDTLRIGRQTNNKTVPTPVNGFFDSKVLSR QHAEIWADPSGKIFIRDVKSSNGTFVNGSRLSPENRESEPHELQTADHLELGIDIVSE DQKTVVHHKVAAKVEHAGFISPANNVMEMSFGDLDPSNNPMMQLSGVPFRGRPTNQSA MAGSRVSPSNAGVAGNLAQQRPYHWQSITTEHIIKRLQTEIRNARQQQADIARTGQFL NALLSKDDVKNLEKPEAPEAPKSFVNGNVSFRSDGGKTRFSDPPAPPPSQPLPEKPDA ARPGSSDVASLKRGPTEKPKLANISPILPDNTNSLRISQLTEQLNNAQKALDETSQKA RDLEEELNREREARLLVEGQMQKMNEESTHVKVNGSAGIPLVNGHSELDKAFNPPAET QTPAEVDPVTVTPEPGSYSPVVDKTAAMVAAYQAQIDTMAQEMAKMKEHMESYRARAE KAEADRDAGSKTLAELVLQIRQRDEEDKKRAAEKQSRSRSREARRRGRSQSPRAEEKL EHTTNGSATKPHAQIDGAASEADDAEDISPVSRSVTVKPNSVGALAVQGDGQRPLAII QILPYATAFGVVLFGMGLMGYINDWQLQPHPNR QC762_115495 MASNNSLWLSDEESDPSHGGSCSTVIHHGLPASNANNSPSSEST ITPDSDQGGSLLALSFSKRTVLAAGNERILPPRRQNLPSTNSTQSWDTTADTPTSPRK TTIKIVGPSLAELHARRNWYNARFEQARIDHETSHARAYAEQEKEWLDGELRLENFVT GQAWLDNFGERHTKNKGAAQGKRVWTCCGCECYNSRHEHKCSHCRVHVKCGECERRYE QQGRFKINLD QC762_115500 MVALSLITLATLLGSALANPVPISSFDVEIPAANASEVDKRQAA EGVYLLNCGPPSNPNTYHPVVYCPNISNCGRIPSNNNLCYGPTKWETSTGSCRFPTGV TFTWNIVANAQEFPYFAVVGSGNNGRPRPFTIRKDNQGVLYRDGQGYDCRAIYVAV QC762_115510 MSRAQLCALPAQRLLPGFIRAIDCQDALDALDEGTGTGDPLAGL TPEQLEYIRQLIIAIYEGRAIAASYNVFIVCIIAVLAVLHWREQKRDKQKWTAIQQVS SGRTATENSQIDESGTSTPSSSSSTTIFPQTTHIKSPEDEVDVDLERLPLLASSQPAK HFQPNRVTNRLRSWLLYQPPPIPIINRVLPSIGTTLFILSWLALNIFIQFYRLPMKWS FFFIFADKAGFLFIVNLPLLYLLSAKNQPLRRLTGYSYEALNIFHRRVGEWMCFVAVV HFISMLLYQFVIAEDWLLASQSPKAYFTHPLILFGIGTFVSYELLFFTSLGSFRQRWY ELFLASHVILQVAALGFLWGHFYTSRPYVIIALAIFLLDRLVWRMSIKRVAMEMDLTV LDGETYLVSGDWDVLPGANGVLAGWEPTDHVFLTVPALGRSYALQAHPFTIASAAPDK GVEREEGGRHAWFSLLIRAQFGNFPGGFTRQLLKHAKTHKRVEVQLDGPYGSPHALSM LRASNNVILVAGGSGIAVTFPLVWALLHEAASQTDSEEDEDKQVPSSSKQKKARGRKV HMLWVTHSHWHQKWIPKEQLDDLVALGLDLVVPPPTMDAGRPEVHGIVGQWIQEAEGD SSVLVSGPDGLNRVVRNVVAGAVRQGKNVRIAVEKFGW QC762_115520 MSNLLNTDQTQKTADQTAGGITAGISGAGKTVTSTLGNTLGGIA NTAGGVVGSAGRGLGDTVNSVTGETGRPIGDGLKNITGGIEKGVQDVSQGVKDTGEWK TSEGSS QC762_115525 MVPLSFALRAALLTLSVALPTLSLPAPIAQRDVALHRSSLDSTW DDTDSWEPHAGWFSYNEDEDYDCEEYPDYDEDWDEDENDEGCEWDENDESDWESDDDD DDDPTWEDYSLTNLVIHSPHQTLHSGIGTVYTQDGRVGSCGTSHDDSAFVVALGNDWM HHRYQASECGRQIQVTNKGSHHHVGGEGNTITVTVQDTCASCDAGHVDFSHAAWDALT DGSPPGQVDLEW QC762_115530 MGKSYDSKAKVAGQKPQNWDDEITHKYCGAVDVGRTGKSNKAQR CQQCMIIKASMAKEESRETASRRNEKMGWQAGSLDWQ QC762_115540 MKLSCALFSAILALSASALPAPHNGGNYGGVSAADRRGRNASRV SSSAIASATAAPTATAVPATPPPAAGGGEGGEEGEENEVEQEAQFGEAVELGGGNIKT DTLFPAGTNGVFEVEFQNQEGRSMIVTENKSPAAPPPGFKALEPVSYKVAIAGGGTDG LTLQKIDYILTADNTLDISAGQIGRFCPEANGFVIGAGVGELEFELEENELTLTVDSL VGEWGIFVPDNAAAGGAGAGVGADAGAGTGAAGGACGAGTTCRALLDALQRLSGRA QC762_115545 MAFPGALQLIEDRDLFRTRVSESQGVPRSANVKRRGKVWVDRPW YQICGVDPSSTPGRQHHPRQCLHVTPNVHCSYRASLGPFPNMHLSAFAGLRAVRPPLS SVELARLAPTTQLTAGQTTTPQ QC762_115550 MRVTGPGDRRRNHAWLEMRSRERRWTRRQIEEEEDTSDSDGETS GDDSGDDSSDGEDDVPTVPTPRPLLPVPTVGAGAGVSLLPVPGTPAPVPGGTLGADEG GVDDGLTSESDGIDSGDDDSPDEDEEEENAVPPPPVDGSSSTSTSSVDGPAPTGSSSS SSLTASATITSSSTSTQSSSTVTESVSASSTPTIDDVLTSVTAEPTSLPPLALPEVSP TPGATGGATVDQEQLGASPSRMNAGAAAGIIIGTLAIIGCLIGAAFFWRKWRRDRGQP FMPAIVLPWKKDKDGDDSDAALAPPKINEKTNTAIMDDLMKAAYQAENGNDMEYYGGY PPDKKELHPNMIDEKAYVALAGHLTPRTPKKPVSTWLGGIVTPRQSQGPAFPPSPMYS EAERRENERRQVGSTIPGTMAVPKLQPPPPAKARTTMTTDTTNTSVRWYG QC762_115560 MHIKLRSWLRHSHAYSRPQNVMEMAPQQVDTTISPSATGPALAL AKQHSADHPLKLYAGWFCPFVQRAWITLQEKEIDYRYIEINPYHKSPEFLALNPRGLI PTLVLPPGEEGKQRVLYESVIVCEYLDEQYNSGTKLLPWDPYERARARLWVNFVEKKL VAGWYRFMQHTPDKPYSLEGVRKEFLENLKTFVREMDEEGEGWFSEGGFGLVDVSFLP WAGRLWLIDHYKEGGVGIPKREEREGLEEGERKVWERWERWYEAVMGRETVRGTWSER EEYIGVYKRYAEDQTGSEVGKATREGRALP QC762_115580 MGQDHSVIDEDTPPKTLSSRSLASVAEYIFSGRAKRIVVMTGAG ISTAAGIPDFRSPDTGLYANLASLDLPEPEAVFDLGFFKVNPRPFYVLAKELYPGNYH PTVSHVFVRLLAEKGLLHQLFTQNIDCLEREAGIPAEKIIEAHGSFASQRCIECKTEF DAGKMREFVSRGEVPRCEEGGCKGLVKPDIVFFGEQLPKAFFDRRDMAEEADLVLVMG TSLQVHPFAGLVDLAAERVPRVLFNLERVGSMGCQADDVLALGDCDEGVRRLADELGW REELEEKWRGLVGEEEAERQLQGAKKRVEALHDEVAKLAEEVDEVLHLGEKKEKEKEG SHVDALNPENKPDGEGKVVNEVDPVGEDAAAIKSSVKNTDITKTVEVSVETTNALKPV DMPDGEGRIVSEQKAGGQQPGGTKSST QC762_115590 MAVNYTAVAWTPVVMLGIAIVLGVYVLARLALDLSIRRGLVNSK KHQDDIESDAPSHNRFRITATRSVALAGVICSLLSNILELVIMFDYVDYYDLSHLKRY TALNFFSIAGVLCLFVTAILTTKALASPSKGHSISRIVYTILLVLIALFALIVFILQT VINSIRYYGSRGTNYFSLARATAIIGHTYFGLLGLTTLVISIGLIRRWTQIRGAEVPT AERSALKMFTIAAVPVLTAIIVLGIVYRATFMGGLYSYYSLNALHGYLAYHFISLIFN LWGNILVFEFARKAVKKLSMI QC762_115600 MEFTLTFGAVGDFLALLSLINDIRSALDDCRGSKKTYRDLVESL TLLQKSLEQVVKIYQGPGFANGLQDLGAIAQATVNQVCAALQEFRDRISSKYGSSLAT NGSGNVLRDVTRKIQWKFEEKDVEQFRAKVAGLTVSLNLLLDVTAVHLIQQSQEATAK RIDDAEKNTTKVVQKSGQSIEKSFRFIGSRIMSKLDFLSSIGMDLKDSAFQILTLMFT MSRDLTSMGAVLLRLERGVDNGEHFVLEDATGRTLPIHLKTITSWEAFEFILNDRFKG RKGERRIRRKQYSLHESASHQEIDRSAMFEDAFVPYQKVDMSIVCRALEVPQADSSGD TGLSSCPWCRTTSPGKLGARVQCPTCKKKFTRVVIELDDELAAPMAPVTDHTVKPTGK PGPNGEECSECHQPKTPSGNDQRRKHPVDLESDSDEENVTGLAHIILQTKKIRAIKFK EPAIAPLQEASDPKNLDVAGMQHHTAHEEHPLEQPVRKSAEQQPKTSGKPRLPAPRAA TIADAEKYGIPSGYWLKNWDPTEEPILLLGSVFDGNSIGKWIYDWTVYDKGPSAPISE MAGEMWLLLIRLTGRIKRSEEVVDRIGDEDDKEVVEDFLEAGDRLMVKFRKLLKACEK PMLETKKDGKLGMEAGVQFVRCMFGREFELKKTERWMASVRLWNLRFDANCEEVIRKP WMQVATAPEVGGEVDVKS QC762_115620 MAPISTPGSRAQSPVSDRSGHSHQNNNNNNNDNNDDNNEDPGAS SARLEHEDGAKDNDVVVTPKVESHQTEDNPAPDGSGNAGIATTDIPTTTLPSPAIPTS NDLPGSLSAPTFTTFVSRDSQRTTTAGPAKMFFLVSLAKFAAFTDAFLTGLLVPLVPT IIEEKTNVPPAQIQVWIAVFLAAAGGTAAVVSPLMPFVTRQGPLTWVILLAGLAFAGA SFALIQTATSLQMLIAARALQGVSSAATTGACSGMLATAVAINDRAPILSWVSPAFIQ GLALTGAPALSGFFYTQRKGPEAVFYLAYALITFTFLLTMIAAMITPKIEIGLAVTDI DHGAEPTSATEPRGYGTMSPELHRATPAIASRMRSSRSRSPHSVSSVRSSRSSASTIL SEPSTWSFRLLVAVYGYFVLSLLTTALATVLPLRIMQKFGWTELGAGLMFVWLSAPAA VVGVLAGAFTVRIPGSARWLTSIGFLASVPGILSLVSATGQTTEDNHGILTNPLLLTL AAISFAIGLCGDPLIKEITNVVGPTAVNDPSSAAAQASSLPNIAAAWGGLVGPLFAGA ITWVWGFQILVQSLALVAATTGLLSVIFVQGWPGSSDVDMGRHRAQPATDEETAPLLV NDHQVAEFYPAGRPGILSKGKKNRPFAETPGSPSGRKNRTHRRHFSVDNSSITTITGA GSVETTGGQVRQVRFQASLEDSPDGLGTNQPPTRENSNQSNPERRYVMREAPHAPTTD PLLAAGSRYVIDEERSVAAGTERPKRHVVVFAEGTAPPELLARHRHHTVAINALDGTT QIVSNSTDSNHAVSVTEDSGEDAEFSEATSTRYVVVVVDEGEDESR QC762_115630 MLAHDAIEQLANREREAWAVDGPVEELPAVMVPVIETRLQGTAA GERRGLRRHHRYHPHININTPSEIPEQQRAGDGLPAYTRYTENTLNDASEDYMDEDSE DDSSEPEYPTVLSPPPSYHAEAQRDPPIYSVAIPGIQSERRSELQRQWVSYAEGKRFE HRQNYVSWRTARSSNSASDTSSVTLAGSPSSSPSSFDPLPAVEPPPPPPSPPPPPRSP PAVTPLTTRQRARRALAQFPAKLGGSLAKAIMLDKMASWAAKTKHWKKTKRDYVKEVS GERCFFVGAVDRMPDGQAASDSGSTGPAMPAVQVVPPRADPVMSVFVPNEGTGFERGR RHEPGSDSAGSSRPGTATGLVRSSSLLKRVASLTKRKKGVDGEAGFPIPELGRRPSRR RPGDDLEMVGG QC762_115640 MALAPAIAPRRSSPPRDLTSAQPAPSQTKYHPNFDQECKTGQMR LSSWRLLLFSVLTLIMSIILPTLYKTNPLLLSTLRNKFITTATTTVTTTNNLFFRQTH KMTTFHHPSHPSIPITLPDGLTKDQLLSFHPFTSWLSTLTTSLTSQSTTPSHPFSPNP YTLRSVKIQSFDLFGHRVGFLKLVADVKNDKNETLPGAVFLRGPSVAMLVMLIPDDGK DEEERYVLLTVQPRVAAGSLEFVELPAGMVDEDGEFVGTAAREIEEELGIRIEERELR NLSEMALGEEGGGQGLGRGVYPSPGACDEFIPIFMHERRVPRDTLKEWEGKLTGLREH GENISLKLVKMGDLWRVGGRDGKTLAAVALWEGLRREGRV QC762_115650 MIARSDESYFPPVQSKMVLAPESEAKVIATEPLADSEAVWTKLV KKIYLDPKGITRTWESAERRTRPKASGIDGVGIVAILEKPTGPEVVLQKQYRPPLDKI VIELPAGLIDEGETAEEAAVRELKEETGYVCEVIESSPVMFNDPGFTNTNLKMVHVSV DMSLPQNQNLEPELEENEFIEVFHVKLVDLWEECIRLEKEGYAIDARIANLAEGIEIA KKFRL QC762_115660 MAPNDDDDRASITTTEETPLLAGSPRPSSPRPNQHEHDSQHDQP ALTATISKNDQLRNRIRPRVLILVFVTLFLLELGVGITVAPNSAIMESIICRQHYPRL PLSPDHPIRQFAGGVALIDDPICKSPDVQSELAMLRGWAQTFECIPGLIGAVPYGILS DRWGRRPVLALSLLGCLLSVAFMYLVFYFSDVVPLWWFWWSSAFELIGGGGTVLVAML YTFVADVVPVDGRATVFLQLNALFLGSQMLAGPLGGAMMVNDPWVPLWASLIIIGGAN LLVLFLPETLHLHDKNVATASEDDMDDERSGLQKLLYKTKTGLEEVWEFILGNKSVGL LILSMTFVILGRFVGEILLQYATERYHWSWSRASYQLVIRNAFSMFTLLVLMPFASWF CLQHLGMSAVEKDIWLGRWSGVTAVAGCLIIAGATNGVLFSVGLIWFALGSGITSVIR SLLNSLVEEHHVGTVNTLVGFMENVGMMAAGPLLAKSLSLGLELEGLWVGLPLITAGM FIATSTAILWIFRLPRRPSLVDLRA QC762_115670 MVSFFGLRVGGKKKKAETNPAKEPERPKRIDQNMLGEGQFFGVN TDAKSVFNEGSIQSVSRAGAGTPQAGVRGPYTETHNLGAVSMFDLGSASRSGSQASFR PDLKSPASDMNLGGRFGAQGGSSTSLALPPGPPSRMGSRPGTPSGRSKAWVNPLDVHW ARATSTAPTPLKIHPLAQSSIELPPPTPTKSDAGSVFGEEADDMVDAVMASVKKQEEE NKEKAREMEKKKETARLELERLERQKSNESMLPKSPVERQHQLSFFDRPQNSPTLPGP MFRGNVDQRPSSRGGPRQDSPISPTGGQSPTIHQGPPPTGPPTQSLPQPPGQGPRQGP RGPNEARGPQQTNTPPYSPTHSPTEASRGGLPPKATQGPNPNEPPRDPPRSSPPGLRN GPQSFHLHGPQQRSSPPQSAGPYRPPGPHNNGPRNGPPGPGPRGPGFNGPRSESPMRR PMAPGQFRSESPARRPMGSGGRSESPGPRFMGNHQRRPESPGPRFRGNNEFRSESPRR VPGSNGPGPQQFPPGMGPRPGPGPVPRPQVNTTFAPRPQPDAAAVSSPQVDAAPELPT PVSEARKSPPLISTLTSPTPSTARSSVDDEFLDQLTTPPVIRDVSAKRDTLHATHRAE QSLSMKIEELEKTILSQHVLKPRPTNLAPAPVNHRMSTASSCYSNDMPDAKDDEHDED DNDEPILSIQPAPLRIPSPLPPSVAAAVTSPVQSPGSPIRAPKAGQRPRRPGLEEYGV ASSQLSSPRAHVPTPAPATLTSPTDNNSIRSFHTANNSPPSRSTTPLKSKPSLPILVP PTTASTTAISPAEPPKPIPFIDTGFQFDFGTTTTTTGPLTPDSSHWHISSPVTESAAA PGVAIASAPAHTTTSPSSPEDTDLPKFTRPNVPPPLKLKFNFSPEASSRDPTFGTLTP PLYSAPPMIAVNDGRPSTSAGYNPFPHGGLQASPQLISQFPESMKDENRLSFMGIGVA RGPSIREVRRPGTSHGTGQGHRMVDSFGTGFI QC762_115690 MKSRTKVTSSLRQASAALTRATGSNPATPLCHNAAAPGMRPLTA AAQPHRRHLHDQRPRRSGAPSNPAMSFPCVDALESRSATLRQQAAAASASQTRTDSTS SGPEPSYTVGATQIFHSNNPLLLDHGGRLVEFDIAYETWGEMNADRTNVILLHTGLSA SSHAHSTAANPQPGWWEKFIGPGLALDTNKYFVICTNVIGGCYGSTGPSSIDPSDGKR YATRFPLLTITDMVRAQFRLLDHLGVEKLHASVGSSMGGMQSLAAAVAFPERVGRIVS ISACARSHPYSIAMRYVQRKAILNDPNWNRGYYYGQIPPHVGMKLAREIATITYRSGP EWEQRFGRRRADKDKPPALCPDFLVETYLDHAGEKFCLTYDPNSLIYVSKAMDLFDLG RENQVAIRAKRAEREKALREGGGQGGYQQDACSLTLPDTPYEEQPEHHEEFERDGLGF GGSLVGSGGSNYSGPGQKPPADLVAGLSVLWDHPVLVMGVASDILFPAWQQREIAEAL RMTGNRNVAHYELSEEQSLFGHDTFLLDVKNVGGMVRNFLG QC762_115700 MWNTVSHILTSILPPVLPIRPRPDSAPTPADGTTAQDPTTGKMD AEGVYKPSITDVIVVKAMLVKGLQIPVEIADNIIELAEYWPHVTAEVTWGDERPNQVW SGESRENQFLLRTPPLGFPGWGTDTTSYTKTIHPKPPGEGYPASSFQKLAKSPVTLLA QPCRRIVFTIRSKDQGWGGEYHNQNTYNGSWTWFEAGLERWCKSQIPDPPQPCESHED PVQKPVRDDDDNDDDKQPSMNLEDLATVIPEVVADPQSNEFKFNHPLLPRENVKIQCN KLTEREYITHVVEWNHDDDVDPEDEVAAKKLHDVGRGEQTGNGEFVRNMRIGDVVTVW GKTRFGGWINNISSVKVEVYWSV QC762_115710 MDGQPTAAETKHLYQTHPWPGVGPNLTTPRLNTAATPEYSPYYA DILPILSQQQAYQGKLLQYNRLLSSGRGGGGGGLQAPPLPTVLSSPSTKTTTRSRSSS KVSNKDNTHIKTGAQTGHGSRPAKPSDNADRALITSGKDQTSKMPVKKPSEQPTSNGV PVRPPPPAGQNGTAHPAQSSSVPSTPHQHARKFSFESREPSPNATQNHSPRSAYSETN GNVPSLRPLPPRLGGCRFETAIPHSRRRMPYNLGTDRLEKGDLERIPSRLSEENEKSL ETEMNDLFRVLLPTQEVETKRQKLVNKLEKLFNDEWPGHDIKVHLFGSSGNLLCSDDS DVDICITTPWKGLEHVCLIADLLDRHGMQDVVCISAAKVPIVKIWDPELKLACDMNVN NTLALENTRMVRTYVSIDERVRPLAMIIKHWTRRRIINDAAFGGTLSSYTWICMIIAF LQLRDPPVLPALHQRQKEKLLKSDGTRSEFADDVPKLTGFGAKNKESLAALLFQFFRF YAYEFDYDKFALSIRVGKLLTKTEKKWHIGTNNTLCIEEPFNIIRNLGNTADDTSFRG LHLELRRAFDLLAEGKFAECWEQYVYPKEEERRWEKPSAPPRPVLLRSASQQQSRPQQ RNNFNNRSQRNNYQRNGNQGNRRGSNHQGYEQSMPFAQAGMPTTMNPQELVWYQAQNP QIGVPQELLQTSLNALAQHDQNLRFQLYTHAQQINQQQALAHAQRMQGGSGSDRSRTN SFDNPPLTAPIRPDLMYGYGFPMQPPAYFHPGFTTYPSSPASQTSAATTSNGMPEFRR NLRETGVSSGGALRSQSQPASRGSITVQQAMSAAAAYTASQAQNGVSSIPPRQVNGVP VSNYTPDELSETDYEEPKVVADAPEDDGARYAGHYPNGHASPNRKANAFPSGTPVFNT LNQSSQGRRRLSTDQGPQAVLDRRMKRTSRSPSPLGHARTISAGMSAPLPSAPFAQTN GQLSAKPLVVNGSVPKLAQASTSNRSPLGVETTITEDIHHSNPLYIQQGTSANSSWND QSVSYSTTSSEPVSLTVPDRPVIVNGSTANRSPSSTMNHHDASFQQRVTMAAVPTHLY YPHMGYDPNNILGLARLNNRQLAPLDLATNEYSVAQDMPHLSPVYEHRTPSPTVLRSF GPPVVAQSPRGHRDVRSGTQKTPPTGPSAKQHDSSNRSPVLEHRAHGSSRESGNPRSA KSPSDSFNSWQKSKPRKKGLSDLKNGTNGVAQSEQLPKNEADRKGG QC762_115720 MFSGLAQKAALKKIGLPSDTFSQISNAFSNDTSSSPQPSRQPNK LRKSPPDPNNTDNKSWFSVSSLPLTVQPWLSPPPPPVPVSKPPRIGDLAPTDPDRILS PQLGPSGGNRKTIVVFLRCVGCAFAQQTFTDLRNLSFKHRDVAFLAVSHSSPAATEKW VSLIGGKGNVKIVYDPQRKIYASWGMGTGGWGYLLNVNTQVNGFKTKGWLGTTVAASV ERTEGFSSMKRLGQGEVEEGMKMGNKWQEAGGWAVDGRGRVVWGGKLAKADESLGLEE GVSLLKL QC762_115730 MAAYYENSQSQWPPAPPPQVGGGWDHQTPPPARSGASSVIPREE PAAFSHQLEEVDRAIDNLLKSGKMYGAPGVGGRREFVPPNMIAAPRRYPGFDPRAPGG GPGSRPHSVADFGDVRGGPPHQNPTNLQNFYASQRHQTSRGSNEAEQMMQAKRRMAAQ RERELRNYHQEQQYNRTAIVDPAGFNKPDRTLSPNSLPEEERRKLIAQQRQALYGEGE FSGGPPPPQARGFYGGGPMYDSGRASLGQIDPSAQGPLEGGQGLASAGGNDQARANSN SSPQSNPGGGGKGMYDAPLAQQTTRTSASSPGGSPPRQASQGGKPGQGSVAPIGTRPS VSGGSPSNPTLNKRSTTPLPSPLSQGYSVGGAEDNGAALAPASATAETAGNVGLGGWG GGRGGWGNSKPQASVWG QC762_0014990 MTASQANYLPIDIKYSGKGDNHWTRPLPPTSGRSTHVPLSFAPG PKNLHLPGLFILTQPSTLSQRLKLPGALGVNP QC762_115750 MQGRGRLPRLVFLSQCHRSLYASLSVNKNRLATSQNITSISHHN LPTYHFQICSFRVSAYRMSTPPPPTQLLFHHDASLATHTTTLLTITPFSSLPAPDQSL FKSPPTNAFVLTFPSTIFHPQGGGQPSDEGHITLTSPPSVAFAVISARHSITNPSQVL HLGFFAPSVPPPDEQPLFTPGDRITQTINASLRNYHSRLHTAGHVLGSAVRHLLESQI EDFDELKASHFPDSAACEFRGLIDSKWKEPIQEKVNELLAAKMPVEVEWWDEEDFRRE GLERLIPRGKDGEVVKPENTDGGRWRVVRIVGAEVYPCGGTHVERTDLCGEVRVRKVG RGKGCSRVGYGVVPGLEG QC762_0015010 MSTTTAPHKLYFGYGSNLWLDQMSRRCPSSPYLGIGRLRQHKWF INSRGYANIAHVPTPSPPSEVWGLIYALTPQDEDMLDINEGVPYAYEKRELTIEFWER DGDKQRKGEEREALVYIDFERDKGGFKPREEYIVRMNRGIDDALREGVPSSYVEGVLR GYIPAEEQDEEVEKLAERQAGGFKDESGVIPARVTAEAVGSGVDLVSNVKAVGEVLDG KEYMSGASSSKSRYAFRLRHQTPYLSWYQHSTQATHVYEMLNYLPKNTLQILEIGCGM GAVTDCLAQHIKSRNGHIDALDPAPDSYVCSLERYVQDTENFYPSPWTLGDHQHAVSQ RNPNTVTFHNADAIKFLDDGDDKDKKWDVAVFFHSVWYLDSVDVLKNTLEKLKGRVKR VFVVEHALRATNKYARSHVFAALAMAAVGEERQKKGVDTTGHVTFLGTPPKIKKAMEE TGWALEKEAEMVPDGELIDGVVDVTWARSTQFREQFNELGLQSTKKEGLVQALLNAAT QELVLDDRRRMSRCGDDTIPHACAMDVWVANFVLEKEEEK QC762_115780 MSENNNDNNATIHTAVEPVMAKTDGTGDYGTTAHATTTRISVEG HTTDSESAAVAAGLKHLENQEVKWYSYLLTVDFWAIIALGQILALCITGSNTFTSFLS SVNTIIPAFQTLFNYALLTIVYLPYTIYKHGWAKYRSILWRDGWKYFILSFFDVQGNY FTVLAYEYTNILSAQLLNFWAIVCVVILSFFFLKVRYRPVQIAGILICCGGMGVLLAS DHINGTNGGNGKDMIKGDLFGLLGATLYGITNVYEEWFVSKRPMYEVLSFLGIFGVCI NGVQAAIFDRSSFAGATWNGDVAGWLVGYTFCLFIFYSLVPLILRMGSAAIFDVNLLT ANFWGVIIGTRVFGYTIHWMYPIAFVLIIFGMVVYFLAGTILGDSKKPWLGDNQKDGV AGLGTAKLKALNEARRKGLAREEEVAGEA QC762_115785 MAPSAYNKLQNKHVLILGGSSGIGYAVADGSLASGAKVTISSSS QTKVDAAVSRLKSDYPSQTDTIVGFPANLSNPTTVQDDLDVLFKKAESTHVASRYLPK ENTSSITITSGSVTKKPAKGWTLMSYYGGGLSTLAKALAVDLAPIRANVVRPGYVETE LWTEEQKASTAKAVAKSTLTGVPAKGEDVAEAYLWLMKDSNVTGAAAETDSGGLLA QC762_115790 MIPSPSGSPGRGDRPVSDILDSPITRSPPPPPPPRKEFKAYGQD YQYAETWSNPLPEFIIHNPGTKNDDPGALEAGTAGVTGGIGATTRAGPRPESLDGTQD TSASMDYHGNISHHRPDTVGSKGSVMREAVWVPPYEKPWYRKITHLQWLIATVTVLGI LAVVLAILGAMGILTGTAGTQSTSGAANSTSSGASTSSSTTSSSSPARPSPTNLDNFC KDSDSFLKDVGIYSIQVDGTTNWEQGFDSATTAELCCNACFKASNCAGWLHTGIDFTP CTLFSLKEGIFDEAKDKDKCPRGQANEITFKEDNAKKGASAARGPCSNGFKFG QC762_115800 MSRYLRPAARLAATARTSALRPATASPFLSRAAVIPGVQRRTYA DASGVKEYTVRDALNEALAEELEQNDKVFILGEEVAQYNGAYKVTKNLLDRFGEKRVI DTPITESGFAGLAIGAALSGLHPVCEFMTWNFAMQAIDQIVNSAAKTLYMSGGIQPCN ITFRGPNGFAAGVGAQHSQDFSAWYGSIPGLKVVSPWSAEDAKGLLKAAIRDPNPVVV LENELMYGQSFPMSAEAQKDDFVIPFGKAKIERSGKDLTLVTLSRCVGQSLVAAENLK KKYGVDVEVINLRSIKPLDIETIIKSLKKTHRLMAVESGFPAFGVSAEILALTMEYGF DYLDAPAARVTGADVPTPYAQGLEEMSFPTEGTIEQQAVKLLRL QC762_115810 MVSANQIALEKEDHKRDAEFMKAMHGKSTEAKGGFAAMLSKDTE ANKVAVDEYFKHFDNKTAENETDADREARTKEYATLTRHYYNLATDLYEYGWGQSFHF CRYSLGESFYQAIARHEHYLAMKIGIQAGDKVLDVGCGIGGPAREIAKFTDCHITGLN NNDYQIERATRYAVKEGLSGQLKYVKGDFMQMSFPDNSFDAVYAIEATVHAPKLVGVY SEIYRVLKPGGKFGVYEWLMTDKYDNNNLEHRDIRLAIEEGDGISNMVTISEGIQAMK DAGFNLLHHEDLAKRDDPIPWYWGIAGETKYMQSYFDLFTVLRMTKAGRRAVHVFTGF LEMVGLAPKGTKKTADALAKGADGLVAGAKKDLFTPMYLMIGQKPLN QC762_115820 MPPQIKQDLNRSGWESTDFPSVCENCLPTNPYVKMLKEDYGAEC KLCTRPFTVFSWSGEGRAHGRKKRTNICLACARLKNACQCCIMDLQFGLPIVIRDKAL ELIAPGPQSEINREYFAQNNEQAIQEGRAGIEAYEKTDEKARELLRRLAQSKPYFRKG KELDSEGNAVSGGPSGSGSATGGNPAVGAGLGGAGPIRTRDSRAAAAVGARPGGGKRG PIPANAPPPGPRDWMPPSDPTIMSLFVTGIEDDLPEYKIRDFFKSFGKIKSLVVSHMT HCAFVNYESREGAEQAAAECKGRAVIAGCPLRIRWSVPKAIGNMNREERGQMLRDGRS AFPEAKRKANPKAIEGGSGQEQGSSAHGQDQGGLLVAPPPGQDDVQYASLAGN QC762_115830 MAAIQSYRNLLRAARIAFEGDTRMLTGARESIRNAFRDKATLPP SDPSIEPALKHADEVAAFLKANVVQGIKQEDNTYKLRIHEHTERGDNESIKFANKNPR VGVKCCSEM QC762_115840 MATPIVVPTPLLALPEGWTAEKDIKTVGKLSGATQRTLEPVGPY FLAHARRARHKRTFSEDDRIQAQERAKKVENDEDSEISEPEDPMMLARDAKDWKQQDH YKVLGLSKYRWKATEEQIKRAHRKKVLKHHPDKKAAAGRTDDDNFFKCIQKATEVLLD PTKRRQFDSVDEEADVEPPTKKQLQKGNFYKLWGNVFKSEARFSKIHPVPMLGDDKST REEVENFYNFWYSFDSWRSFEYLDEDVPDDNENRDQKRHTERKNANARKKKKAEDNAR LRKLLDDCSAGDERIKRFRQEANAAKNKKRLEREAAEKKAAEDAKAAKEAAEKAAKEA EEKAKADREANKKAKEAAKNAVKKNKRVLRGSVKDANYFAEGDASPATIDAVLGDVEL IQGKIEPEEIAALASKLNGLKVADEIKSVWKAEAERLIGAGKIKEGEIKAFTA QC762_115850 MARFSVFALLAVPWLLLAGLVSGDAVSDLEKKGRPAIDAMLAKS KTCTKANLKVRREWGDISAAEKKSYIAALLCLMEKPSKLNPTQFPGAKTRYEDFVVVH MQQTMSIHNTGSFLSWHRYYLWAFEQALVKECNYNGSHPYWDWGRWAQDPEKSPIFDG SDTSLSGNGKKIDHRSSGIAPAGNGGGCVETGPFKNMTVRLGPVSPAVDPAPPRNPRS DGYGLNTRCLRRDISNYLTSRYARTQDIAALITNSRDVLTFQNVMQGAGGGFGGAGAG IGVHAAGHFTIAGDPGGDFYTSPNDPAFWVHHGMIDRTWAIWQSQDTNTRIQTIAGGT SMMSFGGGGRQQSLDDNVDLGIVGDKVYKIRDLNSIVDGPFCYVYE QC762_115858 MGPPIPISQAARKVYNGIEYFYGFPNSEQVFVLNLNTKLRMFTK TNAWGTVSRSEFKEYISLYPRLYQVANTSRDRIQQWLNVGYNRANFESWGPDFHAFLR TEAEDQSTNTWVRKPGIPPQAKWLNEEHKERGAAFDIRMGRQVQEEEGDDSVMGPEDE EEVTYQPDDDQNGGEEDVEQARSHVNSSQNGTHATQQPRKRRREEQSTSTLVGTPFPE PMAHHYQLANSSQQQFALRELWNAATGFRTLHSEVLRVAQKLEPGDFLRPLLSHIANS TTLEVGAAEKAARILSELMDHVAKSQAEEGRSGDDEDRIKRARSRAAYEMLPTATPAN RLPHRRSQQQQAKHPSLIRKDSQAPEADDNDRSLTVPRERSPSLGYELPDHLAETYQP LFEPSSPIYFGPLNSLAGEASSRPNTAKGAQSNHPHNRGTPAPVPGASSYHRDASIEE FRPAITTGNTGTLNDPQGNPSQAQTAAPHSHHMPVNSIEVPGYLPYHANNKYRPPSQP PSTVSYASGTGASSLHRHPAVVEPAAPPPAFSPPNFGPANRRSTRQASRELWTAQRPN RELPPPATTISTRQTRQSSQQSTLSSSSASHAPLRATAGHPRQATRGNQKGKIRRRVE VEDEDEDEKGLGDGVE QC762_115860 MYNREKIGVAIAMSMGIFAGITCIVKLTTVKVLEEGDFSYNSLP LVLWGFIEPACTIMAASIPMLRHLFKNFRHSSDLDDSARVGTNLHHSSPDRQPSPVAE QRRRHMDLSDDNRNDRSILALPAQQGPPERSTRTLGGSCEKSDSDQGTTISSITSNKK QDRAMYEMLAKARHKDRV QC762_115870 MNGSSQQPKDATAQRPSSAATAANVAAANGSSALNKKRKKDGLK PIITTEGPGAAHLASAMSGSPTSVSSPEDPAENTADEEDSEDYCKGGYHPVTVGESFK DGKYIVVRKLGWGHFSTVWLSRDTTTGKHVALKVVRSAAHYTETAIDEIKLLNKIVQA NPNHPGRKHVVSLLDSFEHKGPNGTHVCMVFEVLGENLLGLIKKWNHRGIPMPLVKQI TKQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEKIVQKVVSSDAGEKENNRNGRRR RRTLITGSQPLPSPLNASFDRGSIFPSPGAPSLGQMLHDADSKSKEPSPKRDKETGED RQGQREKTADILTKEVSGISLDKTTPLSTAGEKRKADDMQYDIISVKIADLGNACWVN HHFTNDIQTRQYRSPEVILGAKWGASTDVWSMAAMVFELITGDYLFDPQSGTKYGKDD DHIAQIIELLGQFPKSLCLSGKWSQEIFNRRGELRNIHRLRHWALPDVLKEKYHFKEE DAKKIADFLTPLLELTPEKRANAGGMASHPWLEDTPGMKGIKIEGVEVGSRGEGIEGW ATEVRKR QC762_115880 MSDAGSPPQERRDDASVDSHDGAGDAGNESDDILSEIDEGEFEH YEPDMEKRQTSNIIIDENITKGLKASRRKITDSETNKKPKEGRRPKKRTRGEDDDDLD ANDIIEDGDRRPRKARAGESGRRSGKKEAPRQEEIPEENLTPEERRRRALERAMDAAL KPTTKRRRKKDDIDLEDEIDEQIANLKVAMENACVADNKAREDGIAATHKLQLLPQVT ALLNRTAVQDSILDPETNFLQAVKYFLEPLNDGSLPAYNIQRDIFNALTKLPVNKEVL LSSGIGKVVYFYTKSKRPEIGIKRIAERLVGEWSRPILKRTDDYKKRQIETRDFDIAA AKMAQRQETAMGSSQITLTQRPAGNKSRFELERERLLAPETKTNRAQPAGLPTSYTIA PRSTYDGSARSSEHRPIGAGGIEAFRKMTQKNKGRKA QC762_115890 MDHRGTEGLMDWEPERKHAIDPSSPFAALPKKFTLSSFETPVSR FSKVSNDPFASAVRKSSPLKQDHPAPPHASFFSPQLQNKPSGPAFRNPAFTTPQKRFE DVPMSEVSDAESSPAMTDTSILPADTPDIEHIGMKSAATPSPIKLMWNRNLDRNRTAG KGELPKSGARDKVRKRKRLFGDKDVGSVRSRLAHDSDDSDSDIPESSKALVTSSKQKK DKKRGWLSNFLATLSDNPNAPAILSRWLQLGANILLMCLAFIIVFEMIKQVRSDLSRE AGNAIAALQQEIRQCAEQFTQNACSPKATRPPYMETVCTQWEICMQQDPHGIATTNIS VRKVAEILNEFVGVISYKTWAFLLTIFLATLLATNMGFGRLRDTGSFQHPRAPAPAPP LQSPPAMAPMLPVSSDGFFWAPVGMTPKSVRKQLLSEETETETDSTPMMRAIMPPQTP SMRRSPSKEYRDRERERDRSPSKGFRQRSPSKNY QC762_115900 MDPMDEDSDGGHPGPSLPPPTPGGTNPAPAHSSSSAATPSSVSA VGPASQHSGVSKRRRGLGVVTPNACTECRKKRAKCDGQRPCGRCKTQKDVECIYEIPV RQSKENLRNEIEQLRKAQRCNDKVFTALTRSDHWEDVLKRLRNGQSVEDVSEWLHSLH SQQGAGALPPISRIMGSGGVGYGSVPPRGLTGFPAGPSTGYMPLSSAYHAVSPVSSHA PYSTQPEDHQSPWGGHFSTQSHTTRSDSAPDKMHWSSSDTIRPPHHSRVGSWIESQGT APPNPARFRGLDSVLIPDFEGIRVPTATWTNITSDPALVQHLLALYFCWEYPTFASLS KEHFLRDFTDGRPRFCSSILVNALLALGCRFSSKPNTRPNPDDPYTSGDHFFKESQRL FYLETDHHSLTTIQALGIMSIREASCGRDSESWYYAGQSIRLAIEMGLHRVEDDGKDD DLAAVQAATFWGAFALDHAWSLATGTLPQCSCFPRLPPKPAIIDDIEASLWIPYTDDG KGITIPGPPTTDGRLNSTPGTPLERSCEQPSNVRSVYKCFCELSELVHQSLYIMHSPG RPLTSKDLLAIYTQYLDWYDRIPEVLRLGHNFTPAVLFAQYVFLLVFCVNFWILAHTV LFGSMYYHFAILLLFRPLIRLRIIGSGVSPRDVCSQASDAISGLLRSYSQLYTLRRTP SFVPYFVLTSSIMHLAIGAARSEERNRAPKAGGDPTQSLKRAAQIDPHVADALSRGIA DLTEMAPCHHFAEQALNILRFLAKKWDINVDIQVAKGEANVSADPEMIDSATRPSTSS LNFFAPNFAKSDFNCTWGKGDGTAPGTGKGQEGKPAGAGSISSSMENPLFWPFPMQGR PMLASGKELEEAGFELL QC762_115910 MDPFGEPAADTLAAARLHVQALTDCGLPREALLRALLENYGDGS STGTGATTVAMEMGGYSQQSQQQLHQQQQQQQPPPQLQQQQALPSPQLPSQVNQPIIP GKMMQHNFGYHHGTRLSISTTSSSQSSASGRASILSTATTMSSVSSQAAGGQDIAPLP TPPAPPVKSNNRGTSKPQGAYWCTFCDVAFQRKFDWKRHEDEFHERYKRYPCPNCNRI FWGANTFNQHHKNAHGCTTCPHADRVVRYTQRKTAWACGFCGGFLASRDRYFDHVARH YEDGCNKGHWNHSLVIYGLLHQPSISNAWKELNAALYGHLPRDQQPMLEWDAKVTGNA PGFLEGESPGKLQDLLEFFNESNDDPRFLARLAHDQAKIRFRHEVLQPGGMSPTDMAS RPISEPPKLKSSASAQTLLSNKHMSSPQPSGGSDGPPPAYDSSSVQHVLKKQRSMAPS LDASYSKPHMFSTPTPQQQPLPQPPQLPSQKLINNPFLTAAPEPQPPNLLGIQLETTA SGGFYDLTLTHVHPHIQGHSMSDIAQQQQQQQQQQQQQQQQQLLQQRQQQLSPQEHQP QFLPQINPINLYDDWSSMVGTMVDDGSGTTWWQTTQHPGTHQGPPQ QC762_115920 MVRLLLCSVQLLVARIVGVGAQAPGGSTYDYVIVGGGTAGLALA TRLSLGLPNAKIVVIEAGPSGLHEDGINVPGLKGSTIGGKYDWYFPTVPQKALNNRVV FNPRGKVLGGSSALNLLTWDRPAAREIEKWKELGNPGWGWKEMEAAMENAETFVGGPP GSGTKGPIYALYNRQQAPFLNAVAPAVSSLHGIPLNSDSIQGNPIGIGYQPTNVNPTS YNRSYSANEYLPKAKSNLVVLTETRVAKVNLKKSGKLQRATGVTLTDGTVITARKEVI LSAGSIQSPNLLESSGIGGSDILKAAKIKQLIDLPGVGENYQEHLLVSISYQVRDDFI TGDRVNYNSTYREEQWNRRLNNLSSWFDDARFSILFANWKQIIGGSDNAQVALARSVL AGSKDVGHKWKLEQLSDPKIPQIEMIFTSRYFGNKGYPPVGSPLNGKGFMAIIVGLMH PLATGSVHIDPANATLGSPIIDPKFLNNEYDIQGLIHALKFARKIFQTEPLKSVVVSE YEPGLDAVKTDAEWRAYLLRNMGIIFHPMCTAAMLPKKDGGVVDPKLVVYGTENLRVV DASVIPVQISAHPQTVVYGIAEKAAEIIIKEGKGR QC762_115930 MRRQLGAMGSWPVDLPTPTVVVEQELKTRGIWAFLGCFCRAVKG TPNSERIPYVFSHHTGHHYHGSPKLDIEVENDKSEDRLEDVTLAVELKYLYPLLIPGC SDPEPNDPRPLQTALYPGDKPASQQQVLALLAQTIKETGENAITKAEIEEGGQKESEF WASAWIVKKAGSPEPLEKEKLLKGYTWASAEICSPKMLANDRQTRHRVQGVLKALMSK HRLVINGSCDTHCHLGRIDDQPYSLSTLKRLATILWVSEPTLRSTRDPKSPNYDNVYS WGFELVKHSRLARSLDGLEGLLTRQAVARQAHDISDRQIVRAICGQKTVSAKELAAFR EIWSKTSHEELGKLLSGDTRMHRRLGFNFSAFGLEDERARTNPRTIEFRFMEGSTSID MVLGWLTIGATIVEVSACKSDGRFEVTLGRLLQKSRESQRATVVGQETRGERLGRDFA QLMEDLGVSHDLSRSFVEKITREN QC762_0015210 MFGFKSTLTSLLVTASLFNTGAVAQYLSNPYVKYCDLPDMGGPC VTISEAEIGRCYSIPSNMNDKLSSYEVKNGECEFYRHGGCVERLWTARDRSHMSVTTS GHNNEVSSIKCTKACCGKDYFTYCYNICIPSCRRGSVTDQLCIANCSKDCCPGGVTC QC762_115940 MAQPRYYTEDHMAERQPLSQPNPPSPRRNNYPQDPYNPSSPRTR PGAHPDSSFNHLRHQRRQSQEPAVRGAYATTPDSYRQPQQVGYSPPKPPPHRTNTAGD GRSWASNQPAYPPQRPAPQSNVTPGADNFGNAAAAGGMAGIAMNVADQNARDPNYPQQ AYRQQGQWQEEGQGHGERGRLGPNGHPGEGWSSRSSSQAAHHNHQRDTTPSRSPYGFA SDPYTDDPTQVYVRPSDPNLGVVNPLEIEDDGDDGLHYGPKKGPRTSMLSLGSSHRSG PGMGAAAGGVAGGAMAAGAMSGLASRNGSGGNINNQYAPVNNGGDASPGSGRGIGYGH LYKEKPAKSNGKKWRLAIIIIVAILAIVGIVVGVLFGAVFNKKGGGDKASPGGSAEDD FANNGDLNINSAEIQALMGNTDLKKVFPGMDYTPIHSQYPDCVKFPPSQNNVTRDLAV LSQLTNVVRLYGTDCNQTQMLIHAVDQLKLKDDVKIWLGVWQDANSTTNKRQLDQMWD ILDQYGDSYFKGIIVANEILFREQMTLTALGNLLAEVRTNLTAKGLSLPVATSDLGDK WDSSLAAQSDAIMGNIHPFFAGEPARNAANWTLTFWENKAGTFLKKDNSMNIISEIGW PSAGGMGCGNAFETDCPQKAVAGVEEMNELLEDWVCRALDEDINYFWFSAFDEPWKIS FNEPGKEWEDQWGLMDVNRNLKPGVTIPDCGGKRVA QC762_0015240 MAGKQEENRATVTGGWKQLSGGTLLVQCRGPVPPTTSGQLKRGS HHQPPLFFGAWPLLGGNGNATQPKNTRPRV QC762_0015250 MVKYFRILIKDASKKIRRQEDGRNHPQPFAYRTNRRDRDEFSKL AINSTTYGGGENPAYRR QC762_115950 MSLSSNTYNNESTVLLSSRWTFYHTNLYYCSLPEFITQQLSYTM SANPDSVGNQGEFRSRVPPSRPMDTHGHQIGQPIGREAIPEFHAKTYPPGSAPKESTF YPNPIHEIPGQAMNPNMDPSLRTSALDIPGADSKEIYNESGAGSRPIEGQTANEIRHE KARKTDRLGIAAHGGTDTTGDGSIEGILRERGLKLPGDKERKVLGKGISATERDATTS EEIGSGGRG QC762_115960 MTTTTRSSAKERGNSTNEGAPPASKAEPGSKHKVEEGTKSPEPK RPKKSDEKEQKTIEETIGVSEQTKQEQQAEQQEQQEEIAKDSEKGDVAKAEKETATGD AEEPREDAKDIPSSILEKGIIYFFFRGRIGIDRPSDVDEIARSYIILRPIAKDAKLGS GPIGDAGNSRVCVVPKKVLPKTGKDRWISFVEKTGASFSELKDEFLKSNDYETKTAGT RHSPAATPVAEGVYAITSTGKDSHLAYILTLPDKLGEVQKEIGLKEKGSFIISTRNPQ YEPPKNARLPKGPEYPKELLEEFRSLRWAPTQPRHLDYVNTQFLLVGESSGIAKALEQ QKKDQKEGKNEPAEELEQLEEEDAQRMQDLDDDDAARIFADLQADAGHYPKLPTTF QC762_115970 MFLLAVLLLLASAANAAAVGPRNATYDTTYDTTLTHFTAALNPP KLDSGPHWPHPSHKIDCDVTFQRYNNYAYLWTLVRIQVEWIREEGPKYWTLPEGTPKG TLVRVGCCNGASYNIVVQETLQKPIAIAGAEITTMGLAAADHCKQGDRTKGRAWAQNR LFTIEVFQDEHKCGKKCWQGD QC762_115980 MASLTTSSLHYLTSLATETGDVATSTTQASGPSYTGDPVSYDDG YYRSDTPMVYPFTTSSFPLALTTAILFLILTILHAYLCFKKKTVFFAITIYASLAMAT SQTMKCYLVQLQTIILHSTNLSSSTINQLERADVVLVVMDLLEAIPASAMGFLLMMTY TRLTWFIIPKSGRKNGRVFGLPARWQTSLLALGQMVGDGLVGVGHYYGLGYLQSLGGV VGLMTWGVLGGLVFRAGRVEVREEVKEVKRFVWAVGGAVGLLIGCATARIIRREAVAY FLAEAPWWSSEYGVSETFAMSEWPVYVFQHLPILLILVLMAVYHPGAYLPRRLTGWRL NTKKLLREERMREDVENLKVLARKDSKASSVQGSELDCFERVDLDKETK QC762_115990 MTRSTILNNEIVAHANEESPLLPQSQRMGPPKDDAVPTLQKWRD YFMVDVSRDWADLILILCYLITGLLDSASISVWGSFVSMQTGNTVYIGLGLADPSAST RWLKSGTSLLSFLLGSFLFSRFHRFFSAKRRWVLCASFTAQLLLIVAAACMVTLAGSD VHPESVAWYVLVPIALVAFQSCGQAVMSRALKYNALTSVVLTSIYCDLFSDADLFAVH NAERNRRVAAPLSLLLGAFLGGKLANTEFGVAGALWAAAGLKLIVVVIWIFWPADPSL DEAV QC762_116000 MRFSSNSLLLGAVALVSAIPVQDSPRQRLLDGKPLPGKYRSKNP YTPGYRDPYDTAVDSIGKGLDPLPWRNGDGASVLGPWNRERARQNPDLVRPPSTDSGN IPNLRWSFVDSHIRIEEGGWTRQTTIHELPTSIELAGVNMRLDHGAIRELHWHKEAEW AYVLSGSVRVTALDYEGGNFIDDLSQGDLWYFPSGVPHSLQGLDPNGTEFLLIFDDGR FSEESTFILTDWFAHTPKSVISKNFHLAPEVFERVPKREKYIFQGSKPGSIEEEEPKG KNVKKSKYQFTHRMLEQEAHVTSGGKVRITDSKNFPISKTVAAAHLDMEVGSLREMHW HPDADEWSFFIRGRARVTVFAAEGTARTFDYQAGDVGIVPKNMGHFIENIGDEPLEVL EIFRADEFRDFSLFQWLGETPRRMVVDTLFADDKEAGEKFLKEIDNPVKDEITLPDIK NDEEEDDL QC762_116010 MVRCWPRRSKKSAAEKSAKSGSATSLTSGSTAADKSKTEQAEVK KEEKKEEKTEEKKEDKKEDKKEEDKTGEKTEEKKDEEKSDEDKEEEEKPKVQVGSISQ RKDIYKGPVEDGEWTWVDKYPDGVEEAAENEETATYAVVVRNMKSQDSRKKLEAHSIV VQSPWLRDALSEIMADYPGVACELSRLEFEAPFKPFVHRWAEFTKYMEKPDLEEKTKE HMKILYDILNYEIGDNIKTFQDYVKNGVVCFKDLWMIFQPGTIVLSAYLGPMSGFEMV ETEYMANNCGKFLQVRCDCVDYGGKEFGRYQEAINIPEFLGTKKITGLKVYPFHFHEK KEEITAQLLKRGTIFEQLAGHHYREYSGRAITWNREGNEVDIQITGRIVVDIESFNRF SPWRVRYLNDFNATDIERFNKHKVESGIESEEFVMPDYYKMLCRSRTRGYSLKYKKWV DFFLEQITDVRWNTTAFDRLVLPADQKELILSFTEAQLAGDSFDDIIAGKGKGIICLL SGPPGVGKTLTAEAVAENLRVPLHMLSSGDLGSDPWEVERELNSILELVSRWNAVLLL DECDVFLEARSNHEIERNKIVTIFLRTLEYYEGIMFLTTNRCGEIDAAFQSRIHVSIE YPDLTVSARKIIWKNFLRNSTIKSNLTDKDISELSELKLNGRQIKNVLKTASLLARRR KSDTLERQFIETILIIEKKRPGAPQQMMHYM QC762_116018 MPAPSSDPLPLSLCDFALPIFCNGLGALLHILEEGRRFANKQGL NADKVYVQARLIDDQLPLVFQVQNAIRTVFMNLDRMTGNQWDLDGPLNNNEKTFEELE MRVSMAQLEVREVMKERPHKRDEDLVDIVAGGRPLKVTVTEAVQFHGIPNFIFHVTTA YSILRAKGVPLGKADFILGFVGWRCL QC762_0015350 MAVQPKSKITVAGTRAQVQALLAHSLSPDNKRNLLETVELQFGL TNNDPAGDKRFSGSVKLPSATSTTSVVLSTRAWIACQAEQEQEAHQEASALVRCVCLL GYQGSGDPKGFRTGLVKGEDLAEKIINVKSTIRFRLRKNLCIAMAIGNVDMTTEQLVA SIMITINYLVSCLKKGWSNVNSTVIKSTMWRPRYLF QC762_116030 MGAPKKSTVPTTSSTTTPSSTKRKQPHASASNNDDSKTPTKKQR LSQPKEEKRLRRFRPKPPQSFHDLHARASTQRFFVLSRHRTTPSSLESSPPSETIELT GSTGNIYTILISLLPTCTCPHFARTNQQCKHIIYVLSRVLRCPAHLVYQLAFLTTELN QIFAGAPTIVSGSANNNNNNEEDGKRKPVEGDCPICFEKLDTATKKEEIVWCKAACGQ NVHKQCFDMWAATKRGQGRGEVTCPYCRSVWEKESEGDMVKKVNREKGKRSADGGGYV NVAEQLGISPVRDTSSYSRWWSGHPSGYRDGYYY QC762_116040 MASPSEERQAASETAFSIIEKHVCYRPAEGQGWKSMPVLPTPQE VLEPTSVDPPINPVDRPWSSKEEYLTAQYSLLRLDAIEGLRFSVTRYVDTCRTGARVI FDDEYCSVYDEVRVKGFFMAKIAPFSRVEFSTPYNVQWKTTKRLMDGTVVALSTKKDN FRTICKIATVAQRPYAVGRDFDSTVAVAVDLIWANPEDAIIDPALEMVMIESRNGYYE ASRHTMLGLQHVAETTSALDKYIIGAHTADTVPEFIRINPTMDLSSLVPVTASNGSQA LLDVKESLKNYDIVKKGFPTLEDVAVLDESQLDGLHRIISNELAIVQGPPGTGKTFTS VQALKVLVANRRKHGGPPIIVAAHTNHALDQLLTFCLEFEVLRLGGFTKNEDIKKCTV FERQRAERGGRQSDIKSRNNEKRRLEKRQKELIQDLKIMQQDVFGKRLIDPDRLLEVG VITQEQHDSLKIFSGSTNPLASSTATFEPWLGESLVRMEILRSATNTRFELDEDELFR REEEYKLDENDLVHAASDQEEEQLRIKGEWVPLEHVWSAKSAAGLSSSTRAAQRSLKE EDFYSIKKSIRGEVYRHLQTELLKHTTLKFASLLEQYNNVCKQLKALTDLQKWEMVQR QKIDIVGCTMTGLAKYRGILAALQPKSLLVEEAAETREVDIVSALYPSIQQLILIGDH QQLQPKCNILWLGAPPFNFTVSLFERFVNLRMRYTMLRQQRRMKPELRRILDPFYPGL LDHPVVLSPDSRPDIPGMGDKSSWLFHHEWPEETSSDSSKFNREEARMITHFASYLVK NDTPPAKITILTFYNGQRTLLNKIKEYDYKISTVDSYQGEENDIVILSLVRSPGEYRR WRCGFLEDKHRAVVAISRARRGFYVFGNFLNVLNTRSAQSLWADIWNGFAHQKRIEEG IPLTCKAHQNEIWVRTVDDWGDNAGGCDEPCGQTRPCGHPCTLNRLRCSQPCLEKLPC GHGCQNFCSQVCACDCAAFRTLSAGIVAVGISRPTRTSMSLEQRMQSISVEGVGESSK VVVRGSTQASTEWRQFPANVQRQDANLHRGRASQTAQNGSTSNVRDTYQQTTVVNGRR SNLGPRNVRAIKASKSYRQPPRAKAASPTISDTASLQNNTTQVPATQQQLNTVLSSAP VPDLLGDLDGVAFSQSVMVNRVAGEAPVVVGQAAPEGEELLIEL QC762_116050 MDDDYATSENEADELMEEVEDIEADNDAEDDNENDDDEQDDEEE AEGDAEAEPEPEAENEAYDNSQSAPDGAGTTRDGGDDHHHQHPGTRRASAASGSVSGG MKYRPVIRPEYTTAAFYDIVPTMAAPQATSINAIAITPDQRYWMTGGSDGYVRKYDGI GTINGKQQLTVAQRHPFVDSVVKAGILMGYWGNEEPLPPGARAEEAVLSPVYSLAVHS EALWLLSGLESGGINLYSVRHDEGKRIVCLREGGHTNAVSVLQLAPDEKSVLSGSWDK TCLDWDLNNGQIVRRFDGSTSQISAIELRPTGAAPIPAEASEVMVQSDTFQSNNDKPP IGNFYSTAPGGGMMGASATAQPDGAGNTGSPEHESLFGSPAGSLFGDNETIGGGGGAF GDDDDNEFSRAMDMEMNNHSNGMGQSTDFSLDDVDMSNAPPLDVTSTDPSQQDTNPID ANTAPPPAQNGITMNPADANTVSETSSHTLQGSNNTNNLDESITMSLDFSTTTDPPPN NPPSSTQQTADTSLPDAPSQPQPPTISFATSTAQDPSTSNPSTFLSASIDGTLRIWDK RVPEPVARINNRRGVPPWCMGACWSLSGNEIYTGRRNGTVEEFSIHKASSSWQPERVL KLPNGSGAVSAVRPMPNGRHIICASHDILRLYDLQYDTDLKAQKHSKVPFTIVPGPPR AGVISCLYIDPTSRIMISAAGTRGWDGTNTEVLVGYEIHANN QC762_116060 MGDASTPRPSCVPLTCHGHSRPVPHISFSPLENQDIYYMISACK DGNPMLRDGQTGDWIGTFLGHKGAVWQGRLSPDATTAATASADFTAKVWDTHTGELLY VLQHDHIVRAVAYPFDQSRMLATGGFEKKLRIFDLQEQPPTSEGAASPVTIPTSQAFE IGEGVHTQPIKFIVWAKDPSVLITASGDTLRWFDLPTRQCTRAIKLEAEIKSCELVSL APSHSSPTDIGGGQPVLAVAAGKTACFWGGLKAQDELKQFKLSHGIASVGLDLKGRKF VVGEEPGTWAHVYSWEDGKELDVHKGHHGPIWSIAFSPDGNLYATGSEDGTIKMWKNC EGFYGLWRGGAPGSSAD QC762_116070 MPDFKLSAQLKGHEADVRAVAFPSANLVVSTSRDRTVRLWRKTA PQPPTYDGTIASQGHGYINSVAFLPPSSEWPEGLVISAGYEAIIEVKRPSLTSTDNAD RLLVGHGHNVCTLDVSPAGKYLVSGGWDGKAIIWRTDKWEQAAQLAHDGEVKTIWTVL AFDENTVITGSADAHIRIYDIRKVNNNNEVEPRRTLTTNSVVRALCKLPTGLKKHPSG ADFASADNDGIIRLWKLDGTEVGELRGHDSFIYSLACLPNGEIVSGGEDRTVRVWKGS ECVQTITHPAISVWTVAVCPENGDIVSGASDHTVRVFTRNPDRAADAEALVQFEEAVR TSAIPQQQLGPSINKEQLDPHTWLQTNVGQKDGQVKTVLEENGTIGAYQWSRGEQRWI HVGTVVDSTGSTGRKVPYNGQEYDYVFDVDIKEGAPPLKLPYNLSQNPYEAATKFLGD NELPISYLDQVAQFITSNTQGATIGQSSGLADPYGTEAQSAADQSSQPSTKFLPHTDY LALTVAKSEPVLKKLKSLNEKHILAGNKHISMNPSGLNVVEHALQATMGVQAASQKGK LPPALNDATQSVLSIATQWPYSDRLPALDALRCLVTWPGVATVTDPRGGDIANTALRS ALDVQSPIQTDTPLTELAHGVDVSTVNPNNVMMALRTITNLFTTLEGRQLVTSDATNI TTVLGRIAGLGEGLSPIGAENNNVQIALTSAIFNFACLGFNERGTVNFTVISNICEIA AAVISRQRDAEVLFRAVMTLGMVLSTGGQAQQVAKALEVGEAIGEAAKKSGEERIKSL AKECYGYLRQ QC762_116080 MLTSTFLTALTTAAVASAHIVITYPGWRGNNLITNKTFPYGMQW MYPCGGMEVTTNRTYWSTKGGAIAFQPGWFQGHATAMVYVNMGFGTEGPEDGPPGGPP NMSFPMVPPFQLLGPSKNPYPGTVCLPQVPLPVNATVKAGDNATIQVVELAVHGAALY SCVDITFVEPGDSRLAEVNETNCFNSTDIGVADVYTLTLRASGQGAVNLTSNAARSMT ISGSTLAWLGYVPLALGGLWALL QC762_116090 MADTGAEWNQVKRKGRKLRHVSKPVADEKAPSDNLQPNPNPEYS IGDLSKYHDGVTRKFEQSACWQKLEKLLDSALSSRQQLPRIARAVCLGTGPYDPADGS SQARWTAHMQTAAFCAIINTIRSKTNQEIKCFIQEPRFTQIDKEFCSKLDLEAVDSPG GFNLVDENTLLYAIHLELEICNLAMRKSLPTVFIGTGLDEWLRVVDGTKERPGHLHCF FKLEDNYHKLPFPDLDYIFSSTSIYLREDQQTHDGCGRGHIEEAGKEEVGQQDTEKKG DEKTESKTISQSLEDQDAATKSDIDSLRLDKLVT QC762_116100 MTEPSARQGPPSHPDDIANTNDNDHIQHRVRFAEEGPEPVIYQH NPRRLQDDEPSPPPGPAVFWDENESIAPARNQDRNSIANTADTANLAQYTFYREPTPP PYRPDEKGYGNDAASGRIALASSAGGIPESERRLHLQPQRSNWSDDETMSPVARRKLL WIIIAVAIVIMIGVAVGVGVGVGVTMARKSAEAQEQAESSTTPPVSVIGSSPTPTPSA TLNPDPSVTSSTSSDAHTSSTVSSSTLTVPYRPPNPHSDCPAANNTMYQVPGSHKRFL RLCGIDYHGSTSSRDLTHMYTASMADCMNSCASFDQCTAVGWGFLPGDTGKEHRCYMK TDLKTGHAATTDWCFAMLQ QC762_0015430 MCRIINGIHQPCWASPSFWQHQNALQKAAKKKPRSRQSTRAYRT KLQDALLRSSASSTLHKRPNDLLPRPLLTLDASDKLSNHPTLLRDILAKSLRHDNHVS GKPTDQPIWKSLLSILESELPKDNATEPIWTAQEIELIQLPNQLLKGQEEIILHPTWR RFPDAAGTRKLIAEAAMSQGVVNKSPKLMELFWTGGRFRRTRKALTIVVTEGSVS QC762_116105 MNDPNPAPAQTPAQLETGNHEKTGEPSRTEGYDRLGMAMGHLPE MAILRRFRALSAEDLLYQQAELQGLEERLSRYQAKDKQSDHEDRKTYALDWDTLQRSG ADDAANGDVSAQWDTILKIRPGLKEYHEALIRHRQVLDLGPAIPRQVKALQRL QC762_116110 MRFSIIVSEVIAFHSVAVFAAPNEITPRENPVAIPAKLIPESIV NATALGVDVWGPVPDDATKGDGFYTATPGTLGWAWIRAQQDLGSYEDVLESRGLLPSA AVEKRQTTSITVNAYSGDWCTGSAWHFTNPAYNVRVLPSENSFWYSFGFSYRTLRANE NVQLRRGPYNGDRCQTWHGTINGPTQAGICWQIASTTCFEMKQT QC762_116120 MSSAIVCGATGILGREIVYRLASNPTKWKTIHALSRSKKDDYPS NVVHNHIDLLHSAEDMAKDLASVSGEYVFFAAYMQKDSEEENWKVNGDMLTNFLRALT LTGAAKSIKRILLVTGCKQYGVHLGRAKNPMMESDPWLTDQNIYPPNFYYRQQDILHD FCKANPHIGWNVTYPNDVIGFANGNFMNLASGLGIYAAVCKEQGRKLAFPGNEGFYLG FDCYTSSKLHAEFCEWVVCEDKTRNEAFNVVNGDVQTWEDMWPRLARRFGMEVDQGQF QQEVGELAAKVEMNEVPPIKAWEKELGLEGRVKRNMLSQRVSLVKWAEQEDVEKAWER LAEREGLQKDGLEKGTWAFVDFELGRDFDLVISMSKAREFGWTGYQDTWKAFSDVFGE LEAAKVLPKSHK QC762_0015470 MGVQTFVFNGGRERSSIIPPCRMTVIHYSSVHRSYDIIGFKVQV IAEGAARSARLSRRRDLSNSWSSVLNSTCNAELWGKS QC762_116130 MSSTSSAASKQKTRQVWTPEEDHVLSEAVRAETPAHGPISWHKV ASHLPGRNNKDCRKRWHYSIINTIRKGTWTKDEDQKLKAAVEVYGARWSKIAEAVGTR NGDQCWKRWYDCLDPSIDKSPWTSDEDARLLHQVSKSGRNWSEIVHKHFPNRTSLSAK NRYSILQRKQENVSKSSSKKSVITYSTASSPSPGPSLNYLSPPSIASSSTSTPEPESY PEWFVNSGNSQPSNMDFGSLDQGYSQPGGWYQGGAMSTSHSPSPQLGGAGLEWTTTGS SDTTWSSPDMSIMQSYSPAPPTMLPQQSLGFPELDYSQTRQPPQQQMYEQLSAVDGSL SGYNMLGIYQTDALVYEQSEQPVMGFTQPIGYGGGQCW QC762_116135 MDKALASIFAAATEVTREMMESGEINGNVETMAFLNRLKLRVGT VPVVLAGGGTSMGVGTWARLVPSELFCKIFMVLRAARQCWFEAPEEPFAVEEHCALAH NIDRFFEVDMLNWGDFAMVQFLVRAGLGDFGVGVGREDDDEVVDIFVGDEEGEGDEVV DEVGEEDGGDEMEIVYSTSKLLNSGQLEEALARLRVDIGQAELQLALARLHF QC762_116140 MWGRSRWAETRGSCCLCRVDQFLMLKHSRVGIGLLGASKMSVGK SHTDRQWWKEAVVYQIYPASFNDTNNDGRGDVNGVTEKLDYLKDLGVDVVWLSPIYDS PQVDNGYDIADYKKIYPPYGTLEDVDRLIQELGRRGMKLVMDLVVNHTSDQHPWFLES RSSKSNPKRDWYIWRKPKYDVEGKPRPPNNWNQILGEAHSAWIFDETTQEYYFAAFTP QQSDLNWENPDVRAAVYDILRFWLDRGVAGFRMDVINLISKDQSFPDAEVVIPGQPYQ PATQHFANGPRLHEFLGEMRREVLDKYDQMTVGEMPFIYDDKEFLKIVHQKDGFLNMV FHFELVDIDSERGDVPGDARMSVGNWDVSDLRRIISRWQRIMIDGGGWNSLYCENHDQ PRSVSHYCDDSDEYREYGSKLLAMMETTLSGTLFVYQGEELGMRNVPLAWGPEEYKDI EVNEMYPNDQEKLQKARRALRAKARDNGRTPMQWDSSPNGGFCPEEVTPWMRVNDDYP VVNAAAQTKPEATSSVYHFWQRLVTLRKENAGALVHGGFELIDETNPDVFSYVRVADS GEKWVVVLNFTGHSATWESPKWGLQWVAGNYADGLDKVQSGGTDLVHLRPWEGLIARQ PCN QC762_116150 MGVQEQAKGVIKPGGCLPVPHDDLLHFSRCLKYDEREKYVQNAP EHLRSQVGHLLSRVEHVRSEIDARPDGEFWTSRLADHISDFRSKPQPKKTERSPLAAT LSRLHIASRTNLSRTSRLDVPEIRLGGKTLSTPNIAEVLSANPSPTFQDIKDHPYKGL QAGAVYYKDGNPYTHPKLEGSFPNQTTPLDDLLSNKGDKSLLKESCEEGMLRWFHIPS NNMAWVEEAIARHYNEERPPREDLFGKPKVKSKTHEILRRVGWRGHSREGVDPNSPPH TRQLNPGCEVIKSGHENNPNAMCLFAPYLHWETALGLERQNKSIERTTRSAILQHASG MQVQDRVEDTFHQELQVHQVNNTSLSSLAREAIVSSTNHFRSMTMRATTRRGVVGRIL FCAARIAKLMVSYEDDELVSKYLYANPPLHPRRTLHQSLNEYSNFLRDTERLDKDQIV YRATAGLKDPTRQQCSKFCQCSDCTSARATVPRFLMVDQLWVFVLDENTVITSFPQRW GSYFTSDPSGIHSRVIKQLERERDGVSSSYDLVLKIFNVCSRVFYENIKFADRQPMLN YIFSDSINFVTTRELAARQELSQLAQTILAAYRSPDKTQIAEAHKAVMNINPEAGLLR QVDNILSDLSIIRQIKIVQQEVLKQYHVNVARVLVPNYALRAGFEPHTPGLKEVKRMQ ESLRDDQDVSEETKSAASWTLSCADDSEMFLADQYRQIDRLYRAAEHCQRRLEELLET KNKYAGIVGAWEAVANSIEQSNQGKSIMLFSVLSIIFLPLSFITSIFGMNIQDYRIGL GTLAQELYYVFGVSIIVIFISLLLAFDKFSLALLLYCIKVPTKWVMTRIALLQPASFG TRTRNYRKLNEKRVRKIQQMEEEIQRARLVRDTKEFWRTIEAEQARRRAGLGPQGGKR VDSDKFVV QC762_0015520 MFLSASFPREQANVADRTEETPPVTQQAQTNHSSRNYPTNQTFN TLINNLLTLIPTENKDKAQSLAKEIQDAYRQATTAAATSTGIDQTPSLLNLRKIVAKE VRAALRETQPTRLTQPTRTWADIAKGVTTPAPNQPAKVIPARLSREILIKGNDIPSDL AKRNALEAVQAINQASTTLCTPNTLFYIIPPITSDNDLPKLLNTPTKQVKRIKNLVSE HFGSASTRVDRPPMQGMFSRTFFVTLTDKREVVIQFRTEKLDLDAFRVAKGALGQVVP DAVALKDEELENEGVWVYSLERLPGKIWIHGVAGKGAEGRIAVNSRSGAGEAVSTKIW PHLEAILASPLDEVAAYRPLLQGFLGKLNEISKLPLWVSHYDLNDVNILIDETCEVTG LIDWELSTPKPFAVGLGRIHTLAGEYTGGEFWMPDEFEVAERAFWKELFAGMPQKTRE MLEKNIGLVQDAVILGTLLNTFFWEDGKVGCGEVPMKALPKFLTYRIPQIRRDEPPYK E QC762_116220 MALFQHPARITRRILASQIMMTTSCQASTRHIHTSAAQTAASEL LKKVKGQKFVRKQLLDGNQLQKLSLTLGRRSEFCTGEPPDGTYLPYGHHLVYFTPSQF ESELGADGSDTTFNSPAPFTRRMWAGGEMLWNPQVQLRIGDRVEETTEILDAKAKKSR DGSEMVLVDVEKIFASPRGVALTDRRSWIFRQPLPAPPTGSIAPVITIPTTKSHMQDD THLKAGYTMRNLVWSPVALFQFSALTFNAHMIHFNESWTRQVEGHPNVVVHGPLNLIN LMNYWRDVHSRDGAIKAKSIAYRALSPLYAEEEYTIGTEAVEEGNGETIRYRLVVKRG EVVCMRGEVVGVRPR QC762_116230 MSQLTLRMITRLTRLASNHRPARHQLFSTSARRPLMSTTGFTET QLTVREAVAQVCSEFPNTYWQEHDQNEQDPKEFHAAMAKDGWLGIALPESLGGSGLGI SEATMMMQTIAESGAGMAGAQSTHANVYATQPLAKFGSTAQLESTIPKIISGQWRVCF GVTEPNAGLDTLRLSTRATKQSDGSYKVTGQKIWITCAQVASKMILLARTTPLEEVRK PSEGLSLFCIDLDRSSPGLELRRIKKMGGRAVDANEVFFDNYSVGADSLIGEEGQGFK IILHGMNAERCLLAGEALGLGYAALGKAATYARERNVFKRPIGQNQGIAHPLAEVYMK LEAAKLATYHAAQLYDSSKTDKTIRQDAVGVACNSAKYLAAEAAFSACERAVLTHGGM GYAVEYDVERYFRECLVPRIAPVSREMILNYISEKILDLPRSY QC762_116240 MSSTSAPQIPFAEGTEEYGYYERLQHVVRSRLPGMKPRVLVITD IEQDYDDLLAIIFLSEMHRMGAIEIAGCIANHHPADRRAKFLRTTLDCLNLQHVPVAI GTKGASDIVAHAPDLYYGLKNRRFHDYAERKHTPPLSGEELIDFLVDQSGKVQTPGGT PTQKLTVLLISSLQDISEAFERWKSVPGPPFPTDKFDKFISQGGYKLEGNNLWPEMGM TNNKFHRQAAKNYTRTLQGCKLKSDAWSREAAKAARLDGSFFQELFQLGPIGAHLEWV WLRQEFKFYYDPLNDPYMPQLDVGWYLNTRLNLSRKSDLFQQLAKSMPPFQEVVPLIK VIAYDCCAAVGAVGEDFMKAFHVLDPSVKQQAPLDETLSEHERLFNDNKTIHRLFGRT QDDMGGINADQLASVMEVFILGGLLATKDHAEKLLQTKSPSTAIPDHEPMQYKNTLEN WEHDRQQPLITEVKRCRKELQGLKDKQADAQDSLELARTAKSHDRVRQAENTLNEVVG KQTKAEIALNAAIGRIEEDKTRGAERKLRGEYDSSTPELSGAPYELLYQRDVLGRA QC762_116250 MPTILIIGTCDTKLEPLLFLRESIISFPLPIPDLEVILLDVGRN PVEHEAISISQEDLLVDCTDISNLDRGKFVEVISRQATKVVEGLLRSSKGLHGVISLG GSSGTVLAAGIMKGLPWGLPKVIVSTVASGDTGGYVGESDIGMVNSVVDIAGINGLLG EVIGNAAGGIVGSAVVFEHRKHGERGRGQERKKRLGVTMFGVTTPAVDTVRECFKEWY GDDVEVYVFHATGHGGKTMEKMVREEELDAVLDLTTTEVADFVVGGVMSAGEERMTAA VERGIPYLVSLGATDMVNFGARETVPERFKDRNLVEHNAAVTVMRTNKEEARDIGRFM VERLKNAMRPEVVRVVIPRGGTSLLSKCGEQFEDKQVDEVLFDVLQEGLKGSGIEVVE DKRDINDEGLSRMIAGLMGLLMA QC762_116260 MPPPTDRHEILHRLRSQINAGIPILGSGAGIGLSAKFVESAGGD LIIIYNSGRFRMAGRGSLAGLMPYGNANDIMLEMAKEILPIVHHTPVLAGICASDPYL PSCLPSYLRHLKSLGLCGIQNFPTVGLIDGQFRVHLEQTGMGYDLEVNLIKEARALEM LTTPYVFNPDEARLMAKAGADIVVAHMGLTTGGSIGAQEAEGGNSKALGDCVERVQAI RDAVYAVNPEIIVLCHGGAIASPEDAGYVLERTKGVHGFYGASSIERLPVEKAITEVT RAFKGLKMGERR QC762_116270 MQAQTTSKEAGSFVESGVHGSAMSSTLPSQGQPPVAELTLPPMP RRQSCDRCHELKVRCVTDGHDNNTLGLGVVGEESEASRGRSVIAPIPCARCSKAGAVC IFSPQLRSGRPRVHRHPVRKRARRSSRCPSSPAELSPTHSQSPSPRPPAFNFPLPETR PGIERQSQSRTAPLLLSTTASPNFDVVYRRPDLNTPTTLLSDFGLPGHQGHFPNRQDH LHPLPHTAEDSSSEFGPSFSESILSATSATTDNTWMFSGSAENLLEEATQANLRINRA GRMLSTLARALLTIASPAINEIFDAGCSLISFMDRYAARQMISPHIPLERRRASSDVY RIPHGAIGSSAPISKATDTAISLMALASHQMLLGIFEDLCSSFLSQINSGQAATPPNT PSTGAFFGSSHSQMLAIINLISHLMEQLDRALRSLAVGQQDAPEGSEGLIAAASVAVA STHDAEFDHALGFGHPPPPSQAHQEPDFDGQKHNRRMPQTLQEGVVSVIFNQVEQRHT RAREQVLMLRRLLGGRS QC762_116275 MARTKQTARKSTGGKAPRRSLPSGIICIYPGPNTQIRRPTTTRK AHLLRPRPLPTVKRLSEQQVTMAPTRPMKRQKRTGTTPRKQLLPWNGCRRMRLGPRYS LRPRRQQTEKPEKDEQNRRKARRQDRATRRRNKTKKASAAVTLVTPKARTTRRTPLGL FSRLPNELIFQIISSDLDIPTLTNLRRVCRFFKSTIDTGVKAYAELAQKAPFLLQRIL LQTPTWAFSSSCKEVHAELTQDLPMTCKKCNDSEAESYNVHSWAFNLMTCQFMCNGCS GGNCQRGYGSSSNLWVERHLKKHLTEANIAFTQEMLAKVSRLRVVHPWEMDCKPTHGT WSAGRAVTCYDSKSVQQEFGLVKSRGCRCSYAGYPRSEALMYENRATFIPMWRFAALN GDRPSWEKVNFVYRGPY QC762_116280 METTAVVNLPRTARQLPNPKCETGPCSGGGLGLIRLSFLNIYFH GERPCGHYDFSKRPPAMEPQETGAPYKRLVSPHDPPLRILIVGAGLGGCAAAIALHHH GHEVVAVLDKVRNFTRLGDSLGLGENAYKLLARWGCNIKEIQEIGNQAPTMKIRRWHD GKVLAEQPLMDMAGYIGHRGDYHDIFLKWVRDRNIPILMSSDVTSYTDTKPPTLTLST GKSLSADLIVAADGIKSLARPLVLSHHDDPISSGYACFRAYFSPSEEMKADPARNAFL SQDSVNFWIGPDTHVVQNTLRGGGEFNWILTHKDDGDIPESWFQPGDMDEVRKLVADI DPDIRYAIMSTDRCLDWKICYRKPLSTWVSPKSHRIVLLGDSCHAHLPTSAQGASQAT ESAGVLAVCLGLVGRDEVEVATRAYEKLRFPRVRVSQTHGEDLRDRWHNILKNVDDNV DIDPEMVKIKNRPLYAFDAEKDAVEKWDGVASRIRRELMTGQIEPLCDE QC762_116290 MDGHHYASNLPLGMGVQTSDAGLGFGHLSVHGGPIGMDAVGDPM DLDMDFDEMSWAIDFNSPINHIDPQQLHSFEVNPNYHPPNGLPYDYLFAEDEGYFPPF NPPLPNINHRPPEDGSHDLARERLRKQLSLLSKGWCGDEIRFKNVDPAKAVILHTLAI ELGLEYNHNVRSQEVLISRFEPTQLPSGPRPSSGRLSTSPPRASVELNAVSCLPGESA FSLSHFQSPQLPHFDVRAAVAPIQEAPLQQPQPDSIVAKPHQALSRHPSRSERITDSI SKHVSTLKASVAKGGRRGPLTENGRRGMRELETVGGACWRCKVLRRKCDPGTPCRCCL QLESVAMPNLGEDAPLWPLIGCRRGPLGDSLPTQLLCPLQSLECHLASSNSSRRCRSV DLAERCLLSAESQRLADMKAVFEGASDKLSICDFGLKASFYAFIDAGRYRDRDSLHQQ NTPCDGNPVTYAELIAIIAWELSENNALLGSLLEIKSWENFMGMLETACIYESEVGQT SVVFLSMVCLRHCLEGLRLYSAQLLVPTAHDDCSSGDCQVDCIRNLYRQVTAYVDELS AVMFNKENMRDRRWWLSTFYSLCIQSYVRHALIAIEKQLRFKPTDDVPAEDLSTTQYL HLAALLFTAASAKYDPLIGGRLQYALTDNSVIPETSVPELAHSSARVAFEVDQWASNG IRTSYQFLRKLLQIGSLDFVEQQVKCQGQHLDVSGHKKTSSVGSASLHSMPSPISPND GAFTTSTRSSRIYLQRNSIDSRFSAQPSTIFSSNLSSDSLAQTLSTAHTSLYEPSILT NPRYSAVIGEVDLDMVVSEGEGLEPEEILKFVCECCPRGPRHFRTYEELSAHEAEKPH LCTNAQCKKRFKSPTEAERHINAIHLKSESWSCKALTHPLLAFHMEIFPNGAVWDVCG FCGGGFARKTSAIKDETLPSTGETSTKADAESIERDEAELISHMERVHKFGECDREKH FYRADNFRQHLRNTHIAKPGKWLKVLEGVCKIGKDGPQGAV QC762_116300 MKGLLSVAALSLAVSEVSAHYIFQQLSTGSTKHGVFQYIRQNTN YNSPVTDLSSNDLRCNEGGASGANTQTVTVRAGDSFTFHLDTPVYHQGPVSVYLSKAP GSASSYDGSGTWFKIKDWGPTFPGGQWTLAGSYTAQLPSCITDGEYLLRIQSLGIHNP YPAGTPQFYISCAQIKVTGGGSVNPSGVAIPGAFKATDPGYTANIYSNFNSYTVPGPS VFSCGSNGGGSSPVEPQPQPTTTLVTSTRAPVATQPAGCAVAKWGQCGGNGWTGCTTC AAGSTCNTQNAYYHQCV QC762_116310 MKPSSALEQHQCFLLRIWPCLLLHLLALFSPISPASFSNSNMRL HTAVACMGLMQLSWAAKKCYYPNGVEATTDTPCHPEAEDSMCCFKGGLYGRACLSNKM CQAPDGKIIRGTCTDRNWNSPECASFCMNVGGGGANLISCSNVTDKDTSFCCEKTETG RCCDDGIGRFEVMPPRPVTLALWDSSEGVYTPLAQVSSSSSTSTTTKPSTPSFEPSPT TATNASGPKETGSGDNGSPGGSTRDGSTGGSGQDPAPSSSSSGGGLPVAAQVGIGVGA ASVVIMVSVIAWLLWKLHKTKRALVEPVAPFLDPSQQQPSPYLQEWHKQQQQQQQYQN ATELRSGRDWDQAHHGAATPGSAELAHYGPQVSAELPGGSNRYA QC762_116320 MAANETTPLLRESNTEGGTPARATDAETQQTQNEDQLNLRGPTE LKLAAVAHLGSVVTSFGAVGLALVYGPLVHNPPKSWWPPYVVRELASTATTVGILTVI WGLANFLVFHFRRRLIGPPFLGGLLHLMGAFPIFLFFMALNDIMERDGGSHQCQRWDG QQWYPADPECLAWVERFFVLVYTGLFFFLGVCTSHAVLVYLNFMWTKRQFVAFMRSLP WSRPELSSHNGAYRARVPVPIVPIGGISFEFGIKVLGRDSVPRSAVEAEENTSAQATT SGQAA QC762_116330 MNPSAARACRFASRQARLSQNITRSKPPTRLTCTPTTTRLLHTS PPLLTKLKPQNPDPTQNKTSPRVSPSENIGRQRFSDFDLGGKVYIVTGGAQGLGLTLA EALVEAGGKVYCLDRHPSPSDPEQWEQANSRVVPSWGGSLHYCQQDVADNDSLNSLIA KIADDNQRLDGVVAAAGIQQITPAMEYTPEDVAKMLEVNYTGVFMTASAAARQMFKHK TKGASICLIASMSGLIANKGLLSPVYNSSKAGVIQLARNLAMEWSPTRPDGSGGIRVN CISPGHILTPMVLKNFEEVPGLREKWEAENMMGRLAETSEFKAAVLFLMGKGSSFMTG GNLVIDGGHTAW QC762_116335 MFTLFSNHLHPQQSSYALTRLLHPNMNPQKSTPARNARAPASPP TQIPAPATTEPSASTSADVKQAKGDDKLEDVLKTWSDSNPERRSLPFLNDLHKFHAFD ETRSHLDEILKGEGKFAAATSTPKPVASFLSRRDMKHLRPEPSRETQSLPSTPISKKT GIFGTAVVEPTAPGSKTFDITPWKRGSPPTPTPGRVIKGMERMQLDGSDNQGSARGFS TSGDVAMSSPLTKPIPVHGKGNEKGEGSSSLLLPFLDLPLPPMGVVGVDEVGESAHKN FKPIYTQSYVDGVLEAMTHAHGNIARLSQEKREAEGRLVVLEEKMKMWHAIVHGQGCH CVEGRWWGEMEEGLIELRGGKKEGSGGAKNDKKYGNMESEEKTEMGRFGMDGAGDGFE DGVGGYKEAEDEEAWDVIVGSRVGSPEMV QC762_116340 MSKKLIAFLLALPASAEIIYATSYNDHTVTSLKLDGSSLTRVSQ NLDCGSEPTWLTLDKSKSVLYCLNEGWGGSSSITSYRTSASGTLETLDVLSVLKSPVA STLFANNSKLAVAHYDTSAFTTFSVADPTNLSLLGQQTYQLTAPGTVPERQDAPHLHD AILDPSKKFILVPDLGADLIRVFQVDDGAAAATAVTSIPTIAGSGPRHVAFAKAGRKT FLYSFNELSNTISGYSVTYKRDAAPEFTRLFDVPSGGPGTTVPAGTKAAEIEVSPDQR FVIVSSRGENSLDIPAFDGEGTIKSDPLQVFAVNQQTGALTHVQTAPAGGRNPRGFSL NKAGTKLVSALQDDNRVVVYERDVRTGKLGKVLAHATVGSGPNNGPNYALFDE QC762_116350 MKFLFALAISVSVVLPSVQAAKEVFAHVIVGNVRSLDNADWEDN IKLAQEAKIDAFVLNIAQGDEGNEASLQTIFQVAESLNFKLFFSFDYKALGAWPKEDV IDHITRFGASPAYFKTDDDKLFVSTFEGPDNAGDWADIKATTNSFFVPDWSSQGPVVA AGLADGVADGLFSFDAWPNGDTNITIKSDLEYQDALGDRAYMMAISPWFYTNLDNPDF TKNWLWRGDELWDTRWAQVMEVNPDFVEILTWNDYGESHYIGPVREKELGLFDTSAPI PYVENMSHDGWRKFLPFYIEQYKTGAAPTEFEERVAAYYRTAPALACPDGGTTGNNAD FNEVEQPPQDFNEDAVFYGALLTSDEGVTVTVKIGENEQTGTFTTFPASGAGTAGVYR GSVPFGGNSGDVVVTVSRDGQVVATAEGGKPLGATCEFDIQNWNAVAV QC762_116365 MARGEVNPVVGSQNISGSFVINGIYCKPTKKVKRKRSALQILVH GITYNSSMWGGYHFGDRYNWHAYANGEGYHTLAIDRLGHGLNSKALDPHNVIQPMLQV EIYKELIQSIRFNTAANSLRKRFSNIIWVGHSYGSQIALPLARLCPNLTSALILTGWS STTNLSEVQKFNLASASTLYPSRFPGLDKGYLAMADEALRAKLFYYGAYDPAIPAFDF ANQDIVTIGEFAANAGPFGIPPAAYNKPVMVITGVEDGVFCGQPGVAARECEELLEKT RTDMFPGVPGRKYEYFAPRNTGHDLTLHYSAKETFRRAHGFLDKYF QC762_116370 MPNLANLRHQHCWECRRRRVVCDGQQPVCNKCRTAGIVCPGYAD KKPLQWLAPGQVMSRPKKRKGQGRLPSLRPQVSCPSPKRQAPLTPPESQKRHRNHPAT PPITPTLRPEMFDIIEAMLYYNARIYPDLQSHQLGPSQFVFPMLTVEDIPLSILHTLV SVTINHRIMQMSDCCPEIDLVKPILPRFYHHRGISIRAIHELLDAEETRRGLEAVVSV YAFLFAFLQQSMTPSWRTHVDGFMTLLEFHGGFLEIIDRCDQMRPSLVGLMITAVLAN TTSPPDNQFQIADTVTTLRLTEDYYTEVYFPPMSCPTPLFIKLILINELRAREPSHPK TKQAALGILGQIELFSPEDWTSNLEKSVFASREEFLVIGRVFHASVALYCILSLVSTG ALKSTQEVEVLRAKYAQALFSILETGMTTPRARKRMTWPLIVAGVEAARAGAGVQKYI GRCLVDMARDQGISPPLVAKRLLERFWVGGGERKWDDCWDGAYAFIM QC762_116380 MAISDRKLSARALSFSVLSFVANLASAKLASTGLSVNFNDVDYY ISPFSSGKLDVSKKTLCNLKAPSVLGFKPVTIVNQEGVSEKDLTGLLSTWAQTDDVYQ DAFADAVFVAESKGKKPRNVVAERAPKKDSKSIVSLKSTKIPSGPYFLEVSTGSVYPV YRLYEDFAGAFVESLIPTPEGKFQPLSAQTSGSASLTIGVPSRLYYTKTAEKPLAGVR IGIKDIYRLAGVKGSNGNRAWYNLYPASNYTGPAVQNLIDAGAVVVGLQKTSQFANGE SATSDWVDYHAPFNPRGDGYQDTSSSSSGAGASVAAYEWLDIALGSDTGGSVRGPAGV QGLFGNRPSHNLVSLDNVMPLSPTLDTPGFLVRDPQIWEDAQAAMYGKNYKSLAKTKP SYPTKIWTYNFPTSARTDAQKILLDFADSLAKFVSGSLTPLNLEGEWAASKPAEAGAL TVSQLLNTTYATLITKEQIELVREPFYPANDGRRPFVNPVPLSRWGYGDSIGDDVLQE AYRAKSTFMSWFNEKILPPVDDEKQCSSGLFLYVSSQGGQNPRNRYRSQPGVPFGFSA GRISVYTEIPDVVFPLGEVTGFSAISNHDEFFPVGVSVMAAKGCDGLLTKLALDLVEA GIIKTPKAGGTLNGDRILMRRGAEDLHQ QC762_116390 MAALVIGLGAASLLLLTYTYLSRLSSILKSTPEAVLKVSPKRWT KEELRQAYQRLENNLITTKSYADRIPPKLDRRYIITGGSGLVGGYIVLQLLERGQSPE SIRIVEFRPLNRADMLSGPASTVDFVKADISSAESTNNAFEKSWPSSVAHLPLTVFHT AAVIVPSDRSKLVYGLCESVNVRGTRNVLDAARKAGADVLISTSSGGVSIRPIELWIS PLELLLSPKNKLPEIKNYLQVMDEKDFFEPPRKHEEHFANYAVSKARAERIVCGANSP ELRTGSIRPANGVYGQPGDNTLGGSLAMSDCPTWCAHIIQPFVHGINVAIAHLDYEAV LAANPKAPQAGRPFMITDPNPPISYIDMYLAIETLANTPFKLIRLPPLPMWFLSYIVE WYSLLPVKYPFLRRILPELKGDIKHVKPGLFSVTTHMVATNENASRSVDEGGLGFRGV VTTLEGMVQEIVEWNVEHKGEKKAKKFQTSISFAEEIAKAAEAAGVMEKMGK QC762_116400 MSHSGHGGGMGDGPACKISMLWNWYTIDACFLSSSWHITTNGAF AATCIGVILMVILLEALRRIGKEYDEHIQRDFAARVALIANGGLAPSSAAPSCPGAAS SSSSSNEAVAPQTVTFRASPLQQLIRSLIHTATFGLAYIIMLLAMYYNGYVIISILIG ALLGKFLCDWMTKTVVIGVDGTAAVKNGGLVGGGIDEPTVCCG QC762_116410 MESLTTPNSILRRQHIQNFSEASQLEPHWGYAYRVVPCTNDPGS CAYLDVVYDAHDVGMLYTGIFWATVLGILLIWGIGRRVFPAREPVDDLLAQLSTNEST PQRPKPSFLSRSLGAVASSVRHHLLPTAPLRTIFGHTTRLQLVILAVLTSYLSIWSFV GIVYGKWVTPIKGQPADVVNTRTSLGPWADRVGVLAYALTPLSVLFAARESILSAVTG VPYTSFMFLHKWTGYIILVQSLLHTLGWVLIEGWLYKPQPDVWNKWVVQEYAIWGFVA LGLLVLLWICSFQWVIKNITGYEFFRKAHYVMAMVYIGALIGHWEELQCFLVPGIVLW VVDRLARLVRMGMLHCGYQRKEGRWGFSSAEAEAKFWKDERFGDVVRLDFEHHQKAWS IGQHFFLCFTEGSLWQSHPFTPLSLPQINNVGDVKHSYIFRAKGGETRKIARVIEEKL KEQKEGRTTTNVVLQGPYGENIVEGLTQDVNVLCVAGGTGITYVLPVLLRLVREKVNP DRKIELVWAVKRKQDLEWIEPELEELRRLGAAHGLQIRIFVTAEDVAPGARTTTGDEK KVSEDVDSKSVSVGSDESQNQRPDVNVAVNEFVGNVAQGSTRVFGSGPPSMITELREA VAQRNSGSKVWMGEGRYDVRLVCDDRLEW QC762_116420 MAILQYLLASVALVAPVVDGYKGFRTSLILGDFKEQMEEREMRT ALIHPRDTDLEKLYPAQTIQIPIDHFHNDSIYEPHTNETFLLRYWFDASHYQPGGPVI VLQGGETDGAGRLRYLQKGIIAQLSQATNGLGVIFEHRYYGESHPTDDFSTKNLRFLT TDQALADQAYFAQNVVFPGLEHLNLTSHNVPYIAYGGSYAGSVVAFLRKLYPDVYWGA IASSGVPEAIYDYWEYYEAARIYGPRECIEATQKLTHAIDNVLINHTDTEYPQRVKNI FGLGNITRNDDFANAIAQGIAGLQGLNWDPAVNSTEFGHYCGNISSTEVLYPGIAERE EEAKELLAVGGYDEVKLVNQLLNYIGYIDATAVKGCKRRGKSQDECFTNYDSKFYQQD DITQEWRLWAYQYCFEWGYLQTGSGVPADQLPLISRLINLEFTSTVCREAFNITTPSQ VERINKHGGVNISYPRLAHVDGEWDPWRAASPHRIGLPGRESTVSEPFILIEKGVHHW DENGLFPNETRPGLPPKPVADVQKAEAEFVKVWVEEWHKARGTDV QC762_116425 MDIKTTFLLFAVSLFPNVDIIHIANELPTFRLMTVIKMYTSSIF TTLALATAALATGSAKTSNDFSPGFILVVHVTNPDADFTPTVNNAAVNSIHAGPGFRV ATISTALTDGHVFYENGTLDQVEDGETTVIFDAATPLTPFGVLVQDPTAPVDNIAINA GPGSYNTVDGDPEVNAALVNGLGEGTYLVCNATVPYYHQNFLTLQYVYEGGEKPDGCV EVELVPQCAELNELPAGSHSSHDHAVNVRCYKDATVVDWS QC762_116430 MAATQTPTETQPRQPAKPRPSPPSVSLSTPPTDLKEVNPVLSPT AVEANINHPPHANGGAHMSFEFEGLAIELNTQLEYVRVEDGENTDSKRPTTWLLKSKD PNKAYLPGQPRIRLGERARHVDGPEGKVDGYLRRWHLTDKLDQLLPLMRYVFVQTPAY DHINALHHHAAHTRRIVVDEEPGLHLVWYYETIFMKPIPPYFFSRAFWMYIAHADPEV YRASLGFMRSYYHIIRFEIDFHEACKKKLMPRKDNGKFPTYEEWCEFIEPFSLVGDKH VSRRFKYGELRLTRINRAAMFFRFNLAYFHLLPQWGSFLSHILAPLITAFAVCSVILN SMQVTLAAIEVANETNYDIPGPEGWNRFMNVSLYFPIIVILSIALVIGVTLISVFLMG LKDLLRGNKVREKKRLGQARVGKGSHGMVW QC762_116432 MHESKEHEMVEKVLMEVRYRKKTERSEERNQESNQKSNKKINSV APRFVAAKMKHKTALLADQEVEKALEEIEAKRAAIREKHAAKEAEERQKARETQKGDD RAGDDGHWG QC762_116437 MSQMLPWSTLTHGPENFCGPTHARRIPFQLTRGVAARFLELESR PMPPRYNATTKEKGMRRTAHASKTPLRPTKTSKYSTISIISDDDSDIDARDGKERVSF LDLLQQDAFDDLKAGLRSEFEEIRAKTDGTVYLRLALMQWARPAESSQTYEPPVLSPR QHIFREKRTRAAGRPG QC762_116440 MGLTCHRKMSLHIIIVGAGIAGLSAAVSLRRTGHRVEIYERTSA NNEVGAAITVPPNASRFLLEWGLDPVAERFVKADEMAFLDPLTLNTLFAVPQEQNRAR YGYDLWLSHRVDLHAWFRRKATAVEGPGTPVVLHLQRAVVRYDPATPSITLADGGVLS ADLVVGADGIHSLATEVVLGSKIEPSPSAYYNTCFRFLIPAASLAEDPETRWWHEDEH SRKVSMRIITHNATSRRIVSYPCRDREIHNFVGLYHDPAMATATREDYLAKVDKNSVL ETFGAEVFSPKLRAVIGKATDVKRWPLLNRRPIPSWHRERLVLVGDAAHPMLPHQGQG GAQGLEDGCVLGIVLYGASNLADIERRLEIYEKVRRNRASAIQILSSVGMDQGHLVLE DLKPYFPEGQVPKTPPEMVAFASGYNAVAASVKAMKEELDPSFELPAEFFGPRKGGK QC762_116450 MQDTVHSLVWLAIGFALVNVAHSLEAQNNFRYLAQTPLSTAPTF DNEDTPWGLTTYANIPYLPCLSKRDSLKYDIAVLGAPFDTATTGRPGARFGPGAIRSG SSRIYADAAWSLYTGENVFKSNVKIVDCGDVPMTRLDNTVALKQLETGHDIINARSPV SRDLSPVPRIINLGGDHTTTLSALRAAYKKWGKLSVIHFDAHIDTWNPKVLGGDVSDY GAVNHGTFLHIAHEEGLITNSSIHAGIRAPLAHPVKDMKNDRRCGFDFVTSRDLDRFG ISGIIERLKSRVGDAKIYISVDIDVLDPAYAPATGTAEPGGWTSRELLTILDGLVGLK VVGADVVEVAPAYDGAGETTGVAAAEVVHSLLYLMVKTPVAGE QC762_116455 MGFFDSIANAFSRDGIATRILEKVPIVGYGVAGVQALAGNTEHA KRALATSTNSLITTAGAVGGMVVGGPAGAIAGGAAASTIGLGTEYAISTTINDKDVKG DVGEVTVQRVVTDMAIGGVSGLIGGGAGATAAGKAAGKAAIEATASTLAKTGFEGAAK VIITNVGKSAAGAVTTGSLASLVQGASKNVFNNTPNVKEPEPKPPKVRKVTQNQDARA KDLIQDLKAFVLQYPLYWLNDAYAQVHLYWDPVVIAGLPWDPANQAVQGQYQAFQQKK TGNPALNEKPLGQKIYEELKQIVDDHNNGVQLGGNKQKILDMIIKKEPGGFNAVWGEV ESEMNLRDLRLQSFSELMILEVEFMD QC762_0015830 MLKERWIQSILLNGIGNVTKFGARKIQNTTDKVYEDEPPELEVP NSRPKRYLLRLINTSIGSTFIFSIDNHLLSIVSADFAPIYPYLNSSILVGIGQRYNVI VEANPKNNTKQPLDSNGNYWIRTWVAPNCGAGVVINKDSKETYMQTGILRYNKSSTED PESKPWTDISMACSDETATSLRPVLPWVVEDPINVMSTESKIQHMAVISGPPVDPIPS FPQASYSFRNVSGDINGPNPLQINFSDPVFLNLDNDEPFKNKLWEIYPEGRPNQTDWV WLAITVDYSPDGDTSAHPIHLHVHDFAILQQAEKTTYVPENIHLNLINPPRRDVVLMP KSGFIIIAFKADNPGAWLLHCHIASHASQGLALQILERQADAAAMWPKASPAAQTAAT LCRSWDSWVAASYTSTPTPLPDDSGI QC762_116480 MSSHHEKAPQKKGSTQPHKHHEHHETGYTDEAAVECHDLIDRAE EEAHLHEPGSMYEPTTHPEKVHLANTSSSGKKSSSSSMPSSGSKTKKSGLEGSSSSTK ESMKEGMGSMKESMKEGMGSMKDTMKDAMGKKK QC762_116490 MRPDQCTNTHGAMSPTTNPWFSLVIFSPHESYKVPTCQRTTNGF CPTSFARGPLPSNASYIVDSFSSLPQSKSQVINFAHTGNGKLNTMCDSCRNLIPEDPE FSPASQYKWVWESDTDCEICQFICSTATSIHPELGSTDDLEAWVRLDERQNRFYFDFS GGYALQVYVKLDYDPDEGHDHPGLIPEDPIEFTRRCLEECEENHPECSQRTSDLQPTR LIYCAEGADQLQLVDSDDIGDVEYIALSYCWGSDGLFRTTLDNIDELREGFDLEALPQ TLQDAIDVTRQLGMEHIWIDAICIIQDDTAEWEQEAGRMAEVYGNARVTLAALSASTV TEGFLHWKRNPQVVTRELAGEDGETFLLVGKEGVKSGLHPQSLDRDNPWPVVGSFDDF LDPVQTRGWCFQEQVLSRRFIGFSSREVQWLCKTTMGCQCGPLDPASGGSLRPRPPTL TMQDDAFAFWAEMISGYSRRGLTYQKDKLPAIAGLAREIQNTTGATYVGGIWIKDLTR SLNWVAREVNDVWACPDTYRAPSFSWASLDSPISMDPEDYLIGYVEVLEYSVEPKGQD PLGEINAASLTVSGYVHSATLMDSDDTAEGFEYDVRIKSSIRIARRYAMVRHDTELVR VQIVDADGEVQWSIQRAREGKMPGEEFRPQVTVLALCLGVDEANRAIEFLILAQCPSD LKTWERIGSVRVTESDPQPDSEEEEEEFEFWDFDDFLAEITDENYKTTLTLV QC762_116500 MSDFQHRAMHHDGLYSTAAIHAGHHHHQHHHAMHPPQTQPPMMD HCVTTCPYEEKIIHNGAVARMVLMFIRELVEHSSHQPRQSYACPMTRCHRPFPGPLQL IQHLLSCSEVSTGEFTCDKCNHWHTFPTSDKEWEQWAAVKTQQASGNEQGQVRRKRSL GSKIKGFATLTKRDPRKQNPAPDIHFKTEYSMDSRPSTAASSTPSTTFTSRCSEHHLH YQTHGHGNPTAFSTHHKPPLLPAGVPAIDGNGLFWPGFSADQLGNMPSSVPSVTPSST LDTGSSKAISQNTSQTTLFTPSLNGFSPPVASVQVPTTMEQQQQYMFGTHASFNGIPS PVSAQPPPSAMVLDEPINMNGADLTPTELRSPVSNESLNHGWWGTKLGIETARAVPTT TGSGTCFQMQSPIGAIDGMMTRDVTSGLTTPTSPCRHASPFFPIPQSSAHPMSRTLSH ESMQAGMTPVYNSPGTNASHVDSLSPQADHDPHSLSLRTPFEPAPEDLVCDECQWKPR GVRENLKGYLRKHKNTHKGLRLSCDVVGCTKTFSRLDNLKKHKKDKHGIEDLATGATC AQPPPKQVVEEFHGQHHHVEDEAEHKRPGTVDSEVRDMSGDYSMLWPALHF QC762_116505 MPLADPSTIWLDLSSVCPGFSRGRLVFVKADDHDLRQFFEYPSL FPQIYQANEFILNNLTLLFKAHHWFIELLGSRRHSKIVVATLGYSRLYARFSSIFDKF LTNRKLYLASNECPQFLLNAKPTQVYMLRIIDDTLKFGRVGSLLGANYRLADCKERWQ DFLKELKATGDMTVGYSDSDWTSGSEEESDLGRASSPGDPASGPDPGPGWEVEPATPK ATRNRLVPGAGILAFADGLAVNLPMMLRLVREFEEADEQWRRCGGLRSR QC762_0015880 MQEIVKNAVYECTAAITELKLDRDAPPVVKRDFKLSRKLRNCRL KTKMAEEEVEEEQRLYQSWDDDDGDGGSSRLRFEEIGIELDALMARCRIMFDDMTYSE ELFMNELLSDDAERARDQAKMSTVIAFVAMLYLQITAVATIFAMPIFAMPIFEFQNDW RDIYFKQAEPNASKQPVLSSYFWVYLIVSVTLPAFTVFGWWHYARGARWN QC762_116510 MASTRSSSGLRAVSLFCLIAASQAVPHGNVFKRQGTSSTRNGCF VSNVDGQRLLSASTYASDAMTVESCASFCFRHKYFALEFGRECYCGNSYTAQPVSDTQ CSMQCAGNPAQNCGAGNRVDLYTNGLYVPRAPATLSTPYLGCFVDEGPRVLPNNLLGA SDMTAEKCAAHCSNYSYFGLEYGRECWCGNSKPKNPAPETDCSFPCSGDDSQLCGAGG RINVWGSPLPSPENVGDFEYTGCFTDAVGQRSLRGKTTYDSQMTLEKCAASCSGYDYF GVSFAEECYCGDTLEPTAEEVPQAECAMRCAGNYNQVCGDANRLNVYSNAQCLTDPES PLSVPGFNYQACWTDQVGDRSLRDVVERSDNMTVETCAAICEDFNFFGVEFGRECFCG NTLYGEVAPASDCTFRCAGDSTQLCGAVDRLNLYYATVPPTTTSAVATPTPTPTLPAE EEDDGEPTATTETPTPTFTLPGGDEEDEEPTPVSTAVDAEPTDTDVEDEPPVETDVED EEDDEALPTPTPN QC762_116520 MPVTIKTGNTWPEAWDRELKVDSSFRRENETGPQYVNPKATSSS ALLAGVSHEEHSQSKGILQCSLAFDDKDLADSFVSPSNNGFVNTMVHAYSYHHHVVLR PEDIWFAILTQLSFYMNKNAEALRSLFVAHEGKKELSIEMDDQGGGLENVDFGIFAKK MTHLLQENVLDPDFRQWIIPNFTTTTDNDLVVGSILMMGGLKEYFAYTCYTCCGMPSV TLLGEREDYEKILKRLDGLPRLGKEPTTFGLLLGPIIRRFIASFDNPRDPDVLSFWAR SVDRESDSGTDDLTGWISAFCFWDSLGQCTYDGDNNWPSRMTLDGVKYGYAKTEEIPN GFAAVPVKIVYFGDEIHSRMVAGSVGIRGITYNERFGVDDSAPAPASGRVTPLRDTIQ PVVGWWIYRTENDTKGVNFSFKNRPSEPRFQSQAKPNSKLNSKSRAKQLVRQFRGLFK SRDI QC762_0015910 MAYPGHHFASYFSISQRTHARPIRVLIRVSQPFSINRPEYKKTV CFRDNRCRILDMLVDLGGCCIDSGATLLDAFGATLNEIEALAELPKWCWQHGTRLVEV MKRLLNCMSVSSKLVGELCNIRVIHYGFSVTPRRPGIAKF QC762_0015920 MKLLDDIAGVKKFRPSIGAQDRLADTRTDWAAFLETLKTDGNIE GSHSDSDSDCYYSPTASDSESDQSDEETNLRYARSPRRHRKPIVYNPDVTKLADELAR YAHAIQKAFHDFDESGTVLPAPFRELCQGFNFIQRCTKRIQESCTTVDAATTKVDQHV EDAASVVSETDSLFLDQLN QC762_0015930 MDNILLIPQLPLSFMASVLAIQLDIYPHSAETGEVLWPARQAFG YLIGISFAVIFPLIFIAFRVNPIAGFFSTYIWAQPREPDEEPTYVPLSPKLKDFHTHI PGLRRLWEWRRYLSAQSGTSSSNTGMVSSDLQGEKWSSAVETDYPLHRWGLGLRRVFR RKAMEDLDGGNGKQGSEHGGKYDGDDLGQVGNYKQTMAYGAMKETVKEVRGGDDEVQE ESKGKLRGAVDGVTERMKASVSRRRVVARVDLEKGDLRQDH QC762_116530 MLTVRSQALEGNPNQGSPHRERRAIALFIPILGFGTHSSQKKLA ALMKGEYPSMNQQETRFRREKSRTFTRMRQEPTETFIEPPGESLMTLLLRGYLRPRRN DTSLHCRRTLDQFTYRTLESTQERDDNQVMLKWSRKHRQDINSSKYPLLMVDQLWLWI LDDKDNTVVTCCLDSDSDHPTTNDSLLYHMRARLKSKNSRPLIQSGLELAHLIIKCSV TFLRRQGPLHASLQETFETSINEVSNELSQQFKSFQELVKRLDAKKITQREKLKLTDK LFELTKETALVSNIMDIQNELKTIRSVFLAQQEAIRQFRELAPMFSSCSTSAETNQPK KKKAMRKPLGENQVKDNVKLIKSNIEAVDELARDAENIHRELNNLLDLKQKLANVWEA RFARIGTEQARRQGNVCHILIQFKLCYQ QC762_116532 MVDVADKDGRIPLHIAVMKASRTGQQKSTWEAIIQKLVSTLTPR QTAGANPKDHRGFTPWDYAERAMGQHRMWLKKLKIHDLRTGATAAQHERLEALVAPPQ DSDQYVACRKAEATLVQFYIQDSTGDKTEKFEMQHPDVYKVLYNPQHQAHIIFDRNRI RSLTSTCRWIHLPATNEKWAQVNTFTCCFFVERARTDRIGSGSFHEPENH QC762_116535 MTSSDSHDGMASSLVVELHPNEEPEKSINIEFSKRSQNPGISID AVAVTSDATITGRATSAEQQAMTESIRITEDSGVEALRCRNEITQRSSEPIEKEQKNF ELGQRGASDSQDLIGEGSALTINRELGLVEGYELEVLHEPRPPNIPKADVVIVNGSGQ AWEVPWTFQEKTPHPTHQAPEMPKRGVLDNSKMLPRDIGSWGRIMNFHWPWNFLADIS DDEVDNFLAKTADRLLTQLRKIRKDKSASSDFLVLIGTGFGCCIIHKLIVNLHSVGPL KQTVLDEIASIVLVDSPDPRGPPNLLETFSDFYHTILERELFTASLYQELSPDEVCTF SSKPTYPFVR QC762_116540 MHAVRIFPGCSGSFTARSVLIRQFRQRTISSFPAGQLVRQFRQN ATPKDAAAVVVGAGPAGIAVVGNLLEQIKDDGKIVWVDEQFQGGRINKFYREVPGNTA VKLFVDYAEALKPFQHILNTAHKPNAITALEKLPQSGTCSLSYAGDMLNLLTAGLRQH PRIHTVEGKANSAHLDPQSKRWTLTTTSESSLTTSPLVVYCTGAFPSTTPLPPSSPPT IPLDTALTPSILSTTIPRDQPFTIGVIGGSHSAILVLMNLYKLTTTSHPLLKIKWFTR HPTLRYAVQKDGYIQYDNTGLKGKAAEFGRTQLDGDVLLNSDAGKFITRIDCSEGKEK EWALLEKELKDCQGVVQAVGYIPAPIPEVRVGDGKEVVKLGKDARTGAFYAEDGEKKP IGLFGAGIAFPEEVDTPEGEREYAVGMWKFMKFLKRVVPEWVEGSRA QC762_0015980 MAANRDLPDITVAVDYGTTFTVPSVLSKKLFKQPNGQGVRKWGF QCEDSAETAEEDMSENDKWRYLKICLDSDYHKETIGQNFSWAPATMKEVHLLVCDYLS HVYCHVKKSISDTLRLDNAKDCDNLSVEFVFSVPTIWEGVGGQEILDDFLKIVKDAGF GSAQRHRVMLGLTEAEAAAVATLGNTGSPLLHFKNGDTLLSIDAGGGTTDLALVRATK TKPPVMKQIQSVTGIVVGSRLIDLEFQRLIDIRLKAHPEEQSKLPLNKLLSLSQSCQY RTVKHRFGEPVYDRNYKIEVPGLNPNIRREGLNIEGGAMNNTSSFQGANVAPPTFLTK SKSTSTVRLQGLCAKEKPNSQGLTIHSLLLSGVYCLSKHKEFFENALHELAMHFGEVK RTDELDRKDYVLDQIRWILKRDTIIDHGKQFEVEVERREDLDHPLQWKDIIVVSRIEA RWLPPSMPTSMAEALYEIEVDLNQVRGSILKKTRSWPLPITKYYVCQYKIRLSVEPSG NMQFQVEYQGNIIPGNHTLLRSKIEVCHGYTLRPVLALLTIPNGS QC762_0015990 MHIPNRLWVEPWKYELNIRRDNINSIDGAYNKPIDCFLDCYQHD IDGFQNRSNIINGPQQLFQPRNRATVKCRNHWYCAGKLWRWALSRRFRVGGFFFFLRR KQRSKPDNHPILPDKATPETPVSFPRPLEGKTTHSSVLASGRSPGLALHSYLLDGPGD SEIGSEFNDLGFLLKSHVDDCYHRKPVSYGLVSIKQSLEGLGLDEWTQEQIAKSALDP RQRHAAIRSFMSRVIFSALDIQSISGHSLLPPEVVAFVKALPPTVKSQSLRSPTTQVL DMWRRCSAFLLHEDRQERTLLPPPQGSQWQVKELQRALDKFLVHFLDEHTQVRQNQGE DLNAVIQEFVKFGYVVFSHSCSWRYSFEAEHTCSSKGIVMMPGLERLTRRNGEVLEKP LVMVPPLFENTH QC762_116550 MITKAAASYMNGQLSTNIDSAYGYVSFLAQFARQVRDIEIIRQD WRNPSKLVSLLPLLRQFRIRNATDPRDKVYALIGMVQYWGGQHKIAPDYNLDVTNVYW QVSITVIKNMQSLEVLCGTPNATSDGDPRLTHPGHPSWVIDWSYRPSATENIRLETQG FYDASSHLPPGQVRLHGRLLLEVEGVELDRIHWVCDEVVNSHHGRDGGARRWREVVKS WEELVVEVLGDDNRPYAGGGTVGNAFWRTICGNIEYTHAGISLAGDDVSSAYAKWRSV DFHHRRTTSIVGDHLQEPVFNAKHGMTEKELESEKRNAFHYAVRFACSDRKFFITDKG YIGTGPRGVSSGDRVSVVSGSRVPLILRPSTQEVGCNHRVVEALIKRPEDRVFYQAGE EAKQMMKQGDSVCNELHRGLYNLIGDAYVHGAMDGCFFGDVR QC762_0016010 MFWHKSSPALKMGILGPGTGTAKKLPSNNLLGTPPDTPESSPAP DLQPMATPKLRGALLQQPLPTPPDTGLALNVPKAHDFGSFMDITTPPEVEETPATPTT EDWPESPTSQLTQEALRFWGEDFSDTASTVLTTPSKAPFTPSKSGYRYPHHVDDLIFD CEDSPETPDTQLTQEASRFWDDTPDTPFTAPSSAPSLAGTPSKPLPGKTRHLLPREPG LKTPLPCNNCGSLISPNHQHPLQCTHLLCSTCTRQTLITSLISKPFVPAACPCSASTP IPKSTLRQSVTYLQFMAYADKLTENSIPADQRLYCHNPQCKRFINPKLDVKKGGKVGT CGHCGGNTCKRCGQKGHMFGVSQQTCKLSTSTRRKKERMRG QC762_0016020 MVHGEICFGLMNLLHWGLWQYNLWSAGMEFSVTDCRRVDFGIGV EAEQGQAAGTKGLEMREVMRVCLVQVEQRRWVHWRGCWWLGEIREPQLLHGRGVLRPG SLGSKWRVFPGRGFDGVPARDGAEEGAVKGVSGVSSQKREASWVS QC762_116560 MSATTIQSLKVLVESIILDPKYHDILTVVKGARNGAVYGAKVRF PHALVMIMLFRSGTFREKVRLIFNATKTHALNLAKFATIYKSTCLLLKLYGATPGKEG PYDSFIAGLLGGYVVFGQRSKRGKISSVSQQIVIYIFARVALALARLAVKPGYGLPGV SEPKQSAAISHYAWPVFASMSWAAVMHLFRWHAAELQPSLRSSMVYIYQNSDDWDGLR NFIWHNK QC762_116570 MDSQSRNTTTRPPQDTTTPPQHTAAIPQIIISPPRDTTPPPLFP TTPPQDTTASPSNDDAPLKPIVVALRRLPRTGYYEPVMWYPCPDYHWSITTAEQHALL LSPQMAKPDPPSHVEEIITPFFSLDLPRFSRLSPGSYRPEGPIVLRGYEKQYPIEIGL VETDEAT QC762_116575 MAPQTYDCQICLSPFTSPLSPLPPFALPGCNHFHCPRCLSENLA QSLKSLPFKPATCCTQATFIPPSVFRRREFGIPRDSVRLYRQKITEYQASYPTSPASR RRRQQTPPEMVQGLSRVRIVLYGAPMPLKVNLPTYCHDAKCGAFIPEVLGGRCRRCKK RTCEICKMGMHLGQGGCRKEDLFRIEERTEVRRLAAMKLVTLDVKNVKAASRSEREKM KREKREKREREKELWALTRALMREKEWERCPRCKNGVEKTEGCNHMICVCGIDWCYRC ERIWGASHIIGVGACAGEFRGRTSL QC762_116580 MPQNEYIERHRKLHGKRLDHEERTRKKAAREGHKQSENAQNLRG LRAKLYAKQRHSQKIQMRKTIKQHEERNVKGAPAENEPSNPIPSYLLDRANPTSAKAL SSQIKSKRAEKAARFSVPIPKVKGISEEELFKVVKTGKKVHKKGWKRVVTKPTFVGPD FTRRPVKYERFIRPMGLRYKKANVTHPTLNVTVQLPILGVKKNPSNPLYTQLGVLSKG TIIEVNVSDLGMVTASGKIAWGRYAQITNNPENDGCLNAVLLV QC762_116590 MGIADLLGAITGEKPSPSPTSNPTSRHSTTAPKRKAEDDLRNTL PKAPRTETTADGLSRPNISSPKPASRPIDKPTTASGSTYSGSSRPPVKSTTASATRPS TVVTNGTRPGVSSNGSKPLPSRPVANRPSPSDSGPPKKRSFAEIMARARENQEARESL GKISHKPVERNLTMKERKELKADQAKQAKVAGRKGSAAPPASSRTAPKNGVERNRVSP GASSAKAKAAAEEENKKIKKAALATTGYTGTARPRPGATTKPKASSSGPDRDPRDKVR ERPRYGGSRSRYEEEDDDLDDFVEYDEDEDDPYAYGRRGGYDDMSDESDMEAGLSDIE VEETAAERRARLEDKQEEEREKRLKREKEERKRKALEAMRASRR QC762_116600 MKHLAAYLLLTLGGKATPSAADVKAVLESVGIEADSDRLDKLIS ELEGKDINELIAEGSSKLASVPSGGAGGAAAAGGAAAAGGAAEAAPEEAKEEEKEESD DDMGFGLFD QC762_116610 MNDMATAASPTPVGGGSHLNALGRRTSARQALRRPTSTSLSSRP QLGRSESNPAVSASFSGTTSRETRAHSQPQSNQQPITAALHDSSDDEEPVVPMKLSAL TKALLNDGASEGVSRGGAQSVVSGRAASPPQRPASRVTRRSTASVSASAVVEDSEAAV GEVRQTRRTARTSSVQRGVTGRSSPPRETSPAPQPRKRVVRLSNTSAGNNAFNSSFNG SFESSVRRSLSGTTGRSKRQESAEVVEKKSVQAPVPVEPEQQEVEQQLVDINTPVVPV RTVRIAVGSSGSKGRSDSSSGHSKSSRGYSDHDQELGEEPATVGRSVAVAPQSSMRIG RIGKMGGSFLSGPARRGRRRQSEEDGQDHGEGDAFGSGQEPESQQPQYMGLGMEQPQS SFLASNYREFAAASGSPVSSRDPSRAAVRRGTSASVSPPEVRELERSHLELDFKIPTP PPRVPSSLGKENQAPAAQKPNPVVISLLDDTKESAKPIQPLVSDIRANAPPSQRAASP DRKALAQKSENTPRRAAPPPPPKMSVLDAATANAGASTTTQASKKRQVMLRVNGRTYT RIDCIGRGGSGKVYRVSAENGKMFALKRVSLESADENTVRGFKGEIDLLKRLHGVDRV IQLIDHELNLEKQLLSVLMEVGELDFNTLLKSRQSATEGARLDPVFIRYYWKEMLECV QAVHLKDVVHSDLKPANFVLVQGRLKLIDFGIANAIQTEMTVNVHRETQIGTPNYMSP ESLMDSNQYAFTSAHNGKFSIPPPLQHHQKGAPRIMKLGKPSDVWSLGCILYQMVYGL PPFGKIANQMSRCQAIINWAYQVEFPEVTEDGSRVPPSLIRTMRRCLNREQKERPTCE ELLADTDPFLYPQEFDPGVYAMAEQGKVLPITEELLGRIIQSVVQRCGERMPTPEEIK SGMLTQGYWAGVKRVVTGANSSGNSSR QC762_116620 MAQTRLKMLPISLLSLLGLMVLGTTASEEPPSTSPTAEVELICH TTDPAECYPKIFQPTHEFQIVHPDQDLPLGLHVRLDINTGQKEAKINIPDEEVDPSLV GLPVDSSIVTVDTPDSEPEPAQRPRKLPKNAPKYDPDGKIKEPPKSNPHAGNDAAAFF ESLTYLKKGLDIDSALESLSDVSHDIYYGLKIAEDYDTIANLFCLANNPALFTTSPSP ETLSRARVAALTLSSVTQNNPKALSEIETHWPKLLASSCSDEPLSTLIWRLIPSSGQP DPAVSKARISSISGLLKSSKIRSHFLSNNGMEQILQIMNLQDQNNGDFEPAARKAAIL VLDNFLDGDMGASLGEWPIGTQQTDVVCDGRHKEGKEPVERCWDWHARLWVKGNKKNK EHWSHELLKKVTEQRRVNNKGGKKKNAAQGGKEEL QC762_116630 MSAIRQRAALLARQARPQAVRNTRRYGSSHGHDHHHEHTVEEKL GTGFYLSAATLAGTWVVYKASRPGTDGELSTFSRWLKEWADLHQTWETRNDLLAAAVE QAAADRHLLLNAPRNRTHDLRFPEVFNTGSPFNVPAGHYVNIDKVVAHYQQQHLKEEE RKAKKLAAAAQQ QC762_116640 MGSVATNARGSIDARKSAKITKAQESRPPSDAPAIYVDLIDPDI GYGVFAARDFSKGDFIFHEAPLIDPTDFCELREFNDEHHGPGQMLDLVTALSLADASQ MRFAFPKLAAELGKTLPTSQELTGADLNPLLGTRLVHGQLAWPPNELWEKYDKYIKRI REGVVARGGGSKASVEDRVKIAADFFRSHAFQAEIEGNQNPHPATTRPATIYLLASLV NHCCQPPGARRVLKRRTAAEDSPVVARETPELRLANFLAILKKESENADNTASSSKSP STGGKAGTSDNNTAIGSGSKNNADDPDAITPAPKTAQESTGTKDFSEHSDPDDGEEEE DNASGSNSLNQRQRGPNCEWRIGPGQLAKFVLPHHIAVKATRDIKAGEELTWDYGKKK QGFSCRCATCRAGTGRSCCYL QC762_116650 MADEYNAEEAAELKKKRTFRKFSYRGVDLDALLDLTSDELRDVV HARARRKINRGLKRRPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIVVPEMIGSVVG IYSGKEFNQVEIKPEMVGHYLGEFSISYKPVKHGRPGIGATHSSRFIPLK QC762_116660 MIPRLNRKGNSHTPPHFQRRKLANHVCQGFRCRQKRWLTASRVT NPGCGPGFQQSREIALMPRPGTWIGSATPRIPLSTMLLPSLSTLLLAGLPAVNAAITY KGVDWSSLLIEERAGMSFKDVNGNTKPLERILIENGVNTVRQRVWVNPSNGEYNMDYN IQLARRAKAVGLGVYIDFHYSDTWADPGKQGIPSGWPTDIDNLSWKLYNYTLESCNRF QDAGIQPTIISIGNEITPGLLWPTGRTNNWGNIARLLRSAAWGIKDSRLSPKPKIMIH LDNGWNWNTQNNWYTNVLRQGTFEASDYDIMGVSFYPFYSEAASLSALKTSLTNMANT WGKEIQVVETNWPVQCPNPRYQFPADVRSIPFSEAGQVQYVTKVADVVRSVNRGNGLF YWEPAWLNNAALGSSCPENTMFAWGGRARSSISVFQRI QC762_116670 MTAPKTHPSIVLPSPFAPPTLLQTPTHAPNPNEALIKVTWTCST PLDLHRAAGNLAIPSFPFHLGTAFAGTIVTLWLSPSLSSSSGLNINHELHETLKEGDR VFGFVSDGNPREAGFQTYVTVPVHKISKLPQGIVGWGLREAVTVPANLVTAFHCLSAD LGIRLPWPKPRGFKGGEGKKVLVWGGGSSVGLYVIQVLKYWGYDHVIAVASGKHHGEL RRLGARVCFDYRNSGVVGEIGRYLDREGGQGAGPRIPYFVDCIGSRDGTLKPLSKIAE RGSKVAVMLPVIEVPAAEGRRPVFAADEKHVEGVVWQEGVEVMGVRTLNYEENGFYRD KLQPEIIPALLAQGAIQPNRTRIVEGATLLERAQNALQLLRDQVPSGERLVWKVSEDE Q QC762_0016160 MLKIAMKRKRDKSRSDFHRYPPRLSAQRQDLKKSGYRSSPLSVQ LQRKATAATQIESKRLLTVGHRGYRSSTRVGSVAPLGNTKEDDYYRNDSLKYCRHEEK KKKKTEILADRSRIYTGGGVASARLQIL QC762_116690 MPSQRRLRALLYIVLAAVVVLLFFTSQARHSRETGAIKDFYHKT MNGLERERGGSQQVIPAHDHDADGDIDEDDAIMAKEMAAQLKQAEQKAKENANAKAPN KPDDPKKVIGVGSAAGGHKEEEDGDLEVEETPEEHQVEVELDLILRKSPVIIFSKSYC PYSKRAKGILLEKYVIEPAPYVVELDLHPLGRKIQDRLAIITKRTTVPNIMIYGKSIG GGDDVAALDNDNKLVAKIKELGGKRVEVSKRFSEKSS QC762_116700 MTTNSATTTTTSLINADITLLIAEQTDLPTLLVLASTNKHLNSL INTYEHSIIKSKITTAIPNPLLQPPLGSVLSSHSSSTRQILPPWSFQVATELERRHAR TEAMFNISTVPPTPLISAMFRVPSFAALPRQQFTQLVNLFKRACCLADHICDLALLVK IPAMARELSAVDPYVVQKAIHRTRQGFIKGLEPLDLALLTHLAALGGMAYAEEMGELM SSDPEGLERMVAFKETILREGSAALWGFLHPKEGEVIEGNGPPSLPPRARGSGLGRYI AGKVEGVLRDLHAYEMGLKERDHEEAEGEGEGEWADKEGVREGGWDDDDDDDPFVVLH GLHQTVMGAFPKPVEEPKDDEGDAVIEDESEQWEGEGVEYEEVDIEGEDVSSEEEGEI EEEEEVFPVEPREQLILEVVRSAVPCSV QC762_116705 MCHKTTVRLGCGHKSSTLSSIASCRRLAKLQQDEDKTIRLFSRY KKLTHCGNLTREDCYDEGRICGSCYEKRKQEKRERREREQREEVRRNERNAKILRDRV EQDRRERERREQEKKNAMERAARRQREEMEEERRRQERRAYREEMARKRDEEERKREF EKRARAERAEREASERRARQEREARERAQAEAERKRTAERNAARQREERRRREEMERE ETEAREKKRRENAERARRTAASSSSRRPVPPPGRLSERRFLNLDAEARRQQGLRNTPT PPPRPVQRGSAAVPPLRFATRQTQIPVQRGPQRPVQVVSPIPSHFAQAAFIDRGSPAV DRSQPFYGPGRSRALTDPLDDIVNMYQQRSPVVDVSEPFNPGFRQAVNPTNPKTNRNP GRRIGLGIYVPGSENRSGLRRYF QC762_116710 MSRTLLRSLLELRATATTTRPTTSQLLRPFLVRHLHQTPSQIDP LPQQTQPQQPPPSPNQLPAVSPIPRCGGAPRTTSPATPETLSLLPLLAHQPGKKYLQL YIQGQAYLVTPGDQIRLPFKMPGVAPGDVLRLNRATAIGSRDFTLKGQPYVDENLFEC RAVVEGTEAEPMRLKIKKKQRCRRTKTVRSKHKYTVLRVSEVRIKLPGQEEEV QC762_116720 MFFLHNLERRVLLHPSYFGKNMTELVTTKLVKDVEGTCTGDYYI IAIMDTFDLSEGRILPGSGMAEFTVGYRAVVWRPFKGEVVDAICTSVNQHGFFSNAGP LSIFVSTHSIPPDIQYDANATPPQFTNHSDIVIEPGTHVRIKIMGLRTGVGEMFAIGK IDGDYLGREMVWCRKCTKAVGDKMCLRTGTAALATKKMTPIGTWATRMGLTSQAPVSS LLAHNITKLATTEGCFGRHQRELGFPVVVSK QC762_116730 MSLDLEKQLTFYGAYHHNQVNIVIHMVCVPLILFSAFALASNYG PFFTLPSWLSLPYLTPNLGTFAAMTWGALYLLLEPVAGGALALICLASAAGTNYLRIQ YSESANQTALAIHIVCWIAQFVGHGKFEGRAPALLDNLFQAIFLAPLFVWLELLFMIG YRPELKKRVDKAVAVEIAKFRARKAEQAEKAKKAQ QC762_116740 MGLFHWDTDINFTPGSHHYKWNFATGRFEKATNWWNPFASSASF PDPVVQHVQDIFAPGSQFREDLSWFGDWISSVVNKSLWPTLEPTVNRFAGFLHHSPEM VSAIVVLLVIFVSIQIVRLMHRVVRFWTRLLVRLAFWGVVGLVVSMAWDRGLEQSIRD LVLVGTGLYRWGKRAGQVFWDEYEKAQREVQDDWKGW QC762_116750 MSKFGVMVMGPAGAGKSTFCASLITHLQLNRRSCFYVNLDPAAE SFEHTPDLDIRDLISVEDVMDELKLGPNGGLIYCFEFLMENLSFLEESLNSVTEEYLI IFDMPGQIELYTHYPVLPALVNFLRSPGNLDIRLCAAYLLESTFVVDRAKYFAGSLSA LSSMYMLGLPHLNILSKMDLVKDQIRKKDFKKFLVPDTMLIEEDPQEVEVRKAGVDYQ PPVESETDALMSGAGFKRLNNAVAQLLENFSMVHYHKLDCTDEDSVGGILSYIDECIQ WAEAQEPKEIPDEEYDDEE QC762_0016250 MADAWPFRPFLFRDLPKIPQILPHPRRSLLSPTFFQALSSVLPL WSFAVPELSSFGPAHLFVSSKTLPLLST QC762_116760 MAANGTNGSNGANGDASGISGWKHYNEGTFLFTSESVGEGHPDK IADQVSDSILDACLAEDPLSKVACETATKTGMIMVFGEITTKAKLDYQKIVRKTIKDI GYDDSSKGFDYKTLNLLVAIEEQSPDIAQGLHLDDRLENLGAGDQGIMFGYATDETPE LFPLTLLFAHQLNAAMSAARRDGSLPWLRPDTKTQVTIEYKHDNGAVVPLRVDTVVVS AQHSEDITTEKLRKEILEKIIKKTIPAKYLDDKTIYHIQPSGLFIIGGPQGDAGLTGR KIIVDTYGGWGAHGGGAFSGKDFSKVDRSAAYVGRWIAKSLVAAGLARRALVQLSYAI GVAEPLSIYVDTYGTSEKNSDELVEIIRNNFDLRPGVIVKELDLAKPIYLQTAKNGHF GTNQSFTWEQPKALKF QC762_116770 MASMEAANARYHNGHQQQQIGGPPLPASPTLTNPDMILPDEIDQ SYSPEKDLDGRGHLPLGMWKGTHAAAISADMQHIFSTANSGGSTGGLSYGLNAPATPT TPIIYGNGTMLSDIGEVTEVESTPGKPSPTRNKGRRATSLTRRTGLDATLRSSPTIGA TSAMQKSKQIAAVTRERRASMESTSTITTENQAGLFADFDDSASVEDSVFQGDDEEST ASSYHEGRLAVDPDRLGVTRMTSMDRLSTYSTSSLSRRAEEILANAKQRLTTMESNLT RARSSLHNNAYGSDGSTPSPPFQRAATVAQPRDAATIIASGAAAISNQASSGHTRISS DIAMRNGLPYRVAVPRSQSAMGVSGGYRQPLVMSRSADQIREEIDDEHGRPTYNIPPA KKPGPHALTEDEVAQLEGPDNESRSARIEEFLSPTSGSFSSNESRRNSLLRSTSSAQM RDIKDQMKDLKGKISTLREQARADNLKRRSLQSLRTPSPFTYSQMDQWYTEPPSNRNS IALTGSVSGRNPWNGEESDLDGDVKDNAQVSLRGSHLDDVTGISHGAQAQGELDLDDY PALETDDPMHTAREEVSPDDDDVSDMLTENGDVDDEQLEDEFQDADEDIKSESGESLY HDTVQHQISHEDREDAFDYEHFFLHSAMGTMSRQRLERRGSVDSYTSEDSVETTRGPT RTDDYSDSPSKSLSPMSRRNSRDSVSTVDTFATAEEARSRKSPVTPEMGYGSDGTFPG FSRISISPDRNRSRSVNSVRTKKSSFSAGSSHHASGSGATHPHMAGDSDASISSIPEE GSEGGAHQTPRQPILRRPTSVSAFSSLHRPSVASLDSQGTNRSFPLVPSSKTKRTSSS GMLTPSDSPDHELKSLSESLMSETASVYEQAKAGGESSIGPRARAASAASTFTAGGLG PPREKAKPLQELLREDKFLVERLVANLGKCVLGLTENGRASMESRQFRRRIEAANRIL EGLGEPPE QC762_116780 MASTKAAVPQGAPSEPPKTCKVILADTIAKKMLAEVQSTLSAIQ LPRRPTLSAFLANDDPHAYQYAEWSKKTCEEQGFSFNLVKVDKESLEEAIIAANNDPE VDGILVYYPIWPANQGHQDRYIQETVSLAKDVEGLCHTHLFNMYHNVRFLDPPANLKK SILPCTPLAIVKALEHLQIYNPILAYGNRLYGKTITVINRSEVNGRPLAALLANDGAT VYSVDITGVQLFTRGSGIKALRHQVVDKPDLTLNDVLPLSDVVIGGVPTEKFKVPTEL LREGVVCINFSSFRNFDGPAVKEKASIYVPSIGKVTIAVLLRNLVRLIANSPRQEGTS DDAQKAREGAFKDD QC762_116790 MLDLTKTLGGFFFALGATLTPGFGAETPYMKSGVLGAEFYASFG FFYLFVGLLSFVFLICSLRTNLCLVILFLAYTVAFPLLAAAEWAHAEDRLALAHRLTV GGGAACFVVSACSWWAMIGGLLQSVDWPFDLPMGDLSHIIPAARKEVDVERLE QC762_116800 MAQFKQWALEYVLADDEPVQLQLTKKAAKEIEDSRASSTVVGNW AASVHQWMTLTHSNDDGQMEDEDERANGDIVSRAKALGFLAGTLEALDKTILRPDQVQ RLIGFFGAMFSYDHKAGITASAKALRQLYSMKNFKPEMGIKVLEDVSKLKEDFRLQTA VTRLELYELFLSLVEDPAVSSELQHKYGSSCGFALDLLQLCSSERDPRNLMIWFKIIR RLLTEFSPSPEVTEEIFKAFSAYFPISLRSSATPIGITAEDLKEAVRNCFSSHQRVAP FAFPFLMQKLDQGDAVTVAVKVDILRTIKACIEEYENPQASVVPYIKKIWGSLKYEVR NGEVKESIDATIEVLRAIARKLDGSKTHKHEVTLLKNYIDLVFGDCKDDLSNPTYTKQ AGLLLLTVVTASIKGYVFYNASFIDIIRQNLRQPKSPTHTRDLLLLVNSILKTRIELF KNRKVGHPDDEEQLRSEPRTHLVSLFHDVYLPIWTGKASESDSKESDVLKQVALGLGL LVSQQALDTKGEVSLLCSGPICFEICNLLTVTLVKPLTLSSNDNQSQDATLEDDVVLA LRTIVMSYTDGYGHILKTARKEIQKRDWTGPSEYSLEALKILLSRLAFIGCSEIPTQV KSDTEPEHPYSPLRHYDAWLRTLVYLFPLSAQSLTANSYILSSLHASVIWFRDACEAR YGTGALAQYSNSDKNWLAEFEQLPGTFFSQTEGQSILPDEVASSFGEDDPETYLQFLR LSLFIVRGFYKGANSEAPWSEQALVQLAQTAALVVRSLDEKLQVSCNLAREAFSLFKT SEDKPCASPLSGLLTVGILQGLHPGAMTELYQPGGVAEKFICDTSDLGSSPRASDIKA AVGAIYSNKYPAGPAASEEYQTLKRGLQFWTNYIKNAVKSSDIDSGSFHSFTNVAMHT VAGAVARQEKFVLDLIPILHEAAASPSPNGEIVARSMGILVQPNSEVLNKESHANIKR FYKQWAYNFLVRPLYDLARPGSDKDPKATARYSIMILSVVKNCPFTVYQDDLESLLRL LVTALSNKMDDSVLSEEAAQAQVIAALEILVDILANEPSALKGFLKEIISGATKVYQE SATSNKTLHKTLTTCRKLSLQVLGALPKTFEERYLLCFSRPTQRMLASACGDPVRKVR EAARSARANWAKVV QC762_116810 MATQEKITVYNLADLKNTTDDAIPNYLTSLSFTPSHLLTDVRLA LGFSAFALSAACFAWDYNFGFESTKTYTAFAVALYTILNGLLTFWIFYVEKGTIYQGT SPKGDKIRISTETKKNVPVYHMTIEVKDGKTGERKTMKISRSFTEWFDAAGRFVAAPL QTVLAQGVDVIGRVDTKRANAAKVESGEAGPSAVYTPEMLAMLSGEGVSVVGSAAETA TGTEAAEAKKGGKRRKN QC762_116820 MAQVPVQTIHRDPQLFYWILFPITIVMILTGILRHYATVLMSSA PKPLDLRSTREQRSLLHGISLRTNFHVLSPRSFHSRRDAVITAYESGAYLKDPERKGQ PPANPMTDPSSMDGMMGMMKNQMAMIIPNTLIMSWINAFFSGYVIMKLPFPLTIKFKS MLQAGVGTKDMDPRWMSSISWYFLCMFGLQSVFNFLLGNDNAASQMAQQMGQMGPQAP QMFGPGVDPNKQFLAEAENLAVIEHHSVLDGVEQRLLKGIRV QC762_116825 MWIVTKIADLVQLRPQDFYKTSLEAIEDKINEKYANKVIPNIGL CVCVWDITDASEGLIGQYDGFVNINVEFHMVVFRPHRGEVIQARIKEQTSQGMRQPAR VALVTNRRHSVEAEKVWLWKSDEETQLYYDTHEIVRFMVLEERWYTQPPTKPKESTDS DVPTKTTYQAPYSIIGTMAAPGLGITLWWE QC762_116830 MSYNYGPPPPPPQPAPPSSSPTGYNSYGATRGGHGGRGRGGHGN GDRGGFHQPAPAYGYGQPQYGPQAPGPYAGPHPAQPGYPQQPQQQWHPEHGQQQQHAQ HSSSQPPHGSLPAQNYHPNYAPQIYQPQAPYGSQPQYPHAGPPPYGPGYPTGAPHQGP PAQQWGSHPQQTPPTSGPYGGGGRGGRGGYNDRGGPKGQMMGPPIRIGFDNSNSQPPP APVSAPYPPQPYGGPHGPPAPYAAPYSAYPPPAPYMPGPAPFDGHTGHNPRHHNRGGG FHNNSKNRSHFGGDKHRNRNQNKGQASQTPPTHHQKPDAASASKKKKRKTNTLGLTPG DESEEDLENEEERLTELIGAEAPNPQTSAELAAWIAERRAKFPTKAKIEAAKKATGKT QNGDASKDSESDLVQKAEKLRKQLKKVESSIKRKREQQDEGDEMRDLHLSSSPSTDSK SDDEKPEVMSTRQDSHNLPPPPRKADPTKHCKYYSTGGNCGKRGKCRFVHDATVREAA LREREMNGGRMTLQQRLTLNDKEQEDLTIVKTVKYLQDKGLVPKPAPGSAAAAARPES STAPQPQPPTVAKKEPGNGLPPIPPVPKYAGWNMNGFGNTGGRSSEQ QC762_116840 MRAWRALQATALLASALWATPLAAAKDHPTFHSKEFENIPMNIN YFADSDVVLFQDIDDGNVYLSNDAGAKWERVDAVPEGKAVLLTMHEFDSKRAYILTEG SKHYRTTDRGKNWEKFDSGVDLSIFRPDMLAFHADDPDRIIFNGMRCHGFQCQEVTTY TTDGFKTKGKALRDDTDGCWWAKGSELFTTGEDDLDKDRVICIVRDKVSPLKQDQRLK ISDNFFKGTEEAKNIQEFEPNLDTDKAIQGVVSIAIVKKWILVATTSLNTDEMALFVT DDTKKWSRAAFPAAHDSHDHRLAQNAYTVLESTNYSIQVDVRTSNQGNPMGVMYSSNS KGNHFTENAEHTNRNKHGHVDFEKIAGIQGVYLVNKVKNWEEVEKKAGADKEIYSEIT FDDGRTFEKITADGKRIHLHSVTDLSNIGRVFSSAAPGIVMGVGNTGDELKSYWDKGN LYVSDDAGKTWTKALDGPHKYEFGDQGSILVAVKDSKEEDISEISYSLDHGQKWKTES LPDDLKIRPYILTTSQDSTSLKFLLIGKTKKSPEWRVISIDFEGLHEDTCKEDDMEVW SARVDKDGKPTCLMGHTQSFHRRKKDAKCFLKQEFKHAVAETKDCDCTEQDYECDFNF ERDEDGECVKKGKVLAPEGACKDKKPDDTFKGTSGYRKIPGNTCKDTDKMKDKYKDKE WKCKDAIGGNGGPTHELTGKVEQTKHVMEGKKWDGFEKHYLERGDSSTGTDETVIMRP LNKAGPILVSFNHGKDWKTPEKLEDEEITAIIPHQYFKDAVFFLTSGKKVFVTMDRCK TFQAFDAPTKPDNDMFPLAFHPDHKDWLIWMGKKCDDGECYGVAHATEDPFDYWRTIG KQVRRCEFTGSSAYKFEGRKENQILCLKHEKEDKAKPLVLASSNDWFKNEEVYGKNVK DFATMAEFIVVAAEDTEKKTLQASASLDGKNYANAHFPHGFKVTHQHAYTVLDSSTHA VNLFVATSMEEGRQYGTIIKSNSNGTSYVVSVRNVNCDESYYVDFEKMLGLEGVAVVN VVANLDDKKGTKKLRTQITHNDGAQWAYLRAPVGDNLEPKLPCHDEQGSKDCALHIHG YTERRDHGKTHSSQGAVGIMFGWGNTGDSLGPLKEADTYMTTDAGKTWKRVMKGTWTW AIGDQGGILILAQTTASASRKQSNKLFYSFDRGNTWKEHEFSEKEVELWDVTTVRSGG SHSFLLWGKDEDDKAFTMKLNFKGFANKDCQFDKDHPENGDYELWSPEHPAQNGECLF GHKSKYLRKKIAKDGDVQCWNTMKMSPLYGKEDCECQREDFECDYNFEMDPFEQCSLV NGLSPMTREQWCSEHPDAIEFFPPSGYRRIPLTTCKGGKTMDEQSEPVACPQKEEEYE KKHRRSGWSIFFAVVIPVVIAAGAGWYVWRNWNGKFGQIRLGENGTSTHVFDEGQPWV KYPIIAISGVVAVVVAIPVVAGAVWRVVKGGMERVGLLGGAGGGSRGRWSRLGGGGGG WGSRRFTTRDSFARGAGGYDIVDGDDEGELLGDEESDEEQV QC762_116850 MKGLFKTAVAAAVVAGVVAQPHNHGHAHLHRHARKHNQSPVELE KRTDKTVIITEVVEGPTVVKYVLDGQVIPEEKAQEGIAAGQFAVIGSSKPSFSAPPPS VTTSIVLPEHGGQFFEKTTSAKPEPTTTSEAPKVKATEEPEPEKEEEEEEEEEPEVPK GTGLDAEFPSGKIRCSEVPTDYGAVKIPWSTTRGWTTLASFGDWVKGKAVDNIDQPVD GTCGPKMMCSYACPPGYQKTQWPEEQGATGQSVGGLWCNADGFLELTRPSVKTLCEAG AGGVFVRNELDDNAAVCRTDYPGNENMIIPVDTQPGGTYPLTNPDSRTYYKWQGKPTT AQYYVNNAGVPVQEACKWKSEKYPDSAGNWSPTNIGVGKSLTGETFLSVFPNLPTSHA ILNFDIKVEGDISGTCWLKAGTYSQDKGCTVGLKPGGTAYIVFKKPGSFQV QC762_116860 MASNRGPTATEAQAPRAPATALTAQRSLKRTRETTPTSPESAHS GDLSPSKIARLMGLHTPTLTGAAALEEERRRREEEHQHQQLASLETSDNPNHRAIEEL MSGVVNALSRSHDAPAPAPPPTGVSEIEAAAAAAARALSSATIPTGENPISELQDVSP QSGTSGASLEDAEGQVVHSPAAMDIDGRGDQRMYAPQPDAQMDEKTANSLSYPGVLSP QGNMPAPGAPQRGMSMPMPPSQGSDMEPRSPGNSNKKHKCPYCQTEFTRHHNLKSHLL THSQEKPFICSHCQQRFRRLHDLKRHGKLHTGEKPHVCPKCDRKFARGDALARHSKGA GGCAGRRSSMAGFGEEDYEASGADDSAMSGVLYDANASGDMADDERRRSLPSIKAQHV PGQPGVDGYATHSNTYPPVGQRPGGLYPPNVDRGSTSSNTSPTVPNNHTPHTSISSVP LSAGGASMYSQSGMTESPKPLSPGAAQANQGLLQRSPHETHQAASGLSLPAHAKQAWL SQYPPADRDAIKGNTAPTAQTTGRGRGRAASGAAPAAQGAPPADGNLFGAEQQQPYWV LLQHFDERLKQMQDQVAAEISKASAPLLEKINIQDQHIAALSAEVASLRQQLQGQQEP PLPQGQEQQEAEQPQHQQLQEQQPQQQPEAPNEVAVQE QC762_116870 MDRESGSTGTPPVITKKKGKKTATKNKGVDANVGTSNLKGTITA DELAAASSSVVKEVVVVPSTPKKSKGSKGKKGSASLDSHIESSSSKTPQQEQQPKKAA VIGSNMALAESTGDFFEKAADELPVKTMATSSSKGVQVNGVNGVRGGLDDEKERPSEK ENRLVNGERSGTATLTQRKQQKSSAFKAKDNDYPPLYDDDEREDRRLDRIQAYRAAGI RFAPWNIPYRRRMQTVAVIIHCLSIASTVSFFFFLCAIPIFWPLIIPYLLHMSLSKNA TDGRLRMRSERWRRLPVWKLFGEYFPAKLHKTHDLPPTRKYIFGYHPHGIISHGAFAA FATEALGFSEKFPGITNSLLTLDSNFRIPLYRDYILAFGLQSVSKESITNILTKGGPN NEGMGRAVTIVVGGARESLEAQPGVMNLVLADRKGFVKMAIRTGADLVPVLAFGENDL YDQLSPKTHPWLHKAQMWVLRTLKFTLPFLHGRGIFNYDVGLMPYRRRLNIVVGRPIM VAQKREGEIENEEVNRLHSEYVGELEKMWERYKDVFAAGRRGEMNILK QC762_116880 MAPSPSVEPAPPPPPSPGIKSSTVPPEKESTPTEITPQPITNRP ESRTTTTNKKQEPCEPPSSCSSSPRPYHHHPTPQPSLLVTAHKTHDYRHELLPVRREW FMTHPTKLAIITALVIALQVAVWGFLWGVFGVGPSETTSALSPPPIANLTYAAFSGLT LPNSVNQFLGLPYAQPPIGPFRWRSPSPPLPSSSGSGPIPATEFKPICLGAGVAYPTP GQSEDCLYANIWAPANATSESRLPVWVFVQGGGYNALSNYNWNGSEVVERSGYGVVVV NFNYRVGIWGFLAGGGGDGEMELNVGLRDQRGLLGWVQREIVQFGGDPEHVVMHGASA GAGSVAMHLIANGGRDDGLFHGAILESIFFPAQPFVGELGWQFERVLNQTGCKGGNST EGEMDCLRNTDVKVLQEVANHAQPFPGKADPPLPVFYWTPCVDGELIQDFPYKLFKQG KNVKVPIMMGTASNEGTVFTPNITTPQQFTTFFSNNYPLLTATDTTSVLSRYTPPNFN TTPYFNPPNRPPFYGVLATAYGESTFICPQTNVLNYLSVDNTSSLWAYRYNVHDDENT RDGLGVPHLWDAGAIWGPGSLNSWDRTGSYRTYNKELIERVRGYYFGFVKYFDPNRGR LGTEPEWEGWVGGNETTGRRLLFETEGTRMEVLEQEERERCGFWLGLGEGRMEQR QC762_116900 MASLIMSLPSILQAPTAGEALSGIFGSVSLTAWICLLLPQLIAN YKSKSADALSMKFLLIWLLGDIANLSGALWTSLAPSSIALGMYFCVADLILITQCTYY NTINARRRAREQHHHHPGHRRHSHRHPSADSDTTAVEDTPANEETPLVTEHRRPRSDS QTLLPGSHRRHSTHRRRRSSNLDPLTRIITGEDDTPDSNPWLHNALSLLAVWVVGGAG WFVSYRMGAWDSPDVPVDTEPISAEPQAIIGMVLGYISAVCYLCARIPQIIKNYKEKS CEGLALLFFLLSLTGNFTYGASVMSYSQDRDYLLRALPWLLGSFGTIVEDGVIFVQFR IYSKGNQAGQQSKSVGGTA QC762_116910 MRLSSVAVSAAALRGALAWGGFGHITVAYIASNFVSDSTTSYLQ TLLRNDTGDYLAGVATWADSIRYTKWGRFTSGFHFIDAHDNPPTYCGVDYDRDCKKEA GCVVSALQNYTSQLLDTELPLWRRAQAAKFVVHFVGDIHQPLHTEDVARGGNGIHVTF EGKELNLHHVWDTSIAEKLVGGIRRKPYPFAKKWADELTEEIKSGKYAAESKSGWLRG TNITDPIATALGWAVEGNALVCTTVLPEGAEAIEGQELGTDYYEKAAPVVEEQVAKAG FRLAAWLDLIISSLKTFELPASSEPEPDLDSDVPGDL QC762_116915 MPLSSIHRIFGGLHNWPARRLHIDSPDFSSAGRASCSPSTAAVL DTKMAAVRILEGTQSAGFLLSCSRANCWAPTASTSGAPCVRLGNAARKLMRLLSQKAN GIHVNLRLWPISALASWRSGSLCLGMKRKRASMSSPPCKSVHPPLILHFSLKALLGLP TPTEMPSINRRPAQEEPPPRTDSRSENVYRLRKLFCFSIISSL QC762_116920 MLMKNAVAALMGLAMVAEAASVHQYGTPTRTVGRRQFGKKNNFG NRFGNGQFGGGQNGQNNNNNNNNNNNNGQNNNGQNNNGQNNNNNNNNNNGGNNNQASE TCLAAAALQTGSQSTGQNGAQAADGQVNSATDNANFINFCQGKTLTNGLQNRDGSCNG IPMGEIPSANRMVSTVILNPKNGDDLQPLTTFQIQVNVANMQLGAFTNATSTYYSAPQ TLNGGGQIIGHTHVTVQDTGNTLNPTQPLDATVFAFFKGINDAGNGNGQLAAEVTGGL PTGCYRVCTMSSASNHQPVLMPVAQRGSQEDCRYFSVGGACANNNNNNGGGNNNNNNN NNNNNNNGGNNNNNNNGGGQGQNNQGQNQGNQGQGNQGQQNNQGNQGNNQGQNNQGNQ NNNQGNQGAGNQAGGGSGAGNNGNGNNGGNNNNNGGGNAGAAIAGIQPPPVTDSGNAD RPFAVNGNTFVTRQEAQFRACAIQNNACAGAVNSGQAPGRTVPDCNAQEAACRAAA QC762_116925 MDGGFDTYRDYLCNVFKNANRPAKDNHTHGTILPTPSTIVSTHS TDTSRPINNSPHRYENSRIPVGLETFNGLTYVPPDVVRAISTDLTTPFWGPGYDGLDS QQPARIPFSWFQKEHPQAKFAFGFKKEDGRREVVRIEVPVTDLTYVVSETGKSELCAL GIVGTDERNAALGTGVMKNGYWVLDASEMKVRVARGVNCGSEILQWEEGKRYEGRCGE GRTVCGGLRKKNGAKDGYDGVYHE QC762_116930 MKFIAVVMSLCVSLASAGVVIIPIKPEQVVPKASEDCYFGVVTP MGCGTSQEQLDNITSRDKHDVIGDML QC762_116940 MASTKDARRPDLIVPFQEPASKGDNPELASTLSSTLPMAAIFMR NRYVGWAAVAFSLQSWLGESEETKKSNSSPGYFAVGMSLMSLIVTYLPLFLPPSPGLQ QGSSTEAPAPVPPA QC762_116950 MTSRPPLGVQQRQPQQHSLAGPGLSQRPAAHQRALSQQQQFLPP SPIRKETGSFEFTPPDYNDGANTRYPGQRRGGSRLKLELSHESLESITHTGIIESPNA IDSSKPFTPSRMMLPTDSSDLGDMSPHFSHLPTVDLDAPLPMPQRRLRITLPRRDPPP IPSTTTRKDVPPKPYQVEVPSAAPRYYTHGKVEARPRKGGTASTAGHLAPPPSIGYAD FYPWMGNHPEDQFSENVIRQGHFDKPAFNPDTQSGKYAIFPSMKGANSSLHTLSAIFT AALGARRNNGQIASHSTFRYPPRVTVTDTKRELWLKDLANPASSLRRLARTIPHGLRN QALLEQCLNKRIPFERAVWLIQCVGANELRTCKRKGVSLAQSFAAEVRWIKDWTVSVQ KFVENVLFSFDDKDWKAKVQYVVLLATHLYEQYLLDRETYMEWLVSSFENSNQNRLPM WMLITEIYWKDLLKLRRYGRRLVTALISHHQLVFNHPDRDILQPLLSKTTTLLNTLIL SSPENFVSPSVWSKYRDTIKACLPVGDTERHDAFAAIGSRNEQLMASGNRSQPAARHI LVQWLDRNTQAPMSEEGPANSWNISKDKSALARALLEWCTSLYRPGKTKVYIAGQLLQ HWSMLGLDVTTEILDFLDADPCAQKERKDHLYHLVCELVRSNDFSVPRYIQWLSARGG ITNPEDILPDGPAFTRLLAEIPPFGLSSEQRNLRSGMLRRASFSVDDEARDAEMALKL VRQSLGLPLDPADPIRQRKPRSINKISQQIQTASRALKAEIGRWLRDSVASTYGGKDK TGSGGPGASPTLFGSIRQILEAAEDLSMLADVLRSLISNACVEVLAAIANTVNRHFFI FSALGVSKGLFNDLSKKLRAVYLEQGPGARPLLVSLVSLAPRIPGMDELATQLRKDLA LSSRQNPVDACSPVSDNMIARLQDDSNDLHEEIEKLLAAGTSVDRKTMENLFQTIIQR LHQSWGESADKQRVCSVLLARLRVFDMPLFDALMAKWIAYLRTLTNRPSIDRIFPLLV SNGCLTMPAILASTSDSSTPTPITRVPTGGASWPQIVQITYRTRYMQEALKLLMNPLR PDYDLITPEEIYRFTNLQEQVLRENPKDMLALIGSAMAEYSFARAQNDTRGLPLDDPI IQERLSTLIKLLVLKDAAGVGRALAAWAARSPDGYVGSWIDNMTTKLLLPSADRHTHI TFDQILELTNEFTWPFCHLQLFLQTSTPPTDQSNNQQAPAADRQPSTLDSLTSAMDRA IDKKNLTWVGIVLSLNVEVVQQLKGRAQSRFLGLIPSPREPLPVNTAGPEPEQSLQMA ENLLSVIEALMRGSPPGARQPQLPPEVVIKLSDLWELVANPELDLSAKQQIITRWLPL LLNFITLHTQAFDASKASSDIRARLLVVCAGLMQELDALHGPGAHTRGLAARVFDLGC VLSDNLPEEQRGQCVRALFGTGSGNGGGDGRLRYLLSWAGSGSNGCEGIWVSVRERGR QVAMGGQQQGQVMKDGVGFAVEKLAVPGGLWSGTTMATPGVVVGGNNGGQQQQQQQQT VAGIGGGERLTPFGMRKWDIVHVPTGAVVENDTGLDLSLFEARGQKVWPAKQL QC762_116960 MYRIASIVKQKPYCLGYMSTEEDTSAKVYRRRFSLQDSLIFPSL HTLPFSLHLLQAHTAVTAKDSIWTGVGVRISISRATTMINIKFKRLMSWSAAPLYSCR WGGMFGC QC762_0016500 MRDPLAFAAFYFVLYWYLQAQGARCVRIETNLLACFSPFLLERR TADRFSQSQAHPCQQRVWPAPTKNLDLFPRTSCASLAEQTRPHAPIPRPHYRGNGAEF ISL QC762_0016510 MQSSPPARHHTHHQQQQGPVPPHLQHSRPSSIVHQQHHSQAPAQ QQQSHSNAYSSGHSVYQPQSQASNAQEHSLSYYGHQPSPYSTPGATSGYTSADTGDMM AATMPRPPYPPMSSYHTPQSNSPASVASPSGHDQQRSMYGQPPSQLHQQSMYYGGPQQ QYSSMSAQTASSPYAQHPQQSHQSMASQPSMMMSHTAPQHQMSHHTSQHSQAGMTVSP RPGKIETHGLTNRIPGPSAPVSIGSASSTGPQNGAPLTAPAGGAAGVNPNAAPGPIPA TTPLVVRQDTNGVQWIAFEYSRDRVKMEYTIRCDVESVNQDELSAEFKTENCVYPRAC CPKDQYRGNRLVYETECNSVGWALAQLNPPLRGKRGLIQRAVDSWRNSNQDPRLRSRR VRRMAKMNTRNSKAGSTTPHATHIGTPTGPGMSTPTGMGASGNPAIGKPGMGGMNSSI HHHHGQQDGSAQGGDEVGMFHQMA QC762_0016520 MDEQQHHHHHQPPTQAGSASGSEDRPSQVFTGYGSYNGAPTHAG SSNSMSIHDQLSGSPHASGGGGAIAARRMSAGRDPRDDEPEDLFPDIPEAKKRKFILV EDSDRQSRLRVRVTLDGVDTREIPDSFRKSSSVYPRSYFPREMQSPPPSATGSKFFLD DASDYDGNEDDGTTDTEGRRAGRGARSRGRTMVKVPMGEGQEGEVAIPRMRKGFRGKE VRLNDLGYRMAWLQSRVFAGRTVFLQRALDCYRNKTRSAMESVMQDVKTAAPHYETRV GKRKWNDRMRGGEKKEDD QC762_116985 MASQQQPAAAAAATAAATAAAQQSEPLRLTPHDTSPEQPQQQQN KPPFSSARDLLEYLYEDVTRLSQIATENCVLHPADNTLPPCLGVAACQAHEEELLKTT RGTLKMRVESITLSSSHEFGCVMGKFELGGGEVRETFCGVWRFEMGTTDGEEVRAVEH WENLTPEGRRRVADLRPQLPPQPLPLPPLRLEQSLPLPHLPLKHPTQLKLDKLAKIRQ LPHLPLRYLTQIHMPRPPAPSHLHRRHPQDISDIPRMPLLHGRDLGAEPAVQSSKLRD VPPVERDKCSGLIGRQGICKRRRRKGRVEDTGGATGVG QC762_116990 MADPARYRYSGAVGRRSPPIYNPARASLPVTHSPGYPLYSGDIH SMTASLHEGLTRPSDDYRPTAVPVSATSYAVRKEPVARSTSVKDGPRDRIIDSANKRP IIVTTKHPPAAPRSNSPSRDSRDPYRSSDEGQYYTQPAVSANRGRTPGGPAPFSAAMD DDEYRRLKERTETDRLPRGGGDPYRTRPVYTGPPRSNTVDYEDDGFEYTKPSELARYD LEHDRPRRRRESLDRYYRPTVSVSTDLAMGRPYEQNEGRRVRQGPPPTTWGLDKINRA PTMPAAGGIYDGAGVRMPGAPDARRSGLAIEGPPGSPMSEPHGIPSTRPLNLLENGPP RAGHYDDYYDDFDRDRGYFQDDVASRGFGLRVESALDEPRRPPDRIYHDDRRREPRRD YGDREVRRRSDDDLEVIRRDHDDRERRERRDRDHEYAIDDDYDRDRRRHNRSPPSEDE RDRDGRKAKVDPLAAGIGIAAASLGIGAALQGRKDEKNDSPSRRYRDEEDDRRRYGDS ESAYSRPSRKEPLLGDEDFEIVEHPTDRDRDRDRERDRERDRERERERDRERERERER ERERERDRERDRERDRDREREREKEREKTARNEPPAQIEPDRKRPENDSKANADNVPV PADREEDGKSRPIRRRPRAASSAFNPNDTAGLAELKAKLAETEEKDKASSFKPEIPAV REPSPERRPSPVDKRDREDDSAMVVKEDSSRVGSRSSSGEITPPSSTDRTVRVVDPPK EKEPEKKPIKGILKQPKPKFPEEPNPVREGVAPHKDDKTKANVPPGARWTKISRKMVN PEALTIGKERFEVRDDFVIVLRVLSKEEIQAYADATATLRGTYYPFDGDSDNDNYSES SLLTEDFEIIERRRKEYESDDDEEYGGKSRRSYRERRD QC762_117000 MAGRVPSSRSRPSNQRHARGAMAPPKRGSAIPHWCRINNSQASS PQKVNRGLPELVGTTPCGHTSFSSSTVPCQPAKVDVQSPEALRPMPRVPVPFSVFPSA YRESETATQTKTHEEIKIQVPPAGRIGQDSSFTAQAALPAPPPPKVVEENRFKEEVRI TRKEEEHRRPVPVPVIKKEEYHFHEEIRRPQPPPPASNYLESRIELDHRHRPHSSVVD LAESEFRARTQANYRKEPTVVASPSYDTTVDLPTRRPVFKSSTSIGDKFTVEAAITRP AKKESHFQVTDTTVDFPRPPRITAPKKEVKLLDDKPYTPSTVSTTTTNNMGYYDEDNR YHSFRQEVHNKLHNKLHKLADKLHHHHEGHVEAEVSSVRRGPAAAPAVEKAPNTVTIP CHHIRLGDILILQGRPCQVIRISTSAATGQHRYLGVDLFTKQLHEESSFVSNPAPSVV VQTMLGPVFKQYRVLDMQDGAIVAMTETGDVKQNLPVIDQSSLWNRLQKAFESGRGSV RVLVVTDHGREMAVDMKVVHGSRL QC762_117010 MKQRFSSLDVKVIAHELSEALVSLRLANIYDLNSKILLFKFAKP DNRQQLLIESGFRCHLTDFARSTAPAPSAFVTRLRKFLKTRRVTSVSQIGTDRIIEFR FSDGAYRLYLEFFASGNVILTDADLTIIALLRNVPEGEGQEPQRVGLKYTLENRQNFG GVPELTKERLRAALKTAAEHAVTKKAKKKGADELRRGLATTITELPPVLVDHVFRLTE FNSAAKPLEILESETLLDSLFRTLEKARAVLDEVTSSPRATGYIIAKPNPRAVEQPPA ETEGETQKEKPRGLLYEDFQPFLPKQFEDDQGLTTLSFDGYNKTVDEFFSSLEGQKLE SKLQEREATAKRKLDAARQDQAKRIEGLVGFQTLNLRKAAAIEANIERVQEAMDAVNG LLEQGMDWVNINKLVEREQAQGNPVAEIIKLPVNLAESTITLLLGEEEEEEAGGDEDM EFNYDTDEEVVDAAPEPEKAKGPDKRLAIDINLKLSVWNNAREYYEQKRTAADKEKKT VAQSVIALKSAEQKITEDLRKGLKQEKPVLQLIRKQMWFEKFVWFISSDGYLVLGGRD AQQNEILYKRYLKKGDVYVHADMHGASTVIIKNSPKTPDAPIPPSTLAQAGSLSVCCS SAWDSKAAMGAWWVNADQVSKSAPTGEYLPAGSFMVRGKKNPLPPALLMLGFGLMFRI SEESKAKHVKHRLYDGDIDLAPPSKPEKETEKEAAPEQDNHEDSGTDGDDDGPEDEKR SNPLQSSGKPQSEDEDEEAPEPPSDQLSNLDIAPVEEQKQQAEPEPTPVSNSDSESDH EEEEKEIGTPSRAGTFTPSQSQPQPNKRPLKRGQRSKAKKIAQKYKNQDEDDRALMEE LLGVAAARKKAEAEAAAKKQKELDHLAAMEKRRKQQERQQAQIAKHEEIRKMMLEEGV DILDENEKADAGPLDSLVGTPMPGDEILEVVPVCGPWSALGKLKYKVKLQPGQVKKGK AVKEIFERWKLAAGKKGVVDPKGEDGEKMWPREVELIKGVKVEEVLGVVPVGKVTLMA GGGMLGGGADKKGGGGGGQSKGGKGKGGGGGGGGKKGR QC762_117020 MPTKTPKPFVHCVGNPDFEPEKAVGDHDRHQAALKLLEERNDFE GAVRLWFGLPEAGKDDYVYHALASVTLGQVQRGVEMGAEKGLHGWYEGTKDGETPLPP PSTTDIAAYTNLFTPSLSPSSALKSFVSNARKSSIRALVAENLSSKRYINPAWPSLQI PRVKNVASLPLNPYLDFWRWTCQNLEWCGPVGGQGLKSHWVLPVVMHHFCCVVPSYES LSIIKTLAVEGVKKNGDSTADGNDNIGPENGNGNGATNGKKESKKKAKKEKPPPLKIL DVGSGSGYWSFMLRQCGLETVAVDNMQSEWRVTWLKDTHLTTGTSYLHSLPDSQHKSH ILLLVYPITGPDGSGSFTKDLMKEYQGDVVVVAGTQNKNGYTSFGRGKGTMDSFMLES QDQRGKWEKVVQVPLPSFAGRDEGLFVYVRKR QC762_117030 MADAAFKPEKDYSKEVDQQLPEAEQLAKTDLQGAIEKLSILEKQ ARQASDLASTSRILIAIVTLCKNAGDWALLSEQTLILSKKHGQLKQAITKMVQTVMDF LDQTPTLEIKLSVIETLRTVTEGKIFVEVERARVTKILSDIKKQQGDLKAATDILCEL QVETFGSMERREKTEFILAQVALCIEIGDWTQAGILSRKISTRYLARKPKKTQEQLDK EQQEREKKAKAGEEVPEVKEDDVTDLKLRYYEQQITLAKHDSKYLDVCKHYRQVLDTE TVEEDPIKLRAVLQRIIYFIILAPYDNEQHDLLHRIHKDTRNTAVPEDAELLELFTVQ ELMRWPQVSKMFGPHLCSTEIFDSAEGQSGDEKAFGRWQDLRKRVIEHNVRVVAKYYT RIRMGRLTQLLDLTEEETEKYISELVTSKTVYAKIDRPARIVNFAKPRDADDILNEWS FNMKSLLGHLERVDHLITKEEMMARIQPGVKQTKSKPSRR QC762_117040 MVQSQGKPSTPILPGPMHEGIAQPKDGPSDSSNAASNATTQLTL TGPQDLLNSTKGHDLPGRASFDSLSSDLGRPSLSTESNRSVFSLAQNYHVGNSSESLD TAYSADLTLPPIQLPPIQHLPHHNQDSDSDEDTDQDQTAAQQAEEGSIIVDSDDLGTD DGYGSDTNTTASTSLADSVREFIYENGRRYHKFREGMYNFPNDDVEQQREDMKHQMVK LLCGKLFFAPLDRPQQVLDIGTGTGIWAIEMADEFVGAEVLGVDLSPHQPDWVPPNVK FMIDDVESPWLHPSNHFDYIHSRHTVMAIKDWPRLMRRAQECMRPGGWFEMQEVYHFP ISVNDSMPPNHPIARYWSLINEGLERLGVDFHAVAGGKLANKMRDAGFVNVTERVLQI PIGTWPKNRILKTVGLYWRTILLDGIQAIALGPLTRGLGWSQSDVEMLLMEVRRAYKD NSCLLYMPFHIIYGQKPA QC762_117050 MAKLKLSSIFSTSSSNNAASTTEGETKDLKKSNRRSFSALSASL LGTKDTETNGTSAATSSKSATPSVAPGPAAPATTSEMVALAQKITRETEKLEAYMKEH DLPMSSFDASAPADFPKLPDEIAQSRKAIISATKELGLLAHGPRESVRWGIWEFLDTL ALAAINHYGIAKLVPLDAPISLADLQAKTTLDPTNLARLIRLAITNKIFYEPTPGFIA HTAASRVLAEDSDLQAWVGFNGEDILPASAHVLQALQTHPEATSLTRTGFQFAFNTVD KEPMFVTFGKDPDRAKRMGKAMVSLTGGEGYEPFWFVDVEKGGYDFGEIDARGGTLVD VGGSHGFMCVALAERWKNMKFVVQDLQKTVDSAPKPISSDAQVAERITLLPHDFFTEQ VTKEADVYFFRWILHNYSTPYALQILRNLVPALKPGARIIINDHCLFEGSGQEDPWDE KVMRRMDVVMLSLLNAQERTESEFRELFAKAGEGNQKGEFVFKGVKRPEGCRMSIIEA VWQLREEAPTVAAVEEVKEGEETPAAVEEPKTEEKAE QC762_117060 MELPPSSSANQPAHNTGKLRRLVSSVHRHVKTKSRSLLSPSETS AELEQSDLQRCIATVNSYSNHLGELRGLWEQHNHLLSSQPESKQRIDLIIQKAGADIE HCEKILQRCSLAGLDDDGETRMWRKLRWELIDKHRFEHHKAQAERNDNTITTHIFALH HLILDRAVSKLLNGTRAPATNLATENSGHGVNGSPPGNAGLTVPRLIVTRPGLPASPR PVRGTSTPPPPRPVGAGKGKQSSEQRKRPSSEPLRQQPQTMTGKTC QC762_117065 MQLANFAITFIGLFTVAEAIKNCQSYTADVRETLWNSPAEMDCY AIQATGESQECTYKASDGQVQLAASASCHLQVRVNGDGNEITFGNQDAAEIVKLAISK YQATLDGVLRVGGQGTVTCGGKSANWSIQ QC762_0016620 MYPYRSTDKVIILHRFDGVSVQEPPVEFQIESAKHDDTPSPLKS DIRLIFETPTPTSIVLMKLNCRRATVSPAQGPVVSGLDTDSAPAPRCEQSIRYLPGMT KSLLAQCNTHVLVREEAKGRPMAATVGDNI QC762_117070 MTTTTTPPPAMTLSYPNPSLSSMDGEDNPRASPASISSSMTVTN TAEQLEFEEEKLSEPPSKRPVMRQVLLTLVICLGLMFSCLDTSIVSTSLYRIAGDFQN TRDISWIILSYLLTYMSFAVGFSKLSDIYGRKAIMLAAWLLFTLGSIWCGWAGSMTQL IAGRAVQGVGGSGLYSLAQVCLVEQGPARPEVVGGLVGITLSVSFVLGPVMGGGISDG WWWRGVFWVNIPVGVLAMAGILTLWPWERMAFGRREEEYQHQGAKMGGFWHKIARVDF IGNTLLALASILLVFALQEAGRYVWRWDSPVIIWSVAISVVSFVLFGVWEWYLYRGGE SGKVRIEGIFPVGLVKGRVYACVLVNTLLSGFVYIALVINIPERLQVAYFDSPLWAGV HLLPMLGCCAFGSFLGGLVSKKRDFTSHTLIIGSLVQVLGLGLTVGFDSRAGNKLPLG QLLGFTAVYGLGVGLSFASATIIAAVEARNEDLAAAQGAVAQARVFGGALGLAVGGIL MNQRLKGELSGVLKGKGLEEVHKSLYGILRLGVDDRNRVVEVYVGGFGKMMWVFLGVA VVSAVVACGTWRGKGGEKTVVEVMEGHQVGRGGGGRKEREMELESASSVKSLMG QC762_117075 MLDGKMDPNDVYPSKPLEPTEPPLAAPPQQQYNEPMPDATGRTS QSQDVDMRDTPPKSPEGHDYPDSAATMGRLEQPIPLAPRAPKPPQQSPRETPPPWQPV HVSQYGHTNRQPIPEGYTVKTTVATGQQALVVPQYHPPSNCPAASAGQAPPVQPYRPP LVYSPSVVLSFDPGYQHSLKPRIYRHVHRGIIHELECIPMVYKSAGEETRVIWEVIQD GHHLNYSDEPNFLLSP QC762_117080 MAPFSLPADTAFPADKAAILPAPLPTPSHGAGGSFSIACSTRIN APPSKCLDIVRSPADYPSWNPFCRLVKIDSQPPSTTSSPDQFHLGTVFTFDVHFNTSP DSKPSTKSQERVSILEDIVDPTSKKVIGHRIGWIQIGPQPDWMLKCERIQEFIEVEGA DGKVTTEYRNWETFYGLLAVVVKLAAGEGVRKGFEGWMGGLKRKAEGGN QC762_117085 MGSRGQQIFRTTMFKVPDPANQKKLVEMYNKLAEEQKKDGKPYI LYACAGTANPDQRSKGYTVVANMKFASLDDMNYYDNECPTHAALKKAGAGLGVEEPPL VVYFEGTPALMVN QC762_117090 MRSSFLETSIIDLLEADPRPSFIVALIPHPPTVVYTNPAFGEHL GLLELVTAAKEDNAPLWEWISGGSTATTAGPSLSYSDTYWTRAVVNEEMVVVGANEQA KPPSRPVPPTIRVESTTPGPGPDKSIAEPTPRRTRPPVEFAETDTVIEIASERPPSAP VSPARPVHALDNRTKSTSAVVQTTTKDRLALRAETVQSLSLERSASDPGWILPDIDPD VIDSVNWAATPLGPQKSWSSRLEQTFNQILVDSRPIALYWGPEYTMIYNEAYSKLCGS RHPEMLGMSVDDAWHEAGKMLKDTMRSFSLKQKGVVEDEWRFFIEREAEVEGGPNWQE ETYLKWSLIPVVENNEYLGFMHAVAETTSMRLWERRMKMLIDLGEVLITARDVKSYWE KTIEQLRAVDPQYDIPLAILYSVDEDPEASSAPPSPSLGPSKICRLEGALGVPEEHPI VPHTISLRMSDDGLAAAFREALKAPHPLLLQTHDESLPTHLLEGLQWRGFNDDPCRAA VICPIRPTKKENVMGLLFLGLNPRRPYDNDYRQYISLLNQKLATSLASIVLLEEEARR GRNAAEQAAYDQAMLKEKLAVQTKEANESIQMFEAVAEFVPVGMCFGDPEGNITFAND AWYKITGYPGTGPVKSSGFLACIVEEDRQMLVSQYDRLKSVNNVEFEFRVKQQANLEV PLTRTSPSFEKAGLDLSSVEDMKERHVLAAARAERAVDGSILRVLTCLTDVTAHKRAA EEAVRRAQQAENLKRMAEFATVGLYDMDLEGKLLGANNVFFEMCGLEKVDPSEVELRP WKDCVCEEDHLQITEKIETMVRQDKVQNVEVRLKTAWTADDGAGHKVIVPNRWVQATL MPVRTTEGQIQSFTGCLSDVSLQKWQLDMEKKRKEEAIESKRQQENFIDMTSHEMRNP LSAIVHCADAIIATLARVQELVSNPPCGSAACAALPRPESRDGLSLNTDISEDCSTDV IGLIESSIDSAETIVGCAQHQKRIVDDILTMSKLDSKLLAITPITVNPILMVQEALKM FEIEARRVDINLSMVVDQSYRDLGIKYLDFDPSRLKQVLINLLTNALKFTKTGPTRNV SVAISASLTRPTEAASSSKVQFIPRSEEDYFGHDPSNPAPRTHGKPVFVMFEVKDTGQ GLTDEEKKSLFKRFVQASSRTHVKYGGSGLGLFISRRLTELQNGAIGVASQPGVGSTF VFYIEASIPSEESRQEAEAAALAASKMSALKLSGKVNGIGNSASRRAANGGSPSESTV ITPGGSVSRPSPITPSTGSEVSTPPLFPSGLSTTFIPSTNSLSTPPPTISGILVVEDN LINQLITRRWLVNMGFNVDVANHGVECLDKLRKTDRFVFSGSDEGESSSREKKFSLSV ILMDIEMPVQNGLTCTRHIRELERKGMIKGGRIPIIAVSANARMEQILEAKEAGCDDV LVKPYRMPELLEKMRFVVAQVNGNRVEKGNGEEVT QC762_117100 MSIPVVTNIELSSLAKLASGKVRDLYNVDDKTLLFVTTDRISAY DVIMANGVPLKGAVLTNISAHWFKVLQERIPDLKTHFITLDPPANLGDADKELVRGRS MQVRKLKVFPVEAIVRGYITGSAWNEYKKSGTVHGLAVPAGLQQCSPFPEPIYTPSTK AELGQHDENITPEQAAKIVGEKYAARIEALALKVYKAGAAYAAERGIIIADTKFEFGL DEETDEIVLIDEVLTPDSSRFWPADEYEVGRDQDSFDKQFLRNWLTKEGLKGKDGVEM PADIAQSTSERYLDAFKRLTGKTLQEALQG QC762_117110 MQLVAASVLALASGVFAQNVVQFNVSRGVPGVHLGSIPVLGKRA ATHSERLINFMAGGGYYARVSLGTPPQVITMLLDTGSSDAWVLSHKADLCIDDDLQQE TQLICVDTFNPSKSSTHKVIDPRGFQIKYLDGGIASGGWMQDDFTIGGTTIKSLQLAY VTKAKRNTGILGLGFAASEKAATKYPNIIDQLANQNLISSKAFSLYLNDRRTDAGSIL FGGIDTDKFIGPLQIIPLLATNGTYTSFEIDFSSLAVTLPNSTTLDMKTSMLDHAAPA VLDSGTTLSYLPNDMVDILIGNLDTFFDPELDMLLINCAYLTSSSPSLSFQFYFLNST ASIRVPVWEMVLDVLSPSYVPPDDAPFKNACLFGIQSTEIFETTGTVKQPNFTLLGDT FLRSAYVVFDLGNYEVGMGQANLNSSSSRIIELKEGEGKNATETETATKTKGLPEVTG VLAQQTTFTPTTGPVMTLVTTKNNAAVKLGMTGVGEIMGVVGITSLMAVLGGVFMAL QC762_117120 MGSLGPYVIDPLAAMSEVQLILHAPPDFPISKNTEILGVCGIAD NYAKADKYGWIVADFLAYKVGCYGLGRPSDHTWLSSLDLHCFLENVSTKLDAGPGSPM RRKIFGPNGDHITTVPADNAGFLNVLLTQIGDSAKSAASKNVPLVIFMFTPVTPEHDI CIDFGEKKFLTTEEICRTIAEATGNPNLPVTLLTPSAFTGGWNCRPSLMGPSRCSATK AMELIARSSGGAFADTFIKVFTSRQSPLLAREHQDIARYDDLMPLSPTVEQKNFLHHL QGRVHEILEHGFSPFANRHTAVVNGPDPWEILAPRIGVPLKDWGPRFMNRPLYEAVRR VEFFGEAFGGERSSQLFHLCYLIDIELSTCPGDWNKKTAGMTQELYRGFTEHPNPDDD HFKQVFDALDYRASSMKLAHCVAKALHLPMPGNLKCRYWNDGYDQDDAFYKRHAAAFG EAHNLFDQVAVRPRERRHDYKVVRFLRASRWLSACIAMKFANDGTDTANVKIFVNSSV TDFITQVKDLQLGLLLQDKDVLKASSRWLAAIGFGDSRNMEILGAIDTSSPSLEVFFP SPVAPVYVDKGKGKEVAKVEEPPSLWFEKQNQSPVYPIQQAQVNVGRDGVVFPAEERA DEFALQQALLNEAKFNKEKEKTVIEPAFQHHKPLISIHQPPAPTPAPEFQTPRSPVRK SPVTTVVEPTMASASEPVSTKAPSSYTPDTPFSGYTAIVQEGSR QC762_117130 MNLTDNHPPIKGHKSSGVIDWVLASGGEQRPRIEVLSLSSVRHS HHRASPMASPTEDLSHIPLETRSIITTESSDGIPFPASPIPHTHRTWARTFSSRPEVY LQPSTLPQITKITNLARRCRRRLVVVGSGHSPSNLTCTSSWMVNLDKYSRVLSIDPTT GICVLQSGIRLWQLSEALNKEGLALPSMGSINEQSIAGAISTGTHGSSLKHGLISEGV ESLKIVLANGEEVFCSPTERSDLFRAALLSLGAIGIVTEVTFRAVKAFSLAWEQSIDS DSKLFAEWESKLWKQSEFVRVWWFPYMRRAVVWKADKVDENDLDTGVVKNYDPPTSFQ DSKIGYFVYHNLLALARWFPRITPWVEWFVFGLQYGFGNGETTTTRAVQPSYKAFLLN CLYSQFVNEWAIPLAKGPEALQRLAAWLHRLQPGDEGYVEHKIPFSAEGLWVHSPVEV RVSDTTVKTSGERGNRPWLDITPEDGPALYLNATMYRPYHKDPSYNATERYYEAFEWL MRDLGGKPHWAKTFAVTPDEFASKQWYGENFHQFRKVRDEVDPLGMFVGPWQRKFLLG EPAEEKDRLALEEVGFEQKPAKEGGYVVTGHQNVVVA QC762_117140 MSFQNNKQQQPRTHLQYNLAQQQHPRSHSNSSSEVTPPQRDEGH AASASHPSSLPPPPPPPQTQTQFSHFPARPTSTTTYSEPDYGADQIHNPPSVARAHGQ PVIVEGPYLRGTNMASPPGYQQPDWEPAFNARMLTDFREDLARMDGVITPGVDDTPYI HQAIEALTRRDRDTGYSANESSSSDSAQAGPSIYPNQPDRQVYQHPQQTPRPISTGPI QEGDEVLQPPNPQFSKPNPAASADSLAESLLKGARKPAQPHEWRPVEREEILNRTGEW KTQGVPPLTFRPWPLRAPALFGFMATCVLMIAALAFGAVWSHQKQGLVGWDDIVGGRY FVFRILPQLVGAVFLLYAQFIITTIFRILPFVRLASHDPQVRDGAVYQRLYPSFLWPK FVGPWNVWVPIFVTWLMNFTIPLQSSLFTVILAEEGEERQWVWATCQGVAWALVGLYL LLLISTIIVWRFWATTEKTGLIWDPRSLADYIAIVSETNTATDYRGTQLARGIEGIRF ALRRRAHDRLGYWTWKDGRPGFWHTLGSPMDNESNLLPFPDVTSGQRMEKQPFNNQSP LIENPDHHPDLEASDPNATIRHRYLPWSLRTSQLLWFIIASVILLAALFVVSFLPSTR ISKGFTPGLRADPQPGAFSAADFLYAFVPSLLGMMLFLSFQHITTHFLILTPWAALSS PGGCRAEEGLLVDYPACLPLESSFKALRNKHFRAAFLSFSSTLFLAIPVLAGGMFMAL TKLSRTRREEDGVVVEDWEQEVRMYANMPAYTLLLAVLGLYLVALVSLVPKRKEVGMP HGVGCLAEVVGYLVNNELREELAFKRCVNKEELLERMGAGGRGNGGIDMSPRWYFGFG GEGVAGVPLIGGEQQQNGEMESELGIRRLRRFTEKRRVRKSMIRRGNDGLSL QC762_117150 MSSSSQQPPTNAVPDTQLGLTEDEIQILRHGQQAVAAAGSSSSR AASRASSQGLLMIDSSSLSALGRHFDRVMQHIQQRLEYLMEQSQIVTLSVYDQSGQLI DNADAEIARYHDIMAQIDELELDFDRIRHIRDIVRDYRRRAEELERELERSGGGGSSR RDRGHRSHRHRT QC762_117160 MLSLSKLAVLGLGLISQASAVILQNGQVRETNFPNTRLDSFSPS SYRTYPANASEISYKGRWDSKYVSWWSAPGIKFGYTGQTVAITFGNLTTDRVLVGYRI GGLDWIFTNITAGATHLLVSPETPGSSLTGPINPWTFELRVSNWAYGIQIDSVHVAKG EKLIKIPDHGRRIEVIGDSLSAGMYTTYEGLTSWAYGLGAGLGNTEYSVTGYPGICAA DQDCWGNPRGQVHQWFYTSDTSWRASQIWGDNPEPWDFSKQPAADIVVINIGTNDHNQ HNNVSTEAYIDALGKIIQGVHGKWPKAQVIVMSLWLGFYQQGNTYLPNAPEGWVKEIQ DLVKYFNSDKYLSQPVIYDGVTKKSTKLRVKRKESPFVHWFNTKGILQHNDIGPQWHP TDVGAIKVASHLQQFIRIKFGWELEATGPEVWHETLYWNDESGY QC762_0016760 MRKCAVVMAVPCATRPCIAGRETTGKKQMAAAVFLRYITSLHGI IAQILEVVEGFRLAFLKVAFHDARSLDRSLTGDPGLLSAEHDFSPGWDILSTNTAKSA GLCGIRNVAIPSISPLIVVPVSPSPPAITKPLVNSEVLLGVAGLSS QC762_117165 MAGTMVTTQYAAPQQSIHYGYIPPPSPPMDDSSRCSLPSISNLL GLADQGSPTAETHAQAQQQQQQQQQQQQQCMDFGSTTAWNPVLTRSPAQSSSSKSDAR PNSSHYGNPALRGLPPSPPMSSGESFEGYNSPPTRSASQVSNGSNYYYETTPPLGPME SGVPPMAAAAPRMSVQPSAYQPHFAAPSYIAQPTIPAYYPAAAPPPPMSGLYFQRPLP QTQAFPPPLSMTLAPAGANPWQHHHYIAPSSAASFPQSQDRYICPTCNKAFSRPSSLR IHSHSHTGEKPFKCPVAGCGKAFSVRSNMKRHERGCHNFDSSSSSNGSTASRS QC762_117168 MFTSPLLTLSSPPTPFPKSTSPPIHPQSHDHPPTLAPRNPYATP LSSSHFPFPKSLQNQLPTSPLCGRLHTSHSHHPCHLDFLKIAHSSRTQPRHGDDQPRQ RSPKIDNDVSKRCNRYPSSVTPHPGFIGPSLFPL QC762_117170 MKFLKRLVRPSLSLLLCHGSFGIIRRVRRKQDGMILCRKEISYL KMSQKEREQLHAEFQILSTLRHPNIVGYYHREHLKATQDLHLYMEYCGNGDLGRVIKE LQQKNQYAEESFVWSIFSQLVSALYRCHYGVDPPEVGKTVLGLGTTARPKAPSGGTII LHRDLKPENVFLGEDNSVKLGDFGLSKVMASHDFASTYVGTPFYMSPEICAAEKYTLK SDIWSLGCIIYELCTREPPFNAKTHFQLVQKIKEGKIAPLPACYSSELFAVIKDCLRV NPDRRPDTAALLNLPVVRLMRKEKEVVEFSKTLKAKEDSLNRRIREVDQKLALLDHDK VAIRQEIDASLRREWEVKARLEIDRLVGLEIERLKKEFEREVEARAEVKVEARLEVEL QRRKQLEEKEQHRPAELSSSKDSYQHSSISSISPTEDEFPSTTDITEPESPADTSMTQ PSFKTARTPFGRAQTMFVMAPSVGTPMDVDASPVAIAHLSLSPRRKIETKAPHNPSNI FAAAAANTQASGDRPPSPVNYGSDSDDEDMPSPTRNIKASSKNPFTSKGRPQLHAQKS MPVHRLQSKQTTTLQSKTVGAVASNPDFGTGGLTLRQAGSNGALGQQQQQQGNSPGLR RLSKIPSAAGLNKNLSDAKKEGELHTTVSMTGLNKISGGMRSNIQGRTLVELQQARAG GRPLSGIMTGEGFSSGLNANTGGMGGGGSPVRAFREHAAAANRGLGAEPAAVWNPETD EMPSPFLVRRKPIVKA QC762_117180 MVLRKFELEAKLKDDNQLIQTGVLRNEHPFDTSPEFHDFLMACR RGDLKRCQELISEGVNINGKDAYDYTPLIIASLCGHYELVQLLLESGALADPDSFERE RAVYNALNNKIRNLLLSYDYTKTADPLQYWSTHITSLLSREIPPTSDITLSAPNEDFH LHKFILSARSPYFKRKFAEAPDTTTWKLSHNVPVEAFRIVLRYLYLGDLPRDFVTPRS TVTEEEVFKGIDKLCKQLEIDKLWEAVLSNDRRLARQRQQDEVARAQDQISAFFRDTV LKHKIEVDTRKAGQVKWPQHNAIFANVFLQADEELPEDDTPEEEEEEDDDEPHPQTPN GGGIPIGPAGSSKPPTKTPKKPRRSTLYPVHKPFLIRSPYFATMFASPFLESQPSSHL HIIHIDCTPPVLEVILSYLYTEVSTCPLEHALELLYASDMLLLDALKSKAAVTISTLG SGNTNALVDRTHHHDDGSTTKEKIEQEPINVYDVIHAAWDLSVQRLEEFCARYLASRL EDYIDEKEFHDLIAESASRLKARQETDTIELLDDIRYYLGERFRLRFEGEGLEEMLDD EQFAEEEYQGLDLEGPDVGLEELAMTMGREEDAAYVGNGDEAVVEGVDRGDEGERGGV VTLGGEVVEDEFASDAVNYHILVEKIDRMLESLKLDA QC762_117185 MATMIDNDAIPSYSRTTLDALSPDDFETASIRSAAPSYTSDAPS YHTLNPHPDPTPPYSPPPPSSSSTSPSSLPPLLPPSTSPTPTRGLPPVPTGPIPSPSL SLSSFRIPSWSPSNPQARHYHNVALRRAASSSTSSPNNASQETLRRVMLERIEEEESR RRIRPLEDPYLVGEAAATRARQERLARENGNDILIRENRRWDWFLRQMKEREEREERI RRLGGGGASGEGSGGRTGFGIVGRGGRLAFRVGGRS QC762_117190 MADSELSPKFAPFFGMAGIAAAMIFGSMGAAYGTAKAGIGIAGV GTFRPDLIMKCLIPVVMSGIIAVYALVISVLIAQDLAPPDAGGANYSLFNGFMHLACG LSVGLTGLAAGYCIGVVGDKGVRSYMQQSRVFVGMVLILIFGEVLGLYGLIVGLILNT KSKG QC762_0016830 MPESGRSIPPPLSVSSTLGLNFKAISNYS QC762_117200 MADYQPDRVTQSRHHQQGHADTYQRDAAFSNIFGAAPPPGRSQT MTSSVHPPEFMQPGRTQTMTSTSGMSDMQRPPPQRPPHGGGGGGGGGGGYGGPMPRQR PNDRGGYDYYQAQGQPRSASTGNQVPSPQFLQQQQQRRPFPGSPSPQQGQQGYPPQHY NQQYQQQPPPRRPPQGSPPQDYHSPQQTATQRFYQGGRPAPAMNADPYRSQSLASYPR GPPMYQPPPQQYQQQAPSANALRNAQYSNQHSARTTAQGRVVPERHFEDRSNTMTGYP SHDRDSHQTMSGRVIPNRRPAGRDSSGGHSVTADYLANSSHSSPNPGHAMGYGPPGSQ TRTVSMASSVGNDSQRTMSMASTITPSIAPTDRSDTTIVQRSSVGSERPPTARIRPPI VYPALLSRVAECFRRKIVVGDRTKNELTYTNAFSGAEAVDVLSYIIRTTDRNLALLLG RALDAQKFFHDVQYEHRLRDSTYEMYQFRETMSDEANEEPGVNGVFVLLSECYSPTCT RDQLCYSIACPRRLEQVSRLKLTMGPGLKREGEATVGDDDVDQTDEQRLWINTVPKEI ADSIGDREKKRQEVIAEVCYTERDFVKDLEYLRDFWIFPLRGKINGMSPLPPQRREKV VKTIFSNIIDPPSIHAVSSKFARALTERQQKTPVVKNIGDVFLEYVPHFEPFIHYGSK QLEGKHEFENERAINKDFALFVDEIERRRESRKLELNGYLTKPTTRLARYPLLLENVL KYTEDGNPDKEDIPKVLTLIRDFLSRVNAESGKAENRFNLRRLHENLKFRPNERVDLK LTHEGREMVYKTQFKKTPTETTADITAYLFDHAILLVRIKQVGKTEDIKAYRRPIPLE LLSIKEMDEVIPQSGSVKRTSSSLLPLRAANDTKKGEWPVTFRHLGKNGYELTLYASS QSGRQKWLEFIDAAQSKLRARADFFNTTVISSGFFSPQNKINCITPFDGGRKLIYGTD SGIYMSDRRARDGNAAPRRVIDVTGVTQVDVLEEYQLLLVLSNKSLLSYQLGALDPNE PLSSKRAKKIQNHCNFFKSGICLGRHLVCCVRSSALSTTIKVYEPNDAMSRTKKQKGL SKMFNAGQDELKPFKEFYIPTESTSVHFLKSKLCVACARGFEVVSLETLETQSLLDQA DTSLDFVSRKEGVKPIHIERLNGEFLLNYSEFSFYVNRNGWRAKPEWRLDWEGSPQAF ALSYPWILAFEPNFIELRHLENLSVHIVPHRNLRMLHCSTHEILFAYEDEQGQDVVGA IDFWKSQRGSMYPVDGAAPAALPSTEGGGQGAASGTSGQQQQQPPRLTVNI QC762_117210 MNACPCANYYNRPNPNRCTNYVSKFGERCKLCVTVKDGQSMTRG LLPEDELWMTATPGYNDQAYGSGGQGSKSGNKKSGSSSGGYISSWLRK QC762_117220 MLLTSSQVSIAVSSGVVFFFTLALFLSGYTIQQRTLREIRASIN RPKPSPKIFLPDRFKQSTTELEDGTIVIIEDENISRPPRPLKEILLEEEKEKELERER EVVISVVPTVPTEEEVLAEKKQVEKKEKKEGGLLGWMRTSKKAEKKTEGDDGEQEGED GEEGPQKPISRAERRKRIKEELMRLAQGEERGWYQRRLY QC762_117230 MTDRKPKTSEFHRADERRFLDERGSSGPLAPNGLNPATILEKAV RERIVDSYFYKEQCYAINEADIVDRVVEHVDHIGGVTGTVQKPTPFLCLAFKLLQLAP NDDILNEYLNFGGEKFKYLRALAVFYIRLTRQDKDVYTRLEPFLEDRRKLRRKGRNGV SLTFMDEFVDDLLVKDRVCATSLWKMRRRDVLEDLELLEPRVSPLGSLEDLLEEEEED EEMKDGGEDKNGRDGSGGERSRSRSYSRSRSADRRDSRSRSYSRSRSRTRSRSRSRSR SRSRSRSRSRSRSRSRSRSGRYRSRSRSGSRHRSPSRSRSRGERSDHDRMDIDRSDKD REEGEASP QC762_117240 MLSRSGLRGARLRVVSLTSQRRLLNHFITHPAEPIPPPPPPAPS SSPSPKQFTLAVKDNIATTIPGLPTTCASGILSKSYVSPIEATIITQLRARGAVITGK TNLDEFGMGSHSIYSNYGPVSQDTPPETSAGGSSGGSAVAVANGEVELALGTDTGGSV RLPAAYTGIIGYKPSYGMISRYGVIPYANSLDTVGFLSKQINPLKELIIGERGLWKEH DSNDPTSLTAAARKRCAAQRRGYRSRQGQTTELEGLKFGIPLEYNIAELDPVIRDAWA AAAKRLQDAGARIVPVSLPTTKHALAAYYVIAPAEASSNLAKYDGVRYGVRDAEGASD ASAGGVLYASTRGKGFGEEVKRRILLGSYTLSSEAMDNYFIKAQRVRRLVRRDFNRVF ALENPLQERETFELSDLPEEVEMEDKWGPEEVDFLLCPTAPTLAPKLKGVMEQQPVDA YMNDVFTVPASLAGLPAISVPMKVATEGAAGLQLIGQYWDDARLLDVADAVAKEVRT QC762_117250 MPRIVPSPLRFLKYGLPKQHYHHQQPLRALFRPTHQYQSLRHQS QGPRQSRGGYNYNYDHNSYRQYRPPNRWSIKRHIGKGYLEALILIFFLYKYKYPKAIM SSSLIPDNPDEVMVIRDLTPNVAIFSVPFSRFGKIPIGGRGTAVRLTSGSIAVFSPVA LTEATKAKIASWGGQVKYLVATDIEHHIFLSEWKKAYPAAKLIGPEGLPEKRLKVKND PKIGHEPFDIVIGKNTSRPYSVDAEFDKDFEVEYIASHPNKEVVFLYKPDKVLIEADL LFNLPATEQYSKVPEEKKPKPGLLGGWFMGMNSTEGEAKGMKRFLWWVVSRADREGFN EIVGRMYRDWDFEVIVPCHGEVIEKGAKEVWGRVFEWHLEGKK QC762_117260 MSEMTIEVTGTSSPEADGVFASVSSPLSSAPSSPVMPVSGRPKR QAALRATEKLTSIIQGFGVFDDFPRANKRSREDTPEFEDSRAVTKSISKRLRTREFSE IHSKDVNDEAEIDIDAEHGIDVEHEVDAEHEIVVRVDMNADFVVNAECGANVENNIDP NLERENVPLYPAAAEKHEAKSVIPFHMQADTMDMQLANVPSEYYVEKIMFNLRAIVNH QAATHHGAVDPFLAHQQFFMNEPVFDQNWYNPHTQSYHPAAYPFVAIPPVVNLLAANS PLVNPPMVDPFVHPNPAHQAGQPLPFYNHNSGALASQMPIWDSSVYPFVASRPAVGSP VVGLPAIDPHSPIWDAAQYPDIDDIIPQAANIKIDLAIAPVADKDEETAPVVKLAPRV PVARPAPRGPPPIHSRFRGGLCEALPYYKSYKSSLYNIGLLAKGFLIDLEVEKGDVFH QSVVISAVGGGRVREGSVMVRGRDADDNATNVRSIKNAYEQRTLIAIIAGENHPLYPC QPPAPYAVLDWFHITYMWKEILRNPETQRIFSVWRIRFEKANPFTPSWWIPAGQEEIV TPVTCPMRVCSSCHQESKQIFTIGWFCLQYGCNMYYRMLPGQLVDLDALAYNQAFLDE RQPFTGTIPSLMPELPAVNSQHHGTELGLRGGFVCPQCHHACRRRYWNWLQCEIPGCG FKKAAPMTPFPQAELEAEVEAFTKQMASRRSRHQANDALTTIWLEKYAIRSSVMGLGG YNVRQFFLTDAKERIIGSFTIFQSNEVINARPGGPDELFRSLEVEDIGLKRNPAAVAG HKLEGLTRHFQQNFGARYKFGVSVQSKGFAEAPAAILKALKRLDWAKNVAVSSATANF PADHKIGDDTITNLDAHSQSFNELLALGYMEDDKINYHDDGEKELGPVVAALSLGSPC TMRFRPKRNKGFETNGFTRTGGKKNHKDILEVEMKHGDMMHAVTPEGKRRFALTARFI DPEKMELQADRDDAFMKGTIPEYAAAFEYDGF QC762_117270 MVFYPPPWVPKLPFDPPDSITIGEFMKNEIYGRRPIAKSRNPFT CGLTGKTYGVTEQHNRTELLARALSKVMGWEPNVDSPWDKVIAVFSVNTIDYLSVLHS VHRLSGIATPANVAYSAGELEHQLRSSGAKALFTCVPVLETALTAAKAVGIPDDKIFI MDAPVHSKKLPYKTVDDLIQLGQSVPELEPLKWVKGQGERQVAFLCYSSGTSGLPKAV MISHKNVIANTMQFCIYDSVSREKFGVETQTALGLLPFSHIYGLVVIAHSSVWRGDGV IVLPKFDLTEYLQAIERFKINYLPLVPPIVIRMLSSRDILKKYDLSSVRLLFTGAAPL GKETAEELLKIYPTWHVGQGYGMTESATVVCTTSEHDIHQGTSGSLVPGTRAKIIDQD GKEITEYNKPGELLVQSPSITLGYLNNEKATAEAYVWDEDGRWLRTGDEVIVTKAPSG YEHITIVDRLKELIKVKAHQVAPAELEAHLLTHPAVDDCAVIAVPDERDGEVPKAFVV TPASMAGRKDEDMAAEILKHVQDHKAHYKWLKGGIEFIDAIPKSPSGKILRRLLRDKE REARRAAGAKL QC762_117275 MLLLDIVSFDITKTFTDMPDNRRGSHDTPRAGFARVAVQEPLHP KSKISSAKMQFSVTLISLLASLAMAAPGNSPAPDSIHLDLPALKDGLGYMISPELKPE TNQTIVKRREGCVACQW QC762_117280 MSAVNRGLRQATKSLHTRLPQRISQRSALPLLSSTFKTAAPLTP AALHARRSNFSTMASLQSAATTAPSPAGHKGYDPEIQDIADYVHNKPIDSELAFDTAR WVFLDTLGCGLEGLQFKECTKLLGPIVPGTVVPNGTKVPGTPYQLDPVNGAFNIGAMI RWLDYNDCWLAAEWGHPSDNLGAILAVADWITRTNKAGGNLAGGKIFTIRDVLEAMIK AHEIQGCLALLNSFNKVGLDHVVLVKVASTAVVSKMLGLNEKQTADAITQAWVDGQSL RTYRHTPNTMSRKSWAAGDACQRAVNLALKVLKGESGVPTVLSAPVWGFYDVLFKGKK FEFQRPYGSYVMENVLFKVSYPAEFHSQTAVEASEKIHAQLKAMGKSAADIKEITCRT HEACVRIIDKQFKPMDNFADRDHCIQYMCSVMLVFGRLTANDYVDGSEAATSPLVESL RKKIKCVEDPQFTADYHDPALRTISNGLTVELNDGTVLPEVVIEAPLGHRLRREEAKP VIMAKYKRHLEPHYSAEKVQELLELGQNPKKLEAMEVDQYVDLYVSENSKFVN QC762_117290 MVSEDRQRVIETNRSLRNIKNELESLLEKGVITDEAYDTISGLL PAESSFNSRSTPAPRTNPSSLPTPAATPSAAVPPTAAMAALSVGGNPNPPPSYAQSTG PPALPGRNPPPASAPTKPIIAHARALYKYNAADARDCSFDKDDRVAVFEYMNADWWMG RNQRTGQEGIFPRSYVVVEDEKAAQPAAVLYPPQQPVYGQPAPGAYGGGYPGAPPPGQ PYQPHDQGQQQQGEEGGSKMGEHGKKFGKKLGNAAIFGAGATIGSNIVNSIF QC762_117300 MSRDLSPAHSGLSSGAKSPVAGPSLAAPPMPARSPGSVADDAAV ESDVKSTLTTAGSISKRPLIGKRHASTRSDASGLLPSEPGSHPDAPLSPPSPGSDSEE DTYPEGGLRAWLVVFGSWLALFASLGLMNVMATFDTYLSARHLVDHDNGTVGGIISLY TILSFTLGIYVGPVFDKHGPRWPIVGGSVCLFAALIVVSISTNYWHLLVAFAVFSGLG SALLFTPSIAAIGHFFNERRGLATGVATTAGSVSAVVFPYVVQELFVMVGWPWTMRAL ALICLGVAVGANFLIRSRLPPAKHAKITPSVRVFQTKGFGLTLGAVFLMQFAGFVPLS YLSGYVLAKGFGQEFSFDVVTVLNASSAFGRVAGGWLGDWVGVYNANVVFSVVASIAC FAVWLPVEEGKAGMIGFAVLFGFTSGSNVSLMPVTVGKLCGTREYGRYYGTVYTIVSL GVLVAIPIAGKLVLGSKGSWDGLIVLTGVAYLASAVVFAVAKMSIVGWRSKPWVIF QC762_117310 MDTHRKAPPMAARSVSGGGMPLSRAHQLQQQQQQQQQRFPPLST GQSTSRGTSPNANNANTGSLLSPNQVVALVREARRKAIEDEETRTPVSGTTGATGSGI TLDLIGKNIPDLPDEVVDILRTGVERLALSHNALKGLPPRFSQCTSLRYLAARNNAFE VFPLALCDLISLEFLDVGRNRLRELPPEISKLTSLKALSVQENQITRLPASMANMVSL QRLRFTGNPIIYPPKEVLQLPTAQDQQSSGALAESETDRVVTERIKKFLARSVSVSII EGMADTETGDESSESADTPRVPSRRGGRFPVKVNGSGIASSPGSRSASLSRPPRVSSR RSLSQYSNGFTRRPSGMIPLTMTASSDDLRSPPEQPAVLPEPKRPDTASSRTTESTIS SRTITPGSASSFLAPSSASSLSTDVPNMNRLSSHFRGFSYSGAPTFSNPFSPEEPSLQ RPLCVRELSALPIRRYQSQIPDPVLEFFKGVLYSIYQIHLCVQTLMSLTNDGTSRRSS LEMIFYNTHKYFEELEQAIQDFELSSGGRTSARDYGPMQRAYTALILAYVQICTRLAS NVDLLVDNGDPRYMRTFLMQVYHGIMELRVAISSRPLNNRNNILTARPSQPPPVTPPS VRRRQPSQPLPMTPRSQTPQPQQPSSLRAKRAAITPKTPMQVRTDIPYRPKSNSVTVS VSSSRSTSQSYPTTTIAVIPQTSKSADSFTSAVSSNDDDSDDRRFASPPPLSTPLPSL PTPSSTNTTFNVTTPITLQLSAFLTSLRNLTTLIHTFLPSLDNLFSSVINNATTTYSL RSSTMVPLFEDLISKTSSVLQQTDILRSMLSSSSPLNYSENGPIWSTCKSLFNKWTNL GIQIREALGKKILSFGPGMVEGLRGVNKGVRGCMELMVALRGGGGRLDVGGGRLDVGG GQGSPGLGSPGLGSPGLGSPGLGSPGLRLGGSSTMKRRGQMGLPVTPRSVSLASGMGG SGTRGTYSSP QC762_117320 MKLDTYLSPAFINPLTSLHNATYNQPLAALASASTTSIWSDASS QHSDDNTSNGPSSDSEFSESCFSIAPTSSQSSVSSFGSYCEPVIKSCDPWVRQQHEQY SRPESCAPLRQNPRRTSNSATSRTGRPPALVRQADRKVNFVDNLVDTSTHMVEAIWPT SSPACKEVGSSAVLPLRSFIQETLRRSRTSYSTLQVALYYLVLIRPHVPSHDFTMEQP DSNRECQALQCGRRMFLAALILASKYLQDRNYSARAWSRISGLKTSEINQNEMAFILA VNWNLHITEETYKKWSESVLKFTPQPPSPPSPSAQRVYEQQCEQFQRLILNLTPSLDN LEELAPWLRATREVSIQAICSSPESANPWGDLDAALKPRLAPVVMEPSPPSAYVPGRF APALGLLPTPRTTPQTRGFSTPAVSAASHILGRSSSMGFAMAQASSTSVAQTLDRWPP AVTSSPMNHLPRRSSLANSVSTASSPESMVSDSSRLSRSSSISSLSSVNAPSAKLDAQ ARCRYGRSFSERMSLKPTIASVPEVYEEGHCLTASPESYTGPAGKDFYDGTLDAQYAC RQREMNDAAMALQELQRQAVDSSSAPVRSGTKRSRTFSMDNAQLQESVRGYLSMDSTN AGAWPESMVRGSHKRVCCSTEAAQPYMISSLHPAVGGPGGPGMWQGILQ QC762_117323 MVEESLGGAEPEVSSSSRPLENRSLTEAKIKPAEGVISVRNRQM AENGVKCEKATLVMIPPGPSALREGTGSGVLGHWVALHQERASHGEPLSRLSFGVMVT ALRGGVKVSSHCDWTTVEVRQGQILRSGLIERKTLRVVLRVQASYPCELCQHSAGWKA DRRRRRSWVVWPSSVSVGVW QC762_0017000 MLGGDIICQAKSGLGKTAVFVLTTLQQVEPVAGECSVLVMCHTR ELAFQIRNEYNRFSKYMPDIKTGVFYGGTPIQKDAEILKNKDTHPHIIVGTPGRLNAL VRDKHLRLGSVRMFVLDECDKMLDQIDMRRDVQEIFRATPQQKQVMMFSATLSDEIKP ICRKFMQNPTEHYVDEDTKLTLHGLQQYYLALEEREKNRKLNELLDDLQFNQVIIFVK STLRATELDKLLRECNFPSIAVHSGVSQEERIKRYKEFKEFNKRICVATDVFGRGIDI ERINLAINYDMPADADSYLHRVGRAGRFGTKGLAISFVTTEQDKEVLQAIEKRFEVAL PEFPKEGIDASTYMAS QC762_0017010 MSAEEDLIDYSDDELNQETTAPASNGKKADAAAAQNVDKKGSYV GIHSTGFRDFLLKPELLRAIADCGFEHPSEG QC762_117340 MAKAKNGGGGVQNKAIYSRLSFLQQAAVFLSTATLDGDGSNISE LNRDQNPPLQGAGRRLATDLRAVSLKSRIRLNPAVKQSICKFCDSVLIDGESCTSGIE NKSKGGRKPWADILVRKCHACGKERRYPVCTKRTKRKTERPVATPDEPDMMDQTG QC762_117343 MASRGYGSAPTQTRQSMASSGGAVKARQLAQLQQQLAQLSNNLA DTENLLRMTSVQAESMRGLGSWHAGLFMAASKVLGEESVQQNQQQGGGGPN QC762_117350 MAPMTPRLKILSVGGNPVSAFLSWRLQATNACDVTLVWKTGYEH VSQYGISFKSLVFGNERFKPRHVVRTPEEAATRREGAFDYVILCIKALPDVYDLASVI DSVVTPQHTCILVNTTHALGLESAIEERFPTNVVLSLVCGADLAQLGGSEFEHKGSAE LWVGPANKNPNIPPTIQEDMAQALAMTLSTGQVDCKVSPNIRQQQYERVIGPIAFHPL TVLFETPNYAALLDKVGVAKLVSDIIDEMLALADAQGCKFPPEFKQSTIDEFARNGAE NIMWQDYIARRPMEIETYLGSPMRLSQETGVAVPRIETLYAILHNLNLVNRNRPKGDA TMAPAQPGSPSATPSPLPRMSQGPPRPMPNGMPNGNGMPPGRPRPRIPSQMGPPGPGM RRPPPPMNGGPPNGYGRPPNGMPPNGMGPNSRVPSRRGSMEGTDLEEFSHLVVYDDIP EGSENGYSGTSPQDLAIRERELQLRQRELALREQEMRLRRAAAGIGPGPGPGPGPGPR RGPPPQRPPPGGMYDDDDEDGEDYFDPTPMAPMIDPDNFDMMSVTSKKNRKAPSNAAQ FRQNPEADSIPSTRSRFRPSFGRNRSSQVMTPAISNLHENILDDPLLGFTSNRYGNVD RGAMHAGSRANSLTAARLDELQYNQGGPPPMGMNGSVRRASQSPGNPYTPSVRGGTNK GRPSPPNGYAGPPINGRPSPPDGVRQPMPRYPPGHGNMVAPQQVEQHAGVSALQPPKT KTVRSLTGSASASAGSGDSTHLDSEPSAASSQSSLGPRPPIGVR QC762_117370 MIPHTPSESPPSNLAALAEDHYFSANPPPKDLQKHMKLAKDFIE FHSKAGRRLVLVTSGGTTVPLEKQTVRFIDNFSAGTRGATSAEYFLEAGYAVLFLHRQ FSLLPYSRHYSHATDCFLDFLREGPNGSVVARDEDAGKMLNVLRKYREARDKNMLLVL PFVSISDYLHELRGIAQLMKPLGPKGLLYLAAAVSDFFVPPERMSEHKIQSTDAVEAF NKKPSKPSASEEEETFDNFDSSPSVPRSKRLVVDLDPVPKFLKNLVEGWSPEGMIVSF KLETDPKILVHKAKYSLDRYQHHLVIGNLLSTRKWEVVFVSPGNPDKWIRIPQGGKLG DAKEAEKDEPLDPKSLPEQEPEAEIESLIIPAVAELHTKYIKTMEGKKLEG QC762_117380 MLFPHLTLTVVVDTFTVCRATHGPTLRGPASSRVQTLGNLFFLF SPSFDSEKKETLPPHQTFPPPFRAAMPPALRPAAVATPSRSLLRYLHAQSEGLCYAEY TIRLGVSTRRNVSTRCRGVGEQRQGGLLPQLELRKQPGATKERWASSSSSGGEKKPPV GREEVACKRSWQDWLFGSAPKKARELLKEDDLPVQLEEESGSIFQRRALTSKAALDPR LRCTEVDGNGDVIMVDGELKKSELIAKYGLLPRDLRKIDSSNLPHILVRPSAILLNLL HLKVLIKHDRVLLFDVYGSKSSYPQSAFMYDLQGKLQQKQAVGANSLPYEFRALEAVL MSVTSELEADFESVRDPVIRVLSELEDDIDREKLRILLVLSKRVSTFEQKAKLVRDAI EELLEADDDLAAMYLTEKTHDLFRGEDDHTEVELLLESYNKICDEVVQEASNLVSSIR NTEEIIRAILDANRNSLMLLDLKFSVGTLGLAMGTFLAGLYGMNLENFIEETNWGFGA VTGVSSIASLIVCWYGLVKLRKIGRVKMNIHDVRRGGGQSHWYREDGTDVLLDPTNRE RLRRMNMLKNAKQPKAKKWPF QC762_117390 MSFRGDESRRYGHVPPAQYPVAGGAQQQPAGYPQRQPSFNNGDD SSFFDQDVAAPRPQPLYIGNNNVGRGEDELFITSPTVDSQPPPPPPPSNRASYMPPPP LTPNTTYQRQFQGNVPPPPPSHSTYNPQHFARSQSTSLPYHPSPAANRYTPTASPTYN APPQNFTPQAYNPAAYANATAVVPQRHSTVAGYNNNNYGYNYNSATVPHVSAAYVPPP PSGYGGAQQPSATTSPALPNSSFEPTLHSPQYAQQAPTPSSVSSPRESQYPANYGGQQ YSNSYATNGANIPQSPGLSASSSQAPYPTHSQIPVGPGYSPDPTSFANRASRSNSHTS PIPSPPNHGYQNSLISRHPTNAPLPNRPLDDLTEGASWRANGRSNSDTRFTQDSLIQD IVSDLGIPSRGQRPLPVNGALSDNNLDMVRRYGSNASGTTMQTATNSLLNRHPSDASS ALTSNNDISTTYNWDSEESDPEGAAGLQAMQDDLDDRRFGGMSFPGYPDYKPSSSSAP SAGTPIPAPLGSQLSTPAEEQPSTDSDYGGMDLGMYGGGYAGNLHYGNDINSPPATAH DGPRPLPVPGQVPDYAPFSEASVDYGGTGGLQQPQVHRLSFDEGDERVSLHSRQSSDS PSKEEYPYDQMFWHPGLSNRPLPAIPPSLEGRHSGSHLAPDLVNRAGYQHNHSLSADS RLPYPPDNHEMYPGQHAIQQQQVERSISLSSHSNTPPVVAPARSRTDAAEERRRAQKQ MSQQHQLPYPQGAAYEGYETGTPSSMAGYDMITLPTGRRKKFVPSKLNAADIKRCAEP WALSGITAWIREMAEGEPDLKRKTIEEGVLKLFCAKVPTMNVADAELLSANVVESMFA AGILIPEEEWVKFGKGQLSGVLWQLTGSGCYAPKLHEDEGMVPRLHGDGIPVRCYSQH CGRTLKKVNLDNMMSEDDTPTLDWATFHGVTMEDIKSKHKKEVERQNVLHEIVTGEED FMNQLDVLRLLYRDQLRVYQPPIIAANRLEKFLEAVFGKVDAVQQINKEHLLSQLKYR QQEQGPWIVGFSDLFREWIRKARPIYIEYCSSFPHATYLIRREASRNLLFRQFLDVVR DHKRSKRLEWTTFVKAPITRLQRYGLLLETVKKNMLGESEEKANLVKALEEIKAVTHE CDEKVAEMTKKVELIELQGQLVLRPGFQSVLNLDHLGRELLKQGELQRQGSKGVRWVD THAFLFDHYFILAKGVASKDGRGDKKYDVSKEPIPMPLLFLESLNDDPVAKQKSLTAP LTRTAVAAGSGTQLNKVASNGAERPGLEHAGTSSSMGSMSTVTRLGSGGTDDGKIIYP FRIKHLGHEIYTLYASSAQERAAWCSAIIEAKTRHARALHAQNAEPFRLRILSDGAFA YDSISLMGRQPSVSIRGTPLDRAIREMEQVYGPGRGPPPVCRATVNCACAFTAFGKSM IAIGTDYGVYISESSNPRGWTRTVQISKVTQITVLEDFSVCLLIADRSLISYPLDVVA PVSNFPAPSHDNPRRAPQRLAKDVAFFATARMKDRTLLFYKRKEGMHNTFKVLEPVFQ KATEKRSRLFGGRKGGAGSTESFRDFDEFYIPAECFSLNLFQSYIAVASAKGFELLTL DKKVTQSIPRDLSAPAIANIASRINQRPLGMFKLNDQEFLLTYEDCAVYVDKHGEISR TLIMEYSGKQKKAKAATMFGQYLLLFNDDYVEVRNAENGRLRQIIAGRDVRCLDYGFR GPTGSGMANGPGGLPPGLVGSANGGQPDSKGTVKICMSHPEVPGGQIVLEMLLNDGHT EKSA QC762_117400 MTAVRGSARSPPRELSRHPFGPHFTSDPILGFPKCPPPLLPRVS GFSLLKYRELPRVSCLFRPAQALPIDHSISSKPPTFETKPSKWLRLLLSSVVTPRSPA PLSSSRRPRTVPLPSPGTSLAMMPMPSVACTSTPLVTTPTAAPPPALTSTPMARPTAT EPTRTAMLVTWATLRPMPRATPRAP QC762_117410 MAAHFANVKSVLSGDTFVLSSPNNPSQEKTFSLAYVSAPRLSKD GDEPYAFQSREFLRNLTVGKPIKFTVLYTIPNSGREYGTAQLQDGTTFPEASVKAGWL KVREDAGRKEESEAALAMIDTLRIYESEAKDEGKGLFSGSGGVIEVQNDLGGPDFLNK WKGKTVEGVIERVISGDRLLARLLLTEKKHWQVMTLIAGIRTPSTARTNPSNGQVQPA EEFGDEARAFVESRLLQRQVKVKIVGVSPQGQLVAAILHPRGNIAEFLLQEGLARCND FHSTFLGPDMAPLRAAEEQAKSARKRLHRAFVPKATDNKEAEATVTKIVGGDTIIVRN KTGAEKRISLSSVRGPRAGEASEAPWREEAKEFLRKKLIGKHVKVSVDGTKPATDDFE AREVATVTQGGKNIGLQLVEGGYATVIRHRKDDTDRAPNYDELLAAQEKAQEEKKGIW SGKSPKVKNYVDVSESVQKAKIQVSTLSRQKKVPGIVDFCKSGSRFTILIPREGVKLT LVLAGVRAPRAPGRNAQEKGEPFGQEALDLANKRCNQRDCEIDVHDIDKVGGFIGDLY VNRESFAKILVEEGLASVHEYSAQKAGNATELLAAQQRAKEARKGLWKDWDPSQDAQE EEEAAPAESADADVTIDKKPEDYRDIFITNVDSNGRIKVQEIGKGTAALETLMNKFRS FHLNPSNNAGLKDSPKAGDFVAAKFTEDGEWYRARIRSNDRTAKVAEVVYIDYGNTEK QPWSKLRPLSPEFNTQALKAQAIDAQLSFVQLPASPDYLNDAINYIYEITEGKQLVGS FDFIDSKEGVSYITIYDPKAEGSHKVTESLNRRIIEAGWGLVPRKFKRWESSKAFESL VKNLKEAEKVASDAHRGMWEYGELYED QC762_117420 MSNSLEQLKATGTVVVSDSVLPSIASSSNDDNYPSALLLDTILT SLPAIAKYKPQDATTNPSLILAATKKAEYAALLDAAVEKAKAEGGSVDRQVDSALDHL LVEFGRKILEIVPGKVSTEVDAAFSFDTKKSVDKALHLIQLYEKAGVSKDRVLIKIAS TWEGIKAAEILQRDHGINTNLTLMFSLVQAIAAAEAGAFLISPFVGRILDWFKAAHKK EYKKEEDPGVASVKSIFNYYKKFGYKTIVMGASFRNTGEITELAGCDYLTISPNLLEE LMNSSEAVPQKLNAEGASALDIEKKTYINDEPTFRFDFNEDQMAVEKLREGISKFAAD AVTLKDIIKAKVQA QC762_117430 MPAITNLFNRQPPRRTLFITFGTLSFLTLCMFTIHFPILNQLST LRVFDTIGGGHHKPHHHQEAAGEVVVTVTPPTQGQEDGQSGMTSSGSIGAPHLEPQPR PHSPPPPSHDIDMDTNHNLKMNPSQHPMSGANSQEEEEMDLTASNHKHHKHPPPPPLN LISPLPPSHPPLRRLIIISDVHGHLLPLQKLLYSKLNFSPTSGDHAIFVGDLVTKGPD SKGVVALAMSIGASAVRGNHEDRVLAAAYGLKKLDYWPQQQDDSDSVETEGKKERDRQ KEHQKDEHAKSVAKSLSKSQLKWLAERPVILHVGQLGHLPHLSTEQKHHKDGKKKKGG NGGEEDEGPQQPWNPLNEVVVVHGGLVPGLDLEKQDPWAVMNMRSLIYAPSYNNNNNG HNNGHNSGKDDDKEEEEEVPVPVDSTDDGEPWSKAWSRYQNHLPPSSSHDISKKTIVI YGHDARRGLQVDPHVDITPYFQKQKGSNKKGNRPKKERGIRYAFGLDSGCGHGKKLTA LVINLPVTTTTEGGQGEIKHEIVQVGCDDMNTGDDAQ QC762_117440 MPTSSCKDIRDALAQCLQESECVMVQRNSAADCLREPLVDTLPL KCKQLKKGFGECRRGMVDMRKRFRGNQPIAFTKIQKTEDTGEGYQLYAGKSAFAGTRG ETDGTNKAPEDWREAENRKYREAQEAAAKKS QC762_117450 MTSQLPAFPRFVFTIAEPISLISGTIGAVVFPRYFLAAQTPTPM LSFPEQSLLVSQQLGNMYFLAFLLGLFVLHSTTEVKVVRSYLWALWLADIGHMAVTCR ILGWEESVGMLRWNEMTWGNLGATGFLFAVRSLYFLGVFGPDGSDEEDTRGRKKTRRV KEL QC762_117460 MRRPFLLLSILIAFFAIILTYYKPDILQILTTYTGTTILTLTTH LTSLTTNPNITTPSHMNTAKTTMSRTLHHAKITPHLSSTRGHSDHGWLNTYHSFSFAN WYHPSYTSFGSLRVLNEDRVKPQSGFPTHPHRDFEIFSYILSGELTHRDSMLTKGAEG DNVSPDQFYRMKRGDIQFTTGGTGIAHSEFNEHRRDTVHFLQIWALPWKRGLKPRYHT RHFSEEDKRKGFVTILSPLKGGVDATAEQEAKAEAVVEGTIPIHADFLMGAGIITGGG KFEWIVGGRGNVTEQNKRKVFVHLPMTKGGKASIRLDGRDDAVLKEGDGAFIEGVNKG DKLWVESVGEVEAEVVVLDTA QC762_117465 MCQLEHKVYTVCTHVYEHAVPCTLTSRTRARCDNPEVITSAKFG FCRECRDFYTPLVTDSPYIILSYWAYKAERGINYAVHPSYVPSAELFWVSCDPAEEYR KRVHSPRNDLSTLAKVLPRYRGETRDEYLERLQYIRHATLEWAGRRRRERIESEEVVY PPADNSPSRPSLSESSRQSSQNSITDREAPQVHDETLARLCGTWTGISSKNNIAEPKR EVRWTQLSVESNQASENLFPESAGFSQNNDFAQFSPGIQPTSRFSFD QC762_117470 MAKPQDELLRRPLYLYDLPPDVITTLSLKTDADASSGLAVQDDN TTATQTLSPATADNVIGSQACSLCSLSFVTVQEQREHLKTDLHHYNLKQKLHGLSPVS EAEFEKLVDELDESISGSESEDSEDEEEDTGRKETTLTALLKKQANLADKRKPNDEGD EVDTKQKKGTGKAPLLWFESPKLPEKTYYGIYRALFTAEELENEDVIVEAIKKRQLAP ISMPKPPKDAQSVPASYNGQHIFMCMIGGGHFAAMVVSLAPKKSKHGTTGPLNREAVV LAHKTFHRYTTRRKQGGSQSANDNAKGTAHSAGSSLRRYNEQALVEDVRNLLKDWKNL IDTSDLLFIRATGMTNRRTLFGPYEGQVLRANDPRIRGFPFNTRRATQNELMRSFIEL TRLKVKEIQPEPEAPTAEPSKITKPKESKPAAPKLSEEEEAAIFHTTQLQSIIRRSKL PALLSYLTNNKIDANFVFQPKDTQQNHHTPTPLHFAASQNSAAVIVGLITRAGADPTI LNSEGKTPFDLAGDRATRDAFRVARSEAGEKKWDWEAAHVPAALKREDADKRAEREKK EEEQRRKAEEERLKKEGPVVKEGKPRKGGVLGGAPLNQREEETRGLTAEQRMKLDRER RARAAEERIRRLQGGA QC762_117475 MLRLPKARATNSWFKAQSYRALDKFMVYGVFKRLGDPVTPMSDE TEAAIPAIRIWGSYFVAATSAFLVSSSLGLGKSRSLPAQPGSITPSQSSEQEPSATPH ERRISYTKILYDPTLHLSYPWQDIHPNAPARRPGGSNYLYPLPNQACPPRYTRPLVLD HVCITHPKLNAPLQKTDGTARRSQPDHLDCLKRDESAALSSLHRLSNVGWGHKNAAHT RWPHITAFQVPFRVFLPARTTGLVLNYLICIHNVQRQLPARLLERRPVSVCLR QC762_117480 MKSYIPLIALAAGVEATFKKAPAFTCPENIDNKCTPKQQSGFDW ADLIPGPFANYGDFTFKGFECGSDGNKGRFDSRPGSGKFIGARVRL QC762_117490 MSRILKAPFKALQTRSPPSSDTQSQQPDEGLRNTKPLVLRTPFL IAIGLYIIGLIVALEYGFRTITIAENRLPVLNEYGYEDGTGAYGPFLAPRLYKARAEE DSSQLSTTTGFSSTGTPSATSTAPPVFTSFPQNTSSSAFTPEPILISTSSSTSTSTAT LGWDALPTEAMEFGERIGYPVIHVPTNGTLSPNRTSPYGIQRRVPPKDKYGQIAGYRI RLSFWHVAYWKSSRPGDIPYVRTPVKFISSINFFPLDKATESDCTIICDGPAFVFLEP SCWSEWSRVATAQRDMRALNPSIMVEYNEFSFHGARPCAKVAPDVVETRPVTATLFNP LPSVTTTELVYEDVVVTGPGQGSYVPSTYTLSDIDGKPTTTVTTPVWVPADTAVYTTT VMTLTDSNGRPTATITGHGGSSANQPKTLFDDKGKPTATVIVNGGRWVLRTRTMTGGH GTTPIATITAFATLKFTTVTGYDDKGVLRTVTGKFPLVPKTITMRDSNGVPTATVTTQ VPTTYDWGVTITDSNGRPVATVWSKDDTGIFRAWDDDSDGNPATLDTVSWGAYLLASF LPIIITLPLTILAQIIDSHVKALLPLKAMSRRSDGSSAEDSLFLTTGGIKGFFTSWRL LFHSREPGLLLSQMLILVSSATASFATEAIGFKLHGGCTIDSFAGCFMEIAIFRAPGR LVQVFLSLSLAVVVFLIFAMWNWHRNCGSDMRSIAGVAALLTEQTTRETIHKAKVNIT DKYVEREKMIKELSDHRFALRQVAQAPLLPPSPRHSPTATSTSSETSLGLSSSQSSSQ KKYGPYCTVRHLTDRSNTNRPHLALVTLQNEGQKQPGASKKTSDKKLFSLNPVAQDYI AQVLFLLTIIGFLIMILYYELTSFHDSAFEIFMNSQNLGVRALFAGLGFIISLFWDSY FFNIATREPYRLLSRHPRLLQTDGTRRSNRFFTTPPPLHVFEALTPSRVFYSLQDRSF IVPAVAAVTVLSKLTPPLLSNIPFVPWLTWETHQACAWSVVGTLVLMILVLGWNLVAV RYPYMPVNPGGDGMGLVGWVYYLCDSNVRAELGEVYSRRQEVENGGGVWGWWKGRGQG GEKKEGSEGERGRYVTFGEVTEAQTGGVRVGIGEYGVGRG QC762_117500 MSSDNYRGDPNDGGPLDSDDWTSYVPYSMHEATRERVEDGEEHD QEYYDEILREEMAEHERLHASGDAEGLELEVVLEQVPVGNEDDEDEEDEEDEEDEEDE EDEEDEEDEEDEEDEEEEDDDNQATAEEREGGQLLRRIVRLVGNGASGAVGLSQRQIL ALLRGHDLTDLIFDDAEVDEMMYQRRTNRQDPDRFPKVPSEEGRKLMESGAFGSFDIR DRRYKDISRRILDRELGLGGKAEQLRNRGMMLQQMIPVSKPEMIIHYDDPVCCGQFSD DGNFFYTCNKDFKVRLYDTSNVYDWKYYKTFDYPFGQWTMTDADLSPDNRWLAFTSLQ PEVAIAPTDPKDTGDSYTLNFAGGYSQPGYGGSFAIFSVRFSGDGRQLVAGTNTDSVV VYDIETRTTLHHVHGHNDDVNAVCFADKNSPHILYSGSDDCTIKVWDTRSIGDGRPAG AFVGHTEGLTYIDSKQDGRYILSNGKDQSMKLWDLRKAMSTSTFLSTNPTAITQSPDY QFDYRWQEYDDSRWYQHPHDNSVVTFRGHKVQRTLIRCHFSPPNSTDSRYVYSGSADG HVYIWNMDATLAKTIDVQKATEPATGSNARLRTRRYRLHSFENDWSTIVRDVGWHPNA PVLVASSWNGSANDTGTASVHGYNEADDDDGEESEDEGMAMGRVVDEKLNAPRVATGG WRQRYDM QC762_117510 MAPPSGLHQSAIPSDFEKPFPALVRSSTVPASLKSHRADPSHLA PEDAYTPISPPRQPGLFDTGLDTRPRHIRRNDTSRSRSRRRKRFQKLLWVKQSYPDNY TDQATFLENLQRNPRVQPYDFWPLVADSTVILQHVCSVIIFIVCFVGIFQERVSPVSV VGWGSFATFLGWLLWDWWMTQEDQTGAGESGDMTRSMRDGRIYREYRPPRRQDSLPGP SLHQPLPNNISTASISSTSNLPSAASSTTNLLQYNHRPNPTSHQHHDNTSHNSTAPKL LTPGHSHSRSVSSVHSVTSQNSANSPTSTRGPNHDSDPDSLPNTRAYLRVSTIKSAIL IYFTLLGLSPILKSLTRSTSSDSIWAMSFWLLAINIFFFDYSGGTPSHISGPHKTKKM PVASLSTNAALMASTVLASRLPSTGQVFSLTLFSIEVFGLFPVFRSYARHRSWRYHFL LTVLLVLGAGGGVGIILGEAPATSWPWKRGLAGMVIGCLISAVAIGGCSWWLIGMQKY KNEIYGPWDPARPIIISRRHWDDD QC762_117520 MRWYIVLGLAHMATCSPVPVLLKERLEIFSDAANGVVTVLQSRS SELSSVISERSQDGSAQTPIEVASPQLRPLTKHRPAAETWEDEMDAPVVTLGDEDLLE VTETDVDITTFASFARPGMPCHHGRLLRENNDMLIIYLATSFLLVVVMVETWGSYVRR QEQGNIRLEESPIREVCSIQSEAASDVPKDVSDEKKALL QC762_117530 MSLQVDDGGRRARSRSPGRRADVVEASPYSSTDRGFTPSTVTAY SEYERLSQWGSAADEDFYGARPPSVVNLQGQGHIYGDPLEAEYGRYSGRRADRDRDSD SDSQRRRYPEIRTAEPSSSPRDSRDSFGRESDKERRRREKKDKLQDDLAYGKLPGQSK YDAPVPQSIPIPIPNPSASAPSFNYAQPRPYEYGSVSKTDRYGTSLEPTPRPGRSPSP GPSSPLKSAMKRTSSPLPPTNRMSTLSVHSPHHSLSLSSAPPSPLLEAYHGTYQSMSP MPSPLLMPSSPGHHILEALSPLGSDSESEQKKKRRARFHDPVDDAARLAKALKGDRRP PETEPLIEILPGMSHEQIMELRQEYKRLVKTGTERKGVNIAKHIRARLKDEDPNLMKA CYATALGRWESESYWANFWYHGDKTRRELLIESLMGRSNEEIRLIKDGFSDKKYGNSL TRAMRTELREDKFKKAVLMVLEGGRQEDVWDGRERRWRIDADLVEQDVKELYKAVKSE KGGESKMLEIVVGRGEEHLREVMRVYREVSRGGNFAKDALKKSGNLVGEVLAHILNGV INKPMRDAMLLQHALKASKKDELRKELLISRLVRYHWDANHMQQVKRAFREQYGQDLS EAVKEGTKDEWGAFCRALCITRMPDAEKVIARVDIHRK QC762_117550 MDGLPTPPNEDFLGHKRHHTISKSVKAVHRTSKRTSYHGHPPSP VHDTHSSHSGDARHKRVWKACERCRMKKTKCDGEFPCKRCKDDGLVCTAGVRKKTEYK QLPRGYAEVLENTQFALIATVHKLYAMVRNHQTWDLGEPDLNDRGQPVIHNIASKLGC IRPSGDMDLPPHAVFPEDEAGLTELARQLEEQQRREAIAAPLSSNGTTTSIPHSHHRR QNTIDSRTDDRASSSSPELDHSDFEASMDNYRKATFGTTTGATSAITMSPASLSYHDF DMSGCPTPSVDGRFPPHTTQSPTSAGSIPTSLNWLNAARTSSTAVMDALEFTSQPAAH YGGYTLDMDMLNQNLLESAFGVGSGVGMKGLAFMGVSQDKGTDSREENADYRVSVHGL NGFFHPSKLFL QC762_117553 MSKKKMVMEEEPANCLSLQASLSSAPVNSPGSAELRPVQLRPAR RRLRIIANIQRSRLLSGSAPAGAELDGSSGFQYLSNLRESRRDDVELIEGPGQPYLVP GTETHD QC762_117555 MRRSDTRSIPLLPSLYVCRHLSKNPTLHKAVPRFGRRRAPNRSN TE QC762_117560 MAFSSIATLKVDRSSPQPKQGIKRKEGEGSDERPVDPGATTALL INELNWWTTDDDIRGWAVQAQCEAELKDITFSEHKVNGKSKGQAYVEFTSQQAATAVK HRIESLANEPNQPASKRPTAIYNNPSFNPFRTLPKDTPNRGGAPRDGQGGGRPPVGPN HNDSQRPNSYQGGNNGFGGGGYRGRGGYTPRGQMNRGGYSNYQGGMNNQFNPGNNMGF NAGGGGGGGGGYGGGGFNNRGGMGMMGRGGGMRGRGGGGAGNAMGMMPMNPMAGGGMM GMGAGMNPMMMGGAGPMGMGGPMGGMPAFQGMGNQFSQPFGFGGGSGGAGGFGGGGNS QAQNPHGAKRPRGE QC762_0017330 MSPYFRLFKFRSHMGFGPRLRFVGWVETVPDGRCRTGAGPGLVL PSVSLVPGPGSSPVFAFRI QC762_117570 MGFRDKFRKKEEEEGNSTLNFTFVRSDTHSAEVIQPPGEPVGAS NDGFLSPGPQTPQKSRRSLDVFRSNRSRSASASSNQGGGHKRLSQRLHLSRSPASSEI VPQDLPEIVVPEGGVEDKDGTESQWEKRATLLARENEKHRSRPGTPDHGSSPPTLPQL RLGDASADEAPDPRVKVVSSKAIDEDIQEAIRLHEAGKLEESTALFGRLADPKGANNP LSQVLYGLALRHGWGCQPNTAAAVNYLSAAASNAAEIEQMALQAGLKKGGAAKGELVL AIFELANCFRHGWGIAKDPIAAKQYYETAANLGDTDAMNEVAWCYLEGFGTKKDKFTA AKYYRRAEENGNKIIGNTW QC762_117580 MAPTPKTTPIRRPLTLKGVERKKPGPAPKPLSEKLKAKALKQIK RVERSYTRERKIEVLLYLLTHRVPDSGPRKTPRRRIGQPQEDCSTQPVVENENGELVW YRAPTYAEASDFWKIPTPTIQGWWDSRDKLLEGTGIEVPKVGPGGVPKALEGWKPLSQ RSTFRTKEGMQQEEPVVTVANPNSNGATPTPSSSAGASSAVPITTAPMTPSVRIIGRV NPQPHYKPPPPAPRPFAPLAPAPAQQMPPAQNRPVAQAPPVQTPPVAPANRPAAQPAP QHAPQPGKPGLQPAAHQAPAYPQIPPAFDPSNYVVLYTGPHPGPWSYPGQHCIPPGTV LPIVYAGQPVELGPPCFVTVYPGPPSSALTPPAPSNMHNRAPQPAPQTGQHSAGQAQG PPQAPHPGQQQTPPPPPGYRPPHVSNGPPPNAQPPQGQRPTGPSPPVPYVGPSGYIGP YAPPGFAPANQAGPPPQPQNAVSQGRSSLRTPGANTGRASKPKSRVQPPPAVPNGVST QLESGNNGQTPVAAQDPSAAGSTSSSDASVSTPAETQVTGSVDVQHQDASNDKNRPDS HVHDQASEPTPSTQAPMSPSGDQDGVVPANTVSGESTAIDRDSSSAEADSEKAAATTL EETMQDPTPQEETNVTPTTAEDDTAMEEVLEHVMEEAMKQEAMLEDSAGGETPASLSG LSSPNDGADATRMEIDSEEAKYSSPSQNGEAYETPYETPAVVETDGDDEGDEGDEDDV MVDTPPEDNAQPASSEAEAVESEEE QC762_117590 MVKSYLKFEPSKSFGVVASSSSNIVWSSKDKTKSSAGQAVVAAN EEVLVWDIKKGELLSRWKEENNRALVTAIAQSKTDPDLFAVGYENGSIRIWDSKIATS VVSFNGHKSAVTILAFDRTGVRLASGSKDTDVIVWDLVAEVGQYKLRGHKDQVTGLRF IEPEPVVQEEDGEQALMAVGNEGAEGFLLTTGKDSLIKLWDLSSRHCIETHVAQSNGE CWALGVSPDLSGCVTAGNDGEMKVWALDVVALAASAQRVDLSQSVNFLHDRGTLHRSS KERAVEVIFHPRRDYFAVHGVEKNVEVWRIRTESEVKKSLARKKKRRKEKLAKDKKGA DVDMEDEGADDINKAEISDVFAQHVIVRTTGKVRSVDWAIQQGSKDLQLLVGSTNNLL ELYTIVGKDKLKSKTDVADYNKALGVDLPGHRTDVRSLSLSSDDKMLASAANGSLKIW NVKTQVCIRTFECGYALCCAFLPGDKVVVVGTKEGELQLYDVASAALLESVNAHEGHA IWALQVHPDGKSVVSGGADKAAKFWDFKIVQEQVLGTTRTTPKLKLVQSRILKVSDDI LSLKFSPDSKLLAVSLLDSTVKVFFVDSLKLYLNLYGHKLPVLSMDISFDSKLIITSS ADKNIRIWGLDFGDCHKALFGHQDSILQVAFIPHNSDGNGHHFFSASKDRTIKYWDGD KFEQIQRIDGHHGEIWALAISHAGNFLVSASHDKSIRVWEETDEQIFLEEEREKELEE LYESTLTTSLEQDADAQDENREVAAASKQTVETLMAGERIAEALELGLEDLNVVKEWE VAKASNPNLAPPQRHAIFMALGNITAEQYVMNTLSKIKASALHDALLVLPFASVPMLF TFLNLFALRSMNIPLTCRILFFMLKTHHKQIVSSRTMRQMLDGIRVNLRQALRKQKDE MGYNIAALRVVGMQIEERSVKGFVDENWEEEEKQKKEVRKRAFASLS QC762_117600 MLLLDYQNVLIQTVLTERFSGAPPVSIDQTVSDFDGVIFHISTP DTKTKIVVSMQIRCYKDLVRYGAEELLAEEYGPYVIPPEPGYDFSLQIDLENLPEDKE ARDALIMKIALLKRNAMAAPFKQAYEEHYHLKAEAAKFTSEEAPQGVKEGGSVKAIQY REEEAIYVKASHDRVTVIFSTVFREETDRVFGKVFIQEFVDARRRAIQNAPQVLFRND PPLELQGVPGVKNTGTGEIGYVTFVLFPRHLTPQRMNDVISHIQTFRDYFHYHIKASK AYIHTRMRRRTADFLQVLRRARPENEEKERKTASGRTFKAGN QC762_117610 MVSAFPMDNFYRGPLSVDTNHAQKYFEEEADSILDDNILDQSGI DSGFENSPSMTDSRRDSFAVAPSLFSPKTESWQQVDMQAVPSNNPFFDAQSNNPFLQM DRGQHAVYANQTWPMTSSGSATPQMQTFDGLPAEYDSAPMNMFPKPSPFQVQATPFGN PGPQPAMFQQLPQSMPTSPQKDVWMAHDVKSQALKRPRPASPLIRSHNDLRRGDGIRK KNARFDIPAERNLTNIDQLIAQSTDEQEIKELKQQKRLLRNRQAALDSRQRKKLHTER LEDEKKHFTEVISSLEDTIADLQRELEKLSMEKQDYQTYAHNLERERDELISKHTIES GELRKKIGVLTNHVQSMEGATSNAPGFPGAFNEMDGITMDGSWENMPVFGEFPLEQTA EVKQEMQLVSTKKPEIALPTDAEKPAQPGGLLFMLFLVGAFVLSSRSTPSIPRVSEDV RAASATLLENVLKDAGVSGQAPSGVAAMAPQPSGTSWTQASGSSLPLATPMVDNVAPS MLGEMADALTRPTEQQTNEQIFSLTAAQYNDLTSQDFLPSAGAERTTSQGRRNLAEAL ANMRNANKQSAAEVYTRSLLWDQVPSDVVRSFAKMIAESNSAQASSGNDRS QC762_117618 MDFHQQYTILSKKRVRYYLCRRQPRTSEPPLTIVQRGHQLSLSA CEVFQVKEKMEWNSILSRAANTHTASSCTR QC762_117620 MAAATKEPSSASAGKRKRQENGDKSKKRRKSGGDEGVDLKAQLK QLEAEILESRKHYNNIATLLELAQKNDEDRPTAIAASETLCRVFVRLLAMGCLVERRE ASEKDATVTKWLRERLADFREVLLRMFNNEKLALPALLLAMSLLKVEAQHLDGRDQPA FPRYFFTQVIYFIIQSPVEQLREEFTEKFIDEFDDIRFYTFATISEFLRDPSADLDET VRAIIFNLLLNIDDVPSSNQDLDTFYIEPPITKKRHPVRSLSQHKSQAQDAWLALMQL GLSKDQRKKILSVMSNSIAPWFTNPELLMDFLTDCYNAGGSISLLALSGVFYLIQERN LDYPEFYTKLYSLLDADMLHSKHRSRFLRLLDTFLGSSHLPAVMVASFIKKLARLALN APPSAIVAIVPWFYNLFKKHPLTTFMMHRVPRTEEEKQKIEEGGVEDVFLAWEKDPME TRAIESCLWEVVQLQGHWHPNVATIAKIISEQFTKQAYNVEDFLDHSYGSLFEAEMGK EIKKPPVVEFMIPKRVFTKVTEEEEKQGLKDSLVVGLWDFGTA QC762_117630 MASRLARSALGAARLRPSIAPRALPALSTAIAARNSSGVPAQDP KSKAQSLIDALPGSNLLSKSAILSSFTGLSIYALSNEYYVVNEETVVAFCLLSVWAAL IKFGGPAYKEWAEGQNKKILDILNSARADHTQAVKTRIEDVQQMSGVIDVTKSLFAVS KETAKLEAEAYELEQRTALAAEAKTVLDSWVRYESQVKQRQQKELAATIIAKVQKELE NPKTLQQILQQSVADVEKIVSAKQ QC762_117640 MRPGPFAAGRLKIPPAAKPFEPSEVEESSATVVEMEKADIEEHK ELTSQTTDHDENIKEAEVRVAPTDQEVQEQDVIAESNRILSRIAMWDQQEQAVPESTP VPADDLAPSEHIHVMGLDPVGRYITHILASCDHIPPVRYVMHTPGVHNVFKQNDRKLT LYRGDQMVTTNRIIAVNFNQGGDYTSPKQYALPSLISNLIITTPAADVVRILEPIKHR LDHRSTIVLINDGLGVVEDLIKTYYPSSITRPTFILGQFTGKLGYTGEQFSVEEVELG RLRMSIYPQQIQQSGVRIVRHPPIEHTLKPTRLLRTLTAIPDLRAAGFPMDDFFKKAL PTIVFRSIVDPLTVVLDSTYDKLPKNAYARLVMDQLLSELCGVVSRLPEVRDSPDFSR LTVTQRLRKEIYHKLVRQQTSSSRMRSNVARGWATDIDYQTGYFVERGRQLGLRVENL NSLIAEVKAKQKIQMDRQNMQIPFQL QC762_117650 MEDAELEKIRKARLEQLKSQGGGPSSLGKAGGGGNAGPSKEAEA EARKSILNQILHPEAADRLGRIRLVKEQRATDVENRLIMLAQTGQLRSKVTEEQLKEL LNAVADTEKEEKIVVARRKGWEDDGDDLFDL QC762_117660 MEVTLKRSAALARQTTPLLRPLRPVATYPSNNNNNTTPQQRRPY SLFSSLTRPPPNYPGHVPLSFVEKTALAIGSGLISLKNPRRGDLIATFAETTSTPYFI YRLRDAMLSSPTGRRILRDRPRITSTSLNLPYLRSLPPNTVGHTYISWLDREGVSPDT RSPVRYIDDEECAYVMQRYRECHDFYHALTGLPVVREGEVALKAFEFANTLLPMTGLS VFSLVGMKKKERERFWGVYGPWAVRNGLRAKEVINVYWEEVLEKDVGELRKELGVEVP ADLREMRRREREEKKRREAAARG QC762_117670 MEAYDELLRWASKQGIEVHGIEAKRIPGRGIGIVASKDLKANER LIYVPAASLRTLTTVRPEIRNALPPPAPKYKGTPVHALLAAELLLETPTIKKKYAPWH AVVPTRDDILSTLPLAWPTSDHEKLHSLLPYAARAHLTKQKAKFEKDWQLTRDVLLPK LSLSPKGRYSKQEFLYHWLLVNTRTFYHETPATERLTKDDKMALQPVADLLNHSDEGC EVVFDTGCYTISADREYKQGEEVYICYGTHSNDFLMVEYGFCPEENKWDEVCIDEVVL EEMSTARKKWLDGRDFLGKYLIDERNLTGCYRTRVALMLLCTSRSQWERWVDEGEDGG GEVQKMVDRIMVRVLEKYLKRCMEAIGELEGCGPSGEMVLRRWRQIERLVEKSLEELK VEDN QC762_117675 MSAPTTNRTAEGINALEFLTKRQTVRGDWTCQFFFDGPGHDALR ADHAAFNRLFGGPRLTARGGQCYVGRCNGRDFAWCNIASNTRSEYSNQRNLVADTNPY SGINCVYDYMPAYDYYWWRWEGALRFDNSDIRRY QC762_117680 MSSNFKPYWVKANSQTPAAPDALVVYIVAKLGLNGTGQHYPLAV VKREGGLVDLDAVQGRDVLSAILGVVTVFSDPSNHIGIQSELSLAAQFYQDPRIGLHR TELSNTPTWMRQSISPQWECGVREFPFISTCLVLGVAFDRERGVGLSVLPAPLGTMFS NTNMEYAMAVVDITDLNAIRYGIIAFRSTVMIHVSERPREVDEYNDWGDSDPSGPREL RLEENRTREPLSAAGYLTKFEYEACDDLVKKLDEVELIDPTVLDLIWPLTTAALPALS LFPAEKDAELTALIDAMLESQNLDIISETLLTQLTGTAHTKFILRQHLQKRSQDIGHL PKTGQLLGLAFTNELHLDLASFNKLSSLSVIAALETTPVPPVSLSLNVDTLHDSSTTI IDALLTRPTLKSLYLLQSPTRTSDTPNRTFFSALATHPGKPLAHFKKLHISGLYSSPF IGEPFLPVVSRPWLHSPYPLQYLFLRQQTQHHPRQPPISQVHFLNPLLLTPEKFAAGL LMYLRSLLTSTDIQHHSRTSLWGFACCPPTLTVDQKTRVEVDPLPFYTPDPPKVRELP EESWNVVVERGIHVDVGNKGPEGEGMSWMPDLKAAWVRYALVRVVKPGGVKLDQGGEI KKRDVEIVDLEGFLCRVDLGFSKADGDTVWRRMTEFEREMEEWPGQGGLGKGMPKVGV IGEKEARVLLADCLTDARST QC762_117685 MFTVTTLLKLSLALLTASSTMVASLPPSNKPTLHRRVCYEGETT NLYCYTEEHGGIPQEVSEDDIKYVASYLRSYGRQVRAGRLLTMGPAELGTCDEWTLYQ RGSVMVTAKHLNDTANSAVLFEDVATTIDGGVGATDAQKAKAIIGCLSDGGSLGVMVN RTNPMYNSTAYVSNRYTPTGIVIKVVSSGQ QC762_117690 MVDNQKNYYGVAPDKGKQNGPVWRYSESFAKYMSNLRANKVIRG GYGKGLTPGAGDRRQNHLNGTLGSNSALYLNNTRTLLSRGSAPLHKRASDYWLTTLGP LGIQPHAGGSNYKFYRDVVADYGADNTGESDASEAINAAVEDGNRCGLECGNTFTQGA IIYFPPGTYKICSPVVQLYYSQFIGDPHDPPTIMGCKSFKGIALFDADPYIPGGGGQN WYINQNQFFRQIRNFIFDLTKMPISTADNDQPLVPTGIHWQVSQATSLENLVFNMPKA TDDETTTAVGIFTENGSGGFVADLTFNGGAVGWRAGSQQYTARNLKFNGCLTAVQMIW DWGFNWQGIEVKDSAIAFNISGRGGATGQGIGSISVIDSSITNTPIGILTNSHEQSPN IVLDNVKISNVAQVVQVDNGPSLLSGTSDTATIDLWAHGRRYNGDKGSSETGPVKAPS KAAGLLGDDKKLFTKSRPQYADFSPENFLVATKEGIKNDGTGDQTLAINAFLLKAKAN SQIAYFPAGIYQVGGTVFIPTGSRVVGSSWSQIQGSGFYFADMNAPRVMVKVGNRGDL GTMEITDMLFTVKGATAGAILVEWNVAGDEQGAAGMWDSHVRVGGGIGTDLDIDNCPK GGFNDQCICASMLLHVTAQASGYFENVWVWVADHDNDMVVYDSPDKLINQISLYAARC TLIESQEPTWFYGTGSEHCVMYQYQLNKAKNVYLGHIQSETPYYQPNPVAPYPFDGAR PMAADPSFLECTTDSCKAGWGLRIIDSENITIHGSGLYSFFQDYYQDCLETFDCQDKI LEVKGSKNVAIFNLFTVGTVNIASATRISLGMNLTNGKSNSSATQQEGNISRLTRDSG FTTEVSVWLPLDGSDNISVVYVGPEIWDRPTAACSPPCVLVLPTSTLDQDTTISPSEY TTSLEYGRQGSSTGPGGQVITTFYTTTTTITITVPPITIPKNSGLPYSNVNVTRGQGG GGFIATPRVEIPPIGVPLPDGNGGTTTRQVFLPPWPDVNRGPPEDWEYDGPWGNPSPI PSGGVGQAFHTPWSTTVTASAATVTTLTFPAIVHPQTYQCPPSSEISFNTPRMTLTVD CPTPTEFKFGFSCPTTKVVTFLGPSAGVFTVDCTVSSVWDFPRFPEPTPGPSESTTSD EPLPVWTTWPPGEITPVEREVEESEPEDDGTFTSCKLWFFFFCIRWDNIKIGGWKWTL PPGIYPPGPPPPFIKFPFKVEGTLPPWPEITIGRDRKLTYSNEPTSCTTKSASLCTTT TIFSVTKIAEDSTRTTATATSRQCETVRGCDVTDQNTRTVSTAIESCTPKPRVKARDG DDPSLLNPRQNGNGNGCGKNAIVYPKDPKSAGDIPSLLSAYAGKYETIGVPELNIVGY WWVPLLDEETMKRLKSSPFVNDAYYYQDWNNAGNGPDRSGNINGEMALPAHSEGITHD DDGWTSLASPLFKRARTTTATNFWASSIVSLPKGWNWREAGTDSYDYSNLANPYLYQW DDQGGSTEHTIYVTGEARVWTDHPEFKASEGFQGELDIVLPGGKYDVENDKADAFHGT CVAAYAIGAKLGICKKCRGVWFETKLWSTDDPYFNPNFVRERGIAHLMAAFRDIHLRG NAKKAVINMSWSYPPGKAIPATLQSTHWVLTELDKMGVVLVASSGNHAHDEGREISRF PARFASPDKKRNPYGEIKNLIVVGATQNIGIEYTRGQTSNYMTTFAPGENVPCTSDPN AAGDKYSRNMASGTSAAAPQVAGLAAYWRSLPSKWQTQLEEPANVKKLIRLFHRRYGF WNAQREKNFPILAQSKPVIWNGQVKDKNCLVDYDTRQTWDTTKACPDIPDRLSTLPEN PGESVNCNNPAPPPNSKRQAGSGGSCPFTPGGSGAEKSIDYQPKPTPSPTCQSNNCGG KLCTGFFCRPKPSGIPPDYMDPKDPNAGNPVPVTHIPGPNKPTTTRGGGGTPTPSPEC DDKCKLDRGNPCRCGDTGCDEQSPACCHNASCPKCDCPKNGDGCSKNSPACCASGTCQ WQYTGGGGGLEPNPVDEPDSGANRVASPPSSMETVTEDPEHVDAVYEIYSERDAKGGF VVSGFSGDVNGTVVGMGGVEPDWVMSGNGTSGLETSYKGVVAYGRRCDFLAGSVNGYE GMERPGMVVGALTCEGVAPAVCVRGEGGGEVREELVCRWE QC762_117700 MTLSAEPNGLNGRAVNGNGVNGHEPRPFVLKDTPVENLRPLRVI VVGAGFSGILAAIRIPERLRNVELAVYEKADGVGGVWHANKYPGVACDVPSYSYQYTF APNPNWSALYAPGSEIREYLEDVATRFGAMRFIKLSHRVEGGEWDNVAKKWNVKVHNI TTGETFTDSANILVTARGQLSEPSWPSIPGLDTFTGKIMHSGAWDTSYDFSNKRIGVI GNGSSAIQIIPQLRKIPGTQLKCFMRSPTWISPEFGDQGMAELGFDPKQKSTLRSDPQ LFLKFRKVFEDLGNTIQSTTLLSHPDQISSQAFFHSSMTAKLSSRPDLLRLIIPTFAP GCRRVTPGPGFLDSLLEPNVTVIPSPITSITPTGIVTSPSSHHEFDAIICATGFNVGT APPFPLLGRNSLTLSQHWFHHPETYLSITTHNFPNLFFLFGPNSAIGFGSLTKILEAL VDYLTSYIRKLQKEDYASIEPKPERVADFESYTQAYFKNTVYMDKCHSWYKRGDRIVG LWPGSTLHALETLRSPRWEDYDYEGLEDGNNRLGWLGNGWSVTQTVTEEGKRGGDPSW YLNEDEAEIPKEGRPEENERLGRRPWSY QC762_117710 MSTSAEDREVMERIARLASRVNRHKNQQAGLIPPPPFRAQHRMS IDSKSARRTDIDIPKDTGYYRGSHRGHPYRGYHGAPRPVHRNRTLVLNGASPSSRSVD DLGASSDTSTSSWVHKNDRHLQIINSSVYQQEAPSRRQAMEQTRQQQLAAKNRQERAM LISHLNRLANNGGYETANHQKTAGKYVITVDGIQFTVTKQGSKLVKVPGASSSRSTGD GEVTYPSAGDGNSAKATPRMAVVGGVKFYRSKNGNLYRHGVVKAQRQSGTVKKVNVPC KQFSMMGSCAKGPQCRYTHDPHKVAICKDFLLGGCPNGDDCDLSHDPTPERTPACLHY ARDSCTKSDCKYAHVKVSTAAPVCRSFGFYGYCEGGAECPERHVFECPDFSNTGTCKI RGCKLPHRERASVLRKASSSKSEDVEMEDVSSDDDGESIDDYDVDSDEVDEFIGEDET GGLDFTEQKDFIEL QC762_117720 MAGFIKTEEQKPVKAEPDAANGSPFMEDLLDESADLEFYDKFKD SADTYDRMYLARLPSYLWEAWSKLDDDDEIEIGKIRQFQNKDGKMQLQMLLHSNIQPH QELPKEYNLDVHNPDVHNTFVFTEQDLGSYAAKNKERAKALAAGIPAHLLRQQQQKQS GESSDRGRRSGPYTRKAIPKKTRIAGRIKHEVLCTPAANPETERFLFSRTKKTQETKK EVKVYEAGTNPRGLSGDKEWAGYLKVTEKPTKAKKMENKTARWPENQLLDAIAECFGR HRFWSIKAIRAVIPQPEVYLRETLEKIAVLHRSGSFANHWELKAEYKSMLKTAQPEDG AAAPPPDNNISDEEDEDIKMEDVI QC762_117730 MAGIIILDFDGTITTADTINTLASLPTSHHPGEVTEGHEKLWEE IVEGYVADHAEHTKNYVPEAKGRTTLGQELEYLESLRGPEGVSIGRVSRGSLFANLRE EDFRVFGQRIVENGRGGEGEEERVVLRRGFKEFVGRCRENGWEIGVVSVNWSRDFIMG VISEQCGGLDGVKVVANGIRYPEGTIEGPKELGREVMMTAGDKLRGMELLSKEGGEKK RVVYFGDSTTDLACLVEADLGVVVADEEEGKLLVTLRRIGYGVPHVGGEYRREKDGKK QGMVWARDFEELMRSGVLEGLRR QC762_117735 MCFHKRILFACSHYAWLTTPEATIPCEVERRFLRGGMPESVNDN ENETMSETGGGFDKQNLLPEEGCNQMWSHGFHTVKVGEDCRSCVYKRRRKESMIGEVK EVIKSLRVNLERITTGAELGQEIGDQENNSFDEEDDWGLTVRSKNSDSTRNSAGTTGL ELASSPGGSSETTGTGDTSLSLDGERDHGLVKEPLLGSDDGDDDDDDDGESEHERMEE RCALVFSPAVAAVGV QC762_117740 MPWSSGSSSAPSGDKDDIKSKLSSWTKPIRDHLSEGGNWIAPVI AAGATVGLWSFYKTYLRRIPNSAHVPPRYFHRRSLFGKVTSVGDGDGFHLYHTPGGRL AGWGWLRTVPKLKKELKGQTIPIRIAGVDAPEGGHFGRTAQPFAAEAQKFLDSHILHR RVRAYVWRRDQYDRIVATVYVRRPPFFQRKDVSMELLKQGFATTYEAKTGAEFGGPSK EIEYKVAEEVARQKGKGMWSLEKGGGFFHPSKKARAIESPMAYKRRVKLAEEQQRKLN P QC762_0017570 MTRRLLFRQRSPHPFFGRSEIQIIESESDQQSPSKTLSVALLEN PKFPPFVTLIPASYL QC762_117750 MRLPSRRHHAAPRRMTTTSAFLLLALSSLSSAITFTPAPAANLD FSKLGRVALAGDFSGISLYEFEGQNERPYSTNGSEQLLARMPNGAFAPVANTDATIRT MCAFEVGGRTVVMVGGNFTSIGAVDSEVQQSPGMALFDPATAEITPLPGLSGQVNAVL CDQQANIVYVGGSFVGANSTNAIAWQGESQTWTNLPFAGFNGPVASISKASNGNIIFG GSFTGLGNASAPSTPDGQVINLSTASISSGSSTSTQGFSDPRNIICKTGGADGAGSTW LLQDGTPGFWSAKFGFGFQPTKLRLYNTRQDGRGTQTWRFTALPINGIMNFTYIDPAT QTNKSCTSECPLSNDPDLKFQDFHFVNVIGMNEFRIDISRFYGSGGGLNGIELFADDI YSYAINDFNEPACAGGEFPSEATITGPWTATPSQQSTSEYLTARLTGPITNTSASVVF TPDIRESGHYSLNLYTPGCLQDNTCSTRGRVKLTGQMTADRTKSEPIDLDLYQTNNYD KYDQIYFGAVDASSGSFRPRVTMTPLAGQSLDEMTFVAQRIGFTLINSTGGLNGLYEY TPGRAVNASDFMSSAFNRLGASFRTGSVVNALATSGDTTYIAGNFSAGNVRNIVALQG NDANPRQLGGTLNGEVESLFLNGTNLFVGGGFNGTVSGSSTGLSNVAVYDTSSRTWRP LGAGVNGIVQHVVGMTMNITSSTPELVVAVNGDFDQLRAFGNNPAVEVEGFGIWVPSQ GNWLQNLDLPVELIEGILSASILNVDSETSLYAGSLVSRALGASGAAILGEDLSRFPV KIRPTPTASSTSALSKRDDALADKGLSGIVTGVFDSSNSRNLTILGGHFTATDSDGSE IHNLAIIDGADGDKVSGFGQEIAQNSTFVALAVHGDTLFAGGKVRGNVNGVNVSGLVT YNLASKSFNTQPQALSGDNGTVSAIAVRGTTGDVYVGGSFRSAGSLGCNGVCFYSTAS SQWIQPGQNMAGAVHSLMWISDNILLAGGNLTVNNTVSTFLATYNTETQTWDNYPGAS NLPGPVSALTKGNNEGTHIWATGINTNGTVYLAKSDGTTWRFADESLEAGTDIRGLQI FSLTSSHDNTDLIDSSEALMLTGSIVLPGFGTASAVLFDGQDFRPYALTSNRGNTAGT ISRIFTEHSNFFDKGGSPLPLVFVVLIGLGISLALMLLIVVAGLLLDRLRKKREGYVP APTSMYDRGSGIQRIPPHELLENLSKGRSGAPQV QC762_117752 MMAFASCYSGSCLLFTTALAFCLDSPFPKCPDRLRTVSHPASDS LHIQYLSDVSCKERAE QC762_117755 MPARVRPLRAGHTADEGAVSFLPTSSTITAQHTVITKVYLHVGK TCDRSKVSESYVSRPLPAPERGEGGMVRRSDGIPPPSRPFITSHPISSPPSTVPQSSM IRFTASCCSAHPSSKPSHGDEILVGDGPRKAWLIGFRMCPGGIFL QC762_117760 MTMTIDTHNQHRFGSLNFDHMSSYSSHPHFTNPWVSTSASAGPG PQGGSQSLYVSSQDGTSLPHLNLNGLSKHQHSNRPSGSTSMAPYAPLPVSASSAGDVY SRQHDMMPMSQDLLSINRLQHPTTSAAPYDTSAYTTSASPVTASYATSPTAYDQLGYA PAPIRGTYAALAPEDSSRRYSQQSVASSLMSLHSSGGPEGQYQHTSESDYDFRGLQPD DRRSFQDALEASHGMMSLSQDTPRNIYDVRARQRGSTESYGFPSTHSATSSVSSTGFS PYYGGSVDGSVSDYSTTGSDIESLSGRTLPRPQGLMSSQPPAPQSMMGSFSSKVSSST QKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPFQCEVEGCGRNFSVVSNLRRHRKVHKN QGEPQTPSETGSEDHQSDE QC762_117770 RSAAKILARLALGLRLRLPPKFQQGKVLAPSTIQSHPHVHVHVH VLTHVQRPSNNDHHRDTPSHRPKNERAIHYRSRRHLLPHFNNNLPEWDEHSAVWAAVP CRTRNLIRFASSRPSETRLPLRKKASLNEAWDTDLYDRSANGADKFAGYHTSLPAQDG DDDEDMADADSSRRLVGQYTATRAQIDEFARGNGVEEDDILAGRGEKSNRITDRETDY QKRRFDRVLTPTRADPFAANRQAAAAEDGMSYREIMESREIEREEERVRRAIEQKMKD GPTEEHKPTLQIEEAGNKENGDAGSTEAAAAGRKRKKRWDVATTDAEAAPAAAEPKKR SRWDQAPSVPVLGADGTVVEAKKKSRWDQAPSATPVGNIGLATPMHPTQTAVLPPTAF GPDAGRYMPLSDEELDAMLPGPDEGYKILEPPPGYAPTRAPTHKLAVPPTPQTGFMMQ DPESTRLSGQAVPREIPGVGDLQFFKPEDMAYFGKLTDGSNEDDLTVEELKERKIMRL LLKVKNGTPPMRKTALRQLTDNARNFGAGPLFNQILPLLMEKTLEDQERHLLVKVIDR ILYKLDDLVRPYVHKILVVIEPLLIDQDYYARVEGREIISNLSKAAGLATMISVMRPD IDHVDEYVRNTTARAFAVVASALGIPALLPFLGAVCRSKKSWQARHTGVKIVQQIPIL MGCAVLPHLKQLVDCIGPNLNDEQTKVRTVTSLAIAALAEASNPYGIESFDDILNPLW TGARKQRGKGLAGFLKAVGYIIPLMDEDYANYYTSQIMEILLREFASPDEEMKKVVLK VISQCAATDGVTAGYLKEHVLDDFFKSFWVRRMALDKRNYRQVVETTVDIGQKVGVSE ILERIVVNLKDESEAYRKMTVETVEKIVASLGAADIGERLEERLVDGILHAFQEQSVE DIIMLNGFGSVVNALGTRCKPYLPQIVSTILWRLNNKSATVRQQAADLVSRIAMVMKQ CGEDALMGKLGVVLYEYLGEEYPEVLGSILGALRSIVTVVGISQMQPPIKDLLPRLTP ILRNRHEKVQENTIDLVGRIADRGPESVNAREWMRICFELLDMLKAHKKGIRRAANNT FGFIAKAIGPQDVLATLLNNLRVQERQSRVNTAVAIGIVAETCAPFTVLPALMNEYRV PELNVQNGVLKSLSFLFEYIGEMAKDYVYAVAPLLEDALIDRDQVHRQTAASVVKHIA LGVVGLGCEDAMVHLLNLLYPNLFETSPHVIDRIVEAIEAVRMAVGPGLVLNYVWAGL FHPARKVRTPYWRLYNDAYVQAADAMVPYYPNLAEEGVDRGELAIVL QC762_117780 MSSSSRCSLYAELLANIRQISLVASLTSPSDASTRVAVAADGST VELTHHGEVHKISLPAKIPLGSTLLPIDQRQNGTTALSWRLPLGGTVPHPHLSSDTPA WSATDFDVGVQVACRNCNTVVVEEGTVKVWKDLPSENWAEMMEFWHCHKPDHHHEDGH EHGHSRDEHFGKADEKSLAARGYGASSIISAQPGVGFVDLTTILFTERDCQNITYSNS AFEQGSLNRQDLPLTNNRSLNVFCSSCHSQLGFYVFRTAAVTLLKWQMSCKSASGSAP GITECLAATIISTISRSASSKSLIMPINETESEVKQTVIYAWVLNANIVYSSSNGALG RPAIKLLYQKIPREEADKMLEAVTCEAQEINLPAIAIEKVVEHLDESNWLLPEKERVF REWRVGLLTR QC762_117800 MASEWRKLPLSLAELCIDTTLRCGQSFRWRKINNEWHCVLSNRL ITLTQSPTHLSYKSTLPAINPPPHETTLPILQSYLSLGVPLTQLYTQWSATDPNFARR CSSFTGIRILNQDAWETLISFICSSNNNISRISSMVNNLCLHYGPYITTISGEPFHDF PSPEALSGPDVESHLRSLGFGYRAKYIADTAQIISQQRPKGWLDQIRNPAVPPADNPQ FQPGEKPTYRKAHEALLELTGVGPKVSDCVCLMGLGWWESVPIDTHVWQIAQRDYNFG GKSKSKTFTKGMYESVGDHFRNIWGEYAGWAQSVLFTANLKSFAEQAKTGKKGKKEEE EDKENADVVVKKEKVVKQEVARVVKNEELTVSSTRKRKAVKEEESQQRDEDVKMEDVM DGLTLSSQVSQVSTTSTTTRRVSKRIKARESQ QC762_117810 MNGDNYSSRDSGRYGSSRDHQSSRRDRDDRRDRGDPRDSRGSRR RSRSPPDYRSGGRSRRDEGAGGGDVDAYSSSRSHRDREREDRYTGGRDRRGGDRGGDR EWDRDRGGRSRRDDDDGRRERRGERPDRDVIDDRRGGGRRGGGDRDADRERERERRRS ASPPPKKREPTPDLTDIVPILERRRRLTQWDIKPPGYDNVTAEQAKLSGMFPLPGAPR QQAMDPTKLQAFMSQPGGAVNSAALKPTNSRQAKRLILSNIPASATDDSIVNFFNLQL NGLNVIEQTDPCLLCNISPDRSFAMLEFRNNTDATVALALDGITMEADDHQANGNGAA ATGLKIRRPKDYIVPAIVEDPNYDPDSSVPSTNVVDGPNKISVTNIPPYLTEDQVMEL LVSFGKLKSFVFVKDNGTQEPRGIAFLEYADSSVTDVAISGLNNMMLGEKALKVQKAS IGITQVSGELSVNAMSMLAGTTPSDNDAGRVLQLLNMVTADELMDNDDYEEIRDDVQE ECEKFGKILSLKIPRPVGGSRQSAGVGKIFIKFENHEAANKALRALAGRKFADRTVVT TYFPEENFDVNAW QC762_117820 MGRLHSKGKGISASAIPYSRNPPAWLKTTPEQVVDQICKLAKKG ATPSQIGVILRDSHGVAQTKVVTGNKILRILKSNGLAPALPEDLFSLIKKAVAVRKHL ERNRKDKDGKFRLILIESRIHRLARYYKTVGVLPPTWKYESSTASTLVG QC762_117825 MADQQQPPLPEATNGLVNPSIDTPMTDAPSAPLPPKQQQQQQQQ QQPPPLPHSSTPTPRTTTPIPLPHIPGFTAPATASNSRATSVHPPEQQATVPPPTNTT AQTTTIPMPSEPPLHGAPVRQYINSKITGVLLEGMKLVAREQPKDPLRVLGEYLLQRS NVIEQQGGQKGE QC762_117830 MDRFVQRSKSSTSRSPLATGSGNSNKRPEGAAQRTTPTKRRRVA EPKESGDEDDEFDLPTLTKSVRDSEDEAPKMPPRQTAIESSLPAVPIDKEAIEQYEAM RSSQPQIDEDNTTTRFEKRQWVPGKSSIYVDAFNLALETVLEDESPLFDIKENHVFEQ WRGLSYETQYLYVRLFLRKTASWHRVERLQSSYSNDITDVDLAIENLLEPRELPGDSN NSPEETRSEGLEVWSLGDTFTFADDSQEYIKTMADATPLLSLDELKALAKDAKVKGKN KADLVKALCHTSARQAGLLSLGLSRQNTNESIASRDQEPEDNQEKTEVNRDSHFLRKI LATTGPLIRLSEPIFKLFERVHLVFYRSTEWTENSLTAIILAKIARRNYPDYIVCRSS NIFDSRQSLLEFELSMRKDFEVDKVLEFNGPPGEAGFLKVLEIFEGIAERWRELLRQE QHRENHVYEFGEGSYLRRFNAAHAFTRVAHKAAYVLGRLHRYREEHALLTELLSQHLF HPARRGSWYQRKALLEERYMWEVDPDPVSTSPETQKKHWQQIALITCETGLEDRDCHL IYHYDLQKRLLKLEKRLRVPRRLQHDFGHVKLREPEEHNVQGIQLVRDGPDPKGKNGR GLSTKTTWLDELGELDEDGEPAHVSVEEMCLSYYRHEGWKGYHSEGGILRTLFAYLFF DILFVYVPNVFQTAFQTCPLDLHTDAFYPARASQINHRLVEIANGGGEKILREVYERE HERQTCVVGLNWDFEIEDLVELVSCFNRAALAAVCKVMSEDYRARGGGVPDLVLWRTA GDEAQTNRDGINNNIDRKGEVMFVEVKSANDRLSDTQRLWIHVLTGAGVRVALCNAVA REVRTLL QC762_117840 MSSKPTLTISTPKTANFPHIPPPPHELRSATSLPSATPLSAVSR TSAFRDPIPSSALPSAGLPSAGPFSALFSAGPYSAAPFSATIKLEHDLQKTPITPPVA YTDFLRGMAMVSPALASPPQTGKSVLNRTSTVSTASSNLSTSSTSSSETADSDDSEKD KGEQIDSGPSTARSDLSCGCDEEVKVKEEEVEKTKTPRPAPIDIKLSNAPRGSSNCPL SAPAAGANATVFPSMKLPASPAISTAGLYSPRSPLSTASVRSPAFDWEAALKSRRLAL SPGLKRPAEPETPTTAASAPAGGAASTNSPHKHAKKDSRSSVRHIREVVTRTVTYTPR MAPAPKGKRRKIDPEAGTTAAKS QC762_117860 MEQYNNIQGRKAAPQSYEVPSCLNAPLPRSELDPSLTVKEERAA TWLPKSKSRSLPVRIPRAPPVVQTPPGPPSTKPPVTARSPARKPALCSLPIPPRLYIY PVPIALRARSQEAQLSPATDLPHSDSRYSSLLIRHPTKSLDLTGYPSSAGGNSITSPA HGVQTSPILPSQHQQPSPTQTHNMYQTQYAMPQHNMQYAVPGIQAAAMAATAAASGSS YPYMSSDPSLQQSPRMSGVNPKKDGRTGPRSPQQMNSMPQQRRLSQVNSPGVPNAPAM LNHAGPRSTIPPPMTAAQQMPPPQSPEIASGAVEESPLYVNAKQFHRILKRRVARQRL EEALRLTSKGRRPYLHESRHNHAMRRPRGPGGRFLTADEVAQMEKDKANGVETKFEDT ATKTSTGASKRKSDGGSAPAAKKAKRANLTPEDEEDEE QC762_117870 MLFFSFFKTLIDHEVTVELKNDIRIRGVLKSVDQYLNIKLDNIQ VVDELKYPHLSAVKNVFIRGSVVRYVHLPSQSVDIDLLEDATRREAANQAVKAK QC762_117880 MAPARISKAKPKTAATPKPKLKQKTITPNKPPGARMKVQPVTKA PKVKTKPNASILSYFKKTEDEDLFMGGVEGEVGEGGEVDEEDMYGADDYVKRPVEEEG EGERYNENGGSVKKRRLSFGLHEGGEESRAVSVDAESTTVKKEQNEPRKPPAKKKVPN PFLDDSSSDEDGDENETSNDDDSTTPSRVRPTLASADPAENVCETTTGDRLTNGTRIT DRDDEDQDQEVKGKVPLLRHETSGANLTSNEGPAEEEPIDELGEEEFDEDITGEELQA MRDMEEQAMLEGYEEFGMDRRTDEEMMEACPICGGSLAGASEQVASEHVNACLDGNPT PLPQPKPPAALEENKEIDGAEVGKRFAKAAVPRPGQANPISFGEKTSAGSSGSAFAKL MSGHAEDTAWAAAAAAENASRGMPAYKRTCPFYKIMPGFSICVDAFRYGAVEGCKAYF LSHFHSDHYIGLTANWTHGPIYCSKVTGSLVKTQLKTAAKYVVELEFDKTVPVPHTKG VTVTMIPANHCPGSSLFLFEKAMGGGKTHRILHCGDFRACQAHLEHPHLRPETIDAVT GKTKHQKIDVCYLDTTYLNPKYSFPPQKDVIATCAEMSSLLNQALISNDDKEWDSLLR RREGGTPSTSVSKFFTTTTNDPPPPPSKPPTPTAPLNAFTALSGNPQPSQRNRLLILC GTYSIGKERICVGIALALESKIYASPYKLKIVNQLDDPELISLLTPNPQEAQVHLASL SDLNKENLISYLEENRRFGFSRIVGFKPSGWNYRPPSLKSLNIKADMAPGSVPMEQLL YGKAWRSRFRKADLIPMRGSTKEGVLLGVPYSEHSSFRELAIFVMGLRIGRVVPTVNV GSEQSRKRMKGWIDRWIVERGRLKGGRLEVKEGVDGREEEEEEEEEEEGVVYW QC762_117890 MYLTSRKPCSRRVWYLLFCHRPSCPSALCYRKRLPHTHNTPPRE FHFLKISPLSNCCNSAFTSQPPQHRISAHSTITTYWTSSGTYLQQPNSYPTSTQPNMA VPPPGESALVDLINSLPEHEGTWGPPITTETTLNGVPYAPFSKSDKLGRMADWTDNKD GRDGRGGRQQFNRNFRDQQVYGAGSASLFTPPVAEDEASFSVVSNVRDSTKTRFGRGA VFTRGRGGQRGGRGDARAAGGRQQFQRAGRGGQYGGGYDSRGGARGGGNARGGRRFGW KDYDKPARNRDASINIKPNWTLLEEIDYNRLSKLNLETDEGEDIDSYGFVNAYDRSYD KPPVKNSERKLLALDRAAYNVTTSVDPIIQELADKEEATIFATDSILSMLMCAPRSVY SWDIVIVRQGNKVFFDKRDNAALDMVTVNENAADAPLEASEGSKDSINQPTALAEEAT YINHNFANQVVLEQHKVSMAHENPFYSPEESVPPASKAYKYRRFDLSTTEESPTYLIV RTELDAVQKSALNNENQFVTVHALNEFDSKAQGSGGGLDWRTKLVSQRGAVVATEMKN NSCKLARWTVQSILAKADVMKIGFVSRANPKTNDKHVILGVVGWKPKDFANQMNLQLS NGWGIVRTIADMVLQQPEGKYILVKDPNKNILRLYQLPAGSLDDEEEEVAEPVQEAEE QC762_117900 MSSSLSPDDYNLDAGPSDQDTGPLSSLNLEFMKNITDKKSTTKA GQPPKRRGPKPDSKPALTRRQELNRQAQRTHRERKERYIKALEDEVLRLKEAFTHASQ DKAQLAEENCQLKALLSQGVAVGGPSLLDDSLSNPSLGYESGPASITGSYAPISSNTS NFTASPLPTGGMGRQSGPSPNNGGMGGFENKPNPNPNPNLDYEQLGIDFVLTLERPCM EHLPWLLDRTVESGGVEPCGHALMASCPPESFTQMAPEVPFGHNYSGETKTHNQGVTD HGRTGEQPRTWDLAKPDLATLMDLSQKLNLEGEITPVMAWGMVVTHPGVNMLRMEDFR KLADELAGKVRCYGFGSVMEEFEVRDALENVFSTKSELVLGY QC762_117905 MTCLTNLFPHPLRGLLLVTPFLLSTGLANLTLFLLPFAKLLLPS SLIHTLCSLIAGTLVYRFIQHIFTAINSAEITFSGDTPLPKESAIVISNHVAWSDFYL VQAAADKANMGSHTRYFAKAGLKWGLWGMGMPTVTREWTRDKRELERVFRGVKEEGWK TWLVSFSEGSRFTPEKYLQSRLWCRQNSKPQPEYLLYPRTRGFIATVQHLRKAPHVKA VYDLTLAYQCGEEFQKAPTMWETIAVPKLSLTREQGGVGYRFHVHVRRFPIEELPGDA AGLAKWLEQRWVEKGRWLEARRLRWASVDVLRKTDGIFGGMGRVVDADSRT QC762_117910 MEEEPTPPNMLAPPALNSLRAGGMERSFSENIREEREELREAAE QTLNVLLDLNLNGTIRWVSPSWVDVIGTPPDSVIGTPIADLVVSQDPTIFSKVVQDMK DDDRRSQFIRFAVKLGPMAKLYPVEMIGEPAEEMPLPTVELEAQGIMVYSGASEGESH SMWMIRPWVAPREIKIDLPPVIVDSLGSGAEVLASYLTQLAESGLDDPALHPPPLPVL CRICERQISPWWFEKHTDLCLQEHRAEMDVQMAQENLTEHRSAIVKVLDALGDTRRSR SLVGEPSPPLAAEYKGLLIRAPTSATSSPGTSSPILGAGRSRERSSGFGHARARSFAV RRPQVRIVELLLDLCDTAIEISTPAIKESPQPDGEIRTQSPQSEGHITQVLQWETPGT NTLEQEQGLALLCSDTEKVARDKVEAVFRHRRILEYAERIRVEFAIIVQNCIDKALRS AARIASGHMLSDTTEDEDEAAVIAPSRGEEDIFVGSFDEHPSGLALALENADIDEDPE RRRSSAVHSTRSSSPRECPTPRSHRGATANFSGANQSRRESMIFESDAGADSDGSLRS ASVASRPPPRTDSPMSEFSDLRRQASSRQHHRRSLILPGAVSPRRQESPSRMGPPSSP LRIHKPRNFPFSSDALVSPEASPLLTHSEFTSPSVPHHVHHRRQSSAAVSASTGDLPL SIKPPVSPRLAPQAKAVPPSIRDFEIIKPISKGAFGSVYLSKKKSTGEYFAIKVLKKA DMVAKNQVTNVKAERAIMMWQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCAALIKI LGGLSEEWAKKYLGEVVLGVEHLHSRGIVHRDLKPDNLLIDQKGHLKLTDFGLSRMGL IGRQKRALNSGTDAAPDLLKQGPFARSTSIASSRSTSMDLHGRSHSPGSTPQMTPSDC AVSLGPPSYFNLGTLSQEPRRVSTQRSDSGGSEALSQMIGNLSLADPQVSYSSSAILS PAEGSEVEPGASPDFASLSHVTSHNGLESHRGTPPQPSMAPPNWALFDPEDTTRRFVG TPDYLAPETIKGEPQDETSDWWSVGCILYEFLYGIPPFHAGEAEQVFENILARRMTFP EMDPKISPEAKDIINKLLCMDPSQRLGANKDDKFQSGGEEIRNHPWFNGVNWDTLLQD EAEFVPQPEDPEDTEYFDSRGATLQSFVEEMEDQSSPPPGGPLSDNFDRPHDALSRVR SQVNSMKRGLMPLHIPPHVRDHKSSRRLSEPVAADDFGNFTFKNLPVLEKANKDVIQK LRAEALAGSNKPISPGGASNNSITSPGTGLEGSPIIANPVQRTLSNAKATQRPQSPSG LSHANSSPSRASQPSSPLLVSFVAGQGENRRKASANSNTSQPSTTTSSLQPGNFFDLP RVPPSLQKAATSVAASPSPVKSRGGGLPPLPLSSPSKPMPNHLMSTPRHSGSSVGGRS RSLTVGSQEGSPVAADLFLAAAHKNRRSQVFDMSPSSSDNEGDKANALLRVQRRRQSS RRMSYIAANEGIPIFRALDVLICDDHPISRMVMEKLLEKLRCRTVAVSTGPEAIRYAM SDIKFDIIFLEFRLPQISGWDVARMIRETKHANTHTPIVAITAYLKDLNGPYLFDSLI EKPISSSKLTEVLCSLCQWKAPMPGQLVNTTQTSLPLPHPVPSGLRQQETFRLEDSPT SNSSGYANRSSSSFREDSIASSLYGDSESVMTDDIPVLISRKATGDWEEGGGLGISGA EEILSGQGEPMRSPTLPHLVTQQSAPGQMEHSPLQKKGPMPQRSFEKLKARRESLEKR RFEGNVDSADDEDDELDAGGTGRTPASPSAKHSRAKQQLPSSKLGIEMMRANSHDSML EPQTQVATPNHEGQLEFPESIAPEIAPTPITPLSKVVEDLNEGVETPPAAEGESVDKA DIDVDETPRPNSTLAFMASIDEDPTPRPPARVTDRDNVLPFSGI QC762_117920 MADLLRHHRHHAPGAGEIPVPHGPSTGDEHEHYPKSVSMDQQHA IFSYLTHPDDCYTPEGTYWADLPLKERVKFVNNVQNKEAWEEVKAIGRMMKEDPLSPV SWYWTNAVLPGAGLGLEGYVLFSIGNLEPLFRSTWPQCWGKNATECSANWVASVTYLE VIGIMVGQMAVGVIGDWIGRRWGLIQDAAIMFVGLLMITASWGLDLNGWVICYAWSLF FYGFGVGGEYPITATSSMENAVSAGKLSTREDRLHRGRKVTMAFLMQGWGQFFNQALL IILLLIFHHGDGNPPYGTTTTQWLWRVSFAIPAVGTLWLVYHRAYKMPHAGRQLAAVK KKSNVTGYDVDSLRLACNIFGGRLLATAGTWFCNDVFFYGNKLFQAQFIAVLSGPTES VMTGWIWNLYNVIVSLVGYYLASILIDNKFYGRKMMQQVGFLMCFIMFVVPAFNYEYY TSPAGIKAFQAMYFLSSFFNQFGPNSVTFLVAGEVFPTPIRASAHGFSACIGKAGALL ASVLYNYIDTQTKFYVVPWFGLAGMLLTWLFLPDTTGLDLKEQERRWAYIRAGRDQDY HGIAVHPKHLSLWERLRGVGKNYNPELDRRQKIEDIREEWEGKERARAQKEAGGDATG MDDLSDDEWTDEVHHYFRNTREPSEKERAAAVTGKGGEIMMTPASISTPSSAAMTEKG DEEKPGSSSASSAR QC762_117930 MGDPPATPTSASVDASPSIASSSSSNIRKERGAIAAQVRTLIPP ITGQCMFSDLVFRHAIPAAVESNDAMNSVPNVAPVKSSGSNATIESPFPPNKTLVEIL ERLKGLDDRLLNLETKVDDLSHRGSSGPSALGFPHLQAPDYASQAGAAMIDPALTAAP FNLQHDLSRNAPVGQDQYKYVSSVHQMLGWPAVQQLFASIQARLPHVNFSSLERDGVS HMLGVHRPESQGLPSEGTMRTERSPGLLTTLTWENVRMWSQAYFDTTNLFYPILDRQS FMQETLPSLYRSGFSNSISSTIALLVFALGEVSLEGREGAPVHVYNGRASGVKGGSKA QPPGLALFNEARSRMGFDLTECSLENVQIFALASAYYGSCFYPMDFWRMTASTSLALQ ALITSNPGELSSPRADLIRRAFWHCSILETSLNLELGFPLTGLERMENTVGLPTFSGP FCEDDVISNQQSHFQEHFASQIVLRRLLVGFHHALSNTPMSAQLGGVPTPFTSANNSP GLSQITIQQLALQLEQWRGMLPPHLRWQEDCPGAFPSTTTDMFGGNTHTPASTPISPS MSHTSQPSSLPAVSTPAPPLMFSTDLDSPPAQYPYVLDVQVALLRSRYYYTKYLLHRP FLYKALHYPDSVTQDDALGAAECLKASLKWPVAMSPTCKHKRLVPCMFFFTQNFFGIL LLLHLSTTVPLLRRIRSTLCGERFEMDARETVGLYLDWLRDLKPIDGGTAWHWEVVRA IYGLEGG QC762_117940 MASTQKPTIVHVNGAWHTPSSMTKLITSLRALDYEVHCPRLTSV NGARPPNSSLATDSELLRSYVSSLVEAGREVVIIMHSYGGKVGTNALHGLSREARSKK GLGGGIVQLIYVCAFALAEGQSMAALIAEFGHEESMPVVFNIDEDGGLSFRDAKGPLI GDDLGESNEEEVQAYLDSLVSVWNGLCTRDTVTGPAAWKKNPVAYVYCTKDNMVPFEY QKSLVANIEKEGREVKTFVLETGHCPNVTATKQLVGVIDEVIVGAN QC762_0017830 MASHEEALIRRPDFKISASIPSPLTVPIPCHHIRLGDILILGGR PCQVIRISTSAANGQHRYLGVDLFTKQLHEESSFVSNPAPSVVVQTMLGPVFKQYRVL DMQDGAIVALTETGDVKQNLPVIDQSSLWNRLQKAFESGRGSVRVLVVTDHGREMAVD MKVVHTSRLFGSRTTHDEHQKKPDVHEASRNGDSSLLRDILRDAPESITLLDTNKRTA LFKAIEGRQRESAEILIDAGIDINALDENNISALEFALFNKDSTSQSMALLLLKGGAS PVSNLESNGLALLSASAKGDMDTVNRLLANGTSINGQDRLGYTALHEAACFGNLEVAK KLIECGADVNAKTTLGGNTVLHVTIATSSDQQHRLFYEEESGRQKPPQLCSGHVDVVK LLLQYGAVPGLKRLDGLTVQGLLAQQLSALSADDQAQVFLQKILIVVNNPPSAESRTI VEKTYVPPTIPDAAWEVLSHGPTTQCP QC762_117970 MSTAADKTIILITGANSGIGLETIIALSKSSPSYHLLLGSRSFQ KGQAALSKIQADHGPALLSPITPIEVDVTSTSTIEATKTYLESTFSRLNILIQNAGII VHRPCTTLENLRLTFETNVFGPRVLTETLVPLLQKSTNPRVIYVSSEQGSITLRSDPE YPWRDVPGTEYRMSKAALNMLAACDRYGFRSWGGKVTSFNPGWCVTNLTGEEGRVMRE RGGARSAEDPARALVEVVNGKRDKEAREESGILYLDGGVRPWSSKIRGGCLRAVVV QC762_117980 MGSNSTNNFNAASLFSVEGMVAVITGGGTGIGLTMAQALAANGA SKIYLLGRRKSVLDTAALQNPSVFIPIQCDVTSASSLQSAVDIITEQSGFVNLFVANS GVLGPTNGFDPSMSLSEIRQKMFDEKTMSAMTDCLNVNVTGAFFSIVAFLELLDMGNK NAVEGKGSKVFGRRDKQGSDVPSVQSQVIVTSSIAAFSRHPASKPAYAAGKAAVLHLA KQASSNLARFGIRVNALAPGLFPSELASGLIGSRDPGTESSDDPRFIPAKRFGGEEEM AGTILYLASRAGSYCNGMVLVNDGGRLAVMLGSY QC762_117990 MSKLQPPSAKQFPGYQPSNIKIHKNSVRYTNVRVPAKNVLCLPG KGAEVVFGAFDGSAVLVGAMGVGLMRAAFDAAIAFAKRDDRGGVVPLLERQAFADLLS GVKMQTEAARALTWKAAHAMENGPGEYDARRELALGAKVFGSEAAVKACTDLINAVGI TAYDLDQPFSDLLNTAVVLPIFDGGNVGIRRRHLQQLMLSPTYDAWAATYGPSQE QC762_0017870 MDPLSITAGVVGIVAPTLHCVRLLVEDLQNIADAPNTVKALTNN LQSVELALDSLGAVTDSQWESLGDSITTQSKATITSCKTSCERFKTSLDRWTRHSTDG TLSWRDRATLGIFRQDHMKSISKQLQQCNITLTSVTSIATLHSSLQQAQAAEEIKTII STKETAVNNAITATNDQSAEVSAQLVALTLAEPGEGETDADQASATKQVAMEKKALHE SRMLFEELLSVIQTAAANARADQGTTITFGNNNSGQQVGVNSGTITATFGRRG QC762_0017880 MPTSDLRLHPRGYKTHKWLDLDATYLIVKPKFSLRFRHADELRI ESAMSDSYRFGDYNNGFQVGTNRGTIYNTFPQAPERSETPPRPFATIPFSRDPDFVNR GDILEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVHAGMYER VEDGFRTIANTVKLAGRNEPKANIPQLVYSWLSNERNGRWIMILDSADDRDVFDNANI AHGTTSGNERERRPFATFLPQSQNGSIIVTTRNRELAFRLTGRRQNMIEVGPMAQTDA LALLEKKLGSPADLNVAADLVQALDLVPLAISQAAAYIQARAPRSSPEKYLAEFRKKQ SLQYDAGDLRRDGGASNAVLTTWQISFDYIRSKRPSAADLLSLMSFFDRQGIPGWVLK PPRVTKEDIPGRRIDKDGDTDFDNGRSATDGAVDDDMDSDTDSDLTDDSADTTDDGFE DDVAMLRDYCLIAMTEMDEFEMHGLVQFSTRKWLEQWGQQETFIQKFIERMAASFPTG NYKNWATCRNLFAHVQVAVAYQPSDDRNDIWATLLYNGGWFAWSQGRYEMAQRMVGKA RRARENRLGKEDTASLDSMSLFALVLLDRGQWEEAEKLFVQVMETSKTKLGADHPDTL ASMANLASTYRNQGRWEEAEKLEVQVMETRKTKLGADHPDTLSSMANLASTFWNQGRW EEAEKLEVQVMETRKTKLGADHPDTLTSMANLAFTWKSQGRHSTALALMKDCAQARQR RLGAEHPDTLSSLATVTKWGS QC762_0017890 MCERVIVDPEASLNSVMLWFYLLINVGACFGVPTVYLAKIVGHW VAYLVPTILCLMLPPLLWYLNPRLIKQAPGGSDLPNVFSVLGDCLRHGGMKSIGRSGF WEQGKLSVRTAAGSTKEYGYDDEFVNDVRRAFQACGIFAFTPIYTISDGALGAASNAL TSSLDTYGLPNDLLDNLNSISIVVMVPLMNHVIYPILQKRGIYWGPISRMTFGFALCT IGSSGFAILQHYTYQGSPCGYNATTCAEILPKGSKTISDMSYLWYGIPIILTAILEIF VNVMAYGIAYSRSPKNMKGLVSSINLLMTGMAPIVGLLSAPGINDPNLVGVFATPTIL GAVSTVVFYFTFRYIDREDLCSTQEMSWGQGGRGRLWTLQAKEFSNDANK QC762_0017900 MRSLNAQQHIWLALFLFLAIVSVQFWSYAPKDRSSHSELTARQR LPVTGNDTTASRSTASSNNLEAFGQPPAGFARVLVDDPYTCGPGRPCSNGACCGPSGN CGYAPAYCGTGCLSNCDAKAPCGQYAKISGTTCPLNACCSEHGFCGTTEEFCRPGCQS NCVLEPQPPGGSPKNASMDILIGYYESWSYRSKCNQKSPSDLPLTELTHLFYAFAFIE PRSYALTTMDDETSEDLWQLTVDAKKFNPSLKVYVAVGGWTFSDNDTVTQPLFGEIAS TESNSQKFADGVVKFLNKYGFDGLDIDWEYPGAPDRGGKPEDTPNFVLLLKTLRSTFD ASPRRLGITFTIPSSFWYLRWFDLPGLLQYADWANMMSYDLHGTWDRNNPIGAIAQAH TNLTEINLAAQLLWRVGVRPDQVVLGYGFYGRSFQLENPRCSTPGCPFSGGAKQGPCS KEAGILMYYEIQAILKQVPNIKPVFDRKAAVKYFTFDRDQWISYDDAETFKLKKEWAN RIGFGGSMIWAVDTDDDKFSAMSGLVGYQVSHVDTSLNGVVALAQTSKNVAHSLQGEN GQGCRVIKEYDCKPARDLRCLKGESLVGWDRDGCGKEDEGKPICCPEDTAPQKCVWRG SGNDGGIWGDCNGQCHAGEARVLNSRWGGGPEKDRESDPYVCARGNKVFCCEAGDWKT VIDGCYWTACFGGGDCGTGFKELATKKGACPMSGEPKYCCPNDTPLHSCTWRGSAPEC VDSNCKMQEDGKDLAEVQVDSHAGGSSWNLCSWGRKKSMCCQVSKRLPPPLVCDKTTC DLDPAACIDNDGEFDLITQRRGIVVLEKRTAPRKFSWWTTSGAEITQWSLAYVTGRAL WRLAQQGFLDRYYDVHSQNCDNARVESRPIEEGGDLTLPPNLQVEHPLPLVAVARFAA VANHGQHWNARRAGYIGRNGRPIGQLTPEGPQTRTSGIRAEFWQNVWNNANGLPAGLP PVTPNSSDIRRPVERLYEAIGSNRNPAPFTFLHAAVNAQKGRIEGYVRPMSEKILKEY LANAQDGDETAVNRFLNPLRETRGVFQYVRDDQVVTQLDGAVSAIYQQLGLIERNVLE AQGLTAHWNEFYPHYFSQVSELARTWAQDHIRAIREYYDEHPDTVYRDEVLKELKEIE DSIPDWKYKFED QC762_0017910 MVISRSGSTANTLSWFVGLLSTFAIANAQQFSDGLLGYHVYPGL SDQCAEALNTTITNCASFLATVAVDMPRLDSRSLETLCTATCRSSLSSVRGTIASRCR GNTDVLEIESIVYPATFVIDRFLYAYDISCAKDRNTGRFCDQIYMDALSNGTASNGCS DCALGVVSRQLNSPFGYHPDFANDFRSKTSECGATGYTFTSPAPYAISTKPNASPTDI PTCVSPYTVQAGDTCDAIAAARGVSTHSVVKAGGTDPDCTTLQVGVKLCLPEPCKLYR VQYDDTCERILAPPGRTLDEITRITTLPPTTTQPPETAVPRPTNAKAESHTRCGSWYE IQEGDYCQAISIRQAIPLQDFFFLNPSISNPDCLNLWLETSYCVKPVGDINMYSDYPY STSPVYTLTSSNYVTTTASLVDRVPPIPTPIISLPLAPGSRTAADGCLGFVNHRVVVP QMDQSLQTDVPPLTNMINSCDYVLGAYEVHLDEFLSWNPSLVAVSFVAEPSRTNRTCM EVASSHPGTIPSCSCYTVLKGRDAKVYPCEDIALDHNITVSDLMTWNPWIGPSSSVCD TGIYANLPESSERPICVGTGTRSNTSSVTSAQRTTTTTARSTTPSLTTSDSPGAPTQT GIVAGCRKYYIAQAGDGCWAIASSNNINLDDFYGWNPAVGTDCLNLWPNYAYCVQGPA SMTSPTEVPTTTTAGGVPPPGPTQDGANPACKRWHTVVSGDDCAAIQQRYGVPDFATF LRWNPSIGSNYENLWLGYSVCVGI QC762_117995 MPSSPGEGQEERQQAISGEEWEDASPTQKIKWLLGPEGSKWGWV VYRTCYKPELDAPWEMLQRIIVANCKDEVGDDPEVLDKMDWRFVSDPTTLDGADRDQL REKFKTFVEGEDPGVVVAKDGGLGTKGSRYEVFLEADEQALLSCLGMDSGKGGDYGAY VNVVRGWVDSGMMPPEDASAEEREEWDLDDWMRISVGMVGPASWVEMDNPENWYIYWA KPECGRVWAS QC762_118000 MFTKSLLISALAGVALSTPAPAITPAPIYQRQQQSDSSLTLECQ AQYESMIARAPNPSKDSPVIQWLNSEDILRIFDSEDVNGMCSAMYGDGGLKPPASVAS AWSSYMSEANDYASSMAEPASSLKSKCPATIGAAIALLAITDENSCKTAYAAYSNVLG GTTEGGSSPTTMTGPARTTTLSPVPTVTTGPNPEDEDEGGNENENVEEGGNAQTTSTS TAGGARETGFVVAAAAAMAVAGGMAAL QC762_118020 MSFNFLPNTALAACVNDAGEIFTYAQTYNGELVEIKGKLAGNPA TYEVTGDQTGIGLTKESGSAPAKRFTPLAAINSKSIYYNAKRLVFFVDKQNYLRDIYF NGTKWVEGELYDQRWQCAPYSKLAAVRLVNHGGYDFVCLYYQDTSDTGNIVLVNHSPT YKWQTGNPPLDDPPLVGTALTAVPPQPGIEVIRYNNPTDTDDPVVFFQYDKLELGSSQ DQGPNDYATYSINDKTITLSAHSAITAVDDKSNFWAFYTTDLQNQIFRLKVDASGAVT QPQPVVLANNPIPGSSLASIIVKGSPDVVVLFYLLHYEPVKETTQEINVFAATLTAKS GGVDAWDVDGGVCLTAGNS QC762_118030 MSPVYPVTGIKAGVTGTTVPLRLEVDTWYPGQTPEHLIQNNLFI WALRFLQERDPDHKLSFFQIAGIHGMPYQPWDEDTDAITANEGYCTHDSLLFPSWHRP YMLLYEQVLYEIMVKQVIPQLPEDKQQEWTDAAATWRLPYWDWAQKKTREGQDETLYD VPLITKQPRISVIDLKDGVTVFYIDNPMYKFTMPDEERMGCFGISDIQDTDANNNITT IPAQATSRWATYEPESDTVSTQWTEGTVRNSLITSTLNEHPWYGKGIDNVPLSEMVYR LYVHDYIASYTQFATTKFRTSPDYDPGSPAAYLNLEYIHNNIHNWTGGFDKYVGHMAE PAVAAYDPIFWMHHANVDRQFALWQGISLLDPTKNWFESRNEQLEDDGNWYIRTGDLD TPSTPLAPFHKDAEGNYYTSDDVRDIHKLGYTYPELQPWLDKYKDTHGNFDASLYVAD IKAMIKAIYSPGEIGAPTETSSIPRSLTSPLGQPDFNKDIIVNVTYNRFALNGIPYTI YFFLGTPFSPPSYSDPLHTHPQHVGFIYTFSNPIHRNRAAPGCGNCRRKALTDTKSRA QVPITGALIARHPAIHNGNLPHGIHELPSLESDTVGEYLEKHLHWSIRSHTGSDIDIP EEAPFVEISVYHRNAKFDDVGNAARYERVERATRSKPGGYRSVTA QC762_118040 MKMAAITASAPGRIDYYAQSLCPSITTSATFKRGTGETLPGFPS QYEGERVWTGSKWLDPNLEKSYIVQIDKDDLLEVESALRHFQGLNVPPGLLSRDTFPL PKGLSHRLRKVSQDCYNGRGFAIVRGISPDRFTDEENVLVFGGISSYIAPTRGFQDVH RELVTCHVLSEDMRPGSKEQNLRPAFTNGRLAFHTDVGDILALHTLGVSDTGGETMIA SACQIYNEMAESRHDLIQELAQNWAFFHSQDYYTDGTPLLTNAPGDKLVFQFSRLPIT GFRSQGANPTLPPPSEKRLEAMAKVEELAWKHAFPLPREPGDMAYINNLCLMHARSAF DVDEDGNPLPSKRHLVKLMLQDPELAWELPQHLGWYLERVYGPNQEDGGRTEKWQLSV KDESLPDGRIWAGSGALSNG QC762_118045 MAATHHNARPEGTFLSAVADHAQIDETSSNNLNEQDPLLPLSSP RDEASTASENGLLWLMGPALLAGIFVKAFDIAFLATSYSRLASDLQHFKDASWVMLTA SICSAIFVPVYSYFLAYHGIKRMMFIAYGSFAFGLTLCTISTSFWQLLGSRFVVGFGS SGITLLSMIVINEIVGVKQLAIWESFVTCIEMGTSMAAGPLGAWMYRSFGWHSAFLLE VLFAVTGVVVLHWSFKKIACHARYSQSTLLKHGDPSQLPSHADGGGWLLLILAVTTPL VAFTLGDNILRWTDPLEVALLILGPLFMVTFVVYELKIASFPVIDMTPIFTKKYLSVL FQVFGVISILNSIVFIIPPYIQVRAFGGPSFEDWALTCVFLGFPIGAISGGYLIKNNI LPVQRIMLANAMALGACCSLFAMRLIKPEVAQHAPLLVVFGISTGLWQSCLLYATLSS TEKKWWPQTLALYYLIETFGADLGMALMSTITRSVAKIGIRSSLGDSKTTERIILDAM RDLKSVRRLNAGARTAVLVAFENGMHTAFFVPCSLAAMVIILAAAMNIKHLDKNPERS DNEAITPTN QC762_118047 MQGPKFPTTCSPVEGAYSQLDRGNSVHGDRSRSRQASPKSAGNT MEHELSGVGAWAIPYHEVTVPSSVEIGTAQGGNKFSFRDKSILCKQYVRAIMLPPDSS QLRTTATSYDARRRISLEHHVQLERRRVEYGMLERYLEEMSRPLIFSQ QC762_118050 MGGGPYELYRERYGAELLVMRKATKNDLDAITRVIQAGFPDDPG CNYKFPYRDKYPEDFWKYTRRQYEEYLEQPEKFAFNVVTVTNEEAGLDDLPIAIGVWD IAVHIKAKGGDLFIDERRDGNREHMKAYAAAMERTFAKCFESYGKEQLHLWMLVTHPD FRQRGAGTRLCDWGVDESARRGGWILTVMASPMGRKLYEELGYVLVGTDTARVGGEEE HVVIDALEKPFSQHTI QC762_0018000 MALIEASAPLPPAGLEELFPEIHHQALVNGLDKNEQDEPIVSEV ELFGLSDGALGGQDFLNLEAPLESFAKEPELGQIRSSPLPQVQPVIQLSSPDTLGLSS QTLSMSPKTVTSNPLSESPKTVASKTLSPVESPTTTPIQQVQFLQYDPARPKKKIPKA ARPHNGRPPTTERKPRSVTKRKNVLLENEVRPNLLK QC762_118060 MELQYTPCARCLNLYLNDLKRMQNGGPFKVQPCVRIEILGLPLH RKGPTKYSELDTWLPQKQAQLEWHTFDNTTRVFKLTQGFGEGMDNALRLRVSRFKPGP GDRTAYFWTDKKTGLLRSMEMPPYFISDMDAAKASVVEFLRNARSVYIDTLLGDASPI TRKTFESALRFSAFGQSKLVSLALDTWVAARFIESHWRVFEGGEEIGAHPTAEAGHPY DGFIPVTPIMDTQLDNLVIADLLAPMREELLKRLKAKIDEKKRSNWLEIYLTLFVMMS NTGWIIKDMIAMTTWKGLKMGNRGGQLTRGYIHASKSLLSCFHYACSGSFPLTIPENE LKTGNHNMTSDQIEYMVFVQQELSREGSKHANWKSLDMYKDDEYWTHQLLCKDWKGDA PYTAGPIDDFTEDDFLSSSTT QC762_118070 MSSPPKVLVTGSSGHLGKALMLTLSHYGFTPIGIDIKPSPLTTH VGTIADPDFVSSVFTTLHPDLEYVIHTATLHKPHICSHTKADFIATNITGTLNLLEAS VARQGGVKTFVFVSTTSAFGGSLTARPGLPAVWIDEGVVPKPKNVYGVTKISAEDVCE LVNKESGLPVVVLRTSRFFPEGDDDEERRGSMGDDNLKVLELGYRRVDIADVVGACVK AMEKGPGLKGKWGKYIISAPTVFRREEPVLKGLDRDAGEEYCKAVEGAREVFEGKGWK FLKRVDRVYDSDLARRELGWEAVYTFERAVKKVKEGKDWRSELTGRVGKLGYHDVSTG VYTIREETQQLP QC762_118080 MATTESFLSNAQNLQKFLTLDQRGKVMAEYIWIDAEGGTRSKSR TLDEKEGGYTPDDLPIWNFDGSSTGQAPGDNSDVYLKPVAVFPDPFRLGNNILVLSEC IDNDGNPNKYNFRHETAKLMEAHAAHEPWFGLEQEYTLLDLNDRPYGWPKNGFPAPQG PYYCGVGAGKVVQRDIVEAHYRACLYAGVKISGTNAEVMPAQWEFQVGPCLGIEMGDH LWLARFLLHRIAEEFGAKVSVHPKPIPGDWNGAGLHSNFSTKEMREEGGMKYIEAAIE KLGKRHQEHIAVYGEDNEKRLTGRHETGAIDQFSYGVANRGCSIRIPRECAAKGYGYF EDRRPASNADPYQITGIIMETCFGAV QC762_118090 MSTSRNRPMQHIDRKSLYTSLEARVKYLHSFLDFGVSDIEALKS GSKYIQALIPAVVNIVYKKLLQYDITARAFTTRSTAFEGPMDDTPDEDSPQILHRKMF LRAYLKKLCSDPAQMEFWEYLDKVGMMHTGIGRTHPLHIEYIHIGAGLAVIQDVLTEA ILSHPRLHIQRKIAIVKALGKVIWIQNDLFTKWYVHDGEEFTDGMDFGEIEKEGWLHG KKVLNEQDAISEGSDDTATACPASGQKGKDGPTAGVCPFTGISSDMKNLKVQDDKPKV EVTATGQQ QC762_118110 MCDFTKNYYIYTGCMDPGVHFFRTSVDGSRQRSCPRGPHERYIM QPGQCPLCYG QC762_118120 MSAAFLDKFIPAGCIVLQERLRPVCPKELQVQDEWLHFRSTATD DNPEDHSYLESLSRDTLITSIIGLSKVTIDCRRLLRYGWIHLTYNHGCGVVRVYVLPD DVDNVTIPRASSILKKDRQSLLSQLDYSTATWHGRPTCFFVPTTTHPPMFETAASNAE LYPPNQEQCLLGIFSRIPPPDPRPEQVEDADVYDAMCSLLDSDVPGLRTTLYPYQRRS AALMLQRETRSQPVLDPRLVKVVDQFGKSWYYDAVTGSAYRGPRYYDRPLGGILAEEM GSGKTLICLSLILATKHIPTRTPEIFHSEASPVRPTVGSMMDMAAACITKHSIPWKHL LGSDSSSGLQFATCSAAVRRNPGFYQLPPQGGPRSVRQRGSTDTSMRKIFHSSVSLVL VPLNLLQQWRQEIAKHTVGLRVIVWSSSENQPLPSLEEVLDSDILLLSTTQFENLRKT EKAASALSILKQVHFKRCIVDEGHTLGGATNFNKRNMQLIIDELQITAKWIATGTPSK GLYGLDASSDTANGSRDQSLEKIDLERIGSLATFYLKMRPWANQSSETGDTPAQWAHY VTARWPGLIATVNNCLKTTLDSLIIRHPLYELGNILPVVDKKVVFLEGSYQDRLTLNL FSMMIIFNAVQSERTDQDYFFHPRQRKALLELVGNLRQASFFGGSFFSPAQISKSIET AEEFLKEGKVQVSAEDRALLGEAISFGRLALGNTIKECANRFRDLPIYVQDFPFAAGR EWSLDDEEGDPVCTASGIILELQRFLQPLLDAPTALQMMFANGRLALRGQEGRTKLIE AQTPATSTPNKVLAGNTELGQDSSSPVKRRATILGRNVQMQQAPSLPTAEVKGTGIAA PLAKTRLISTASAKLSYLIDQVIKYQEHEQIIIFYENDNVAYYLAGVLEILQVQHLIY ARGLSPQRRADYVATFNQSSKFRVLLMDITQAAFGLDMKSASRIYFINPVLNPQVEAQ AIGRARRISQQKPVTVETLVLKDSVEEVIVRRRKEMTQAEQRKCKSILDDKPIYEWIL NAKISPLPGGDDQPIGGPDQMARLQEPQFLFGRGSGRELNHPDEDIVKADDAVVPKKM DAPIYNGVNGGLKRKMMPVQPATVFETSNGVERPKKKVRVAFVGLDGGEKT QC762_118130 MTTAAPISPSRPWAGGMPLAMYPPNDQEFVLRTQRASARIQEIT NGNGKPEVGACISGSPTDGVPTTHKPTVQLGGNTSSALNGTAERSGWYDRPRRLSNNS EASSTVASSAFFDGESFDGRIRTMSMSSSHTSVDSYPIGGPQSPAAQPRWQTPSNTPL IMGAGNAVGPCSQSYPWQKPAPIKQYRKKAQGELFAALPGEVLELMLEELRKLHLGQG RNSCATCWMRDCCSVALSARKCLKYAREALYGHIHIVGHEGPAIKKRTKTTYGSRLIL LRRTLRSNAQIAVIVRSLKPPALPQGVGLTEYNDLVASVIMACPSLERLIGYYPTFNH SFQRIFQALSTRPKLKEMSWILEPSPSQQQQRSRPGGPNSHWGPVDLTRQEAQGFLDF NMNWKHLTTLVVHCHLGATLSPPNLLDRTVRCLPALQNLYLSHLPHSAFDDSSLLALP PLKTLSLAHCTGVTTNGLSALATRRNSNSLHTLTLIHLNIESLPAIARLFSYLYCLET FNIVQTIAPAIPPDEFIMLFPYLACRTLKRLHWDIPYLPTQSTPSDTILAKSISAGGF PALRYLCAPNDPEGIFQAVCQPREKVDLPGDRFRGRAHSYGHAHANSTSSRRPSSSQA WQGFGSGHHRNSSTASSQRSGSALGFWGSSNRSRSGSRSGSRSGNTPPPVSPLFPPPD ALDMMARDNSDLHQARMAAQARIDQAKKYPRFFIQVFDEGGTMREKYGVGAFVGTVGS KVRYVLTPNEGLGQTDEGGGLVTVEDMIRDDGGEALVLGGGEGDGRSKKKKGAGIGER EEGEKRTREGCTGRWNTYSGMVVDKKDKERWWHQERGRWRQTVPS QC762_118140 MLSSLVSSSRRAASQMCASCQREAKNSLRSRAAFSSLSVTPRER CQTSMSGSTPRISLLQPRQQRWSSSSSSSSSSSSSSSSPSEPTPASKDGSKKRKTPPF YALFPQTLPLGPPPSGPFHIPLRTLRNEFLKLQALSHPDFAHSSASASAKSAATTNSA IINTAYKTLSNPLLRAQYLLHELYDVDLAGDEAGTHAEPDPELLMTVLESREIIEEAD TEQDLEELREENEKRIEEAERGLEEAFKVEDVERAKEEAVRLRYWMNIREGMDNWERG KGVVLQH QC762_118150 MSSRPLPVVQRVPLPQGTPEYEALRQKYLSELEASIPDEYYLPQ SLIDNPPRDVTSVPRECGILTAEEIDITENYDATALAAAIASKKFSAVAVATAFTKRA AIAHQLTGCLVEYFQGEALERAKALDEHLEKTGKTVGPLHGVPISLKEHMPIKGHYTA VGFLDTRHIDDYDCQMVAILRAAGAVFYCKTNQPQGIMHLETVSPLGRTLNPHNIDLS AGGSTGGEAALLAIRGSILGIGTDIGGSIRGPAGFCGIYGYKSTSYYLPTKDFLVGGF AAELTVLCSTGPMGHSLRDMDLFCSVVKASNPHVEDPALIPIPWTGTATAPKTTPLKV GIMWNDGAIIPQPPVKRALAWAKEQLETKFPGKFEVKSFVPYQAAEAMVNIRKAYWPD GGNAVRAHLAATGEPMFHLTEWILKDAVSEEELPVSKVLEYRVARDVYRQKFVADWNA QDVDVVISPVFVGPACEHETAFYWNYTALWNYLDYPGVVFPTPIKALKKGAEDYAPED ATPLSEQDKHTRELWAKGDFENAPINLQITTRKYHDNELFGALAALQEALALP QC762_0018100 MLGTNTPHDKADGSQDSRHKKGPETVLGLTDAVVPAGKPQGELI GNGTSPVAANGRADDGTGVDGADKTGRPVVGSVGENRAGSSVKDLVPAEVDAVDETGP EDDGELVKDDTMSEPFAARLGIGCPNHQGHGSKGRNEINTAAAICQGNGLPEQAAETK QQESVTGTLDKCCSGDAGIRGDVGENRVLEGHRKSSAEGVDHNHGESQHLSASAPVER VLGIIARTGNKLDSVPHLCAGRRRAGNIDEVDGAGHHGRMLSSHPEWLTIQGM QC762_0018110 MATQHTAVVPGTVHLVDVSGAAPAGAKMRDGIQLVPRPSDDPED PLNWSRGRKMLALAMVVVYTFGTGLPMTLQYSILADITADTGISTATLVEGTGYAFLL LGFGCLFWQPIALTYGRRGVYLVSTLATVPLMVWTAYSKSGGEWFAHRIILGFFCAPI EALPEVSIPDVFFAHERGAMMGVYVFSLFGSNFLAPLIGGWFNEAYGWKWTMYFGAIF AAVAFIIMFFFMEETMYFRQGLEGLEDEQDEIAAAATTTDAGEKGEKSSSSSQTVSEA PAAIYVKPTLAQKLAWFRKMDGRPTYQHMLKTMYMPFIYLYQFPIVLWAGFIYGINLC WYQVLNGTASPVLANAPYNWSSGLVGTIYTGPIVGAAIGCYWAGSIADKFTLWLARRN NGVREPEHRLWPLLVTAVLGAIGLIMWGVGAQHHAHWAILAVGLGILTASVVAGGSVA LSYNIDCYKDISGDTTTAVILVRNLMGFAIAYGITPWYTNMGLQNCFIMAGFLALGCT LTFLFMTWKGKDLRRASAERYWKYAAESSSAH QC762_0018120 MEKEGGFRFPIYIQHSHVTQRGILSEKKIPLVFIFIRSNIRASA HPSTPVTINGQKSSRRHTSNTARQKLYGDPCPSMS QC762_118170 MVSPKVQEALAKAQENPVIGYKTLLNSLDDLSPPEARSTDLKAI TDDLFAASHGVVTTKAVLSDLINVLKNSQNHESWIDVGTHIVRAISSTPSLSSSLVDQ ASALRELIATAHETNEDFLAAAKILAEIPLDSSQRRVSDREKAKIWIRIVRNHLEEDD STTAETYLNKLKNVMHKVGDTDPEMMLHFKLSAARIQDSNRQFLQAASSYHDISFSPS IAEEERLHTLSMAIKCAVLAPAGPLRSRALGRLHKDERSAGLEEYGILEKMFFDRLLS SDEVEKFAQSLAPHQLAKTSDGSTVLARAVVEHNLLSAGRLYTDIGFDELGLLLGLDG DKAEETTAKMIEQGRLTGSIDQIDRIIYFEMGEASGEYGSGHTTAQVGKEIRRWDSNV QALAEDVERVTDLLQAEFPDFVATQIAV QC762_118180 MAARQKLRILCFGDSLTAGYSYLGSAYHPYHFKMDQMLAMAFPD YEITTVERGKCGDMVRNGFLTRMQECFPPAKKDDKPPFDWVIVLGGTKYVYVPLIDWL MRSADEVSSDIAFRVPPQEIFKKLTEVWDIPLRRGCKVLALTVPDVVGDSKFKMRGDA DRKVLNELIMGYKKPNLHVYDLHDAISLTKMSSTDRKTYRDDQIHFTPEGYDLIGNKV GMALVSLLVKQRVADLPPAKRRRIFKNDDKLFEEETGNPESLDGGYVVVRRTDLD QC762_118190 MAKVFSAEEVAKHNTAESCWVVLHGAVYDVTEFLPSHPGGSRII LQLAGRDATAEFDPIHPPGTLEDNLPPTAKLGIVDPESLKKLQRQSNTANPAQEAARP PPPLHHLLNLDEIEAVAKTQVSKKCWAYYFSAADDLISKTYNNTVYRSILLRPRVFVD VTKADTTTSILGGAFKLATPLYVSPAAMARLAHPDGEAGIAKGISRFGAMQLVSHNAS MSPEQIVADAKPDQIFGWQLYVQNARAKSEAMLARIAKLPQYKCVVLTLDAPVPSKRE HDEKAALEAELLIEASKSEEEKEKAKKRPDSNSGVGQQLFFGTAADLTWDTTLPWLAK HTKLPIVLKGIQTHEDVYLAAQYAKKHPGTVKAVILSNHGGRSLDTAPPAVHTLLECK KYCPEVFDIIEIWVDGGIRRGTDVVKALCLGAKAAGVGRAALYGLGAGGWKGVERTFE ILQGEIQTCMKMMGAKDISELGPRFINSRMVERDIFDGGAGLDRTGLWTSRAAKL QC762_118200 MADADKPIATTSENVVEKPRRRGCVGHCVRFWWVYLIVLIVLTV ILVPVILLVAVPKIAQSKLDDAELIINGITVTDTQTEKMTMSIDSTIKSDGKVHATIE PFLGVMYLEDIPSHIPFASINFPETTSEALQQVKVTQTLEIKDVDALTTFNTWLLANE TLRVTVFGETGIHVKGISRRYPVTFKKTIEMPGLQMLRGTSVNETTINLDPVYNFNAT TWIPNRSLVSFELGNATFHNYLDGKEIGTVYIDNLFLKAGEVTKADMRATIENAPVLE ALGKSPACDKDNGWLDFEIRGKTVNNKGQDLPYFADALAADTQTIPIDIGGTIQRSLG LTIPCGGLDGGDHSD QC762_118210 MGLVVYESSDEDEEAQPLPEPQVTKPPAKPIAPEVKDAPQQTPS FPPKQPSPPPQPLPQPSKQSPPLGPVLGPALGPSLPSSNTLPEPEDMEMTIPLDPFPP PRSPYSTNRALIQSLTLPPNPDTFIPPSPPLSPTLLPQINSLTAKFDNFLKLKREKNI HFNERIAQSHGLRNPAVMEQLLTFAGIGTSFDGDDGGGKGTEQYATTLSKELWDPLTG FPGWAYKDALWKTQIKTRKERERGRGERVEFVSAGTATAGGDSGVLPDLRTGLSGEER FGRKRKGRY QC762_118220 MDHRPQAWGRPRDDVYGAYDASYLNNSGPRTVTQSPVVTGTSVI AIKYKDGVVMAADNLASYGSLARFTDVKRLRTFLDTTVIGFGGDVSDMQFLDRHLKEL ATDESYEVEPTLDDQDDEESSSSKPGHLNAANLFKYLQKLMYQRRNSFDPLWNQILVA GLDSDSKPFLASVDLRGTSFTSPSLATGFGAALAQPIMRKYAGTEEDAAKLTREQAVE VVKECMKVLFYRDARSLDRYSIAVVNKDGIELKEDEQLEKQSWAFAERIKGYGTQTV QC762_118230 MCGIFGYVNYLVEKDRKFIIDTLINGLSRLEYRGYDSAGFAVDG DKKKEVLAFKEVGKVAKLRQLVDESKPDLSKVFDSHVGIAHTRWATHGPPSRLNCHPH RSDPTWEFSIVHNGIITNYKELKTLLEAKGFRFETETDTECIAKLAKYLYDQNRGIGF TDLAKAVISELEGAYGLLIKSVHYPHEVIAARKGSPLVVGVKTQKRMKVDFVDVEYSD DNTPLSAEAASQNVALKKSSVAGGLLSPNGLLGAPDKSLLHRSQSRAFMTDDGLPMPT EFFLSSDPSAIVEHTKKVMYLEDDDIAHIHEGSLHIHRLKKADGSSNVRTIQTLELEL QEIMKGKFDHFMQKEIFEQPESVVNTMRGRLDIGNQTVTLGGLRSYIATIRRSRRIIF IACGTSYHSCMAVRGVFEELTEIPIAVELASDFLDRQAPVFRDDTCVFVSQSGETADS LMALRYCLDRGALTVGIVNVVGSSISLLTHCGVHVNAGPEIGVASTKAYTSQFIAMIM FALSLGEDRASKQKRREEIMEGLSKISDQIKSVLSQDQKIKALCESTFRNQKSLLLLG RGSQYSTALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDDLFKKS LNAYQQVTARGGKPIVICNEGDEEFSENQAEKIEVPKTVDVLQGILNVIPLQLIAYWL AVLEGLNVDFPRNLAKSVTVE QC762_118240 MSDQEREHALASFKKKLIESREWETKLKNLRLEIKGLQKDFDNT EDHIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKAKLKQGTRVALD MTTLTIMRMLPREVDPLVYNMSLEDPGQVSFGGIGGLNDQIRELREVIELPLKNPELF LRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVASAIVDKYIGESARLIRE MFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTKI IMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHAAGVAKEGEIDFESVVK MSDGLNGADLRNVVTEAGLFAIKADRDAINQDDFNKAVRKVAESKKLEGKLEYQKL QC762_118250 MKIRQRTTCQICRQKKISCDGRKPSCGQCLLRNITCPGYPPDWI FISQFAPSNIQTKPILARVSGHPGVTKHPPSQLPGILLNRKTHEPNGSLRSLYHEDLP LDKLIEIIIQGYVPGTDVAAIQRGVSASTPRICGAWAELLPDLVKAGNNTALNAAIKA FAFSILVCETQRKIPMATALEAYSVALRSVNDSLKIPNKSSLVQLMAAVMCLLFAELV LPTSLESWTAHLEGLGELMQTREPQFYASGIAHRLFVGARPALIVLKIQSRKASFLAS KEWQTAPFQEIIPSPMQALMNEATVIPGIIERMSQEAGITTADRLLTELEALLDRLAL WETSFQATPEAPRFWYDGDCIWFDSLTTANGLTHCWAFNVICLTCVGKIVGLFPDLRP FAHISRGMISQETRRMSMLICRSTKYLMQDKMKLFGPTSIVLPLQTAYDTLKAGGAET ERDFACCKEILESALGEKHFLSLFFKGDV QC762_0018210 MTSSIKLSAPLSLTHIGTATALIHLGDDSNPVTLITDPFFSHAP FEFDMGLLVLKASIEPALGLANLPPIDAVLLSHEDHVDNLDEPGRRLLEGRIVLTTPD GAKNLAPRPGVKALQPWETVSIKLQGQTFEVTGTPCVHLPGGEVTGFILTTESFGKTD GKPNAIFFSGDTIYIPELAKMKEKYHISVALLNLGKATAPLPTGPLVITMDGAQAVKL FRELGADVLVPMHFESWDHFKEQREDLAQSFKAEGLDKEVLWLEPGKKTKLV QC762_0018230 MQHLAVCFQRKLLRQHGPVLRQEVPDRVNVCASCHRRAYDWQCH DRTWGENAGRKSYLVSLFAVSRS QC762_118270 MASLSEDRPLKKKKSRNIKLSKNGNPTSRPFLSRVFGMATTLVI TLGLVGVVIYLRKTLPDGSKPSYASSSTLQARGGGAEGATIIERQRNLFTLAPVFTAG PPILPGDFPIISSTVDCTTVMVPARQVKRQGYWHTYCSTSYFTITRTPTVTLTTKGPL FTLRPTRIFTFRLPSGSPVVDCTTYVIPDKLKRGEEVDCTTRYVPFTTSTRPTTTVTT TPTETPTETSTITPPTTRPPTSMTISTSSTVFSSTISTSTTTTTTIVEEISTMPTVVD PCATTWMDCQDRIEGKCLTFTLTLSNCPSTSTETVTTTTPIVTSTVTAPPIVDTCMAT SVTCTTIPIILARGLRRADRIDCMTSFSTLEECISTSTTTTTPSTSLQTSTTSGNATT ITISPSFTSINLSTSTTGTEETTSTSSSEQSTSAEVSTTDLPETLPTSEIESPTTVII PPLTTSTATFASIPTAISISTSRNPLITTPTTAPTTTSTITPNTSPTAFSAATTAVIP STSVTNTLTTIPSAMSVTTTPITTPTTTPETPSTVSPTISTNTLLTPSSVVSSNAESN PGSTTLTNVRIPGVSTSLQTETTNTESTSLISLASGGTSTRNVQTTDPTNTATFWGTE AATETNSDPFVIAQVSSQPEPDSTALTVTGSSSSSVTSILTTNTETEEAAKSTSSQES TIVTGSISSVVSSSETNPSRILSSTLSSPDTTHFSSFSSAQKSSGASTEEPTPIAQTG QTATGSATVGPGETTATSSPSPDTGAPALMSWPYWKITESLTGNHAPLIVGRGFMLMM GAFYQQVITHDPVRQLTSGGISATELVSPPIGASEFGLFVAQIGTIFVAGGTYVDTNY CNDLASPTNINPCPPKITGNPWVLDIIITILVIQAGVVAYTMSKWFQKPGRLSADPTT IAGVAAVMGHPQIEQQFSSFGGEITQQELLHALKGQEFKLGTFTTEDGVTKYGIMPVA LYERKDRNQRGWWARTRDTVRSGFNKAMFFRNWKLNRLFLDVVFGLLLVALLGLTLAS LANIDQPQKVFLPTAVASGVGMKILFALLGVLISSNWGRLFQDTQTFSPYFPLRDGEA RPNPTILLNRHSSPICAFIPLLRNRHLAAASVACTGIIAEFLIIALAGLPYRPGQLRS EFLFCGIASTIILCVMLVQLALVIIWRRKLPHLPRQPDTIAAVMTYVAGTSMVRDFYG LEEMKTKERNKAIVQMGKVYAYGWRQEPEGGIRWIVDEVPDAERKSFLSGTRTSAESS AGGRGWYRPRGREWRRDV QC762_118280 MRAFIQTIIFFLLSLQMVAALVIPVPLKTSSTPRRRDTSKESES AMPPTQYKITDFYGQAACHNVLTSCRADSDCCSGLQCGNFEGEMLCTPRG QC762_118290 MHISPQEAHHVAVLAGQGECAKLVTAVKALALREHESPADILIA CKDDFQQTAAHIAAKSGQSKSIDTLSDLLDDNEKRAIYFNMANRFSGDRPIHTAMRHG YLDAFKALVNHGADPTLKNRFGDVVEDYPGDFEPEEVSRIVEGYRTKVDKLRT QC762_118300 MHSPVEDDYRLRQAAKAPLPTSHGRNKSTSSILSTSKASLPLLL DSLGNPITFDNTITDTDCMVVTEIGNDEEYDQIQSNFGPVRRFLTRFVFLTAIIPCIL LLWASKIQGEILLALGQDGSLGGVKLYLAWFAFAIEGLWSADAIAASLVKAASLFARY RPRLRLLGDNVPCVDIIIPVCNEKLDIIQDTVRATLNIDYPAHRFRVIVSDDGRNQKL EAWVLQLAADTPNLYYTARVKYGPAGYKAGNLNHAITVSDTLPGGRAELVAGLDADMI PEKRWLRACVAHLIRDPKMGVVCPAQLFYNVPDNDPLNQQSSINWLCMDIIRDHAGLG WNLGSGWVVRREAVDDIGGFPTDCLVEDIYSSMLQMAEGWRSAYLAESLQYGLVPETY AAHVKQFARWYIGGAQMFVNFKGYLSSKLTKQMTLAAKLVGFSSGINVHAKAQLATVF MLLTPFVFLTGTHLMYWRDEAEMKLLLRLYCAIVLTRYLHDCHIGVMAGYRVAVMETG MMRYMSHYYTVAWFKTFFLASKSGTLHAVLLSASGCAISFSTVVPGFN QC762_118310 MSGLIKIASLAVVLFLFLALAFQFQFNTSVYVLVQQRQEHANAR NIGRWEQSILLPIVPVAIAVLPRTGKVLAWAADKPNVFSNATTHTLSVIYDPSTHQVN NQNVTITHHNMFCPGLSLDTSGRVVVTGGSTSDATSIYDEVQGRWLSGPPLTVGRGYH SQATLSDGRVFTIGGSWSGPLGGKNGEILDPNKQTWTALPNTLAEPLLTSDFLGPFAG DNHAWLFAWRNDSVFQAGPSRAMNWYGVSSSGACQPAGTRGKDSDSMNGNAVMYDALA GKILAVGGARHYNDAAATNATHIVTLPSDPFTMPHVQELKGMKHPRAYANSVLLPTGE VLITGGATYAKQWADVNATLVPELFNPDTLTFTPLAKMPIPRTYHSVAVLLPDATVLT GGGGLCWEKCLGPEEEINHLDLQRFTPPYLLSGDPRPKILEISDTEVDLGGVFELLVD GEVAEVAMVRYSSATHAINTDQRRVRLVPTVLGKQKGRALHRVEIPEDGGVVVPGYWM VFAISSGKGGMKVPSVAETILIRAK QC762_118320 MSWQSSPRARVPGAVVVAAALAMSTIITLTLYLRLSLVTATLQT PLPLPTAASTDNEEATIQILGHRLEPTHPRLFANTSKWASLPDQIPGDEYLSKWNRTI FRQADEMLSLPPPDYKKDGTSGILDIARTVQLRIKHWAYAYRLTNDPKWKDRIWKEIV HTAGNSTGASFGTQGDSWNTDHWLDVGEFLVAFGIAYDWLYDAWTAEEREGIRWSVVD LGLRKGLESFERKEWFLGVTGNWNCVTVGGMIVGALAVLGDDTSGVARSLLGRAVENA EQHCGKSVDESGTWAETPDYWHFGTQAHAQLSSGLLTSLGSTFGMLDSHPKFRETGMF HIHNIGMTEKFNYGDCGPSKITATANSLFFYGREYGIPEYGLFQRDRPDAADPLSMLW YESSLKGEWHDHLPLDKAFSDPSGAWVSLRSSWTDSNGVFVAMKGGKMTGHATHGNLD AGDFVLDALGERWATELCQDSYDAPGYFSSEDQDSVRWDYYRCGTAGQNTIVHNNSNQ VVDAEPTVKFESTLVTQKTKGKTDAGGFWIADLTQAYDGMSIKRGVRLLPERSKVLIQ DEITDALETSQWRMHTKAHITYSNSGRIAHLRLNGKALDVLLLSPRAAVFRTTKPVRS PKLHPLSGGREDLPNPGVSVLAVDLPPGSLTVSVIFCPISDPRVQCSFTSPVPLSEWS LL QC762_0018310 MGDDLIESYTGFRTISTGVVNGIKRPLLNGKFVYLFGPLDQGYW PDGLHLPPTLEAMVYDLELIKSLGMNLVRKHIKIEPDLFYEACDRLGLLVMQDMPSMR VHTNARPTDAEQAEFERQLEIMIKEHRNYPSIVTWVIYNEGWGQITDRYPEFHITDRI RQLDPTRLINSVTGWHDHGAGDYHDNHHYADPQCGTPFYSLPNTPYDSSRIGFQGEYG GLGHRPLDEHLWPVQAAVRTINETYEMHADEASYNYRAHVLFDLLRQQVEHFACSGAV YTQTSDVEGEVNGLVTYDRRVVRVDVTQWKADIQALYDAAAARA QC762_0018320 MPILLYAHLCLVFLALAVTAGPQDAYTIFTTVLVTATKTIGDSN ATPAPSAHAAAPYQLLRPHLDTPWTDKVGTSPWPQYPRPQLRREPWRSLNGIWTYQSA QGAGDVKSPPRLPLRQEVLIPSCIESGISGIMTEGVTHMWFGTTFTIPLEWRGGKRVL LNFEAVDYEATVFINGVQLAFHRGGYFRFSLDVTENINFDGPNELQVGQSRKVFVFDP TDDQSIPQGKQTKRLSHIFYTPCSGIWQTVWLESASNNHIKSLDLTANMNGDGKSDG QC762_118340 MQEEHLSKNGSEEEEAHDPSHPPTEISEQPGDQYDDNPLDDDNE IAAPREQLANILSSEQIESWRDTQALAYQLLLQSLHSANQSHEDLPPDIDAAIFDRIS SQFLQNILASFNPAPEQPTQLPLEPKKVRDIRVLVTIGENVYRALTTFPGDLLLWELL GPKWQTRYALDFYVHAIISYAGDDLYRAIGGMLIFPLVTTLGGLNAIHFLLGLRQATS ITWPDASSTKIPLAKLVEEYTLDLELEHWLKYLTASPFILVTLFLRAIYETIRWIFPS IWLRLPAVSALTAGVCYHRMYNCQSTIAQSVAHWPRPVIREVRRFMVFILDLEASVRT RAVRLVCKFKTRGLEQYTYTPLDAKNAEFRLLRLEPISGDNLVRCWLVSVPLGEHRSK EAYEAISYRWGNEGRNFPIVIDGKRFLVTRTVFELLHALQTKDRGRYVWIDAICINQG HEHASADKPASVEDLDEKSNQVALMGTIYRNASRVIAWVGGTANGRGALSFINKTACR DPEAMDNFEYERITVELWPWTILTTWLRVIELFKQPYFRRMWMLQEVALGRKVVVKHG AEEADWDDISPLVNFMMGSDGEPFLHEPGFLTVWYNFPTTLLGVKGAHVMSLVRNQVA GWNAEGNPRSEPVSQEWDQDRVRRLPLDTLLGLTTDLSASNIKDKIYAVLGLTQQETR QHINIEYDDTILPAGDLLRDSAKHILIGRHEPAERLGLAGWGFELSGLDVDDDHIWAA SPWSFIVLWMRRVLWWKAPASLPSLPSWVPHWTLTRFQLPAMEPNGYSAGAHGTKLFE EIPGRPNCIRASLSIVDEIELLGKPFLTVVELLQRPTTFLNDIRAFVLEAKSFAAQLQ RDALAREEPISEDELVEALYRTIFCDYATGSLPPMDTSVLFQHVSTCEVLLAAKGYPR QADGKAHEKLGFELGRSIGGKSFCVTKGGRFGIVPPRSRVGDSIVMAWGTPLPLVMRS ALRHQELLLREPGKQAPIVGPLEGDEHVLMGGCYVHGVMLGELRPTEYPPEMVVLR QC762_118350 MGGQWSQFFPPKPTYTEADLVTQDGKVILITGGASGIGLEISTM LYRKNARVYIAGRSEKNARDAIQAIQAANPSSTGTLDFLHIELDDLRTIKSCADAFKA KESRLDLLFHNAGVSQPPLGSVSKQGVELQLATNCLGPFLLTQFLLPLLQQTASSAAP GSVRVIWTSSQMAELSAPKGGLIMDEIRSPPNDKGRNYTNSKTGNIFLSAELAHRHPA STSGIVSVSLNPGAAATNLFRHTPLLPYLAWPLMYTAKLAAHTQLFAGISPDITVNNS GCYVVPFGRLADLRQDIVEGAKRKEEGGLGLAGEFWDWCEERTRDYM QC762_118360 MSSQTPSTTLAPMAGVESSWFIMWTFSFLMIWLVLNIIYNLYFH PLAGVPGPRSWGALRLRYVWALVSGTIVHDFEKLHHRYGPVVRVAPSELSFTTPDAWT DILQPGRTPPLPKDGRWSIPGLKAQGIVNIADLDLHGRVRRLIAPAFTTSALRGQEPI LQQYITLLMDRLRDLVTTEQNGTSGVEIDVVPWFNFVTFDMLGDLAFGESFDCLHTSR YHSWIELLTNTPKAGAFAAAALFYPLFASALKHLIPASLKNKVLDHVRVVSEKVERRL NLESTRSDIMSHVINQIESDGLEGLTMDHVNATFMVLTIAGSETVATTLCGIVNYLAQ NPSKLSILTHEIRSHFSNPHEMTLERLKGMLYLNAVIDEGLRLCPPVPWLPPRVAANG GSVVCGIPLPGRTAVSILTYAMGRDPNNFHDHLSFVPERYLPEAKTDPQSPFFNDRRQ SYHPFSFGPRICIGLHLGMAQMRLILARLVWEFDLKPPTEHRKTQWEKLRTFILVEKK EIFVNFKLKEGLRTAEMKSSASS QC762_118370 MVRLGFVCGLLAALSSAVQGYRDTEAFDLTPRGGGKKVCPPLNR GGFIVDYFQLYPENADWDEENCLLWIGCLWNATVGIYDPYRDRMLDVLFFPGISLTLA HIGGVARDPYSGLISILSNSGNPWATGGADVTGERQIMKYDPKKKKVLWARNMTDISR DRYGGFQDVEHDKRGNTYIVGTHPGVIIRVDKNGRKLTEWYLHQPLSPTTRKGYSGLA VVKGTDIMLASDGDGKLYRFDLREERGRPVNVPLLGEDLRLNLDAIYLPPKYGGSVLL VADLFEGIQVLRSKDRTWRKAENLGTIPKPPTLNGLVIDGAVVAPVQMGSNSLYMVIG NIDPFIPGMVAGNRTLFPFPDITNAVEGLLRRG QC762_118380 MSLFGSQQWQMKQQNPITHVCGFYHTFHDRPGRRFLAPLSINAH ATIIASTSRTTLTQTFRSPSSPVKELKYAFPLYEGVSVVGFVCTVNNDRVIHGVVKER SDARQTYDDAVAQGQVAGLLEQTFEASDVFTTTIGNIPADASLKVEITYLGELKHDAQ VDGIRFTIPTSIAPRYGSYPGDLLQSTQFGATKGISITVDAEMPSGSQIKSVQSPSHP IAVTVGNTSVGAAKGAEMSLQKASATLSLGTSELDKDFILHVVATNTANPVAVLETHP TIPNHRALMATLVPKFNLPSSKPEIVFVCDRSGSMGDGKRIPNLQTALHLFLKSLPLG VKFNICSFGSHWDFMFPEGSRTYDASSLAHATQYVNSISANYGGTEMRMPLQDTFKRR YKDMDLEVFMLTDGEIWDQQQVFEMINTHVAESEGAIRVFSLGIGNDVSHALIEGIAQ AGNGFSQSVADDESMNSKVIRMLKASLTPHVKDYTLEIKYGKEDESGSTTEVDDDFEL VERVQDALVIDVGEVDSEKTQPEQAPEQAPKQPISLFDEAADFDTETTEPGLDTSAGG KYSHVPKVAEPKILQAPFVIPPLFPFSRTSVYLLLSPEASRRTPKSVVLRGTCPSGPL ELEIPVTVLAEPGETIHQLAARKAVRELEEGRGWIYHAKDSKEKDAALLRTKHFGRFS DMVEREAVRLGVEYQVGGKWCSFVAVEKDQDVNMFRDLTAQAEHNVRQGSQFHQALYQ QEHLRRAMTKTASPFGQQFQATASTQQFQQAQRAQGFASAQYSGSGGGGFGAQAKAMS GGLFGAAMHPAGGLFGSSSPSGGGLFGNASAPPPPPPSGGALFGACAPAPAAPASLFG SAAPPPPAPGGLFGTTAFGSPSPTSAGGRFGSASSARPASYLFGSTGSNPSPFGSPAP QASPAKPSVAPYRWTPASSADKGPTVYVTPEQLAQYEQEMNQAATMPLPDEDDSGDEG APVCDKGCNIRAWNSWFNSG QC762_118390 MAIGAILEPLIVVSLLTFGTIVNRNKSATFSPSPYRSSRPVPWQ HLKYDKDPDYDELESGRPSTDDENALLRSPVSESSIGSDGTLTDESNSPWRKRQLKVF KWEREVTTPNTAIFKDRFLSRVLQRLPFLAEVWYWALIYWVYQLGRAFTAVTLQESTV DTAREHALQVIHMEQRLGIFIEPAVQGWFLQRPELMRWTNKTYSFIHIPGTILYLIVL YYVTTARPRQKIQEENGGRPISGNWRKLAPRFGPDTYEKRRRTMAFCNLLAFIVFTFW PCMPPRLLSDPNYTGEFAKEAKSYGFVDTVHGADGDSSVWTTNRFCNQYAAMPSLHFG YSFLVGLTIATVPLRRSGKLGWKRLAIVAIGMIYPGIILTAIVATANHFILDAVAGAI ACLLAWKFNGLLLNLLPVEDYFLRAVRIAKP QC762_118400 MPVVLVTLAGSISRLCDLIPVNFATPSLPPWSTQKSCHDIQPKS TIYGGRLGIQNLSSTSHIHFNTFCHRVVETALNIPMASAFELDGPVFHTAPTHELPIR ASSLLEEETGADRRAHDHNLHTHSPISTSRLFDDSSALSIATEISHDQSFSYGMEKGF WRVRQGAQELLRFAELYSQHRASQMTPADDSFVLHALPKQIDLVSMTQLSWGLLNAVG DINVHSRKATETLEWMHEDDKPSNAKRRKRSRRRDSDISMTACKKCGVMDSPRWRAGP AGPSTLCNVCGLLYAKRSRRHGSGSESQSAAR QC762_118418 MAPSKSQLLTSATAALLASQGALGQQLTKPILNPEYDLGAMSQA FLPHLIAPAATSISKWPWGKLPAFCKSESIHEGFSAYDMEVYEVTFADCSQPWYMCRH NGSTMSVGGMINAFGSLPVGTRDFIRHIVVFPDFMTPGVAAHAKSGSGDLMFGHNYLD TSLWIHESGHIFDRQHGGNGDYSATSAWLNAYNSDSHLPTGYANTNQAENFAEHVILA TYDNVVPGGLAGIPAPTPNHQAVQNQYTNVKNLLGNKIRKVTGATCNRQPTPLIGITS PVVCMGPDALAQGACVGTPNMKRDENGHDALIAAAEKEPGIYEPASRWTESA QC762_118410 MSMMSDKPNPAELLSAAAVESQSTTQPATDFPHESQTIKPTEAG KEPPTEPSAVPSAAPSTEPPAYTDNPYITNGNTNTQAPTGEIDFPILDPGTARLRIEN QHLYAMDLEHQNLHALLDRLVVDPGFSPDAPLPTYTPLDSAFIPSFLTHLPSLSTLLS LPLTTYPSPPYPYYSSRDRRTIHPSRTSSPLAALEDEDLRRRYHTLQQQIISTFFHAI ARGKNTELVTQFVKSGFISPDCPNALGATPLMAAIEAGNGQMVCHLISLGAQVNGYGK LPSGSLRCEGKHGDLMLERTPLMVAAKNGNLALVKLLVEDFGADDGMIAPDGQLALRL AAEGGHREVVEYLPTRRGGAWRRWKTHHSVAVERVRKAGRKIARFVWFFVWDLPRFLA WSVPKHTIIRPAVKTVKYCWENKGRFGGWAKRQVKEFPGRVKRAGKGVWEGVKKLPRG VWEVMKEIPGVMKSLGKFIWKIVKKIPEVMKNLRIWIWTTMKRMGVAVGNVFLRVVSV LHTAVAAVLGYFRSISLKDVWNGIKAVFRAVFVGLPEAICKAVVGLGKCVGISIVALF GLTGQVLVLLFEALCWVAAYIPNQLGNIVSAIWASISKGYYEIMVWINPKH QC762_118420 MEQQRPPSQKFGPAALTNVLNSPEDHRDSAYYSSGDVSSKHNST GSGLGVLSPPNSGFQTSPVDKTPSPTTATHLLPLPLVSPTNSNMSVASIVSPTTPLSA DPRRFDRPQSLESAPNSATFGHGELPEPSMSRRESVDSRFNQGFGQLGLSGSPYASHN QSTSSIQNTLQQQRHPRANLDSLATNRISNGYQPNAERKPEVHPRGGRIAPTITGPTT SLIARAAEPTKGQAWAFPEDDNAIQRMSGPPQSLANSRRSSVAESLASSQYTVDSRLP PGQRRLEDHHSMTEYQRLSVASEYSTTHHHSLQHKQLSDLQNEEGGLHAGSQPYSRTP ELRITHKLAERKRRTEMKELFEQLRDLMPQERGTKASKWEILTKAIQEHTRQTNTIRD LQSHVQQLAEEVTKRDAQMEDMRRQIQELQYRQSHSMQGPPPPGSEHYANDQYARARQ QAELPPLRSFDGPTNGAGPEAMTGVQYEGPRSNGTTVYTRAPGAPFPR QC762_118424 MRGKPVRGLVPILMWIGPDPSAFFLFCLKQAVCECLDGCAYDVS SRERPTQPDSVGEYPDCRQPQKPCTSQVPEGSATLAAAPHSPPHGYSVPATH QC762_118425 MASYCWGCRAQEKNWQLRDPMKAITTSTTAAAVSPCTRTLIRRQ PCCKADLYSCGSLHRILSDWNMDSSSQS QC762_118430 MQSLRRAAVRSALSASRAVSVKTTSAPFAFPIVRAAAVSRAVPV QAVRWYSQEPTSQDPLSQDVTEEAHEAEENITEQTQEERQPRRQLDKSRAIFVRNIVF EVNEQHLKEAFETYGEIEDTYVARDPRGMSRGYGFVTFKDASAVSAACAAVNGSFWHG RRVTCIPRRDEEQTPRAREQNRTPNPPTNQLFVGNIPYETTDAELNNLFAGLSNVTDI RIAVDRTTGWPRGFAHVDFNDVASAEAAKEKLAATNLGGRQLKIDFATGYGKGERTNN KSFGGRGDRGDRGDRGDRRDRGDRNDRRSNDGF QC762_118440 MSFLGGAECSTAGNPLSQFTKHVGDDKSLQHDRLVGRGPNSAMG GFRNVGRSTPQDEMMNGFLHQNATLPDMPLEQQQGPLAHAHLDHLRAQSGSPLSPTWA PDTQAAMEAAFNAPPGTHFSADEFAKFQHMNPAAAVSHAPAMPGASASMQRPMMMGGG MSYNMMQRPMYQPMFGGQMHMAHQPLQQHQQPAVEGKGKGKVVELDESKWEEQFQQME LHDRQLRETEKDEALAMEPELNKMDEKLLHSETGYGDLESIWRGIQAEQAQLKELDDI EDDFAKFDSANFGGDNLHDWGLNNRLGADPIVQDYLFEDENIFENTTNPFEEGIRIMN EGGNLSLAALAFEAAVQKDPEHVEAWVYLGHVQAQNEKEEAAIRALEQAMKLDPNNLA ALMGLAVSYTNEGYDSTAYRTLERWLSVKYPQVIAPQDLSSAAELGFTDRAQLHDRVT SLFLEAARLAPDGDHMDPDVQVGLGVLFYGAEEYDKAVDCFQAALHSSEMGTSNQREQ IHLLWNRLGATLANSGRSEEAIAAYEKALSINPNFVRARYNLGVSCINIGCHAEAAGH LLASLDMHKSVEKSGREKARELLGGGGGPDTDARIDAMTTQNRSTTLYDTLRRVFTQM GRRDLAEKVVVGVDPDIFRGEFDF QC762_118450 MGKKKNNMANRGVASALATANLPLINLTNLTKDTEPAATPVARS DSEGKSSSGSLKRPGPHDDDADDDDGWQTIERGRPVKKHKKIPTPNSARYPALHFSEK SRLQSKVNLSAFRDLILYLFADGPAPQWISVSQRPEFRKVVAIMVPGLEEAMFEPGVD YSKYQTPTLDQAIKQIGDGSSPDHYYPRPLSKEALPTSLQPFADMFPHLWPVKAPGDD KYGRLHSPLTTMLTAPLNKNKDKSKDKAGPDSHRDIRTRITEFLATPEELMDNGFPVH PALLPEGERRDSFKNPEGWAHTRVSKLEDGDVPESEIQQGSITAGREVLAIDCEMCLT GPGELALTRVSLVSWDGETVLDELVKPEKPITDYVTQYSGITKEMLDPVTTTLSDIQA KLLDLLHPRTILLGHSLDSDLKALQLAHPFIVDTSMLFPHARGPPLKNSLKYLAQRHL SREVQKGGGTINGHDSVEDAKTCLDLVKKKCEKGKAWATGDSQGENLFRRLARSGTAY RATAGPEATGGLPVGKTSAAVEWGDVSRSACNAATVAISCKTDAEVEAGVIRAVKGDP DGLEVPGGGVDFVWARLRELEFVQGWANRHKPNTPPVATVAEETPEVQDVNGDAEKEA VSPLQECLSTLAQRLQRIHAALPPCTLLMVLSGTGDPREMSRLQAMHSQFKKEYNTPG TKWDQLSVKWTTDEEDALRKAVKVARAGVGFLSVK QC762_118460 MKTASCECKKCQASVGSFSNLWIQIGNSYLGPVIGSDEDLAIRC EGKTRIGGNGTLVEGCHLQNLLCDGCAAILGFRCIETPVNHVLDDNQVLLRIASVNLL GEEREELKPEVKRVLSINEPSRTSNGGPPDLSSNSPSTIEFQQLKFELEGQKDYLRRI DSNGFRIVAGLDKRVARVESDSKTLHATVSGFKGSILGVQDSLKSLLGSELNGIDKFG TEQKATLEGLRSRVSLVSDGLDIIQQQATALAEELREEVSDLKNQLEQTTGELHMLRA EIKVSVSADNYARDMAAMRTEIAQLRRDLGTVRSNEHERVAPSFPSRELEILTSNIAK IGNRASQVETLQMEFEILKERVDRAEANYGASNNRRVAYPLDPETSLPHPGTRKRASS PKPEPVSKRRVPSDQFSDYTVSGHSAAPLTPLRQSSTTNLQNPKKRTRPKTAAALSNS GGR QC762_118470 MPPTPPPGTAFSSGPHGRSLVATQDFTPGSLIATFSSPTLALPD GPSMRTTCNYCLRVGSNEGFSPVSLKACTGCRAAVYCGPTCQRAHWKSIHKAECKMFA RVRETTGKDWHVARFLLPTPARALAQVLLTNDKGIRDPFDGSDPLESNLEGFKADEQV WGDFELQAMAAMTYSGVFMNEDGLRVAMRFLCQIQTNAFNRLDADTGMSGIFLDPALA RVNHSCVPNAFIGFDQRTATLRAERPIKEGEEITISYIANDKPRSIRREGLRLYYFEC DCPRCVDDLDVYQVAQTSPVISLNSFSLQPDLTKFREPAIDKSGISMNQIEIIYKVVY DQTKSEQDTSIEAARTRWKLCKPLVEAKMWAVEPLPTTILLLATNWQTNYKWAVYALP LACFLATQCDPIKLVAPFMPWRIKGVMIITKLLAFTGELTSSGELAKRCTHEGIVGAL AMADQVTMCQALLHIVVHQGSIGAAEDWDVLKEAKMMLKDLESLPGRVDESKMVQAWA RDPEDPQAKAFFESEVLKPVNTLASFAPEILEDVLVQGQGSVVRR QC762_118480 MIYIFRRLGEAPHPTIPVMQLTSLEMPRSANCRGNSGASTAPHP PPGAHTVPGLITAPSKSQDHHRSPTLTMASQGRQQGGEQISLDTLTAPQLSAVKKQLD EEVEHLSQSYAQLAAAQSKFKECLRVVKSGSETFDEKKPILVPLTNSLYVKGRMADSD KVIVDVGTGFYVEKDTKSAAEFYEAKVKELAANIQGLEGIVQAKTQNLRLVEEVLRQK VLAAGPAAGQAAQAS QC762_118485 MDTMQRKHFRLGTFLAPPSTIDVDPADFALRTKLELIGKDTLCD IKAINVDDTTTQISIHSPGTKAAQDAAQRVRKLLIEEADIKDMWRTNGLLCPSKSGAD YSAIVFGRDRCAVVPSASAPTVSEITSGTHQAKYKAQLSDILDRAVGSLVRDPNKMQM RVKIGYLQRREIWNPEKRRYSSAEMERELEYAAFRDVINLSPYVPVDVVEALRVALIN GDESLPAVVRESVDPDSEPEFSLHIVTPNLEIECMVEGVEAGRGRKPRIMPVCAYQRT KFYNKFSVLNACPDRGTDWELKISQEVSRREGRPRLPLTEDDMARLTKISQGTYAGGF PKISVSQQFIKKNKVSNIVGKVIWTLELSFKYSLEITVYHSFGTNTTKPPTTTTLLSV FSPDWDDHLGLPVTIPRKWDKSFATQLLTSRDKTQVPYPLTQDGGDEHPLDEFLSWVS WVQEMLDNLLSVGAKGSNRVVS QC762_118490 MPGVVDGRSRKRYLPGILREDSDDELGTEDLPWEWIYANEPDTE ENNGRKRKRATWQDGQIIGARNGNFECYLGDTMLLKADSFNEAWVGIICEFQEDEEGE MAANFMWFSSPNEIRSAKKRTDYVENELYITTSFDVNALSTINGKAHVMSQQAFMNEF PTGKVPRKSKEYGKVFVCRRGCNTRTCTYTEEFIWEDIYHSREDLEALQERLQKETKA TRKKKPAKDESPERDYKFEADPEADGEYAPGVYRTPKKARTRDAVTPSSRHKKTGNKP ATPSSHRRIVVKKHLEFTPLATRVLSPMHVHASPYQVARTQLHVASVPTSLPCRESEF SLVYSHLEAAITDGSGTCIYISGTPGTGKTATVREVVSHLDAAVRADELDDFIFVEIN GMKITDPHQSYALLWEALKGQRVSPAQALDLLEREFSHPSPRRVPCVVLMDELDQLVT KNQGVMYNFFNWPGLRHSRLIVLAVANTMDLPERTLSNKISSRLGLTRITFPGYNHEQ LMRIVQSRLEGVPGDIVDPDAIQFAARKVAAVSGDARRALDICRRAVELAEADAKVND LSDDATPNTPTKTPARKKDESPQKKKKSSAGRVTIETVRRAINEATSNPLQQYLRSLP FASKLLLTALCLRIQRTGLAESTFGDVLEEMQRMLKLTVNESRPLKLLEKRATGQKGE GADDGTGLMITKAKQTGQLIRPAGLGSAAVDLTGAGIINLEGQRPERPSKMRLAVGDE EIRLAFRDDPEIKGMGVML QC762_118500 MENQDKFAIHAAAPTVVESLLNANPKLAKLKDDDGRLPIHWAAS YNHHEIVNLLVQQKGFDVDVKDDMGWTPLMIAASVKDSDRVVDLLLARDADVNETNDN GQTVLHFIASKSNLDLARKLLEEHKPPASVRVRDKRGQYPLHRAAAVGSVPLINLFLK HKSPLNASDSAGQTALHHAIAEGHGDAAVVLMKAGAEMDRKDNDGLLPLEVAPGIDVK KYIQRKAEEEGIDLP QC762_118510 MAAEVANGAGLETEVSHLESLILANARKSKSIYANTSTDSGRKR LKLDPGLASEDPDISKTSLSLRLHAEYEDVQTLPEVIAKKLPAAGPRKKKPKAAEEAP SKSEEHARKLIEGIPANKTGPGSNALVLSRRPGDAGAAGAGAKPSANRNDPQNMSLTR RQDNLLAQPRPDWHPPWKLQRVISGHLGWVRALAVEPNNKWFASGAGDRTIKIWDLAS GQLKLTLTGHISTVRGLAVSPRHPYMFSCGEDKMVKCWDLETNKVIRHYHGHLSGVYT LKLHPTLDVLVTGGRDGVARVWDMRTRSNVHVLSGHTGTVADLVCQEADPQVITGSLD STVRMWDLAAGKTMGVLTHHKKGVRALTTHPTEFTFATGSTGSIKQWKCPEGAFMQNF DGHNAIINTLSVNDQNVLFSGGDNGSMSFWDWKSGHRFQALDTTAQPGSLDAESGVMS SIFDHSGSRLICGEADKTIKIWKEDPDATPETHPLEWKPTLAASRKF QC762_118520 MAARWGSFLTQAVAGVEARLDNILSEDNDGSAQTKEAKPAPPAS PVKQSPGPSRTSSSTRANDRLQERLARAIAAKTAGQKPLSAQGSPRQSLDAASRTSID SIGPASPALKDAPRDTSSPRGSLDVVRTSQDNAVKEVDTKQDLKNGTTTEQGVTDDAN GAKVSPEAPPPAEVPLLNTPTVEAPTPAPAPETEEIITNKPDQTTVGDEETQKDGNNV PDVAQTQQQEEIHGYIERIDALEAKLQFLAREATDSARKAALAAPAGSLEKKLAEKDQ QIAQLMEEGKKLASTEQKHRTIMKKLQLKVGEGEKEINNLKMAKDKSDKELDNLRLRA RRADELERSQVVLQKQYDQAQKELGSLRPEIRSKDATIADLRSQLQKAKEQVDELSTK ANERPREQDKRRIDDLEEQVAALKVEKTLVADRAKAQANDLREQVERANERARVQELE LKAEVQMMEGKLEAMRMRVEEASSGVAGDSQAKLLRQIEQLQTQYSIASENWQGIETN LLARIAGLEKERDEALQRESDMRRKAREAAVRAKRHEEELEETKTKIPTIQQDVKSYQ AQLDALTRRAEAAETALSEAKSELEKQKQAFEAEKERIQTSFHQPIVERPRSWLEDLP GTPLFKPDSRPASPQLSAVPQRTFSTDFLGIQTLTSKARKISGAQSSNSEAGDANSRI GLPVPGMGSFSRRPSAQPPARPTLPSHPTSNSGIFSPTSIFSPTSEAAPPSILNNHRE IQQGEDAFSGSGGRDNDKNNIMQDMVSVSTVAAGPSVQLVERMSAAIRRLESEKVAAK EELSRISKQRDEARAEIVAMMKKVEENSAAVKRVEVLEGEVREVKERYETTLELLGEK SEEVEELRADVEDVKAMYRELVERTIK QC762_118530 MALASAFRIPYREARTGFWGEQTSTLNWCEEDYNISYYCAEVVN TLTNLVFMYLGFKGLRNVIKYAHSKVFILVFLGYIVVGLGSMAFHTTLKYEMQLADEL PMIYTICIMAYVAFGTNKSPAVKGLLAVFLLGLATFITVYYLYAKDPVFHQVAYGLLT ASTIFRGFHVLEGVLRPAFKKRNPATCDQHMKEMWTLALTGIFMFLAGFLIWNIDNVF CHHITQTKQKVLLPWAIIFEGHGWWHILTGLAYHMILWRLWSNCCLEGKEDEFMLDWK PLRTIPQVVPRTKGAAHLKTQ QC762_118540 MSISNEALQKLVREIESQAIAAQQQIGLVRTQMASKQREMRLAQ LTRSEISSLPPDTAVYEGVGKMFVGLPVPTLQEKLSSQVKENETELEALSKRLHYLET TDKNSREHIEKMLKGQA QC762_118550 MPRSSYLSSDDESVDITYRRYSAARDRSRGPPGPPPPPPGGPPH FIQTVVRDARDTRPSGPTGYFQGPSFLNSTDQREMTMVRARSRERRSPPIVAGPPQPP PPPAVVINQNRINQGQGRSRRGSSSSSDESSHVSSRFHHHSHSRHRSHSRVGHSRSSS THSHLEDARERWELERAREQLRAMQVSNERREQERQLDRYNDEKFGRAQADWELERLR REDEARRREEMAEEKANRNKSDWELEQLRREKEARRAQEMAEEKANRNKSDWELEQYR REKEAKRQQERMAEASSRDKASWELAHYRKEKEEMETREQMETRLRLQQLREKDEAEA ARQRYELEKFLKEEEERQIREKYTDEYELDRLRHEIGRIKRREEEMKRERHEEEERQL RDAKAELDRIKKKEEEARREKQKEEEQEYKMGKAELDRLRRQKEQEERDRHYKEDAEF RAAKAELDKIKAEKARKAEEERIAREIELKKLAEEKKAAEEKARREKEEEEAVARWKA KEAERIAKEKAEEEAREKEFQRRLQEQLIHSGLDEKAIEAILKKEKIKKDKEKRDRED ERERERERERDNDNQIARPTYTRMSLRHLDIETLAHFRIEWEWDAEPGYILIKRWVPE WEQQQLWEHTKKIRIVEKRKEEKVVLKIKDHREEDDKYEFVRRRRRSKSPSLLMYLAG GRPA QC762_118560 MSDGARKTSPKSTFHFLAGLSSGITSAVLLQPIDLLKTRVQQRT SHSTPHHPHGASALRLALAEIRSAPHLLPALWRGAVPSALRTGFGSAIYFTSLNSIRH RLSSPALANANNHSSTLPKLSHIANLTSGAVARSFAGFILMPLTVLKVRYESTLYNYN SLPRAALDIYRHEGIRGFFSGFGATAIRDAPYAGLYILFYEQSKKHLSNLYMDKSGTG GAATINFASAIFSGAVCSAISNPFDAVKTRIQLQPDGYRNMVHAAKRMVSEEGLRSLM DGLGLRMGRKAASSALAWTVYEELIRRAEGSWTKKDGVRGGEKEAGKL QC762_118570 MADLLYDLLLPSASSSSPTTTPDASLLEYLTTLASQPLSSLESS EPQALAHSSHALLLSLQSLSKKSHKQIIESATHHASLRTTLPALAASTAELRNALPKL DSEAVRFATTYNRDSDNDVLARRKKALLLSRNVERLVDVLELPPLLSSSISTAPVNYS SALDLNGHIRRLHSLYPKSPLVTSISAEADDVMRNMAANLILSLKAPGLKLPAALRTI SWLRRVLPDLEAVATAPTNNGSKSSDARERALGAVLLVCRLATLMTMLEALEPLRELA DQEKARQKAIGSGNAWSGGQQTEKYLKRYIEIFREQSFAIVNMFLKLFPTTTAVATDG PAAATGSDDPLEPIPSALATFPLYLVDMLLETLRVYLPTVKDQGARDSLLTQVLYCAG SLERLGGDFGLFLASLDVGPEAEEEWVEVVKRHKALAGRLESIVGEQKKG QC762_118580 MSTMDDTWTSPELKVKKRGRYGRRDYERFDHIASSHMRAGRGSI GKVEVDCRFMFTKSRWGVLGESQNPAGILYLDLDFHQPPDCKLESATVSVKLTEEDGE DARIEHRSSCPVKFTDHYGPKHIRGRETLVQTRKLVNRTPNVNVLGYGAGGLGIDKEK TVTGGSRWNFEGHISSTKGSIWYNTLKWELKENTFEYQPSHNNLIHTAFVLEHNATRF YMTVEISGKLSKFSDEFMKMFKFRDGKKEIVTKIEWANGYSCPLRLDRMARELHLQME YENMARIPMEMPDALPAHYRPVATSPMPPVYHPPQPSVETMPPTGRIEEVSSGNIDGN VRISQPDRPPPLPASDSLDSLRIASGLIPPPVAHEQASPEADQVSETLGSTTCVNSSE DADEDEQSGSGNSSSTESTAAEETKEVRPQKGSPVDMGNPVSIWLRGIMLVWLAMLAR AIGASVVEATSNPKVKMIEGEKIERRKGPKSALRSTTPKKKATSTGT QC762_118590 MSRSSYSDDSVDLDDIVDSEHYHEDENERQGLSSTTVRNGSVAP RAPKHGKFYGLPPRQQQQPERPRRPKRSAQDQGGQDWETGRQRQQASSASRERRRSPS PLSFPNSPTPPRPTWVQNLGRANTYISEAREQTTGPVNYWDQMGHEGPEQRNRSLVTV RPVSRARRRPAQEEPTAHQSGREPRTGHLHEDPFNVESRMRPPVLQLRTQPRREQSRR NRRIPDFRPPPYEYVPTYLQDRSTSIGGTFSQSSEYSDISDEDQESQPSNHDVYERPV DLVHDPSQSTKNMTIRLEFDIEEDWDSDLEEFCRLRRLGRFKDAQEYFKQNLERYSTI PYIRVQYAEMLVSSGNLKLFRDMRLLPEFLPPVGEESMDELNRGKLAANYALLDLLSQ RHFPNYIQMAWQIVENTLKALSTEQVIGSTEIQLLSLCLRVLHRLEACTHEGIIDVPK IYAKHLFDWSRLYREITAEDSIWDARDLLVAATSVFGWQDTSAMFFGTSYLPKIMELI SNDWIRTSYDEPSALGLLDLFTSLILQDHNKEMDVRNHLLLEYATILARSIQDHDEGF MRSRPFLQWLLVKAVLEKTAAPERPDGIHLKDFDGLELKQKNGIHLPIYVPKNGLERP SWDMFFTRSSPAQRHTAEVVVATADAIGDYFLKAEALKILILFSQNPGRSMSDLCRLQ LEIQGDTEGYLATRLSTYLLLNESNELQDLGISPTNFDPTRNENCENATLRWASAVLP AQTLLRSDIREDNRQPTPQNENLSSELLQAAFNVCGPKLPSYIIDFARQKLQLDAPSI VPMPMLALHNPTDDKPTADNKARDNVDGIPRYSPFISGQQHASGYPYPFIYPNPNYPN PNYPNPNYPAHNDPFHSHQPSNYPYSYYPTAYGQPQNPNAVHHNVAPYVGTYPWLQGP GPANVAGTPNPFDFYTPSPPAPPPASGMPSQDYQTNQPPTAPNGVWPDVEVAGWPATW QEDAQRLANSRPPPSTDDEDVTIRVKGHQTRVGGDAQASSDKVSQWLFPGSDGAPNTG KNDPNEQSIPPPSGKPAVPGDSAPKVNSSTPVNDTVAPETKEGGPGQASKPVAPETTD ANGGSNVNKGDPGPNTGIRRRHTVDVLPERSHAKVNKTADTANGIIPEENNPSGSLEA EDNGDSVDGKPSFDVEEGLPKLSFPPDLLKGHKLTVILDSKDDPQKVKAYVIDVEGVH ETPVVRPTTGEQHRSRTRIHVKSGSYEVADAGNESGESTVRISRSRSREKGKARDTSE APPSYPEGGVKEHTTIHGTVRPHVVLDDFVTQPPHDKLSKPTRKNSGFGGPAGEGPSR RQSMSKPRSSKEEQDKLAKSVNKRAKKAAQRFSSLGPAPSPAPSPPPSPPPSGSRPPP DRPVYIPDANPDTGAISENDEGAGDGVGGQEHQDGDQGQAQDQEQGHGQAPDQKQDHV QEETQSRNQRERQYYNQGPDRQQNEQLGEGIRVYCSGNPTDPTIPTTNSSAQTAPSPG KTSHPDSHDSTDEDWEIQSIDGDEAAGNHEAVFEAFSDEDADEDEQATRKEVEQMLND MQTARKRRQDADRAEEEWLKGRLERLAAKEKEKEEEEAKGKGKGKEKVAVVEEPESIS SVTTEDEERFRWGEEPRSPLRSLKRKGRGIKRLADFVKRGSG QC762_118600 MDQMDYEMHQAEVPTSQAPAHPATHSGFQGVPPQGQGGCPFFRN EQQHRQPSGLPHLHPSYPHYHHGVNSLYHLSRQNQGPHAPRPSHSRNLSLQPHHHPQQ PSHGQLQQQQQQQPQQQQPQQPQQQQQHQQHQQQQQQQTQNPPLSLPLPHSQSHSHSH SHSLSHPHPHSHYDPIYPGWSSSAQSASPAYQWVAPASVPGPPPSHAVPLLSVPLQLQ SILRPSGSDQFFPNGGAGSTNPSGSNSVLPSFHSNQNLPHLDSTVPPPFSFPYRHPSA LHRFSVAPAIQNHQSHSGLPQAPSFPQASTQNQTHQLYQTQPGREALSSVAMNTDRPS PGDAPPAQPSQAASSTNMSSNPSSGAGPASSGLPQPVNGSGEPSRRSDRGPGSNMASG QLPLPEPRPMTAGDSTLAMYRGFSGEQRRSVIRRARAELAQPTGDDYDESEEDYSPID DDDEAYRFATQFGHGYMPDESRLRQQQLLRGQMSSNKRVASKKALASLQSVDMESLTA SERTCVICYNDFGVTSPEGISEAPLRLPKCKHIFGDHCIKKWFEESDSCPYCRDKVPS DPVMPPSVQGYIRATAEFVGMPYRHGPEPGSRLPTHPPSRSVQSGERRASPSDPSTES MRRTRARFGAARGYGPPASNFATPSGSRPGPPHGAFPSMASFPGSVGAAPPGPFQPSG PPGASAPTGYGQQQQHGQGHPLPRYYAP QC762_118610 MAGRVRHPIDIRSLERWLNKTVPEIETPLEVHQFGFGQSNPTYQ LTTPSGDRYVLRKKPPGKLVSKTAHKVEREYRIIAALSTTDVPVPRAYCLCEDDSVIG TPFYIMEFLDGRIFEDPVIPNVLPDHRRAIWADAVRTLAKLHRIDPKSVGLESFGRHD GFYNRQIATWKQICGAQAAVEDVDTKEQVGQLPFFEELMAFFSDGKAQPADKGTLIHG DFKIDNLVFHKTEPRVIGILDWEMSTIGHPLSDLANLLTPYYTAFLDPARSVHLHPGF LPKATRGLPSREDLTELYFAVLEPEAREEDRAVVETRRRELQWAQAFSIFRLAAICQG IAARLARRQASSEQAKRHGDARTLFAEFAWELAQSSKGAEAKKSKL QC762_118620 MPPPPTKRRKRAAVIDDSDDDDADFDINHSPSPPVKQNPRPATR TSARNTIQRFLVSSPENSPQKSQSRLDLEESIIEESPSPVRKRSRNFGAAAAGTQSKS PIKTRATRAAAGKRALEEEKGKSGDLRTLFSKQAQRNSTGTGSNKGNGVTTNKTQVLE IEDIISDPIDDDDMPLVRRSASATVTTQPGKSIIGLRAQKRKENDGSGIGVGASQLPL SQHSNGSLSAGGARFLNRSKGGTVMSASQTPSQTQHVEKPSIVDDDPRPWSEKYGPVN LEELAVHKKKVADVRRWLEDVYGGRLRQRLLILKGAAGTGKTTTLRLLAKDLKCEVLE WRNPNNSFGAVGQAYQSAASQFEEFLGRGGKFGQLDFEEDEEQEPEMAPPHLSAQNGS DKKLILIEEFPNTFMRSSAGLVSFRNAIIQYLAANMPAMVGWGRASSSGSITPIVMVI SETLLTTASASADSFTAHRLLGPEVLRHPGTAIVEFNPVAPTLLAKALEVIVQKEARK SGRRRTPGPLVLQRLGEIGDIRSAVSSLEFLCVKGDDDTDWGSQVALAKTKKSKSVPT LTKGEKDSLELVSQREATLGIFHAVGKVVYNKRGGKLTGEPTSAAETLPSHMARFAKP NPSLVSVDTLMDETGTDTHTFISALHENYPLSCEQTSPQDENSSLDYINGCLDYLSEA DLLCPSWDIFFGGKGYGGGYAGKDSSSHVLRQDEMAFQVAVRGLLFSLPSPVKRQSHP TQGGSRGNDAYKMFYPTYLKLWRTKEELEGLTDLMATKMLKGEYGGPGHHSSASQGGG SHGASALRKAVPFGTTSSQFASSARHNQQQPKAEPPSHTPLLSLGNAARQELLLERLP YMAHIARRNRSAFCTSQAKELEKIASFRGIGRAGADSEDGADSADEDSGGAKEAATSW ATDKPTEEMTPRKKRRMGLGAILHPTQKAGDGEEEMQRLPMESLVISDDDIEDD QC762_118630 MASFLSYIPLVNRLVREAPTTIDLPPVQVHNVEADPDKRPRTLK HLLKANHVNHSILYHNLEFDNHMAHILCSSYLLGAESQHLYHIFEVEAKTLEPWKDSP AEMGEEDWRDFLGDKRYQRAFVDFFEDALAMKHAYKWKGVVEEYMFSGDEPLVNGLVG GLGHPLIHLGYAYEFDSREIATEALGLASTQYNYLHRYLDDPTYTVKPTTSSTPLKLL NKLSNDTRFDNLFKTPAFSNIEALFHSKESEALVLEYWNAWDITSSACSPAEQFQLSQ EAAVQLLVATVAPGTHSYNFFLVHVLTTSHAVRVLLPFIPAKFHVSIVRQWWLLALAA YIAVLRPKIDVDYVPGEGELKGKGWKYVEHKALTGEWATDAHYVKAIRAMREAARTWG DVHERYLAAAVRFSDDFEGWF QC762_118640 MAPKAIIAPSILSADFADLGAACSRTIKQGADWLHVDIMDGHFV PNLTFGPPVVVKIRSHVEKPAESFGKGTFDCHMMIAEPKKWVKEFKNAGCDLYCFHYE AAINSSAAESPEGKSDEKTSPRELIRYIHDQGMLAGIAIKPATPANVLFDLIESEDPK EKPDMVLVMTVEPGFGGQQFMASELPKVEELRKRYPDLNIEVDGGLGPGTIDQAADAG ANVIVAGSAVFGAKDPSEVIFLLREAVEKRSGKL QC762_118650 MPPFTPEDIDSVRASFPALSGDYVFFNTAAGSQVLGSVADRVRD YLITPNLVNDGYKAAADFINASPDEIVFGSSATQLLHNLSHALSFSPEDEILLCPLDH ESNIAPWLALAARQNLKIRWWHPQLPPEDQPGCVNPKLDLTNPPISPKTRLICLTHTT NILGTIHDIKSLSAQIRLLNPQTLLCVDGVAHAPHRQIDVKDLGVDFYVFSWYKLFGP RISQLYAGPCARAQLQSMGHFFNPAESLDDKIGLAGGWCQELIYGIPAVVEYLTPRWE GVVDQEERLQSVLLGLLAGLDGKITIYGEWCGDAKVRVPTVSFRVRGWKSKDFVEDIE RETNGRVKLSWGTYYSVRLAKEVLGLGDDGVVRVSLAHYNTVEEIRLFYTVLLKVLGF EGSGNGARKSDWKI QC762_118660 MKMKRKADSPADGGKAIKKRTKNTLSDEDAKARFRKGLFDKKVL EKYTSEYATSTPYKHSVIHELADDSLLRKVREEIKANVHFTPKETDIYKIHQSGDLAN LDGLEDKALAKLPSLLALRDALYSQTFREYVSHITGCGPLSGRKTDMAINVYTPGCYL LCHDDVIGSRKVSYILYLTDPDTPWQPEWGGALRLFPVVDREGKDGEVAKTPLPDFVK SIPPAWNQLSFFAVQPGESFHDVEEVYHAASPEELEKNAGRIRMAISGWFHIPQVGED GWVEGAEREAAHKSGLMQLQGNPDQHDQPQAKPIRVEKSKLEEDDFPLDESDLEFLLK YIAPTYLTPDTIERVAEHFEEEFSITLPDILHPRFAENLRKHVEDQEKKPLPESSDEI EKGAWKVARPPHKHRYLYLQPGEEKTEESPLKELLEVLLPSRQFRLWLQMATRSTVES VDLLARRFRHGQDYTLATGHEGKPRLEVNIGLTPTTGWGDVEDDEDEEEEEEEEEEES SEEEQPKKKGKNGVANGKGKEKANGKSANGSDAKSKGKDKGKAVEKEPEPEEELEVGG HEVYMAGDDDSNEDAAIYKTSDEDDNILFFQAASWNKMSIVLRDSGTLRFVKYVSQSA KGDRWDVSAMFEIEEQDEEPSSEEEGNGEASGVGVVDDSEEEFKGFSPSEDSDSD QC762_118670 MPIRNPFARRPGVIVTAHDENSRPGSAAGSVKESVLSPGFERVD TVGSKASSAFSIRSSRRSQDTGEYKMSVVDDSGIYLPPSPTEKEATWPRRYLSRTSSD RSSRDGSGDIEHFPISRESFDSYRRSFDISARSYITDPAPRQSLDSSARFPRMMHTRS SLLSREPPTPEEGFEDVGLGGESKTTTQHQHQQQAEQTQTSALPKKKGLFARFGSEHT HETTDAAHTANSNATSSWSFLPGASRKRAQSGQGAELGAMPFPVDRSGANGGAVEVDA QC762_118680 MASDELPVRPAGSAVKAVVEGPNSLAVAFGRELSMASRSVHADD YINNHQAVAPPMHVSTTFRYNRDPEQLRPWDNLNPNAPYDSHVYSRDSAPNTTRFEAI LTSLLGAPSLSYASGLAAFHAMIVFLNPKRVAIGGGYHGCHGILHIMKKLNGLEQLEL EDDADLAKLEKGDVIHVETPLNPTGEARDLAYYRKMADEKGCYLTVDATFAPPPLMEP FKYNVDIVMHSATKYFGGHSDMLAGVLAIRPDRAEKWLPELREERLHLGGVMGSLEGW LGVRSVRTLELRVKRQSESAQKLVDFLAEKKAAGDNSNIIAQSVFKIQHASQQPEAKD ENSWLRKQMPNGFGPVFSLWLESEEKAKRLPSKLQLFHHATSLGGVESLIEWRAMTDP KVDRRLLRVSIGVEGWEDLRDDLVQGLERLKEEGF QC762_118690 MLPLRRLLRLPTSFARRHARRRRMYLLFLLLLLVGVVILPLYVV YYPPSSLIRYFSHRWTDVLFRIWLPPDKKIVALTIDDAPSDHTRGILSALSASGAHAT FFVIGSQVPGREGILREIVRQGHELGNHGMHDEPARGLSTEELEREMREVQGMIDRAY RDEGKEPPREGRERYYRPGSGFFSDRIRGVVNRLGYRLVLGSIYPHDAQIGWAGVNGR HILGMLDPGGVIICHDRRSWTRPMLDRVLPEMGRKGWEARTVTGLLGEVTG QC762_118700 MMALQRILCLGLLYHGVIARLSGSGPTAPAAEQHILSSSAPRKG LHGRFLHITDIHPDEFYKVHSSTDEDDGCHKGEGPAGPYGAETTDCDSPYSLVNATFD WIEANLKDKIDFVVWTGDTARHDRDDDLPRTQDQVLGTNTWIADKFAEMLRNEETGHG MSIPVVPTFGNNDILPHNILLPGPNKWLQTYTHIWRHFIPEAQRHSFEFGGWFHVEVI PNRLAVFSLNTLYFFDRNAGVDGCENPSEPGFKQLEWLRVQLEFMRERGMKVILMGHV PPARTDSKKLWDETCWQKYTLWLHQYRDVVISGMYGHMNIDHFLIHDTHDVDIAFLNG LSPEFGVREYLDDELTIQGGTDYLMELRDDWSKLTPPTVPGKSNEVNQDGKKGRTRKP KRRDPWGERYVLSLVSPSIVPTYFPTLRVVEYNISGLENTPLWRDMPGNGAKNSSHPA SAPSAPQKHLDLRSLSPIDGLNDSFENVETDKKKKKKGGKRPKKDKKPHDPNLVIPPP PAKTSPPGPAYSQQPLSLTGYTQYFANLTHINNLNLTDLNSVEPTTTNSLFSLLQSSK SWLMKWRKGKNGGKKPLTPKPDPREFAFEVEYSTFNDKIYKLGDLTVNSFVELAYRIG QKAKGKSLVEMAGDEEVGDAEEEHDCDESDFESDSDSDDEEMEAEAKKGDKKKGDKKK KGKKGDKKKKKKKKGKKNKTWLHFLSHAFVGTLDKSELKRYS QC762_118710 MAADHIAPLPLPASSSRPERNSLSVPPPPSSVLAAPVGAGGAPH HSLHPAPQYDPSLDPTIKHLLDQQAEIQAKLAVLLPQKYGPNIKVELEMLRHKHRVLR AYADENQLSNKIPLLSEIEEARTLQYNCECIEAACLEHGVDLQDPRFLDTLKYHYFRD QAPEGYAAWLDRNVARFDPVVSAMRLRDSVPLAFRTHHSYKCWDERCMHYIYGYPLHD DRDQHSREHVSLQKRDSGLSVSGTPPLVFPEKTNRTYSTDYSKQPSPLYLPRPGPNIQ LAPIATNSQPPAKDHRESLRSYSFVPEHPAGPRGSVDSEVDPLLPPLKRSRVGQSRLE SIGELRLLREVGPCLRCKVLKKGCDSNDPCGLCPEPTAPSDNDFWKALGCHRGPLANF TETMLPTAVSPRHTQTPMTSPLAIRRNMNEFLERSFVIAPEIARMVKDDLDFDDGFWW TEDLASLPPSNPTLASFGKEPVDRPPPVLTVLAASWNMSGTYNFWQLLKMSGVLSASR ESEAVTYPVLYRAKLLLREALFYDLQLPDPAIHGEPSSSSTHVIFDDADLYGRFQLLY NCMTQFLHSLDTQMMRSNTLDPKTWLASFFSLCIFSIVRTLLVDRAAQARVNSPTEPA TSAMHAVYKALVCIFAASTTMLLDGHESELNNEDRELLASVGAFLGRNSWAERGLTST KDFLLFLGSGEIEGNVYHGFLKQRSSQRQGSFVLPPIAKPAEEPRKPLPDMRPLAHPW GSNVPGQADRDIYVFKGEPDRLLTSPQSMDMGRRHTVAESPTFPRQAGRGLTSPIAAP RLRPSYQRPPLRRVYCTKCNEYPEGFRGEHELRRHNDAKHAALVKRWVCTEPQGPPNS PQPVIPLSKCKACVTQKRYGAYYNAAAHLRRAHFNPHRGGKASGDWPPMNILKDWMRE VRQSIDVQDQDDASSGEDEGHDYKNTHDFISPPRRRSPPVLEAPRLAPAPPPPPPAHM GHPHPHGLPVPSQPLLAPSFGPMAHAGTLGSLGSVGGPVGPPPMIIQSSPGAYMTPTP VLKSNDESQLTPTSASSSVRNRCPHPECGRVFKDLAAHMLTHMEERPEKCPIETCEYH IKGFARKYDKNRHALTHYKGTMICPFCPGAGTSYEKAFNRADVFKRHLTAVHNVEQTP PNSRKLILTSGSARAGAAGAKCSICQSQFATAQEFYEHLDDCVLNVIVPSTPKTTSGG GGNASERKDSVARTPTTATEKGKELEHDSHVQLDVDMDEDSRRGSEYSDRASVVQTTR EERTQLYQQEPSTRVSSAQASPAPSSIQTSKTEPSGQLPNSHADHESEIASEIQVAVP PRPSKEDAMDVVEETNGVGAGQPQQQKSEPPELSLEVKPYQALRRPEVTLGSPVPPDA MDTD QC762_118720 MMQNKRMCFPLVFTSVHYIRSSHYRHISIQPIYGLHQPLPPTMD TFQFLLWLPASPLNLALLVLVAILVSILVTFYTTKQHYQNSTLITTTTATTTTRQQTP KNHQPQLPATILETRQQLVQESLPPAEQPRAAVQEQPTATQPIPISIPISPVGWRNSF GPTMMHPSKRDSLVSSVGARKGSYCARCSSWPVSDWDGSGSGSGSEGEVVGGSFGTEV TFGFMGAHL QC762_118730 MSDQVLLPQVAYRPPSPQGRRRPARTLNPIMEEPEHSRDSFASR RRRNGPSVEKIEQWLSPMSDHFPTPRGMHFLAAPILPATPSVLSQATNESEPELDNDD VSSEASSSNPSFQWNHNSNNRESIMTDVTEFDDLYDVSDDEIRRKQRLQANGIGRQRS STRSSRSSRNLKRSSRASLEFRRSLTPLVIPEEAQQAAKKVLSPIPPTPPSAVAMSPA IMSLMELRQRQDTPRVSAPPSLDGSINSEEMAAMSAPPTPVVGSEYGAGEEWSGVRLQ PGALETLQALAGDESAFAEQPTQVIEVPQEMAQTRRQPPRIMTNLNRAPSAARLSLAD LSKLEIPSPGGFFSELSSASRQTWHAEKNTEETDEGQPPTSTTAENFYKIPWSRDRVP PPPPRPLHLDNLPSAIIERVVEFPETQGQEDLPTAIRIEPTPVPLTAIRIPPTPRQSE ATASETAVGEPSSPIVVTEIIAELDRDYVAKQEENVVSHAGRTQMWLMAQRAYLQGVA GFSDEAEEQESEASEHESEAEDKVEELKVEATEEVPLKKSKSVRFSALPLDNFPQPPK KLPPKLLRQESAYYRAFTDFTVRSCHTDAFVHRQPRFEALQAQRISLRDAHRNQLLGK YQLSVVPQSAKKRMSTNVVRGDDNLIDDPEKLRADKEADALSQMHMSAWHVAATKQLN GGRLVSAPVGKRLARQSTFAPGTGSNGLPRDRARILDLGGQGACDWAWHAALQFPNCK IYTVTTKAIRQLSNSNIRGPPNHRQVAVERLTKLPFADNQFDLISARELHAVLKMSGE NGVDEWDACLAECMRVLKPGGYIEFNLLDADIINAGELGNAKAVEFGFALQTLGYDAR PTKNFISRLDKAGFEDVRRAWVAMPVGPKKLPKPVVSVGREEAGGEEREVLRMEAVVS GSSDNIAAVTGLVAGWSWEKWLLRAEMERAAGELRLADTVTPGKAMKEAGKMIADVSA VVEEGRAKGSLFRCLKGYARKPVPKPKVEFADELNELDRSGSIRICLDTESLY QC762_118740 MAETSAASRHVTYCGVCTLPPEYCEYGGTTAKCQKWLEKSHPDL YSKIWSPEALSAATASLSLEAQERAAKDAKKKAAKAEAAEQKQAEKLSNSVVTIKRIE RNKRKYVTSVSGLEAFGLDLKKVSKDFGKKFATGSSVTKTPSGGEEIVVQGDVSDEIE EFILEKYKDVPEDNIELVEDKKKKGAAAG QC762_118750 MSSDNEMAMVPTEMALDGQRSPIKMRKRGVTATQKQALMENLQL EIAERARKLRTNYSIHAQSLRTRIEIRVNRIPMSLRKMKMGDLLDKYSNQQRPPVAGY LKGPPVPEKDSNPSKVYPPRAGGVTYPSAAAGAPPKRRSHEMTGGDKENENDALANPK KRPRAEHLPPPSSSQAQPPPPRSQNNNNNNNVLSPTSSNTRTVGRCNPTTTPGNTIRS GIARPTGNVSPTKGTTSTSHSLHNTISRPPPSVSRPGTSASVRKMNFSSSTSTTASSS AVKRKRALTAAAPTPQAVRTTGANRTVQQQRRVSGTSESSEASTGTVIKKRPATAPGE RPPITKAMAGGSGHAKSKSGVSGVGGGKKAATVGASAGKRIVAAAAAGGKVGTTGSAA GRVLRKRAQV QC762_118760 MVLEAVMIVVDNSESSRNGDYTPTRFEAQCDAINILFQNVIQGN PESSVGLMSMGGKGPEVLATLTVDQGKILEGLHRTKKNIRGSAHLATGIQVAHLALKH RQNKSQRARIVVFVCSPIEDIESDLVKLAKKMKKANTSVDFVLFGDIDEENHKKLTAF NEIVKGSGQGSHLEVIPPSSKLLSDQLLATPILLGENAGQGGAGGGGSAAEEFEFGVD PSADPELALALRMSMEEEKARQERAARAEAEAAGQNTLETVKEENESTPLLGKDGEPS GNKKDDDKMDTS QC762_118770 MTVFALIIINKAGGLIYNRTFHEGGLNKISTNDYLVLAGTFHGV HAITARLSPIPTLGANRNSASSAGTMTRPEPPSGLEVLESENFRLQCFTTLTGIKFLL FTDTTQTNVDLTMRRVYEMYTDYVMKNPFYQLEMPVRCDMFDRKLLSYIREINNR QC762_118780 MAARRRPAPHKLQQTPSNNKDRGDKGFSLPAPSPRVINAAPSLE KAEMYGIDDNRPVFSRAMALAGRMFIETIPQWLAVGAMLSLIFGGCCSNVFALESIIK VEPDSGFLLTFVQFIFVAITSLPSQLDSRRPPFYLKSNRVPIRRWLVNIVLFFAINVL NNHAFSYDISVPVHIILRSGGSITTMLAGSLYGKRYSRIQVTAVLLLTVGVITAAWSD SQTKGTTSSGSAGTTSFVTGLTILFVAQVLSAIMGLYTEETYRMYGPQWKENMFYSHL LSIPLFLPFLPSLIRQFMKLANSPPLSLPIPPPEDYPNFSPSLQRLVEKIHIPSQLFY LTLNVLTQYACIRGVNLLAAASSALTVTIVLNIRKLISLLLSIWLFGNRLAFGTLIGA CIVFFAGGLYSLDGKRKPPSRRGTAPTKS QC762_118790 MPTNDSDDEEYTRHGQSDTESDGLLEQHEHGRSHGAPDSLAQSP GSDGHVRRADDAELEEGMLPRDMPHKTAFFDLVAERQMTQSEAKLFYQRSQTDSRTMQ TQTQTQFSPQGSPLLSSGTSHTLGNIDAALGQNPPHNASGIRLSDLDPAILPESGYEP PLSAARRDPSHFGLSSLPSRGSFTNLNNAAGAPGNQIDPAVQQQMLLNTGAVAGIGSS TYMDADPQITAELSTIFQRIQNILNIRHRYISLSNQGPEDNPKDDPSWPIYPPPPEPA WSEERDKAGRSTKAQNSAYNSMANSMVLSMDKDKQRSSDQSFDIPDTPQKPYRSKRKP GQDIGEDFDMDDLLPLPGPSEMTYRLDDNGVYQVYETEEASKANSPVIKVPTIKEYYL DLDEISSVSSDGPSKSFAFRRLQYLEGKFNLYQLLNEYQETADSKKVPHRDFYNVRKV DTHVHHSACMNQKHLLRFIKSKMKKFPDEIVLYRDGKHLTLAEVFESINLTAYDLSID TLDMHAHKDSFHRFDKFNLKYNPIGESRLRTIFLKTDNFINGRYLAEITKEVISDLES SKYQMVEWRISIYGRSLDEWDKLAAWVIDNKLFSHNVRWLIQIPRLYDVYKASDLMGN FEQVVVNIFRPLFEVTKDPNSHPKLHVFLQRVIGFDSVDDESKVERRLFKKFPVPRVW DSKQNPPYSYWIYYLFANMTSLNVWRKRRGFNTFVLRPHCGEAGDSEHLAAAALCCHS ISHGLLLRKVPLLQYIFYLEQIGIAMSPLSNNALFLAYERNPFYQYFKRGLNVSLSTD DPLQFAFTKEPLIEEYAVAAQIYKLSPVDMCELAKNSVKQSGYEYSVKQQWLGPNFNI PGAGGNMVKTNVPDRREEFRYRTLMEERRMVERYTSIADNAAAVDAAAQSVASLAMSS KNTVTADSKQQSHAPSPASQVQGPNIIGKSARVETGSPAVSAQSQVFSSPVTASPLTV SGSEEHISGHEPRYFPGVVSRRRRDSTRQSSMHESDDAALRKVSSKRDASKEGRGN QC762_118800 MGFLGVYKALYDYTPQAEGELSITEGDVLYVLEKSTDDDWWKAK KKATAEDEDEPVGLIPNNYIEEVKPESKARALYEYTRQTDEELSFPEEAQLDVYDTTD PDWILVGYQGDFGFAPANYIEIAAGGQKQEEAAPSILTPPPLPVRTPSDAAPSPALPP RPPSEPASPASPPVAPNPASMIAGMMAARGGHTPAPLDLQPSGQRHVAEEEEEEQEVK SPPLPTRPRGDSQASEPARSAPASGGLKTHGFRDSDRIDRYSDSVPQTPNTAPLTPGD FHMYNINEMVSVMGKKKKMPTTLGINLRTGIILIAPEHASDGPSQEWTANLMTHYSRE GKHVFMELVKPSKSIDFHAGAKDTAEEIVAMLGELAGAVRAEGLMEIVKATKGSKVPQ QKTGVVLYDFLAQGEDEVTVGIGDEVIILDDTKSEEWWMVRRVKNQLEGVVPSSYIEV TGILDTPVPTSASGINAGRSTIEQNRLEEARLTKEALKAAKREERKEREGRSSEVGPG LRLPERSSSLSQARNGNTVGQQHSSRRENGASGSSKSKDGSVKSKPDPAKVRTWTDRS KSFTVEAQFLGMKDGKLNLHKVNGVRIAVPIAKMSITDLEYVEQITGISLDEDKPLSD LKKKAAAENARSGRSSSSKTKVGASIEPKKSTYDWFQFFLDCDIQPQSCERYCQAFER DSMDESVLPDVNATVLRKLGFKEGDIIKVMRYLDTKYARGKGSVGDDESGGGLFSGPG GALRNNTRKGRPAPPVETNNVVDPNAFKKDGSGAEPKSASPTTATGPAAAAAAPSKPA GGFDDDAWDVKPSKTPEPAPAAKAPEPAPAPVAAPAPAPAPAPTPAPAPAPTPSTAKL TGSMQELSLLSQPLVPEQVQPPPAPPALDFSPAPTAAAVAPVPAPAQVPQPTGATPGF FGGLQAPVVNGQPLTQQLPQNIARARPVAPQYTQGQGGLIAPPPPSRPLSAPQTAQPS QFAPPPLQPQMTGFQTQVAPPGQSLAEISQQRMQQQYAAQMQAQQQQMQPQMTGMQPM MQPMMTGIPAQPTGFQPVPAGPFMSQPTGMAPPMQPMQMQPTGFGVGGFGQPPVQQQP FAPGGGLPMPLQPQQTGMSVLQPQMTGLTGFGTTGSPVGGGLAAPMQPLMPQKTGPPP PVRFGVQPDAKKLAPQATGRRANLAAATPDNPFGF QC762_118810 MRDPFPIAPIPALSAWIQPYADALHLPTLPLHIHEVLGAAAFYT FVHTILSPIISNAFFSKYYPKNHRARKANWDAHVVSLAQSVLINGLALWTMYYDEERA NSDWEQRVWGYTGASGMIQALAAGYFVWDLGITLLNLDIFGLGLLAHAVSALAVYTFG FRPYLNYYSSIFILYELSTPFLNIHWFFDKLNMTGSKPQLYNGIALLVVFFCCRLVWG TYQSAVVYVDMWKAVQRGPDAGYIAAAFEKASGVDKNLMHFAKDAGPVPVWLAVTYVA SNLTLNTLNWYWYFKMISAVKKRFEPAKKEKAAVPAGGKGTGNVATGAMVGEKQGLRQ RAHSIEDVVPDSEELRQGTIQ QC762_118820 MDSSYSDQDFDNTYSHNARSRAGSGVSTTEGLFQNLQLAESPSL ETGSYTSSSRPPSSRGLASPVYLSPSLSHPPTYLSSSISPHQQHFAHLPSDTEWFEAE HASVHGTPLLRLEPAPDHGETPSTSSPLASIEPESMSAVAVSYDPGFSMGSRSSFTWP VLDSGSGLLPPDMSHHYGSEASLSPPSGSRSITGSPPRNTLTPEQRELKRQRDQARHS SKMHARGRRAGSGSESVYSPPVTLADLTTGTSTMPIYTTAPSQMSLMAEPTTSHYLPP FSPPLPDQTQTNMFSSPYPPQSYMPDYSYQPSPAPSLPSHYGSRPVMGDPNLGMYSVP PVMGPGPQDTSGQVRVVHSRPKPQCWEHGCNGRQFSTFSNLLRHQREKSGQATKATCP NCGAEFTRTTARNGHLAHDKCKKKSSN QC762_118830 MAGLVVASARRQQRRKRVFQSVFSGEVTSTTTVAGVQYPDPGQG LGGLILGSGAGHSVDPYLAPSSSATLGHSTPEPQPPHPYAPGNEYASGNEHQRAYDQA WEVVTSRVALPASAAGPAPGAGESSSGLSLESLTAAQGQPQSRHRRNQKVDEEREQFL QCLSLLENAEAVLPEARERRDVIGWYVSQVRTHFAMWVVPLLAGGSDTAAAAAAAAAA AGTLAAQGVTHRRHQRNKHSVDSTAAGGGREWSGGSQYDRHMAVVMSTIETLEGALGM YFEGLNSLLEGLRRASGEEDNSSFGMKFKRDIHALIANSASQGGVMGSVKVVLTKLAG VILGVEGLMVSLGSKKGPWGAPPAIPQETDFAVLTAKRKLGGLVKQLHNVGLTGERFE VLFAEVMNDMMSRFVKGAYAGVWAASDPEEGNVVGGAGTAAASSVFTTSRGSGGDTTV PAATSACIKSLKDWVENRYAQLNVQVLSWINATPMTLADLKACQSLALGRLAALRIQE LFDIVLAWPDAKGALEDLKATITTTARRQLLTANFSKALEQRLLHPGCSTLEILQTYI HIIKTFHALDHSKVLLGNIEPGLQLYLVQREDSVRVVVAGLLASKEEIEAAAKVQQVD EDERLQRGASGPRAAAADLGRREIKAQAYSTPGVAGSSTATTFKTPTTRSRQGRDSNP STPAPAPAHRQGPVLARAKKVNKLVELAKLLNESASVRRAGATDDDQELDWNDLNWVP DPVDAGANYKRPKSEDVIGTLISALGAEDVFINEFTAVIAERLLGDPKSFEQEMRVLN LLKRRFGEAALQKCDVMIRDIQESRKLNSKIRRNNAGGPQPSNIPGQPPVLATPDNKG KSVATPQSSEKNKEQKDTGYHARILSRMYWPAFEKEHFLLPAPILDLQKQYEKGYEDL KSDRKLTWLNQLGQTRVELELEDRTVTVDCDTVQATVIYAFQSSSDSSTTPVKKAVDD LYLELQLDEDLIAAACDFWVRKRVLRKVSTADGGVFVVLERLSDETVPVGEDAQQGQA VEEKGKEKEAAAAGPKEGGAKKLSDKEKEKREVYWKYIQGMLTNSSVTMPINQIAMMM GVFVIGGFSWSHEELQSFLGEKVEEGALEVVSGGKYKLVRKRENV QC762_118840 MGLQAGSTANLPPTAGINIDIDVTAPQTATDGRMKLSKAGVELL VLTFNCAKNVVDVPVFGGHLRDALLGQDGLPELVVMSLQEIAPLSYSFLGEWWLRGYY DAFGQALNLAAAGQKYTLVKGKNVGMTALLVFALCPERISGTVEEGEVGFGAAGMGNK GAVGVRVNYQGQELTFVATHLAAMEWNLKKRNANWRAVVGGLTFEDPRVVLPGVFGER PATTRVDRLGAGERSMPLERTGSMDSSEEEDAPLLSPPSTFSLDSDDTPALTPEQKRR LQDISIFKPTSHLFVAGDLNYRISSTTPPPGSAFPSFDPASENHHSHFFSRDQLTQER LAGRTFHGMSEAEVTFGPTYKYDILDSPEGAVNEAAAADGSEVPWKFASHRWPAWTDR VLFSAAEGAEVETGVYGSLPVVRSSDHRAVFWRGRVPLPDGDKKLEGEVVGKKKLPVD IDVWAWGRRQTARRRELVVGLLALVWSTKEGAVFLGTMAMVGMGWWWFAHGGLL QC762_118850 MTSANSMTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEI AIKLESVKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLF NFCNRKFSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFG LAKKYRDPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRG SLPWQGLKAATKKQKYDRIMEKKMTTPTDVLCRGFPNEFAIYLNYTRSLRFDDKPDYS YLRKIFRDLFVREGFQYDYVFDWTVYKYQKNAQAIQQAANQPGQAAAESKDNTAAARK ESQPAAPRGTRKVGETPETNRAVGGSDRMLRSAAKGQGAGTGYASKQYRPME QC762_0018900 MDLASLISLFLATTSNPSTVGSRKSFPLHSTTHLTTTEPVVDTS TPADPRLDRTHSPLRRSIASRNVRTTSNPPTVD QC762_0018910 MTRPPLPQTNTAEGLFISQEVLVADLDATQVDKRRAKEKKGYSR RTYLAPNLHLDLREAIHTAFSSFPRREARLQLVLFRDILVEEQPPQYPEDATLPIGHP PTAGRQPKGFHGQKSIWLQNDLHHVVNYSSRHPTSQHSQPILPRAVPSQKYPTAQSSE HSVCSRISIEIESNQPHENPTSAQAASRKPLR QC762_118860 MATAQPDHDQQSDRLFLNLPSNNPFRNRAASPAQQSPTSPFDDP PPRPTSRNPFLDPTIANRASNPNIRSVSDNMSSYDKRPSLTAEEIFGSLTLEESNSAA ARAEAPKPPMGRRGPPPPGRENVPSASRNGPGPNHRPTRSQEEAMRAGRKQSNAELLI PIDTPRSPPRQRQDQRRPRRNSDSSIVGADKIMTEEEKKARDQRRRERERRQREGGGK DRKPASRKLDIIDQLDATSIYGTGIFHHDGPFDALNPHRNRTGSRRAPMQAFPEGSLN NTLGGSGPLNARPDHSTFLGGHDDEAFREWSKGSKDRNGAPPTTSKGELPMFDPLSRG NVLHGDESLGLGTSTFLEGAPAARTAIQKREEERAQEVMQEGLQRKKSLAHRIRNINR QPRDFQASGRTTNPEGVYGSRRSPSESGPASAGANGERNPFFNEYGQPRGEEGFSVRK ESNAGPKSPGSPKGGYGLERRSTTDGTGGEDGPQPKSGGILGRMKSLKGGRRQRPGQG SSDMGMPPAPGTAV QC762_118865 MDTTTQPPPPPPTTTTTTATTTTTTTTTTTTTTTTQFDSLIPPP KTALSLLSRSIQTQQTKPRYPTGCAEFDQNVLLGGLDGGSVVGISSEDDDFSLALALQ TIAVALTQAAHEKTALIVTTLPVQSLLPKLRQVLAEQLSRIGGAVDLGSKVKERLSLV SISRIFDLHGLVEVLGELSLRQGGGGGTPDAVLVMGTAALISSLFTSKSTDKAAAHGF VANLAVQLGRVARGGLLVILLNSATALHNDGVPLPPAAETGGGERKLEVGGLTSIFWT GGAGQQHGRKSDSRPAWGQVFGRLVGVHLFCTRRDVGWVVEVLRDEIGVFERETDEKG EGEVWVRRNREQRWGILKGGDGGVVVDVVI QC762_118870 MKHLTVFFAATAAALVAPDQAIKLDEQQPAVSPDLVQTWWDSTV SRSAKIFTKIEKGIEKATSEFDGYSFDGFDGFNSDSNLPPLPLHERKPHRGDKTKTIY ELIKSNKYTTKFASLLDNDDFSDIKDLLDSTSHNKTLFVPTDKAFERIPHHGDKPPPK EFVLALLKYHIAPGLHTKVDLYHKHTLPSNLSLDSLGSRPQRLRISTGLLFSIRVNVI SKIVYGNILAKNGIIHAIDHILIPPPSQEKIISLLPNTFSTFSLGLEKTELSVPDHKG GTLFAPTNSAWRRLGPRANAFLFSQHGLKYLEALLKYHFVVDQTLYSDAFYNSHKDEK AAVEDAEGGKYWHVDLETLLDDKAIAVDVKRWKGWVSIVLNGFTKVIFQDGIASDGVV QVVGKVLIPPHGHHGHAGEDDDKDIEVEELKARLERYVDRDEAGDL QC762_118880 MPVFAERSVLAARSTSPLSAGAIAGAVVGSVVGVLIILLCVFPF ARRRWINRHDENTLAEMGQSPGGPNFTHPDDDSTKKYSKDHLVPGSDSQAGTAHEQPA HGIPDINGQNTSPTKTSLDQLPSQPALPQGVSLDQGLPSSISPSASPSPRSESFPGQA QTGGQAVSSPAAGPIRSPTSASSKSRSASKGTTGKDSTRQLNFDSSFASPSRQGTFSN IVEEPESFEPPSQRSTFREKLTSIFRTSSGEARRDSKRSTGTRSSSVLTGDILAYQEP IPGQPIGQPIIEEHHPDPSGLNWGYYNDPTLPPGTFTTIPNPADLTFVPPATYASASV LPSPVSPSQHPFPGLGLDITSPDGDQTVTPINPLHSFSQRNKVPGPLQRVDSLPPPTI VSDIPSPPFSYTAGPSGNPMDFMNPTNQVESAWMVEQEILKAENSPSPPAPSPPTDTF PPTMAQEPQLQYIDQNHQVQYINGAGLSPEPEYNFGQQSLGQQSLGQQNLGQQNIGQQ NLNLNQQSFGEQSYQSPPYQPSYQQTPEMGLVQDFGVPLGYYDGNGTVIQDYSTPPPS GPSLPSTVQNTPDTRLTAYTASPSPPSELDPHNGMYLSVSPIPSPGQSPHPSSTLSPG LSAPSPATPAGLSPGGQEKAFACNKCDRIFDQIHKLNHHKRYHDRPHECPHAGCTMRF GTKTHLDRHINDKHFKTRKFYCTVHDCPYSKQGGKSFPRKDNWRRHMVNKHQLTPTTD PEPEFIDEMMVGV QC762_118890 MTTTERPLVLPGDPISPDLIPSSTSKPLRLGPGLRHVPPSDIVP VVAGQLITNHQKNSMWVEYNNNRYIPTPGDLIIAQILRSGPDLYFASISPYTPPATLP HLSFESATKKTRPQLAPGALVYARVVLANKHMDPEIECVSQSTGKSDGLGELKGGMVF DVSLQFARRLLMAKSKEEGKVEVLELLGGEGLAFEIAVGRNGKVWVGGEDVKAIVVVG RALRETDEGGLGVEAQRKLVRRLAKGMK QC762_118900 MSGAKSVAPENRTVIGLTFGNSNSSIAYTVDDKAEVIANEDGDR QIPTILSYVDGDEYYGQQAKAFLIRNPNNTVAYFRDFLGKDFEHIDPTHNHASAHPKD VDGAVSFTIKDKAEEDAEPSTVSVSEVATRYLRRLVGSASDYLGKKVTSAVITVPTNF NDKQKAALLAAANAADLEVLQLISEPVAAALAYDARPEAQVEDKIVVVAELGGTRSDV AVIASRQGMYTVLATAHDYEFNGVALDKILMDHFAKEFLKRNPSAKDPRENARGLAKL KFEAEATKRALSIGANASFSVESLSDGIDFASTINRLRYETLSRTVFEGINRLVESVI KKAGLDVLDVDEVILSGGTAHTPRIASNFSNIFPQTTRILAPSTNPYAINPSELGARG AALQASLIQDYEADDIDQSTHAAVTTVAHISNAIGVVSLNAAGEEIFVPVVPAETAVP AKRTVHVAAPKDGGDVLVKFVEGNTHIKVTKPEPKPKEDKTAKVEDAADSDEESDFSD DEEEEEEKREKVWKIGNTLAEAAIRNVKKGGKVEVTVQVNADLSVILTTREVGAQGGV RGQLNA QC762_118910 MRIFPIVSKSRKPRFEVHLKIYDLNNVPLVSGVSLIKWHLPHSI HSEQRGRTQKCPIQNHRVDYNYSRVFSVRIGIDRNSNLVECPIEFEVLQEFSGPGTTS TSGRDEKISLGVVRLNLSEYIEESEAILRDGVIPGGPSLRDIFSSPTSRDLKSGASSH HRKRSSLSNTTVPETLDSSPRSSHASEAEDDSNTTSPMSEVRDGVMRRYLMQESKINS TLKISILMIQVDGERNYAAPPPKSAPVFGGIAGFVAGEALEPVDVGKSSNGHVPSSLV GKSRDIFEAQDMYRRALAASWASQPGELPADECIEDIFSGGDGFRRASKAPNGRGHTR RTTVLPGRPPSANRLLPSFRHESSNPADETDDEGESHSSQDMMSSTLRPRDLHRFRHH LRHRSGSSDGDTVLGQQHQDQQPDLNRESSRGVGLGVAGGVRQLHRREESKITHAGGL RSRSSSLVTVGSGFGNKESGGTTTGSSDHHERERTRGFGYFKRGKEVDEMEVREDLVA WTVPSSGGEAGVVV QC762_118920 MVKRKDPPGGGKDSHGRQPNNTRNTKRAKVQAARTIRTQPSDAA LEDGKLDLNRFLNAREFEIKSLERSMNKCKAVNATRVFQMVPRAMRRRTASHNVKRVP KRLRAQARKEMLEDNTPTVESRKRKPRTTRARIRAETMRKLRYLAEKKRKRKAKKAGD GAVEEVQRRGSAVVMTRPPRPKIRRNMLNEPPKADSKYRKRQINKTWLPTHLWHAKRA TMTRPSSPLWRFAIPLTSTAKCYRPTHRASGQKGVVAWDTSYMSTIGVYGTADCLERA LRYLGLVQEGLWNTRGKKWRAGVRKWTGTVSRQQKGSRRDIGPATIVWNPQPPATTSD EMETSEKPKKPRRQILIRTHPSCFLELFEELLKVAKGQKPQLHVEDLRFEVGSIELVG PASTETLLGILEPFHESPEAAERHANVFRSLAGVANPASLPKDAILAFSARDPRLSYP PKRVEIPSGGEQTILKTLTDWPVEENLKPYDIFDRESRYQASRMPSQKSLNRRKGANA PGEPIKVTAADPPIPVMLLASRPGTDGQAQGTWTLLAPWKCIQHIWYCLMQYPVSTGG NPRLGGLEEQRQIAFEHGTPWFPGDFPATTAGMNWELEERAKRRGDWDRRPKSKRLEW KSLDLGAGRKGEIGDGLACDFEYLFGVSARTRTQGKPDAVSIPDAAAEAMVVDLPAEQ PQSPVGMTIRQVTKTEFSALMKSGSGEGVVPEGGIVAVGLEFVTRGVAKPCARIYRLP RKGGKVGGAVGVPSTQAEVPATPEARTRDGLPVDLSEQWLSKVPNGDKKKGAAVPRMP VGVDMETRKKILAGSLLAVEVPYPKPAAGNQTDFGGHPLCPGEEDLIGFVTTGAFSLS EGRGTAIGSISARRALETLRETGPREGKFCVVRNAGESIGWLARWEVV QC762_0019000 LIKQRQSNLEPLCLPAHINRELGAPANFGRTQAFFDDVDAPDAC ISLRWLARPKVVHLGTTRTKMLHPLPTQSMQFLIAV QC762_118930 MAMATLSPILNTHTSNPVSPVVTTTGGGPPELSEIDYLREVLGQ QQPPDGKTEADIESELADKAAALGIELPIEGGARLTVEEQLSSGESDGAFTRQHGRTV SSTSSNETVNSGSGSHASRHSIVLPATLTESAARRRSRSLTFSQYEKYLGQINPALDQ PKFMRPQHDKTERSVGILVRSGTRKGVMDLKRSIASRLKRRRATPSSPTPIPCICCRE DFSRENNTLQTLPCGHTYCQDCLEVMIAQSTSDESKMPPRCCTQPIPTPIIKNVLPRD KQQLFLKAVQQYSTPWENRIFCPNTTCGEFIPPTSKIDPKHPFEAVCRYCRTRVCVMC KRNAHRLGQDCPSDRELDAVLKIGECSGWRRCYKCRTLVELAQGCTHITCRCKAQFCY ICGAVWDPCVGCPNFCNGEEELERRRVAEEARLAELEAEELEREKLAEQEEMERQERE RRTLDNVEFRMLKREQEAEMHRFRAFELGAKQKMKERHSKKRMALGEKYDELTEKMRE RHAKTEQHLEDRQVLAEFELLASLEEKEKKIRLKLKYMEDYCSGRHRPSASELSADPE ERKMPRREITMKDREQLRQQYCIRDGMERKHQSQINVLREKQAKALEELIGRHEKEMD ALMDRRTEEIEDLAVEFTNEEEEMVGVFRERRRRLEWKWELEMEILRVRMERELGVAF VRLGGPKWPEEQQLVLQQQQIVAEHEQQQEYILPVVAGMESITVDEVQVEPEAEVGIA K QC762_118940 MAATVAHSQQPLSPAGPASNGGGGGGGGGASGGGGGLHPLMAAA AANAAKQQQQQSQQRINARDQEPFDLTSKKLSLADFSRVRTLGTGTFARVCLVRPSHG TEADRNKVYALKILRKTEIIKLKQIDHVRHERQILSDVSGHPFITSFQASFSDHDFLY ILLDYIPGGELFTYLRKYRHFDEDMARFYAAEIVLVLEYLHEHQGGIAYRDMKPENLL LDAEGHIKLVDFGFAKRLGNNENDHPEETYTLCGTPEYLAPEVIHNKGHTTAVDWWAL GILIYEFLTGYPPFWHSNPIEIYKQIVEKPVVFPQDPPISPEAKDIIRQFCTVDRSRR LGNISGGAARVKAHPFFKGVDWDAVLARKYKGPILPPVRYPGDAQCFDIYPEEDDRKE EYTGEMAEKYDEYFKDF QC762_118950 MLNLSSFVQKAQQLLDPNQGLNITDSDRNPSKASLFQAQFRLPA SQHPLYEITAELTIPLANATQSDKDRERGYQYAGKLHLSESYLCFSTTPSSFLQSAST PTSSVFTGQTHGGGPSGNGFTFPLSSIRRVERLHSQNFQFALAISTWNGISQEAAKGK DKKDLREQRITIQLASSRQACERFCDGLKKGLRTNVGNVAKLKKVVSECYSEYLLRPE EQKNATPPDAGLGLVFKYPGDPKKLRDRAKMRLWAEYLRDNGRNATLIRQPTFHKLIR VGLPNRLRGEMWELTSGSLYLRLENPTLYADTLAKHSGMESLAIDEIEKDLNRSLPEY PGFQSEEGIGRLRRVLTAYSWVNADVGYCQAMNIVVAALLIYMSEAQAFFLLSALCDR LVPGYYSTTMYGTLLDQKVFESLVEKTMPILWEHLVKSDVQLSVVSLPWFLSLYINSM PLVFAFRVLDVFFVEGPKVLFQVGLAILRINGEELLDAADDGAFISVLKSYFARLDES AHPKSENPKLRAVTRFQELMVVAFKEFSGITHNSITELRLKNKDAVLNNIESFAKRTA IRNLGPDSKLLSADELAALYDRFYGVLYERQQRDHIIKQEQARRAKNSRSRAAAVEGH DESIEKGRVGLGPSTSLMDYDAFREFLAGMSRWAISDAPSTPRRETTGDRQTGFYGSF RRGDVTLSPWGAGPEPADHEFVQRLFAKWDVGSASALTLQNVVSGMARIKGKRDIMGT INYFFELHDDDGDGRVDREGILRMSEALLFLSRRGLEGTLSPSSSTLALSTDNVNGGG ENPGHSINERFLGSVSAFIRRCFEYADPDHPQNQNAIQDVQERLDAQKLEDDEELADP DAFAIGDDDDDDDDLMAMESPSASPKTAAVRPDKKLGQPPELQLNSAFAGRSTDDDMS ARRRVSKAQSVKANAALDPSNPLHITLPTFRMVVLADELLEQFFESSFPASFHIIEGL ASSTTPTSASSLTTFSSLGIGAGRAVNAALGGAPGAPGAAAGGRGLRGVLDNIVTDGM RVAAEVRRRMEEAQRELEKNALPGQRTEEEDDEEDDDVGLGRPSGAGKSGPYSGDAER RSVRSSDRDLLTGADAEASDATAAGAGRASGSPEGGGGGNGAGNEPGKGAGAGKVVGV EFDG QC762_118980 MGNAQSTETARRYSQKLSKPKTNNHATAGLLSPSGSSNNPKRYS NRPLPDPPLSSPSPVSTPTSSVFDQADTAQTRNGRSASFTSVPALHQESKRRSLFRVR STQVVPDADHRDSTGSGSRMVDLMNRANSLTYESAVAYYGPPGPEEWSSEPRTRTSWN YNLTSYEAKRLLNLVEEPGLEHATTMSENRMTVVTETTWKSSNPTNPPSTSVTRANSE VSLYMPVRRRSIIQTPGVATRANSMRSVPGQPRFNPRYSHPPTPSLSRQPSFDSYRDG IVSMPPRIDDIESIPRAVTPREEDYQSIGGYKLGSLRITNGPASPSSPEVERKGGSRF KLGGDDDYFANTAASDQSSTTNPATAALPPTQQPDAAGLSHTTTTVVSQQTSVVSDGV SVTSTRQYLKEVNISPLSIRLSPPTSPRLQTTSKHTAQEDSLFEDDVLSEIAAAEILD VRLDPSAKPSQVTPTKALSRSDSGFISTTSPSPESPHKPLTKADSGYSSNVSLRSFKA KDQPSKKESMRSLEGRSEANRRSSSVRSDEREHIVPAYVIAPPREAPPPPVPPKDARR ESPGPSPNPRSARQSSRSTSNLAPESSLNRAGNSRAPLSLTPIKNLRSRDRGPASPES VTPVSAKSSKSDNSTLSIGTKPQKPNRLQRLLSSARRSAAGPLEAHATHAVEQNAIPS IPREVESKLQEHNGRFPITTKRLALRPRASLDTLKTIFSVGSMEASLDALHTAQKPPA TAESGAEHSAKESSWRQTMHSVPTHIAHVASQVMPKKPIVRKPVAARQSFENRRSVDG RPRLVSEFANSSPRHSHEATLDALVGGRAVIYSPPDEDQENTRYAIAHTELLASLPSP LLPSPLAKAMSMNSKTNTPPPVSMATRRQMSLRVPPPLRSQSSNSSLHRTASRESIQS YPAAQSLSRKPSRESIRSYPSYQQGMVPDAALPSAAPTMDPRRLSAFRQSSNRPPAWE VQSEYGGLSRQPSYTSMNGGSRRGSLSSVQEHEEDVIKRPSSAQPWQMRSSQQQQPLR HRASHDEWNQFARRPQAGHPPSMSNGYTATSKPVYEPRYAQQQHAPASTWSRSQLDAS AGQWYQDASHPQVPRGHYRKRSMSLQNSYGPKPPYRVLHSYNSPAYRNAPIWG QC762_118990 MHGVGLQFGREEPPKKKGKGNFIRRMTTLRRGAPSQGRSAMLQA ALMSAGMGGNIRPHFTCHDSIRRHILYVYNIARGSEKTLRREKFEAFLKGTQGALWVE PLTQESYSFQDFFWVWSQNESAWSAVRRHEELDASKPISNYFISSSHNTYLDGNQLSS NSTADAYREVLSNGCRCIEIDVWNNPNSRSTSRTPSKSPQMEHRRQFSSNSIPRIAAE RLDALMSRHSRSPSAPQSAFSSLESKHSRSPSAAQTTFSTLETRESSTTLDPRDLVGS RSRASSRSKEDKFNGEPVVHHHGTMTSMISFREVCIAVRETAFQRNPLPIIVSLEVGA DKEHQEMMVQIMKEEWDGYLLDKPFDHIDHITQQPRLNELYNKILIKVKRISDKQVED EIERGRKLGISSIRAKPPITKPLADLAIYTHSEHFDDHQSLHSRTPSHIFSLSEDCFH ALAHDATKIRTLLSHNRDFFMRIYPKGLRVDSSNPDPSFHWRRGVQMVAMNWQRTDEG MMINDAMFANTHGWVLKPPGLLSDENVDVEDIPRRTLDLRITVLAGQAIPLPRDRRQL GGVGVMGDRKFRPMVKVELHVEKQKGGNQDLVKETVVAETDNPDWGYDSKSLEFFEVK DVIEGLSFVRFKVEDSSSIRDNLMAWACIRLDRLQTGYRCIDLLSKTRRKTEHAKLLV KVEKVFRAETPA QC762_119010 MQLEAARHMGHVGDVGSGGQGSLVPETRILSPNTFSENPDGHHP PIGLNINLHYDDGNTDGTTSSPNPKVHAERLLSGVGTSSDMTHVIDEYSPNHVAGNPP GPFVSSGPPAPRPPAGGNGGGSASPPRVVTMTQTVTVPQAGAGLGSGTGSYAYGGYQG ANAGGSGAGSYDFGAGSGSGVGGKGAYGAGAGNGGSDPAAYGPPGGGNGQTLTVIETT TICLSSAQQSPAGGYANGGGGSQPCPAGNGNGYGSGNGACQPSQSALQGPLVETVFIL GTPSPMAIAPTSCPAGAGNGNGDDGSQYAAQAAPAPPPPPPPPPVYVTITQTVAAPPP SPSPAPVSLAAVSFDPPAYAPPNTVAYLGAKGAGLQQQVADEATTSFYTAFTAAPHFQ QSGAAGAYGDPPDSASAATANGSNSPADSDGAVAPIPAIPPSIWGAGPAQVTAAKAAQ DGPSLVILSAALGFILLQALFLTF QC762_119020 MASEVQSKKRKIDDEGVLVKKAKKKQKKQREDEADLDVEAGLNK AFAWMDGQLLADHVAQKTSRFGTELSPIELSDLYISANAIKDTTSYEKPRNLENLPDF LEKFSAEPEKLNNASKTCGAPHTLVVSAAGLRAADTVRALRTFQKKGNTVAKLFAKHF KVEEQVAFLQKNRSGMAVGTPQRLVDLIENGALATSHLKRIIVDASHIDQKKRGIVDM RETLMPLVRLLSRKELKDKYTDPDHPIDLIFY QC762_119030 MPSRFTYQPVSLLWWRSERVTMPRWVVVADCLSGTQFVHNEWIE SYDPTIEDSYRTQVTVDGRQVVLEILDTAGTDQFVAMRDLFLKSGQGFLLVFSIASRS SFDELATLREEILRIKDDDTVPIVMVGNKADLEDQRAVDRARAFGVSRSWNAPYYESS ARTRTNVDEVFIDLCRQLCRREDMLEEQARDELGQKMSDEAAKKRRRRRRRKEKCVIL QC762_119040 MSTKDGRGMDRSPPAGSIARARERAAAGLPRQERSPPRSRRGPA DEEPQITRPSRPQAPPGLQTKDGNIGVAISRPTQVPQWPLAGGPIIAPSSSSGEPYRP PPGKSQPPQRPPRPSRVPSILDGSKVQDPTPVFQYRPRPGREPSGQELLPVPETPSSV SRASTQSSIVSIPDFPIPAQIPPGPPRRSVNLGPPPSARRGVSSFYSNVSYVSPIPEE SPRSRSHTSFASSAAIPDGWDTPSPGPSPQYPEAFYDDTILEEAGPFGDEEESRLVRN ASVGKRAKPTLVGTVVAPGPQQEDQNRRPEPRPLQGGPFDEGTGYVDYSSSSSTIPAS ARLPIGAAVTRDSVINALSSASADDPSTTPAQREEKTPSPQESLEPRQYSRLSAIRRP PRLDMDAVRKAEARGSLTSLPELIRRATKLAASLEKGKRPASRFDDLDYSGSEGYGVR NEKHQSGLSDMLAAFPPPAQPGASSSRRSIRNSIRDHVQSWPLPMNTRSNNTSREANG PDSDPDNPDKKQNRRCCGLPLWGFIVVMIVILVIMAAAIIIPIEFFVIRRQNNGNDAQ ASIQQCQEQLTCANGGTNVVNDGICSCICTGGFTGFDCTTAPSPGCTTFTLSSPENMS NVTVGDAIPRLIQQAQSNFSIPLDGEQVIAKLNSANMSCTATNALVTFDGSAVRQSSA AALAQVNDVNVNAVVIDGVFWTTITIFAGQFTTITIDAQNPLGIPTGTVRQGGSTVLS TGTETSIRPTLTVTPTVTRTVTTTIPLSSGQTTVPTPTPTPGFKVTEDVLDFARVVVL FVLQEESLRGAEGVQVALQNLFSRTSPVVSVEEARNVTVGGGWSVDLVDWRVDLGKGV VGGTHEDG QC762_119050 MLMKSLSLAAAAGLLAAPAANAFLIPPEISESDLKIAQEVEFAE PKIAEVQPIDLECPGCPLNIKGRFGQDIQVKTGRPNHLELLFAIEHRPEGGDRLLVND FELYPFADPFSSNLMAPQVLDDGAEAETRHDHHGGEEDGHRRGKHHRRPKPQAQRLGF GLHVSPIQKDTDGKFELIEVELQVIEVGYTFVENIPKVRVNLVKDQEGKLLMTTVEKT TTQTIVEVSDEDKPAECTTRICQLMAAAHEKMEQLRKMRLPGCHGGNKEGMGMRPAFH HGGEHHHGGHHGHSEPRPGRMGMREHSWGKLFKNITSHILLPVLIGLVAGVAVSLIGM AVGTVIVGLWRFFRKPTHTSRRHSRRHSLHKASHKEAVVAEEKSGLLTEEEQDAPPAY QDAETNTAAKPAGEV QC762_119060 MGKSSSKKRSRSDEDDIAVAAQEQEVMKKSKVDENGKSEVTKSE SSDKKSKKEKKDKKEKKDKSEKKEKKDKKDKKEKKEKKEKKSNSAAEEEEEPAAAEEP AVESSEKKSKKDRKDKKDKKDKKSKEENQLPPSHPDAQKPKSQEEAMAEETQPKGRFI CFVGNLPYTATAESLTAHFAALQPTSVRLLTERDDPKKSRGIAFVEFDRFDRMKTCLE KFHHTEFEGRKINVELTAGGGGKTPQRLDKIKEKNAKLNEERRSRMKKVEVEKAEKEK AKAADSAEEKPAEEEDDQAGIHPSRRAQVGAEFNEDEEDNFGYSNGGGGRGRRGGGGR GGGGRGRGGGRGRGGRGGGGGRFQKKW QC762_119070 MATPPVQSIKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDN YSASVTVDGRPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSLVSPPSFDNVKAKW WPEIGHHAPNIPIVLVGTKLDARDDPNTLKTMAEKRWSPITYPMGLALAKEIGAYKYA ECSALTQMGLKTVFDYAIRAVIEPKAAPQTKKKSSKCTLL QC762_0019130 MSRLVKAVDAESVRGGPVPGHIPSGSSTRPDRTCAAKTMVRRGD DGGAVFCRLEPASQTTAQGELFCLWEWVDSTLPKAPTQCRWLFISTRPGLSCPDRQRP SVPTSPIARPNSNPTPPFPAPNRSGPVSKPFLIPLGAVSALSQSRIIHIQNRGPNYVQ THLPTHIQRRAILCRPSAPLARSLQPCSRILPPPFEFDCTECITASPSRPDTVVFCFC FLLSAFQQRH QC762_119080 MARESRPLDATPRAASADPVSNFRRSLLQTPGSQRRPQGLSASG RKNAPPTATPHARAAFRTIDSRRAAIFTPHRARRKSVREARDSPRDLLLGLGRVLAKK TEPIVTSSSSPGDTPNHNPSDDDDSHETTLGPLHMSYDDDDEADIPKRPRLSLPIDRD DDSGSDDLVPHRSMLLDNEDNFTMQSVEMPRRAYSEGPGGRLSLNSTRMSDFFNANDM LHSEDFGRESGMFPPINVVEEEGTFTMADIMSPERIEDGRRETGHESDFGIHVPVDLD DQTSFVINPDVQSSPVRQPPDFDEPDFAPLEDARSDSYDGGDGMDNHNFDDFGDLPDT EMDDEEPDNTLNGTTRMTAGNVTETEVWTHAQRAELRAAAAARKKRINISKHGIQYPS LPAGVVKRLAQNFAKTSGAKGRITPDAMNAIMQASEWFFEQLGEDLEAYSKHAGRNTI NESDVITLMRRQRQINPQTTPFALASRHLPRELLQDLRMPPPVLSKKRHKASEEAVPT GEEDESVT QC762_119090 MTMTSGRILPTLLRSLQRSRTPLPRPQWPQPTSTLKPSTPRKFT TSPPRRHGDPRRQPADDPGFQSIVDNPPELVRTGKRHGPGLIILAIIPITAFFLGTWQ VKRLSWKTDLIAKCEDRIVRPPLPLPPRIDPSAISDFDFRRVLVTGHYRHDQEMLIGP RMRDGEQGYIVVTPLERKDDPGATILVNRGWISKKHQDQKLRPEGLPTGEVTVEGLLR EPWKKNMFTPENRPDKGEFYFPDVKQMAELTGSQPVWVEQTMNPDYFTTLEYEQKGVP IGRPAEVNLRNNHAQYIFTWYGLSLATSIMFWLVVKKPPKDITRRVRMNKNW QC762_119100 MAFFQGSLQEGIGAALQQSRSVVCFVTDEQDESQTWENEYLKEE EIVELLKSTSVTLRLVAGSQEEGFLAQLYPLPKKPTLVVIKSGQLKEYIAAGVPKELF IERLRKALAPVEAPPPPPTQPEPVASPNVPPAAPVATTASQPVPASQPAPITESNASS SGQATPPTSNEQSHAQSLAAQTAARIERMKKAEAEAKKRREEEAKRRREEKGKAVEDP TKPPTAHNAYAEALKKKQKEAREERQRILKQIEDDKAERKARQEALEAERKAAAEAST VPLAPASQLLPRTNRVSSHAAIQVRLFDGSTLRSRFSSTDTLKDVRQFVTENRQDGKE PFNFKILLTPLPSKTVDVTEEEKTLQELELTPSATLILLPVPKYSSAYSRSSGSSAAS TTSAGERGGIFQRFIGFILFVASLIGGFFSTLFSTSGPVGGEQESDGDDTPRQQSPNR GGRQGQAAGGDRRIGGLESVRRRGEQQFYNGNSTNFEPRPDDEE QC762_119110 MAKSHTVLVAARRVHLDFIPTIRTAAISFPADNASQPQTSPPQH HKPRIHIQLVESPPPSKPKTKMPRQSRGPARAPARPTVPARSAPAPTQQQQTRPATTY AGPQTGAPNAAAPTAGAPTSQGPGLMAQMASTAAGVAIGSSVGHVIGGGISSLFGGGS SAAAADPVDQANSAASQQNQTWGDNCKGATTQFTKCMDDNQGNMQICGWYLEQLKACQ QAASQY QC762_119120 MDVSDVTPELEQLELDLNTLQEVLQPLLSDVGDVSSKMPLLDKA KLYVLVCYAIESLIFSSLRLNGADAKSHPVFTELTRVRQYFEKIQKLESPPEERENTV NTEAVARFVRNDLADNKDIKNKLTELIAKEKAKAEAKAATAAAEKKRPAEDSTSEVRP EEAGNKIAKRPKRDGSKKKK QC762_119130 MGDRRRPGATTGAGSAAGVHIQIQEPPERRPLLPHRATFPHRTD EQVFSCFSYNTSTHKHLPVYNNIHRIRRDIISVVEDYLSLDQLRDVRINISVIRPLVD KLYEQDDISIVYCLLVNRAQFLNEQKHLSNRQNVNSTRAMLCELIATRILRRFNEDND GPDNLLVLAHILIAGFEPFQNAPEEIRREVQASTAWHKTLPALEVAILSEARIFLAST SCQKVVDAIYDGRVIYTPSSYMDLIADRYKQRPISLYNPREAPLLNQYRLLVPRTRNY LEIMQFFILLALYVVFMAERDPTQFSKLEICFTVYAMGWVLDQFATLLEHGWHVYAQN LWSFLDVGFAFIYWIYVFLRIYGWKTGNAEADQQALDVLAMGAPVLVPRLAFNLLSDN IVFLCLRSMMSDFALVTALAIWCFFGFLLSLMWLGNDAYSPFVISKWMIYIWFGLDGS GIHHSIEFHKILGPALMVAFAFLGNTLFLTILVSMLSTTFGTIVTNAPAEIQFRRAVL TLEGVKGDAIFAYQPPFNLIAIFILVPLKFLASPRWFHKIHVASVRLLNLPLLLFIAV AERRALWPGTPGGPPTQLTSFVKAQKKSGLHFWERWRITSHSDISTVFEVPPPESVLE QITTDDDLTRHLIRRQFARGNSHIDSVASEARKQAAQTAAATTAVATAAGGAAPGGPK PLSRRDSIAPFPGLRAELQEVLSESDEMSNITARLEALEESTQRIEELLERLVGVKQG KSPRIEQEDDGVSEAGSPSGSRKESVAVSSEHDPGESPWI QC762_119140 MLPTPSTSHVPYTLVYEPAEDSFLLLDTLSSPTSLTFHTSHFPP SSPTPLVLEIGPGSGVVLAFLTAHANHIFSRPDILTLGIDINSFACASTAKTVSLASQ DHPTTSGEFLSAVQGDLTSCLRGRQVDVLVFNPPYVPTEDLPALPERLREKKEGKVTF EEESKLLELSYAGGKDGMETTDRVIDSLGDVLSERGVAYLLLCAGNKPEVVKQRIREM DNAGEGRRWKAETVGTSGRQAGWEKLQIVRIWRE QC762_119150 MKLVRFLMKCQNESVTIELKGGTIISGTIASVSPQMNTALRNVK MTPRGQEMIQLETLNVRGSTIRYYILPDSLPLDSLLIDDSSKPKNKARKEVDRGGARG GGRGGRGMRGGRGGRGGRGRGRG QC762_119160 MASFFQNLWESIFTPGPTPTLLVATNVTFAALQVVLASMLLATY SIHFIILSGICAGLWMSINWFAGELIIHQIQEEEKARKAKAAQIPTSSDDSETEVEAS KSTASLGKGKKPTPEAAAVSTVVEPAENQGELKLRVPAEEPGSSQGLKSGVSTEDEWE KVSENENEKEK QC762_119170 MDTPIEAPSGTPQGNSTQVVTNYIREKDQERNVTPRQDRPLTLL ELPVDILQLIVKEITHTNDLTALALTNSALHGLATPLIYSRFDIVWPDGHITATESKS VDALTYGLKTLCLGSAFARTMRREFPRNVRQLAKFKGNEYAQYIKKFSLGNGPADWVS EYMISKESGTMLGTMVALAIAKMKNLETFIWDMPTGVLSDIFMALASLAEQPDRDCKL SRVWVRWHDNSEQSGNSASQNGNPVAATTALVPQGSHVTTVGIMLPENAAHPSPRPPV SYANYHCEYPTFSVLPPLTSLTVLDIDEVAYLDEMAILIERSKDTLQELRVGISVNAV HKDFAQAWDGPGLRQVDHKAQFPGGSTIGERRLGGVIGVLVGKIYDIRQHRSLRGKMK LVAVAGSATENSASPTTPVSTASSQSPLTGNSSPNSASGPSQTQQFEDDTAADKGQPN ASDKKKDGLKGGRGSKPIAVPKKVLDGKLKLQTLELERVTLSLHVCRQALDWTVLTNL TLLDCAQHENLWKMLRKNFQPTSLNNGISLSPNGSRIAASNAPLQYHLALKSIHTDAT TLALVNFIRETLAPNTLEVLFLQDRRRSSSPPIPLAEVFKGCLRRHPQSLRKLLLDSS ANPPANPNAANNDNTRWRSWALSTEVVQYLTSGRMVNLRELAVSLEYKDWHTFLQRLF NLQQLRSLNIAHVADYPGGKLEPRELAHQIADIITLRLEIRLCYVGIGSKCFEMLESR DTGSSSAADSSGGTTTPDVSAPPATANPFTGQMNGIFSNNGFNNINAGATSANGVDLG DAEDTSEDENDHQSDNSDEHSENDEDEGELEEEDEDDDNTPTTATSDPEETQSEDDGD EDDDQTTGTGIGGTTAGTNSNNANNNNNNNNNNNNNSADLVDEYDDGWVEPGANSVKL RLREILFYDDKVAIFKARHGKL QC762_119180 MAKEKKYNPVQAQHKADKAKAVKKGKAEQQARRNEKLAQRNPAR IEQQINDLKAIKEGGGKLTALEEQSLEALEKDLKAVKKAREALGDRAPQFGRGGPSKP GQRNDGVLGKRRRDEDESSDDSDVPEDVRRIPMPRDTPPPIPKEIMDEWYAKRRAKRN ANQEPVAERAQSENSATPAPVVESKTVYEAKPVVRDLRKEAVSAFVPTHVRMKIEKGK GQGGLLEPEEADQLEREGYLRAPDTQQSAPSKVPHGVTLEEVEDEDN QC762_119190 MASFMANLFPGGKQDNRPSTPIKTNFTTPVCTPQGSPSKRTVPP GAHDLPTAAFDGLKLAAPPGMGILDSPVKLGRPQSVAAPLSPGKSNVQHFEEGPSAVE HSLVHKNATQGGSPLRSHMQENTPPISLRDPFQEPTYQPSHAALSRQELYQPRERERP QGTVKKFNTTRGLTAEEREILSKPNVKRLVNVTQLYFLDYYFDLLTYVGQRQNRLNAF KAEYPEPPETDPETYKQMWSKYAGRERANLRKRRVRLRQGDFQILTQVGQGGYGQVFL AQKKDTKEVCALKVMSKKLLFKLDEIRHVLTERDILTTAKSEWLVRLLYSFQDDKNIY LAMEYVPGGDFRTLLNNTGVLSNRHARFYIAEMFCSVDALHQLGYIHRDLKPENFLVD STGHVKLTDFGLAAGFLAPAKIESMRIRLEKASETSVPFGKPMDQRTVAERREGYRSM RDKDVNYAKSIVGSPDYMAPEVLRGEEYDFTVDYWSLGCMLFEALTGFPPFAGSNADE TWRNLKHWREVLKRPVWEDPSYFLSNRTWNFITTCINSRSKRFSNIKDIQNHPYFAEV DWEILRETKAPFVPELDSETDAGYFDDFSNEADMAKYKEVHDKQQALEGMADRDDEMS KSLFVGFTFRHRKPANEDGSSPRKKIPFEEDAAPSFGTML QC762_119200 MRPSTMTAFLAPAAANAQWYGGAPECAQSCMSSLWDADSTWPAP TSYCAEPTQAAPLISCISTACSASPTAVTSYSSLSASLCAQWASCSAAGSTGVLTVSA PAFTGAWGSGRGSNAWGGDGEWTKTWPGGVYTVTGCEWNGNPWAGGPGGWGPGGEAGG SPWGPWGKGWRWSTETQTVTRVFTGVDNGVTSFSTSIGLATVALAVSGDSTTTSFLAQ ATGNAAAEGSKVDSGVRIMGAVLGGVVAVAGLL QC762_119210 MAASTAMPFSTMSPLSSMNPTSTEHDWRFPRRPQDAAHNQRSKA DRVTANAHPGQPTTGQRIATTATSSARPRYPALPFDAPVPYNGPYHGLLQTAAFPPFE RTSPNVVQGFDEMQREDPLATQIWKLFARTKQLLPNRDRMENLTWRAMHIKLQKAKQA EEAKRERSRAAALNAPSGIAQQLRQSSDHDAMNLDEYINHEFVGTPSGMALTPGSESA RQADERSSYATASAIPIKSRRDAAQPMIPQSVPVAAHQRVPEEFGYLPRQVRKTSIDE TSRSNRKRPANFSPHVSAMNSGFGTGGLDADQYSLDNNNSQQNTMAQANNQPGVPFPL DTFQLDNDPIITSAGPFQPNFTFSPSTSPMVAHDHFSVYNGNTMQPSSLAGADFYSPP GSAYQSAVSTPHPLGEGGEGFYFASMDMRQRQQPYRPGPSGMNNTLSQQFSYPNSGNM MFAATTSSADPTSAFTAPSSFGHIDPSTVFGQDHAARSPGVGLGQDPPMFFGGAESDD EEGGVFADRNLSMTIEDSFESSSSSLAWDPTLPGNFSTQAARYPAGPPRKHVAIGGTT TEFVDANGDYGLARSHSQSFRTTNGRQIKMPRTASTPGLANMAHSFGQSNPNSPPGDA MFTAGLSSVAASRPSSPPPGSMHGSTTNLQGAGGNQGDSSAPTMCTNCATTTTPLWRR NPEGQPLCNACGLFLKLHGVVRPLSLKTDVIKKRNRGSGSSLPVSGTSTRSKKNAASS SSSNLSGATGRKNSTLSITSNANPPPTQVSTPPAAQHRSNSVHDGESPASGPASGGNT AGSTPTSYHGSAGSTSGVVGGKGVIPIAAAPPKNTPGPGAVSLTRAATLGSKRQRRHS RSAADQPSSSMDIDSPENSTGSNEAARSVGSSSGYSSAHATNSMGFTNGSSFGVSQRP TGGSGSRGAPGNQSSTMLSGSGTGGQPQEWEWLTMSL QC762_119220 MAETLMEAPRSLLIQLRLAIQEKTSLDISESAWSIVWSGIALFA VWYMAVRENDKPILYRVPSPKVPENAEILEEPAIKVSGSTAVQCYAPATGQFLGFANP SSSNAIDRAIEQAKAAQEQWATTSFRERRAVLRTLLQHVLDNQEEICRVACLDSGKSM VDAQLGEILVTAEKLEWTIKHGEKALRPSRRPTNLLMSYKRNTVYHEPLGVVAALVSW NYPFHNFIGPVISALFSGNGIVVKVSEQTAWSSGYFTSIARGALVAHGHNPSLIQTVV CWPQTASHLTSHPGISHITFIGSRPVCHKVAASAAKALIPVVAELGGKDASIILDSLP DRDVPRVVETLLRGSFQAAGQNCIGIERIIATPGIYDRLVSLLEPRVKSLRLGQDKDV GAMISDSSFSRLESLISEAVSKGARLLAGGRRYTHPDHPKGHYFTPTLLVDVTPDMAI ANEECFAPVMTVMKTPSDRVEDILSVANAPDFGLGSSVFGGETDPRIPVIVKKIKAGM IAVNDFGATYAVQLPFGGVAGSGYGRFAGEEGLRGLCNVKSVCEDRFGAWWWFGGVRT SIPPAMRYPVNDQERSSRFARGVVEMGYAPGWGRKLAKGLGNIMGNM QC762_119230 MASPPMAEEGNDNLGPEISMQRTRWATRKMTVKSGTKKRLSLIG RKQHNRTASEKKRASGGAGSLQQPEEAVPEEEEVETQSESAHDEGGPAPRQLYFGQPL PRELQDEEGKPARQFTRNKIRTAKYTPLSFIPKNLFFQFHNVANIFFLFIVILVIFPI FGGVNPGLNAVPLIFIICVTAVKDAIEDYRRTILDNELNNAPVHRLLGVENVNVEEDN VSLWRRIKKATSRFFGALWRAIEGLWKKDEHAAEEKSKSVDPRMSIETRTTPWEAVAS PMSRNSFVSAREEIQMTPVPSPLPRNHEEAPSTSTAVENEGLLLQALKGDMINPDVPI SGKARFHRDAWKSLVVGDFVRIYNDDELPADIIILATSDPDGACYVETKNLDGETNLK VRSALRCGRTLKHARDCERARFVIESEPPQANLYKYNGTIKWQQKVPWDPKGEPREMS EPISIDNMLLRGCNLRNTEWALGIVVFTGHDTKIMMNAGITPSKRARIARELNFNVIC NLGILVAICLVAAFVNGVTWAKDDASLAWFEYGSIGSTPELTGFITFWAAVIVFQNLI PISLYISLEIVRTLQAYFIYSDIGMYYDKIDQPCIPKSWNISDDVGQIEYIFSDKTGT LTQNVMEFKKATINGQPYGEAYTEAQAGMNKRMGIDVENEAKVIRAEIAAAKVRALEG LRSLHENPYLHDEDLTFIAPDFVEDLAGKNGREQQEANAHFMLALALCHTVIAEKVPG NPPKMEFKAQSPDEAALVATARDMGFTVLGSANDGINVNVMGEDRHYPVLNTIEFNSS RKRMSAIVRMPDGKIILFCKGADSIIYSRLKKGEQQELRKETAKHLEMFAIEGLRTLC IAQKELSEEEYREWRKEHDLAATALENREDRLEEVADKIERDLTLLGGTAIEDRLQDG VPDTIALLGDAGIKLWVLTGDKVETAINIGFSCNLLHNDMDLLRIQVNEDESGMSSEE DYLTHAEEQLDNGLAKFQMTGSDEELKMAKKDHEPPAATHGLVIDGFTLRWVLSDALK QKFLLLCKQCKSVLCCRVSPAQKAAVVAMVKNGLDVMTLSIGDGANDVAMIQEADVGV GIAGVEGRQAVMSSDYAIGQFRFLQRLVLVHGRWSYRRLAESISNFFYKNMIWTFAIF WFQIYCNFDIAYIYEYTYILMFNLFFTSVPVILMGVLDQDVSDTVSLVVPQLYRRGIE RKEWTQTKFWAYMADGVYQSAACFFIPFVFVTLTATAAGNGLDIAERTRLGCYIAHPA VFTINAYILINTYRWDWLTLLAIVISDIFIFFWTGVYTASTYAVTFYQAAPQVYQELT FWMCFIVTPAICLLPRLVVKCIQKQTFPYDVDIIREQAKQGLFDDPQAVAAAAAASEG LEGTSTGSSASSGKMSGRSRKSKHAQYASVDEDRRPIYPPSIAATHNTRAQNGSDGTN YIMQNRQSMDLPEAEPVDSIQREITGPGRPSIDRARPSYDRVRRSIDRVRPSFEASND FTSAARLSRIESSHSAQGLPGQRRFNLTTVRKRGLSAFSKQSIE QC762_119240 MSSNTFITSFFKPKVEDPSSPPQQPQIEKPSSPPPPPPPQSPSP PPPAPPSALRSPSSSPLPPSSTLRSFSSSLSPVRKPRDRNEVIKGSDDEEDDSDDSDA DAFPDIFTSLPTSAPAPASTLLATPKAKRIAVGVCSSPLTINTKHRYDIKALLEHAEA DRKLNESQQRLAAAMAQGSPTRKDRSSFSARPITGNRDAMEAILPDLDSSEDEAKRGR RLLAVKRTEALEVRPEWYFFEEETQVDDGTSITARANFPEARATGSWSFLAQEKGRRE ILEDGLPYYIQSKQGDLPDDIFLWVLDEVLHEKSRKLREEYLRLLGVCPAQAARVMDP DLIVQMFRDLGASKHALAPASQQDQGNWLRKKPYSGRDWTPLQTVLRILSKTAEGLSV PSLTQAMVILLRLGIDNIVRDDPGVAQDFQDCLPRIVQAVPREAWNNFCGDVTDSLYS HTHSPALRSLAISSLPFTHPRLNELRRRLALTFLFNEPQRARSRPEETFSIQSVLDLL EHSEHFIIDRHSKEFYNLRAMSEMVAVAVADGCPPQDGTNEAAHRQFNAEVDLLARHV RNMYSQIPDGSAAHSSKWEAKAQLKDFEAMLLRVIRTRAKPVGHIFRSEDEEDQDKKP VNQSSLTEWMLRRKNKAKTEPSTP QC762_119250 MSSIHALSDDQVGQELRKMTAFIKQEAEEKAREIEIKANEEFSM EKGKLVLQETDAIDAAYAKKFKQATMSQQITRSKVANKTRLRVLGARQELLDDIFQAA EKRLSEGTEDKGRYEGILKDLILEGFYAMNEPELQIRARKADYELVKNAIEKAEGEHK EKTGREVKATIDEENEVAEGSAGGVIIVGGNGKIDIDNTLEARLDLLKESALPAMRKA LFGENPNRKFFD QC762_119260 MGLIGGLSPGGSIALGIIVGLLSTSVQSLGLTLQRKSHILEDEK GPYDVRRPPYRRRRWQLGMGMFIISNVLGSSIQISMLPLPVLSTLQASGLVFNSICAT LILGEPFTRWSLWGTLLVCSGAVLIAIFGAIPSPTHTLTELLDLLGRRPFVIWMSFQA VIVIAIAVATEFVSHFTTWMQDTRFRLARGFAYGCISGILSAHSLLVAKSAVELIIRT IVDGDNQFVHWQSWMLVLGLVTLALSQLYYLHRGLKLVSTSVLYPLIFCVYNIIAILD GLIYFRQTDLIGPLRACLIALGTAILLSGVLALSWRLSDEQHTPGVGQSSLAPGLGLV EDTDGEEEEEELLLHSALGDEEEARPLPPPHYSSYQTFKPARTSLETPTEQPPVSPLV MPKRQRPTARSMRTVSNRWTERAEIWDELEDRESPEPMPPALNRRRSTTLPARETIAA RQAIRRGVTDFPILGDAPADTEPLLPSSASPRRDFRRRRKSTGFPGFTARRNSSRKTS GGGLQDAVGNLWKMRWWKGSGSRSASTTPILPTTEDSATWGGGAGESYRDTPVETYRD EESVSRDPRAGRSRSESGARRLVGDQDEPPPPPV QC762_119270 MVNKVQAAYVVADGLFLLMGIFIIGFSVIVGNIRDEIPENGRQA ARNLLYQRFPLTAGIVNAVFIFLTFMVTLPGLATQSRGWLKLGAYMTTFCGLFSMILG LFLWILTLKTREDFAPLYWAQPANVQQLMQAEFNCCGYFNSTSPAFVTDATCSSPAAA ALVRGCATPITSFANIFLDNIFTAMFGMVGIDFVFVMATACLLKDRKERERFRHIDEK SGYGRI QC762_119280 MADITDQHDQTTPTELDDVHSVGNGNSVAGESRGIKRARPSTAD DDDDDDEKGGRERRKIEIKFISDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVV SETGLVYTFTTPKLQPLVTKSEGKNLIQACLNAPEPSSSAENGVDDANAVDSPEEPPS SHLPPQQGRPGMPQPHAMPPNYMPVGGMDPSAMAYQNYVSQQRGGQYMPQSGLQQHAG HQS QC762_119290 MDPLLYARHGAESHSGHTTTNTAAASTSPDGSMGMDTHMSMMAI FQNSMSTSLFSTKWTPTNAGAYAGTCIFLIILAVIFRGLLAFKSWQELRWLDKEMNRR YVVVNGKAPLAENLSRDSLGKAAVLSENGVEENVVIVQRRTGSHARPWRLSVDPVRAA IDTVVAGVGYLLMLAVMSMNVGYFLSVLGGTFLGSLLVGRFISSTEH QC762_119300 MPPPPPLPPPPPPPPRMSHPESGDEEDSLSPPPINAARREGAPN LPSVSGMYSHSDLSRAAVADGTSPSSGINGSSSQQSPAGGSSFYGHGSWATPVPPGSY GYSGNNSTSGAFQRPMPFNFASPLPQYHGRTSSSPANGGALPSVSAYQGHPPFSSSAG GGGGGGSGVNGGGGLGTNGAGGNNAGANGSAGSLVLYGSSMPSHSQESPSPSVLALPE LAGQGQAAPQSSTNRGSPPVGSEYRSPSAPYYPTASSPQQGTFPYASQSGPSPTATGG PLPGRNPLGPLMPGMHSPIYAGSHRPHHQPPATYPPYPSMQGSMMTNLHQPNQPMVVY GHVSHPYPIHNYAGYHHPQAPLQDRPFKCDQCNHSFNRNHDLKRHSRIHLAVKPFPCD NCERTFSRKDALKRHMLVKGCLSKDKGKGKGRDRDKDKDRDNAAAPRNANAQGESPPT SDGDSSSPTALRKRQ QC762_119305 MSRGGEAERPGGPAGVRRAARYHRVGSYVDVFLHPSQPPHLGL QC762_119310 MPSTESILQGVNVLGPVSESQKKILTPEALAFLALLQRSFNSTR KALLERRKIRQAELDKGALPDFLPETRHIRENPTWKGAPPAPGLVDRRVEITGPTDRK MVVNALNADVWTYMADFEDSSAPTWDNMINGQVNLYDANRRQVDFKIGNKEYKLRTDK KLPTLIVRPRGWHLEEKHVTVDGEPMSGSLFDFGLYFFHNAFQTVKMGFGPYFYLPKM ESHLEARLWNDVFNLAQDYIGMPRGTIRGTVLIETILAAFEMDEIIYELRDHSSGLNC GRWDYIFSVIKKFRQNSNFVLPDRSAVTMTVPFMDAYVKLLIQTCHKRGVHAMGGMAA QIPIKDDPKANEAAMEGVRADKLREVKAGHDGTWVAHPALAGIAVDIFNKHMPTPNQL FVRREDVTIGQNDLLNMNVPGQITEAGIRKNLNIGLGYMEAWIRGVGCVPINYLMEDA ATAEVSRSQLWQWVRHGVTTAEGKKVDKQYALKLLKEQTQELAGKAPQGNKYGLAAQY FSGQVTGEDYADFLTSLLYNEITSAGPARAAAKL QC762_0019390 MSGFGCFWRDVIVLTAHHQNSKRLLSPHAGAFFSGFLNNFAHLA LITSLYRGRHDNWFIYRFASLF QC762_119320 MCRTGADGWVDRLGQPSCWEKVVAGRKKRRERVFPSQLFGTSFS CHLIPSFSQQLTLPLVSLLFFGRRSYLIHKIQGYGLFVRRLFFFPSMLRSVRIAGPAA RASLGVPRTASRSLSTCLKATAGACNAPISSLSNSQTANPAIRHQRTFHSSAARMSAN TRTESDAFGEIQVPADKYWGAQTERSLENFKINQPQDRMPAPIVKAFGILKGAAATVN MRYGLDPEIGKAIQQAAKEVADLKLLDHFPLVVWQTGSGTQSNMNANEVISNRAIEIL GGKMGSKKPVHPNDHVNRSASSNDTFPTVMHIAAVLEFENTLLPALKSLRDALQAKVE EFEAKKIIKIGRTHLQDATPLTLAQEFGGYVAQLDFGIKRVESSLPDLRLLAQGGTAV GTGINTFEGFAEAIAEEVSKMTGTEFKTAPNKFEALAAHDAIVQAHGSLNTLAASLSK IAQDIRYLGSGPRCGLGELNLPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNQVACT IGGMNGQFELNVYKPLIIRNLLHSIRILADGMRSFEKNLVVGLSANEKKIASIMKESL MLVTCLNPKIGYDMASKVAKNAHKKGLTLKESAMELNALTEEEFDTLVRPELMVGPSP YKG QC762_119330 MDRHSNSRHGRQPLADTTKRVNNTTVVSTARSHHKGNDENYVLR SKKVVRGSSTSVVAGTSHQVERQTKGTSDRPVAVERPAPVNQHLSAVSQEVDLEAARR ISQFSNVSSNASTTRQLKTHIGPWQLGKTLGKGTSARVRLARHRVTGQLVAIKILSKS TAFINQSGSLANLDRLEYRTPQTDAEGGLRRMPIAIEREIAVLKLIEHPNIIKLLDIW ENRSEIYMVTEFVEKGDMFEFIRSVGALREWEVVFYFRQIMSALDYCHSLNICHRDLK PENILLHSSGQVKIADFGMAALQQSQHHQLTTACGSPHYAAPELLRHQAYKGSAVDIW SMGVILFVMLAGYLPFDDDDLGVMIQKAKRAEYRMPPHLSREAQDLIRRMLVPQPANR ITMAQMWQHPLILKYPDIPQCFEWEQRQQSGLQKRNVSSIPEAEVDIQILRQLKALWH AYPEAELKLKLAQEKPNDQKLFYHLLYNHREVQLENYNNNVPISKSDYHHLKPPNWGK RISTCEFTQPGRYGQKRAVSKFTVISNVPGKADKDETGTIRSYDPYNASRVFHSVPYA SHAKIIVHRNGSQDGIGRSPTTVSHSYRSYRSKGGSVRHQLRNPSQRTATTAGRLRTP RGSMGSIHSHHSTPRVRVSSRLSRRGVDFSAVRKGQKYQGSRHGSVAAPASIAGDNTT YDRDAYSPRKATKTPGPMTTVSMADVNNNKKEERVLWGEELKQFHTSIARDIDEAFGS SLLVSAPSETLLQSREGSHLSFSLADSSFAQMSQSSLAGPRSFASNQREYSRPLPPVP SRSTVSPLSIRKQSLEVAPVTHKVSLLDPGSSIHLPDRRVVSDPIHNRSVKTVNPLPS IYESSPEAVPAETPARVKNRGLDYLSRAENTIRVVNSPTAVEGGDPAAVPRPLNVRKL SLHPTKNERPTTVQESRRHASYSGHQPTRSVDNTENGVGAQPKNRVSSWFKRASKDGN SPAVTPTTGTFPQHGEEYAGSEASGPSRPVSYSIDEPAASRAQKKKAFGLSFWKSNKD GPKMSIGDSEFEDVHVHEDGRSQKRSKEKHNSMAAQSVWSESDGGGRKIEVQQNWLAR LFRVKPAMRYLCFAIPKRRARQEMAILLRDWRKYGIKDIEVDKERNIIFARVAAKNYL NLKEVSFAIELMTVIEHGKRNQLCIARFTQEKGAASSFHKVVEAISTAFDNRALMVTD KRKISMMIKTLNS QC762_119340 MAPTTSLKRAAPDDADDYSFLRRRGGDGPRAYHDNDTTANESAS TFQPGAIVRVKLRNFVTYSEAEFSLGPNLNMVIGPNGTGKSSLVCAICLGLGYPPNVL GRASAFGDFVKHGNDEAELEVELQRKAEDAENYVIGLVIRREDNSRKFTINGSRSTLK DVQKLMRSLRIQIDNLCQFLPQDKVAEFAGLTPVELLEKTLHAAAPQDMIVWQQELKQ GFKEQKEVQSITDKTREDVKRMEDKQRLLQADVDKLRERKAIQEALIKLKQLRLIAQY QEAREHFNDARMRKKESQRAYKRLERSVAPALEAVNRKQDYSRKVRGVVTLRRRELEQ AVAEADKALGPVESASGKMTQIENAIRTEEENFKDKRKRMGALRKEITDLKAKYAQEP KEFKAGEWNLQIRELDHQKREKQQKALDLVPERDAIKTEGYGLRQQKMALVQELEALD SQEGQLLNQLKNMNPDAAAGWAWLKDNQGGFEKEVFGPPMLTCSVKDKRYKDLVQSML QGSDFFCFTTQTRADHKKLSDQLYGTLGLSVTVRTCFSSLDSFKPPMPQEQLSRYGFD GYVLDFLDGPAPVLAMLCAEKRLHQSALSLKEISDDQFEQIQKDELINYFSAGRKFYR IMRRREYGPGAVSTRVTGFSEGRFWTDQPVDGSEKLEIRRKIEEIDGKTDELLTKLKE VAEKHKTLTAEIKELEEKKSDLMAQKNQLQAEFTQWNGLPDKIDAKEKVQERTQKELD EAKARRVDLMRQLDDATVDMSKAVIKHFETLGAIRKMRQKLLEAQVWELEAESDVKCL KDKNAEITARLEDEKAKVEQAKKDVEEFRQTAGEAQDKVSEFLNDENRVALLAAARDR TVAEFEGLITAEQAKLEMIEAGNPQALEEYELYAQKIEQIRHAAENQETRLAQLNARI EEIQSQWEPRLDELVGQINDAFSYNFEQISCAGEVGVHKDADFDKWAIDIKVRFRQGE TLQRLDQHRQSGGERAVSTIFYLMALQALAQAPFRVVDEINQGMDPRNERMVHERMVE VACREHTSQYFLITPKLLSELRYDPRMMVHVIVSGERVDETSTTKMNFGKFVQIQKRL KAQAGRL QC762_119345 MGAVVSCIQSALRTIGRTIMAIINGIGNIIMAIVNGIINFLGII VGFLTCNTCGGRRRHGGTTTRKSRGFGRRRHGTTAAI QC762_119350 MSSASTPQPVQPVQPVKLSLPLVYQQKLFEELRKEDELVVLARG LGLMRLITNLLHSYDAAGNNLIVIVNAEDRENAWIGEALAEHAAISMSPKARGLTVVN TDYTSVGAREKMYAGGGIFSVTSRILVVDMLTGLLNAETITGMLVLHADKAVATSLEA FIIRIYRQKNKVGFLKAFSDNPDPFTTGFSPLATMMRNLFLRKASLWPRFHVHVAQAL EGKKKAEVIELEVPMTNAMREIQVAIMECVEVSIHELKKGNTGLEMEDWNLDSALLKN FDVLVRRQLDPNWHRVSWKTKQIVGDLTVLRGMLHSVLALDAVSFLQQLDTIHAAHSP PPGSTRQTQSPWLFLDAAQTIFDTAKKRVYASTQKAKADSSIDSLQPVLEEQPKWSVL ADVLEEIDRDLFFEQQARDDSNGTILIMCSDTNTCRQLRDYLQTMHVKPRTEKRIEEV YDPEEDKPSAAYMMRKKLRNYLKWKREFAQVSATLFAENQKALSGAVDPRLAQSKSRA PSHKRRRVRGGGAVGSGSSRLENGSIPQCFEKPNEVAELMGQIDITEAEANQKDDLVM ADPLEDMDDYYQLYDMQDLVVIHAYDGDQDEHVLEEVKPKYIIMYEPDASFIRRVEVY RSSHNDRNVRVYFLYYGGSVEEQRYLSTVRREKDNFTKLIKERASMSLVMTVDSSTED PQEAFLRTINTRIAGGGKLSTATAEPPRVVVDVREFRSSLPSLLHGRSMVIVPCMLTV GDYILSPNICIERKSVSDLISSFSNGRLYSQCETMFQHYQNPMLLIEFDQNKSFTLEP FADLSGSISSLNSANAGEKDLQSKIVLLTLAFPKLRIIWSSSPYETAEIFERLKSQEK EPDPIAAVRAGLNDGEDVEGSQQGQGAAFNPEPGEMLAAVPGVTPKNIGSITAKMENI KEVANATVEELSALVGKEAGRQIERFFGRDLLEDS QC762_119355 MSESNKKFSIQPIDEQEQDKGTFQKLSEETKKVLEAHSANPGPV ISDNFNAQEEGTKEERHEKAKDLNN QC762_119360 MPSILNDDDKETVKRHVPKQTNKIHAVAIARLYVAYPNRSKWTY TGLQGAVVLANDLVGNTYWLKMVDISPGNRGVIWDQEIFDTWSYNQDRVFFHTFELEE CLAGLSFVDEKEAKQFLKKMNDREKNASKATLKTPFGGSAQAAPHKHHHGLLGGLFGH RHSSAPTPPESPRAPAASTRDNRSGSVNGYNAPPVLAPAPSAFATLDAFDPLWREHFG DDLKAQGLTDDFIQDNQDFIIDFLKEQQASQASQPVSAPPPPPPPVNGSGAGLRAPPP PPPPAGRPEAPSAPPAPPAPRRGAAPPPPPAPRRSANKLDAPAEREPTPPREDTPPLP SRPKFAVPPPIADAGKFARIEPVRSVPAPPAPGPPPPPRPPKTPIEDGEPSHRHAVPP PFAGQRSVPPPPPSRGTVPPAPPSRNAAPVHAPPPPLPPKAPASSAPPLPPMNSRPPP SLPVRSPAPPLPSSNAPPPPPLPASNAPPPPPLPSSHAPPPPPLPSSHAPPPPPLPAS SAPPAPPLPPSGGAPPPPPPPPPPPGPGMSGIPPTSPPPPPAGGIPPPPPPPPPPNRD SGYSSSVPAAPALSSGDPGRGALLDGIRGAGGIKALKKVDRSQIRDRSGAQVPGNDTG PHGSGLPPAGVGAAAAGGAAGGGMADALALALEKRKNKVSKSDDEDDGDDWD QC762_119370 MPKNKGKGGKNRRRGKNENDNEKRELTFKEDGQEYAQVLKMLGN GRLEAMCFDGVKRLGLIRGKLRKKIWINNGDIILVSLREYQDEKGDVILKYSADEARS LKAYGELPDTAKINETDTFGPGEDGDCGFEFDEDRDSDDEGGAAGASKDIEIDDI QC762_119380 MERAMCRAASTHKALIPPLLSKAYNTRPGAKSWKPSVFKQANLP MALSWDPSQPVKDFAAAHKIESSEAVKTIPKQTRLTILRVQACRRHAFDHQHEPYLQP QEHPLTKKILWRCYEWKLNRPLWLYATATTNDGSTVVMRRQAECRTLAAIKAVIKANG FDSDGTALDGSGRVLYGTINLVIWSPKTLLNFEWNDLVEYLAGLVKTQILPSYVKMPG YPRMDSQRPQTQVPKPQTQRPNSQRPRGRKPEGFTIV QC762_119390 MLVSLTVGKVDAGVTVLLTPDKRLIEFPSILLPPDISSGSIVDI NVARNKASEAHAERAFRSLQDSILSSFGADAPSPPVLRCRNATQTSVVLEWDPIHLAT ADLISLALYRNGQKAGNIPKPLEMHSTKISGLAVDTEYTFHLVLRTTAGTSASQRVTV RTHKMTDLSGITITLGILPAVVKEGLTAAVERIGAKIVDGVRIDTTHFVTTEGRGIQW EKANEMNIPVVRPEWVDACERGGRILGVTKFYLDAARVGPGSYETPLSATAPSPVPKQ PEPQQQKDLPPQPPAAEPVVATTGAPSGEEAKEQGGGIESGSSDGEEDQEGDDGKDEE NDAEPGYKALSGEQDQKELPSHPKQPTVEEGDNDDDDDDDDDDDDDDDDDDGSNKGED RKGSSSADEKGGEKDAGKKSPGDGASFQDVAL QC762_119400 MSKPWIFLTPSTRGISHSLLHHLLRTTPSTIPILATTRSPNLPP SYPESDRLHVVNLDVKDESAIQSAAEKARELFPPKTHHLHLALTLPGILLTPPEKSIS QITEPSLLETLRINTLGPVLLMKHFVPFLPKRSAPLEPTEGLPPHATWLSVSARVGSM TDNRLGGWYSYRLSKSALNSAVKTLDLELQQKSASKCIAVGYHPGTVKTDLSRGFWSG VPEANLFSPDDAAEKLWNVITSLNPSQRGKIWDWKGEEVPP QC762_0019510 MHEPKGLNVPKITLHGRAVPACLPGGPIKRRVDVVQQKFNLLDT FQLVNKLKQEVRDISLQAGNCQPPRHQHRGNMINNDRVQRCWNRRWADGCVVGLGGTE GAQSAGKAIAIPLRMGGDEG QC762_0019520 MGTHRLPRRLRALRASKTYDTAVGPPPIPAPLHTCSEARSFLTS CHPPVYHKMYLDCTPHDDSQESSSHRKYTWANWELDTLVLEDSLHTVVVDHIATMLMP RRLAVPCLKGYVSNFLFELIDKLKSVKEVELLLDDVDSPFNRSSWEAGWHSPAMECDF GHIETFWLVHDGGRRRWAKWDEWRAEKRWEFDEDDLDEALMETFKELRMTIPGWKPFG RPARDIDADIRFT QC762_0019530 MTPLPCSPRLWTVLALFTRLSKTQSSKKSKYIHEVALLKTDEEL ANHLRDIEVLPQIFLIRWIRLLFGREFPFEQTMILWDAIFAFDPNLEMIDLICVAMLL RIRWTLLEADYSVALQLLLKYPAPPPPHGPHTFVDDALYLQKHFDAAGGVALIAKYSG RLPAAASVASTATSTPARSSTPSFSGFGSLRQRTLGARSPLSSTTKLLQQPGGVEAIL RGAAKNVIEKSEKLGLNDAVRDAVGEIRRNMQGFQESRSLPRINRSLFPGNTLAVSIW EQRNRQLATMLEESITNLKQLVASDFEGDKQKQLETVELATAKIQYVKACLEDSTLDL PEEEPPTLATLSISTLPEIRSPTVALDTTPVVMTSSAVEEARSSLSSPASSDRSKQLS SVPEEPHAEPVAEEMVDKMDTDPPEREQTPPPPAPAPVPNPEPSTSVGQTPATSPTPK ERPKGPIPTRSTLAQSSFAWMLEPDTTISAARSPPSRPLSSSGMKKHNPSREKNAFLF GEVVPSDGAAGERIVSPDEIFGLQPIRKG QC762_0019540 MTISAAVAGGKPGMKLAGIDKTQSIREEQEVAEVIEVWKKQVGR LRGVVAAMNSAHHENLKIPELATNMAVTVAKNVPTAPKACVVCGLKRDERVAKVDYEV EDSFGEWWIEFWGHRQCANFWVEHEKELRQR QC762_0019550 MYWQNLNYPSPLFFCSEDFENVTHSISQGIPDLGKPQPTTPIQS VQSSLSTVPENLSDQKVLPKVHLFGS QC762_0019560 MPLEVIYVARHGFRSNWLVDHATGTYTATLRSPTGGAADPALTS HGVDQARELGERLLKAEPPIERVYSSLYYRCLQTVEPFVRKAIVTDKRLSIRGETGLG EWYGAANFEHPVPASHEILRPLFPGLLNEEYRPLVTPTRMGEGVDELHDRVARTMDEL IAQCDREGVRAVLLCSHAATIIALGRVLTGDMPESIDTEDFRAFTCGLSVYRRRASPA GTVFGTVKCDGDGIATSSGTSAAHAADRHKDLAWRGGRGVGGGWMCELNSDCSHLSLG EERGWRFSGDESFFGTASGQSMLDAGQLGVVVEGRGGESTNRGKL QC762_0019570 MAIIRIPSISELDFKPPATPFSTLGNGKLPSPPNLALSLTPPST PQTTMSRMDIIQKVRPSLSLDHITAQTRAPSDQQIPSIRSLMASVPAPSSRYPGGPFT APPSPSGSFFSVVSWNGSTSRSSLVERSWMSGQYSPPLSRRGSSDGESTTMTPSSGQS TPEFPIRSGRRNSSRIEPYSTKRVRGTDAVESSSATAGEAKKGKRSNQKYTTEQQDFI IYHREDLTKAWKDIERAYIHQWPAADPQDNRKITGVQCIFINLLVPLMNNTDEKLLVL DSPPFLTTNPAGADPKNSDLVLNEDYAEYVVYKGVPHRLEESKVRTYGRPRLLLERSP EELVEHRYDWLPKNYLDAAQELASKRDEQRWRWLQQYGPRPDAWIDSCEPIENRFKVA EGSHLYKMLPKSPAVQPTLEYACRPVRVAEGVNLYKMAREVVQPALDRQYHPGAHCQL IYQSHI QC762_119410 MSSENIGGIRVQRETVIVVEDSSHLRNRRGGSLETTIHSNELEE MDSQISQYSRPKTFRKLSNASSILTDIFRPDTDEDVIRRKIKRANDRDSSRALIEFLR NTSPPPQNYMSIPDTFESPPVTTKKRRPFWSFWKKKVKRGKKKKDGRPASGGVIRLPD TAVAGTTTGGYRHIAISIPIEYDHLDEPTQEPTPPPSDDERAGTVTILPPVEEEKRES SESVASGTTNDSTSANSEELFPMLPSPESPMERLSTDRVLSAAEPIAQGGTGSRTQSR PPSRMANSSVGAHSMITIPSPHELTPLPKGSISDFVPVDVVTVDTRPHTVMSNPRSLA DEHPAMGIKRDDTATAHTSNMFLRQHTLAAIQDPLDAEQSDREDIPSTRHCTPMSPHP LSFQSRDASIFPPLEPFSPLSPKPKPSCTFRITPIMTVVDVQPVSARQSPAPRLKEQR SLVSKRSTVSPLHSTPEIHITGSDTSPHSQHHGRKVRHMPSFSDMRSTTSIEHLILAR HSSFVPTVSTSTSYSTLPTRPPTACSFRRASPAAVPRSESHQNLLRQYEELRYTHNHE IELLVQRLDRLESVNNRWLNTLIPLIERLARRLPSSRSTLSTYKSTSDIASGDATPRV TTTTTTTTRRKHYSCPQHRNPCPQHHNPYPRHRISSEASTNDSDSLDYRHLSHSNPPI PAGYHSRYYEPPQTRNTSDVSSHYWDPSNDDLLLHSYSQGGQGVAVDRLPSRHAGVSL LGGDFGTMFTRRVREVEQRQEEERGGESGLGKREWPWPGMSQLSGMETLEPVMRGLVE EIGAGEEGELREVEDPLGGHR QC762_119420 MEGNLEQTIIEWHNDFEDFPPDAHLCCPKLNDDDLTDYENLDFP DEPDESGGGAVSVEEKKKRNEDYKHRLQISYNLSLLMGLPPERSSTWLPDWKERVETY LTRCDSCIRRWHRAREPFLQRLPECLRPEHIRVMETHLDDFDRVRIDRGLKEAREILE RNGPMTTTKLVQHSISAVLALFEALCSWKYLAFKDRIQDFDYVFENTQRKKPAKLPGG LLPSMTSFLFSESKYKRDFAEAAWDRREPESLKQDEWDWAVSPWLTESILWVSMSRGG NTPDKIPRFWRGFSKILRLLGEKQIVNWLRAMEVSPNIYFLALEHLMTDSDEALLSVL QGLQGLMEKAPKAFWAAFAQLSPSTLVEQIFMSPGFRPLLNNSLLPERMESGGDSGDK VPALAGWLMAFIRSLPQIQRSDACDTVLQHLLSTFRQDPSCDRSAHATCTLSGLVALH ECLKGFLDPENPFDTQTSLITVNQLLNKVLQYGEVIIGAGKLRPGDTYNIGLSKAGIA IIHSALALDARATEIEWKALVDRRPVQNIMNRDSGKLWESFLEMLWPSQLGHFELAEA MLQATLPLRAIEKFIPKRKEKLKKEHEVFNNRYQQQTAAIGKVLNRMTDFTQSELANL CSSYGRTIQAIVSSLIHGEEAIREAGFELIKAITDEMVRSDAIERMVERHFQPFVQAI TNAVETISAYKGEGAPWTHMIPILQCSDFVLNGLCDPSSGQLRRKTLTADERAVVKSW WRSVWRAIDHSFRMMRKWHEMVEKKVMEDFCRDVMELANKLFEQDGVLASALSHQESS ETGIPASDAMRDVLEPPRFYSFSLVDMLQLRDQYLISGIIRIVKQLITRMKQQDMALP PNTLLHLGKMIKDAKRSHGARPEYPTKTNLTDEQRIELLKALGEDAVIEEQFMGIKPG EKEARERALKQSKLDFFKATSKDYKDPLLSLTPTYDKNKSKLEQLKSEIVKPKQPTVL SPAAISAKQASLKEARAREKAEKAKRDAEAIAKARALRAPTKIVAGEGSGLQGIAGAK GKDHAPALKDEIMVGSSSEDEDESDDDDEVIVLKAKKANKTLSEADQRRLELLMAKNR GPVKKVKLMRSAKDMRARLIPPMDTLHLAILEWDIFHEGNDPPNGYRCAEVSNTYNDP VSYKQTFFPLLINEAWRSFVTAKDETTSKQFGIKVLSRMAVDKFVEVTASVPAAVSKD RGLSEGDIVLMSRGEDPLNQPEELHCLSRIWKTTYKKDIVEVVYRLNGRGNPIHQVLV PGSEFSVVKITNMTTIEREYAALESLQYYDLMDEVLKAEPSPMLNFGDQAIKGVMDNY QLNLGQAKAILNAKENDGFTLVQGPPGTGKTKTIVAMVGCLLSNSLKGSNGAVSISRP GLTAKPTTAPARKLLVCAPSNAAVDELVLRLKQGVKTMNGTHHKIEVLRLGRTDAINA GVKDVTLDEQVKARMEAVINSSGPSDREQMHKEAGEIKVKLSELRPQLDAAQAADDHQ LMNKLKREYDDLMKRRAHIGARIEADKSSGNTFQREVEIKRRQVQQEILDKAQVLCAT LSGSGHEMFKNLNVEFETVIIDEAAQCVELSALIPLKYGCSKCILVGDPKQLPPTVLS QSAARYGYDQSLFVRMQKNHAKDVHLLDMQYRMHPEISRFPSKEFYEGLLQDGADMAG LRLQPWHQSVYLGPYRFFDVKGSQSRGPKNQSLVNEEELKVAMKLYQRFRSDYSNVDA RGKIGIITPYKAQLHRLRQRFTDKYGEGITEEIEFNTTDAFQGRECEIIIFSCVRASP TGGIGFMTDIRRMNVGLTRAKSSLWILGDSRALQQGEYWNKLIEDAKERDRYTTGNIL AMLDSRGPQLPAAAFKQLGAPPPAPSRPRPTEDVEMPDAPSSNVEMPDAPPANLPYRR PSGPRDDMPPTIQPTVGSTGKKRARDSNDDGRPSKKIQQNANAARHNMNAAPPVMPRA ATVKPPIDPSAMEVLGLAPPERPPAAQPPRPQQWGQQPQVANGPGPGQGPRPNGPPNG QGQRPSGPPPGSRGPVPPARKPASDPFIRRKPGPRR QC762_119430 MRTRGAARRAAQAAAAAEGPLVPILQTTRETPTGAEDNAVIAGV SLLVPLAPPSNSVPAKSPAHSPAASSTEPITPPDIATPKRKFEATLAEPSATKTPAKR TRRTKKTAQPIKGGWVLPHGMGLVTQNPAVALDATEKTSVGSEPEPISEDNSADQLQT DEHPAVPDPEIALTPPTSPSASAQPSIKSPSERAAPRKAAGRKAAPRKAAPKTAARQK RIGYRVTKAKAPSKEDSKSETSSQLEVDVKQEVAVETASRITEAETVAGDPETVDQNL HVTHQEEIIGRVTRSRTAVTAQTVVKYEEKIPEQTLVVTKKEEVAEEKTSIVATTRKL IIFKGATLKDNLVTKSLGKIVVDASQILNPDYRTQVKRGQNNPYGLTPGFSPYPYRRV PSPEACEEVHRILTEMHGEVKQPDRIPTASLEIAGCGEVPCVLDALLRTLISGNTLMA MADAAIRNLGKDFGIRTEGSGAGSIDWEKVRVSSPQALVNSIRISGNGPKKAQHIKLI LDKVYEENLERMKQAGTAENTDKDGTPPDLLSLDYMHAMTKDQAMEKFVSFPGIGIKT AACVSLFCLRMPCFAVDTHVHKFCRWLGWTPVKADPDNVFRHGDFMVPDHLKYGLHQL FIRHGQTCFKCRKNTKPGTKDWLGAPDCPLEHLLDRSKDDAKPPAPKKPRKMRKKAEQ DEDSEDSVAVKGEEFEDEGTDDEDEEQHGPEQMADEEEKDNEEEKVSKEEMANEEEDE VEEDEENGKIDEDNEDDDDDDEEEEEEEEEEEEEEEEEEEEEGEDEDEDEDEGDDGFN QEEEDANVDEGMDRVEEDDGEDADEEENEDQDVDMEDEDEI QC762_119440 MAEIYNPGSVSQPLVIDDDDGYESLSGIKHELEEGHLLLEERFD VDDVFEVDEYGNEVNPFEELDQEYRAEGRLEGDLYEVIDLTEDADDNAATSRPRTATA SDEDILQYWTLDDGLLLTPHMTVELRPSVDQEAIEFLRILSIVKITEPERTFVVLRGW GFRRSRNFQGCLPKKLNEVCLIAKMKTSDKRGWKEQALIDVDPRQVMMLRDLRMTNAD FPDYRFNANEYHRLGKEMIKESGPLVCRWRKEEHRHPRTKTACEFAFVRLSEDEVDDR YRVKDAQMVNRWRGGVVPGGSHIPNRDSPGLVLDLEDEGSEQASDLQPGQRYTAADIF AGAGGASRGIERSGCRLLFSLDHWEPAARSLRRNFPGTHIYQKEVTDFVTEDLPPEHS YPDILHLSPPCQFWSPAHTVAGKDDEKNIAVLFSCTDLIKKLRPRVFTVEQTFGLVHD RFRLYFHTFLQGFTSHGYSLRYKILHLNQYGLAQTRRRLVIIGAGPGEKLPPFPPPTH SKTPEVDGLKPVVTPYQALLAIKRIKSGHPMHNLATVHRFDPPKRPWDPSKPVNTITC SGGQNYHWLGERQFTDLEYAVLQGFPRWHQFSETNVKKQIGNAFAPSVVRVLYEHLIR FLRKQDGVAGSNLPPSSSSGDSSPIAIPDSDEERDELQFLGLGGLGTKDQPMKLDDEE VEYLGESARGWSATMTGRWDGGREGVGRRARCEARGYEVDTDGWPEYREEDFMELDAG TMQDPVVIDWET QC762_119450 MAAIDPTKVGKYPVILSPELLGKPSKETYTGIRYNHRPTLSSDT APNTAHLKKSAKDGSYNIGFDDKGDRYQYNGVRTAEDNNYTLIFDPTRQAFILHRVDS MFHMNLTRTPTDNVETLREKFPQLEIKNGGSSAASGSKPPPTQAKGKAAASKAAASRL KEDPPAKAKPAARGAAAKNTPAAKNTPEAKGKGKGKVEKKGAEALFLPDPNAAPPAAP SLPMPSVSEKKREAPPPKKKVDDDEDDEDDDDDDFGLTIEYPDAKPPPPSTFQPAASL NRRFSEFGKGAYEEEEEHNHEEDFRHFQQLREESDEDEEYDFEEVAPAPSAPVSSYDS QPRVPVEEPEKYTFDVGSSDEDEEAEVDPMQGDLEAELEAAFGEMDENDRGNESEVSE EE QC762_119460 MASTTIQGPRCARQPGSSRTTPMATTRTWLTASLLSISQLTAAY TLDLSSTDSLKSVASSMTEDMMSFYSGDKPGGTPGLLPQPYYWWEAGALMGALIDYWY YTGDTRWNALATEGLLFQTGPNNDYMPPNQTVTEGNDDQGFWGMAVMTAAEYNFENPP PGKPQWLSLAQAVFNTQAARWDAEDCGGGLRWQIFTWNNGYDYKNSISQACFFNLAAR LALYTGNQSYADWADKAWDWMVATNLINPEYYYIYDGTHINNCSEITPYQWTYNAGAF LLGAAALYNYTTGPVQSVWRDRVDGLLNGTSVFFTGPNKDIMTEVACEPVDLCDLDQQ SFKAYLSRWMAATTKWAPWTYDRIKPLLQSSAQAAAGTCRGGANGRMCGLKWNQGPRW DGSTGVGQQMAAMEVVLGNMIGQRHGPVTDRKGGTSKGDPGAGGQDMGRNDPLQRAGA WPPVSQGGRAGAWILMLLTVLGVVGGCVFVLVDEGNGMEEQIEGVGDVVTVAGGMGRR RNGNKLRKESPNILGAMVAAGSLEKERGGAVNRSDSDRTLRGDEDGMGVGEVKRGAEH VVKPVREPPIIKVPHPRNRKPLRTTLLAKQHQRQWSKSRRNYWEDNDGSGETVVGDLV KDKNVDNNNRVEAVSAPPPKKRRQSDPGSDSNKDKVTLPRKGVRWSDTVEGSLRLDAQ QTYSAYWESECY QC762_119470 MRRILTPWTTPTLGVDAWQPFAIHPYAIPGPKMPDYIGSPGGDH ADFNQSSNAIQDKLGGTGNIVNITAAEIAVIVITVTLVLLALVGVFYCRILQARRDLD IENKAKERAAGPALGDAIELVHTKRASGSSCDTISIKNRDEIGEPSSSKGKTPAAMES NQEPKLPLRHYIHWKNPDPKGQTKQQEEGSCSSHPPY QC762_119480 MEEQRRQREGRAPEPKEPKGQASVAQEPKYLLYIRLPIKRSDFV DPPLMDWNEEKSNALWSIISDNSRSGGNINCRERAVRAACCLVDARPQCVLTLCAYRA SQFEVTVDFLIQMANYLTDRHASQIRAQMLKAATGRGSAAPSPIPGAEPSSHTTHYPQ ISEPLRRTGSASGRAPSSLSMRNDRKDTPSAPLPRQDAEYATTVGTGPSAAKPTTIPL RPAVSRNSSAGTTIPTQTQLGIRPAPSVTSTRSTGRYMSSFSYQQREGTDQDDTSTFG AAQPSVSASPAASESEEDSDSDDSPVQSRIIRRPPRFSVYGDHRAGFDGLAEEDEDEP EPAFLPPPHQQQMDLGATLRGAPVGVTGGGEFSQTSDSSASSAAIVHRPGTALAAGGG RYPVAGQDHIHGTLSPRSRTAELREKGKGVSREGSDGTGTPSMGSSFSDLDGEFLHHP YLPFLSAGTNRACVCNRRFSHPVSPGRSPREPNARRHHREQDERHRACDQESVFAQKP KVARGEALRVGEEGGAEIHGKVCMIRNGLTEVDWGSWDGGQAVYGLIFRLFM QC762_119490 MTFWWNLLYPTLLLLEKTGYSNIPADIYLAERVQVGPANAIVPS GSALCYSSNRICPMYTTNSGPSSPGAPSCSCWVDNFGDFWGPDQELGSCKPLKKSRGI FSQLRRTGYKGSTGHFALWLQHIRFSQQLSSFDSIGSCPPCKTYSAVLLSLRSPETMA PLILHNVPDDELYVGDDGIKRPYAMVFPQQDGSLRARKAVNETGSFGKSTRRARSKTA TPARKEDPTIAAADKIFSNWIANQAPINQVPAPSQSDRAAQNQRRPSLHPSTSQQNLS QNDEKVPPEAKFIKAKEPTEVILRGYRSAQQQYAAINHYEQLAGRICEDYSRDPPVES RRYKSELRDPAFARRKALTPEERAKVNKADSGLHWVKVTFESAEAAEAAIYASPQIIL GHQVFAEPYTGLPPPRDEAVPDPSIAAGFGIQRTNSGRRQSQQQPRAGTAAAAGFNPA WLNPDSFDSGFSHTSSHTADTGTAASTDFETQPLSRTVTPPNPFAFDNIVAEQPRQQD KDADDFCRLIPEVRKVKLLPMEQALLPAPSVAQRVAHYVPFISWFNGAMIGTQVPRTE LGEFDWVAASLYWKFLWWLDFLFGLFGGDIRKAADQDD QC762_119500 MQSSSHPPGMPQRPPSPSPSQLPPIPGSPTYSYASTAQPSLSQF NLPLPPPPRPAHAVLTKADLESSQTAYADLLTTAKAYRLALASLSTAASAFGSALEAC ARLKEARAEPLGPINPGLLSNGNTVGGSLSSSFHAGGGPQGSNNANPPPLNLNSNVMS KNACTADALLTVAGLQHLVANHKHILSETVYRSFEVPLLHELDKWRGAVEDEEESYAS AVAVQSKEINRLEKEGLKLHRQKRRDVNMFRNHLVELTTKLDGLTFLHGEHARTLLRE SQETSARIVEASCSLVRAEVDIFESLARKGWTGGGLEEVLERGVDLFANEGEGGMMGE HVMGGSPGKEFGGGGVGGGGESKLFSILPPKSILANDSSGEGGTVRGVRGDSLLISAG GGLEGQQGDRYQSLAGLVGLDSLRGMDKDEEGGSVFAETQQGGQQFNRSRGVRPFSPQ PQHVRMNPDRLLGTSVEVDHDGGLVGMEKKDDDEDKTETDDEEAHPWRNEGLRKRRSS SSLASETGNLLLRDRSLVSTSLGEPQERVGGGESSWSVADTERNSP QC762_119520 MTSLIRQPPLLFPATARHTATVIFIHGLGDTGHGWASAVENWRR RQRLDEVKFILPHAPQIPITCNWGMKMSGWYDIHTIDGNAESLRKNEDEAGILISQAY IHGLIQREIDAGIPAERIVVGGFSQGGAMSIFAGLTSKVKLAGIVALSSYLVLSLKFA ELVPKPQVNQDTPIFMAHGDSDQVVNTQLGKKSYELLKEMGYKPTMKIYPDMGHSACL EELDDVEAFLRQRLPALSKEEKPEL QC762_119530 MFDTWVLRRPAFFILPSIVIIVALLMSASSPALSLLSLPQILTK LKTFLPVSYFNTPTTAPLSTLSTTPSVSSTTTISQPPKMPKAPVYFFSHGGPDVQYNT THPVYPILQSIGKEITQKVKPSAVVVFSAHWQPENVGPDEIHVNNAEKTDLIYDFYGF PPHFYKATFPSTGSPSLASHIISLLAKSNIRARGLSRGLDHGVFSGFNVAFPPASNPL AVPLVQVSLFNSENPQAHFLLGEAVQSLREQNIVIICTGMTVHNLRDMRLAFGQPQPM PYAVSFDNALKEAVEQPDAEKRKEEMARVLKRPDARQAHPWMDHLMPLYIAAGAAGGD RGRQTWTMHEASFAWAQYRFGELPEEK QC762_119540 MGAMRKEAGVCAFPSSSDLSTQENTGSQKPAVTGTSDERDAQRA SIPPAPTAAPSLPNKSPARSSPRPESPDKAAPSSSVHSASSGAVPQNQNSVSCAVRNW SYWNNWDANGTMAGIEGHDGAMAMEGTTNNTRRWLALAALACMWTNAQAPLFMFAGAP VYIYRELGGIDHWVWFVSANLLATAAISPFVGALSDLVGRRFVALAGNALIVIGQIIC GAATSMDAFIVGMAISGLGTGINELTALAGAAEIVPVSRRGYYVAAMILTILPLLPSV MYAQLISAYSSWRYIAVVTTGWAAIGLVMAFLFYHPPPRIDAHAGEKSEVLKKTDWVG GFLSIAGLVLVEVGLLGGGYNAPWKSARILAPLIIGALVLVAFVVWERKGASHPMVPR DMGKSPWTLWLTLIITFISGANFFSVLMIWPSEAYNVYGHDPVGVGIRGMPFAFGTMA GCVISLVMLSWLKGNIKWILFVSSTLMTLGCGLLAIARVDNIQTVYGVLFIAGLGVGG VVVPASTITAIICPSDVIATVTALTIAIRIVGGAIGYAIYYNVFVSKLLPQLMQIVGG TCVKVGIEHDKIEQIIQLTGASLVNEIKHLPFVNEAQWHAIVAAGQVAYSHAYPWAYY CSIAFGGVSMLASLFLGDLNMDDTVAVVL QC762_119550 MASHVRPALRSAVDASVEALSGLVRRINIPLLPNSPPGLVQALT VDPWSQSGKYGLGWTYFALALGGLTLFMRIWHYWQDKIRQAIYKQDMEKHFQQLYSME PEWDRSAALATGATAQTAAESRRHFFPDEQQAEEEKNFKPKAHFSSVGFVNDTLALFR WVFYRPVPDIVWRKHRFTFSSLAVLSCAFIALAFVTVYCFLQQPLYWQSIRFGSPPVA VRSGMIAVAMTPWIIATSTKANIITLITGIGPERLNVFHRWLSYLCLFLSLIHMIPFY IQPVWEDGGMEVFEALFPPGSGIIYGSGIACMVPLGWLCVGSLPLFRRKAYEVFILFH IPVGYVYVGVLFWHTKNFLMSWAYLYATVAIIVFCNLYRFCKLNWTKPWRMSFLIGDE AAINIMTENAIKITIPTQMRWKPGQYVYLRMPGISMFENHPFTISSLCSADFPSEYGE EYRDCVLVFRPYGGFTKKVLETAIDKGPFHTYRAFLDGPYGGMRRELAAFDTCILIAG GSGITSLMSQLLNLIKRMRDGKAITKKIVVVWSLKRLEAMDWFREELRICREAAPPES VTCKFFVTASVRKPPNSGIPHGRAPRPLSNAFHDKLDGFVANIASKRNSALIMSEAQG DPDRERELRAEDEDRITALPQQKYLQPHTFPPPPPGPPPNNNNNNNNNRLSVAEQTLR KLEGRDDEIKPVEGEQQQYLHPPAPSSNAPSTKKSEPGGEFHFPPLARENAAPHFKYA PPAGRKRYSQILSESDPRPSGAGSGGSIDARPTTSELGHQHADSSGSTEPFATHPSPP AAAEPTAESSTAPVRPPELAHLRTDVGGDPRRPRPTSHFGPPSGFDFGFPETPTEFQR NLMRFAFPVPHEIDGGWSVEYGRPDLGYMLKEWATGGPDGRGVLGRRTAVFVCGPPAM RVGVANTVARLQAEIWGDDMLEEIFLHTENYAL QC762_119560 MTIITTTTTTTTTDPNDNIIILGCGIIGLSTAYYLYLSPTNTNP ITLIDPSPELFSSASGFAGGFLAKDWFSPSLTPLGALSFNEHARLAAENNGRENWGYT PSTCISYIQHHDGSKQQKRGDDWLRSGTSRVNAAPVEAEHDEARRPGWLKKKEGDKVE VISEEGTTAQVDPLRLCQWLLGEVMKRGGKVLQPAKATGLLRDDLTGEVKGVKVRDLK DGSERVVKGNRVVITAGVWTPKVFRTLFPQAKVGMRVGSLAGHSIVVRTPIWDTREGE EECHAVFTTHEKNFCPEMFSRLGGGGEVYFAGLNDSTLEVQDPEKGKARPLDEQRGLL REAVGDIIKGGDGGLEVVREGLCFRPVTEWGAPIVSQITGEDLGISVGDGGVWVAAGH GPWGIAMSLGTGVVMADLVEGKETRVDVRGLGYHGPNTHPVVVHTI QC762_119570 MPEPQVIIITGASRGIGHAIATHLLKAGHKVVLTARSPETLEEL QKEYPSQVQYLAADMTLPDTPYKLKELALLHFHHINAVIINHGALSPMTRVANSSIDD WKALFDANFFSALALAKETIPYLRETKGRLIFTSSGAATGAYTAWGAYGTSKAALNHL SKHIAVEEPDITSVAVSPGRVDTDMQKELREKGKAEMAPKDHEAFSKEFEAGTLVRPE QSGGVIANLAVSAKPELAGQYFKWNAPELAEYQLS QC762_119580 MPSEHIPRQDRYWSAFDNDELGNDDLSLPKATVQKIVGEILPSS TGIAFAKEARDLLIECCVEFITLISSEANEISEKEAKKTIACDHITKALEQLGFADYV PAVLEAAAEHKEVQKGREKKANKFEQSGMTLEELERLQQEQFADAAKRHIG QC762_119590 MASLIARRAFSTTVRRLTTGEEALKSESKKNPEILILGGIMVCA LGGAGYYFGRSPTKSTSENTVPIADKSMPWESGSTHGKYQYHPGGDASAAPKDAPSAV NVVVVPNVTLPKELHDRYNKWGKDGY QC762_119600 MAPGEGAPRALSPTSTINDDSFPQFPGRSEMAGPPSIISSRMTD IMTDDGGDTEAHRVAGGKRRSAFYSDLSSRPGTARTGASGRPPWSSGTPLRQGLAGKR GSGTGSISSATQAVRPPSSATRSHVPSLTSHAFFRPMSSQKLQAQRGFARPTTVNRQF MSQEDPHNPARDSLNSAPGAKVVRQSADEGDMRSPPSRGTEFTEQDMYERRTANTSPT GHHATSSFSDSVRPLQRKPGDARNLNLDMSKTYNKAGVSIPTPVRTPRSLRSNFLMPR NDSGHSNREMQGGEKLDSVASSPQLPPASRDDKHPSEEKTKKVGRNYQYFQGNTIFFF GGRLQNARDRPVNIATGSLVVIPGILFLIFSAPWIWNNISPAIPITFAYLYYLCVSSF CHASATDPGILPRNLHRFPPSDNNDPWRPSLPSAEWVLIKSTEKTAAAMEVPCKYCKT CQMWRPPRAHHCRLCDNCVETQDHHCLWLNNCVGRRNYRYFFTFILTATLLGVYLSGA SLAQILVYQHKQKISFNASISHFRMPFAMVIYGFIASLYPAALTGYHVFLMARGETTR EYLNSSKFIKAERFRAYTQGSWFRNWFVVLCRPRPPTYYQFKKSWYEGDQRLAPTKRI KKARKAVPANPGAGVDSKEGMEMQDVKNSPHLQQQQQQGFQGPRQLRSQHDLESGLAQ QPPTN QC762_119610 MSKPPKPLPPPSQSFTNRKTKILSALSVPDAEYTDLSPKGSVDV EIRDLIDEINAREGLVTTSSCAGRVSVYLEGRSTGTSPQDEREEAAPAGGVRSSSAGG KGGGEWLFVSHDPISSLPPGQLERGYESLFGLVSLQGQEGGEGDEKGRMVHFKFEPMI LHVLTASHCHAQKVIQAGMEAGFRETGAVSLLSRQDDDHNPVVAVRSMGLSFESLIGV EGTDGVRRAVVGRGYLDRVVRNSEKLFKENERRIGRFREALKRWFEEGPKKKDGWEDA DARRERKRSEGLRRKQELEKGKQETTEKEQQSEEGGIGIILEPPEVL QC762_119620 MGSSSSKPAATAPQTWKAPGSAGLSTELVQHLQSSPETDASRLQ AIELEIQARVAAELKRLRDQESEALRAAQAKLAESTDDKTADDNSKTSYTVSKEVQAL QKKLEERKRIRSQLPESLENARSGVVRCLRENDRRPLDCWREVEAFKEEVRRVEKGWV EKVVS QC762_119630 MRGPRMDHPRRGIADPDLTFPEALLQLRDTIPTITNTQEVPISN PTITPYNTGLNGVNQPNNMLFTDILWWTLGGLGVLILLIRIGQLAWAKLRLVSAMNTK GRQQHFWKVKQWSWMPGLKRSLIYAPLWKKRHNREIQLSSAVNMGTLPSRLQAAVLLV YLGSNLAYMFVLDWQQANKYALCAEIRGRSGTLSVVNMIPLIIFAGRNNPLIGLLNIS FDTYNLLHRWMGRMSVFEVLIHFIAWAVVQVADDGWEGVKHKILYDRFISSGTVGVVA MFVILVLSLSPVRHAFYESFLNTHIILALVAFICTWIHCVSATVHGGLPQIPWVLAIF ILWGAERFARVIRLAYANRSSRGYTTATVEPMPGEACRVTMHLPRHIPVRPGQHAYLR FAGLKPWENHPFSIAWFEHTYSPSSLPTYSSSSSSSSEKHPPGTTPTSTTVSFIIGAH TGFTRQLYNTARASPLGALTLRAAFEGPYAGHHSFDSYGHVVLFAGATGITHQLSYIR HLINGCNDRTACTRRITLVWIVRDHEVLEWIHPYMEQILRLPNRQEILRIKIFVTRPK TAMGVNVLSTSGTVQKLPGRPNVVTLLAKEQDEQMGAMVVGVCGPGGLGDDVRGAVRG MQGLGTVVDFVEESFTW QC762_119640 MPMLKDPSKKYKRFQPLNLPDRQWPDKVIEKAPRWLATDLRDGN QSLVDPMQNGDQKWRYFQMLTELGYKEIEVSFPSASQTDFDFTRRLIETPGAVPDDVW LQVLSPCREDLIRRTVDSLKGAKKAIVHIYLATSECFRRVIFGFSEDESVVLASKCAA LVRSLTKDDPSQSGTEWAFEFSPETFSDTSPEFVVRICEAVKEAWGPTKENPIIFNLP ATVEMSTPNVYADQIEYFCRNISEREKICVSLHPHNDRGCAVAAAELAQMAGADRVEG CLFGNGERTGNVDLVTLALNLYTQGVTPNIDFSDLQKVIKTVEECNKIEVHPRAPYGG SLVVCAFSGSHQDAIKKGFQIREKEGKEYDDHWQIPYLPLDPKDIGRDYQAVIRVNSQ SGKGGAAWVIQQNLHLDLPRGLQVAFAKVVQAMAEQKGRELLPTEITDLFRETYHLDK NSRFNIVDYNINPDRSASPAPPAPGKTQDTKNLMRVFEGVILIDGKEYKLRGRGNGPI SSAANALRTIGIDLDVQDYKEHAVGRGREVKAATFIECVAPGVEEKVWGVGIHEDVVQ SSLIALLSAASNFASSRHGSPIIPKQTLTNGGLQVPDMQSLEHKAE QC762_119650 MEGSPDINTVLTNSLSPDGALRNAAEQQLIQAAEQNFSQYLLTL VQALANENAEGHIRAAAGIALKNAFSAREFARQQSLQAKWLNQTDQETKTRVKQLALE TLSSTNAQAGQACAQVVAAIAAIELPRDQWPDLMASLVRNVSEGSPHQKQASLTTIGF ICESQDQDLRNSLIAHSNAILTAVVQGARKEETNLEVRLAAITALGDSLEFVGNNFKH EGERNYIMQVVCEATQAEDSRIQQGAYGCLNRIMALYYENMRFYMEKALFGLTILGMK SDDEDVAKLAVEFWSTVCEEEIAIEDDNAQVESSEQMRPFYNFSRVATNEVVPVLLAL LTKQDEDASDDEYNISRAAYQCLQLYAQSVGAAIVPPVIAFVEANLRHDDWHYRDAAV SAFGAIMDGPEEKTLEGIVKSGMGPLIAMMDDPSIHVRDSTAYALGRITETCADAIDP TQHLDALIRSLFNGLMNTPKMAASCCWALMNIAERFSGDGDSAQNPLTPHFNQSVTNL LAVTGRMDCEASVRTAAYEVLNTFVRNAASESLQAVASLSTVTIERLEGTIPMQAQVV SIEDKIILEDMQTSLCTVLQAIIERLDKEIAPQGDRIMQCLLQILSSVNGKSSVPEGA FTTISSLANAMEEDFVKYMDAFSPFLYNALGNQEEPGLCSMAIGLVSDITRSMGERSQ PWCDNFMNYLLNNLRSTALANQFKPAILQCFGDIAGAIGGHFETYLSVVAQVLQQAAT VTAGAEGSYEMFDYVITLREGIMDAWGGIIGAMKSGNKTAVLEPYVQSIFEMLNVIAN DANRSEALMRSAMGVIGDLADAYPNGQLVEAFRADWLTAMIKETRQNREFQPRTIETA RWAREQVKRQIGGAQGMMSQT QC762_119660 MDVEMKGVVAPDAAGTNVTMDMPPPPLPASAIAAAAQLQLQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQIQQQQIQQQQIQQQQIQQQHPQHHHHQLQQHP QSPVALAQPQQQQPSQAPPAAPPVKRRAPIACRRCRRMRSKCHQTDKGKPPCQSCTDA GLGPEDCVFPVRGQPDEDREYRHPRVRADKNSKREVLKFRRDVLDQQQQQQQQQILAN GAVMSPGGKLIARSPDDWEVLPPLEDILDAVNNFTRHYFQLGFIPKQRFIEKLTVNPR SVSLFLLLGILSVSARLTPALVERYGGAVNAAEIFMEHASAVAMMELYREPSLERCQA FYLLSIAQQGSGLTHKSSINMAVAMRMATLLKLHREETYVLVNPTKELVIQAESARRT LWMLHSQDNLHSSAQSPVLLAASDITALLPCDEQDFAAAREPKSRAALEDTQPALEKP ELIADPGRSLFATLIQAHYYWGRISRRAISHDKSARPWEPTSQYAVLEKKLAEWEALL PNDHRWSSLLLKGYKQEGHDLAYLGVTMTPRLCNIVLRKAYIHNMINHGTSDATLARF WNDMAQELFRNVKELYEQIQIQYGDRAPDEGPGAQMAAFCVYTCGFLACYLCKYPKLC ADSILVRDAPQIVQRILGILNESKNIWPLASRWFDHLEKFSRTKTGMAAETQGSMADS VSAPSSNSTQAHPISSPPPPSSSSGDLVSSIQRDPIPHVLQPALKDVFTPIQPRLLPS STPSTEGAKNHNGVVSPTSTQGSGPSSAILPLPQGPPPSANSHQLYIDPNLRLPLPNA PPPPHAPQQQHKVQSPQQQHAQHSPTGGGRQSTDGLGLLLEAFDTHRSAPGPPGPGQH PHRAEGQGPPPGAPYDPQAAPQPYYTQHQGLPMNDGYENELGYYMSDGVPQTMQNWVG TPHMYTGY QC762_119670 MATPRPEQAQKVLSLYKQLLRQSSQFANYNFREYAKRRTRDAFR ENKNVEEERRVQELVQEGLNQLHLLKRQTMISQFYKHDRLVVEGGLSGKDKGGKVLRQ KDTGWD QC762_119680 MAPMQYRTAAQTRRGNRAGVVEHDDFEGLPVRQWTRGEVNVAMG PPPDDDQKDDIWAIELPFGMPKDTALLPPHSQELLRAMRSGRVYKRPPPEDEDEDIDF GTKGDKKESEVGNEGFTVRAWKQMPRNAEVPSVSHLAKRHKDTITLASTASVTHIPGP TITRATVRRIDAAGNPYEQTITLSEGQQVDGEIIRTTVMPAPVAAAGEALGQQATPVK RRPPPPKRKAKGPGRGRKKGSGKIGQLPLPATRSQQQAAAGGEASAEATVEGVLGEGP PGVVITSEENGDAAGQDTEMADNSVIPSDEEDGDEGDEGDEDGEEEGGDEEAGDEEST ATPEVGNAPSDVEQVTEQAQSQDQDQEMLGSDASEVIRPSSIEEPEDEQLPRRATPEE EVTVSKPRFQLGPQFNSPRAEGSPLKNVMVVSPTEPATAPAAASYLDIQSTTVSMDID LGNTQPNIPLPSSVEISATVQTETAVTSETSAAPKPSASTPPMADQQASVPAESISEA LTMPEEQPPFSAQTTEPVTASEASVSLEVPTQIPEVSLQTSASPTLPTASEPPQAPEA GSPDLLGSLEAELDREMSLNNRSPPPGEQEKPSATEAQ QC762_119690 MFEHHDFQPDNNATLSTLTSLLQLVPDLFQTLSTAQNITLLAPS NEAFTNLLSRNARSAELMTSPRALSGVLQYHVLSGKFLSTDFTTSPIFPSTLLSTPFA NVTGGQKLQLTLLNETASLFSGYKQASSDLTFANSNNTLHIISSVLTVPAPLSQTLSN INLTSLVGALTTARLSSGTSSLQDMTLFAPSNPAFQAIGSAASGLSDTDLANILGYHL IPSRILFSPRLLAQDQIVLATLQGSNLTIRRDGNQLFVNSARVILGDVLVGNGVVHVI DNVLNPSNTSATPDPAAATQAPAFAGVTPVADIPFTSGIVPTTTFVPVTVPLNGAGKG AFAAVPTGVMLAAGAAVLAAGM QC762_119700 MSTEESRAPSSRSSSNGDDASVDSGFASTGNSTANLPAISLTPA HLKHLNQQLEHMHPMDILRFSKAFFPNLYQTTAFGLTGLVTIDMLAKIQKENPHSLPI DLIFLDTLYHFKETYELVDTVKERYGLKMHVFKPLDVETVEEFESTYGEKLYEMSSEL YDWVAKVEPQQRAYDELKVGAVLTGRRRSQGGQRGAIPVIELDEERGIIKINPLVTWT FKQVNDYIKENNVPYNALLDRGYKSVGDWHSTVPVAEGEDERAGRWKGQEKTECGIHN KKSRYAQWLNQTATATTQQPSQEVAA QC762_119710 MSQEPQSPTRQGRPSMTASMRSSSFLREHQQYRPPSKPENHYGI DTVVEDLQATSVSPPREISPFKGIPSAENPPRIVDGQSHELSHPNCTPLPGASTNRLI ATLFYKSTNPRVSNAIPSPQRYPSPKGSGQSPSVRAADSDLPPTMAPTSKLDDFPLEP PATEPEPLDHLYGANVSPMCIASFLHLMSTFPLPAGSTDLHSSHRCLKIDQSHQEHHP TIVELTLSPAPASDYLPLKDLRKHELIYRFEREWNVDVALRADTLWRRYPRLVVFDMD STLITQEVIDLLAATIKDPPDLAARVADITHRAMMGELEFDSAFRERVKLLAGLPGTL FNELRPVLDVTNGVRPLIKALKRLGVKTAVLSGGFLPLTSWLAGELGIDYAHANEVVI DEQTGKLTGEVKGRIVGKERKRELLIEIAEKEGIALEQVVAVGDGANDLLMMEAAGLG VAWNAKPMVQMEASSRLNGDSLLDLLHLFGFTEEEVRQLSA QC762_119720 MGRPFITIAGIVTSTATRSNSITDFIISKEDKTHINVVVIGHVD SGKSTTTGHLIYKCGGIDKRTIEKFEKEAAELGKGSFKYAWVLDKLKAERERGITIDI ALWKFETPKYYVTVIDAPGHRDFIKNMITGTSQADCAILIIAAGTGEFEAGISKDGQT REHALLAYTLGVKQLIVAINKMDTTKWSEARFNEIIKETSNFIKKVGYNPKTVAFVPI SGFNGDNMLEASTNCPWYKGWEKEVKGGKATGKTLLEAIDSIEPPKRPTDKPLRLPLQ DVYKIGGIGTVPVGRIETGVLKPGMVVTFAPSNVTTEVKSVEMHHEQLAEGVPGDNVG FNVKNVSVKEIRRGNVAGDSKNDPPMGAASFDAQVIVLNHPGQVGAGYAPVLDCHTAH IACKFSELLQKIDRRTGKAVEESPKFIKSGDAAIVKMVPSKPMCVEAFTEYPPLGRFA VRDMRQTVAVGVIKKVEKAAAGSGKVTKSAAKAGKK QC762_119740 MARQSSWSSRTLAHFRQGGKLEPFRLLRQDARNLRRRWVSDWTV FNQLVVASAVYVFFTNILPGITFAGDLYVLTGRSWGTIEVVFSTGLCGVIFAVFSAQP LTILGVTGPFSVLAENLYELCSDSFKVPFLPVMAWSLIHSAWMHYLLAIFNAHDWTMQ YVTDFSADIFSLLNSIIYFHKAAMELKRTHEQMPLDSFLYAMIGAVGTCLVAMLLSTA TKWKPLFGRVIRMGLSEYAAAISIILFIGIPYIGDLADLDHGRLAVQTSFRPTNPERE VFFVRFWELPVEWVFISIIPGAIITILFYFDHEISSIICTVDRYGVKKPGGYAWDIAL LGTTTALCGILGIPPANGLLPQAPLHSESLRHWVVDEDQVVPAPEEEEEESGAHTNLV PRVYEQRYSHFLQAAMIMVFVSPPFQRVLGLTPTSVLAGLFMFMGYQSLSVNPMLTRF FHLLTPPSELPELPAGVGWGGVHAYTVTQILVTVGIFAVTLTVAAPGFPLLIIILVPV RLCVMNRVWGRETLGFVDGWACREGKPEDDEMVGGDEGFVAGGRDVEDGGGRSKSQ QC762_119750 MSSTVNEDNHGPAPEGYDTWEKYCAATTAQFCFDAQPPIEGVWE KIPSLTTNAIFAALFGVSFIAFIITYFRSRRQGTFFTVMMCLGLLCEIVGYAGRAASA KNPWDDMGFMIQIVTLTIGPAFLAAGIYTCLAKVVSVYGEESSRLPAGWYTRIFIPCD VVSLVLQASGGGIAAASDDIDLLNMGNNIMIAGLSFQVFILAVFLAVSGEFLLRVRNR KKTYGPDAGFEQAEPAKSIRQGFMFKAIMIALAVSTVAIFWRSCFRVAELSEGWNGPL MAREDLFIAFESVMILIAVVVMNVFNPCMVFGVMMSSKWRKQGGFRKSESTATTSSDE ELNVLRQSAQGSRRAAGFGNQRYYQTQGHHNGNQNQNSRYGDV QC762_119760 MPVPFLGRLNPTEYAALVGSFLLVGLEAFIRIFTLALPPSLLQL CYGISRRLFNRWTSPAQKRAEQRRKSVSASIRDASDFVDLCAMFGYTAEEHVVRTEDG YLLGIHRLAWRKGEEERRVNRGPTSVKKKVVYLHHGLLMNSEVWVCLTDEQRALPFAL VEKGFDVWLGNNRGNKYSKKSIRYSPTTTEFWNFSIDQFALHDIPNSISYILEITGQP SLSYIGFSQGTAQAFASLSIHPKLNEQVNVFIALAPAMSPAGLSNGIVDALVKASPQV LFLLFGRRSILSSATMWQSILYPPLFTKLIDLGLSFLFNWQTKNISTSQKLAAYPHLY SFTSTKSVVHWFQIIRTKSFQMYDDDVHRPLISATSNKYTKVARYPTRNIKTPIVLVY GGSDSLVDIKAMLKELPSQTLANEIPHYEHLDFLWARDVDKLVFQHVFDALESFTGAE HTREEYERYRTVRKQHTDLVKMESGLRRESHLARGSDVADSDVSTAVGEETVRVVELN GSSNEDAAGTGEEMEEAQSEWGVSPTKRLESPLKFESKGLPQFQDRERPWSRESHIPS PTTQQQLQLQPTVLPPRTSRIARPRASKGNLAAEIAASGGEDYPNSPHTPVSKAEMTD GFADSPAGDTGPHAATTGMTGMMNMMTTPKRRGTGGSHMSFDSMRGGRGISLGASKFS DAVAVLDGEGGAEEH QC762_119770 MTYASSNKIPRRRPLTLTPIKVKGRGRKPKWQPPNPAQLRSLME ERRRKREEENGHDNVDGISSRSSKRSKQSFPPKSRLESLPPEMLWPIAVRSQNLHLLR VSKFLRGLLSDRSFELEMAVAAFGPTWDMYFGRPRSTIGSPPEPEQFPGDPKFQGNVL VARLMHDTRYMNVDFILKAQQVWCRQERTERHMEKAACILWKPPTQVQPKQEGSEANE DQRAEEKQELGYREREVEKIRERFDEDWQEFCDACPFNNTTKLEYEIGTNTQGPEGGY LDLHPLTPIPDRFLKGPFDLESVKLLFWLVRGGARILPEHNWEATKHGFEQIMNMESR LGIYVLILFDILGVFKKEHWPPFLLDEKMKWVKETRRIEMSAQSWKYRLSILAHRMFL SRQEQEENSRLGRDSLNSERRDRGPRR QC762_119780 MGKVHGSLARAGKVKSQTPKVEKQEKKKTPKGRAKKRLTYTRRF VNITLTGGKRKMNPNPTA QC762_119790 MKPNTLLSTLLPLTSAYSIPKDSTAPSSNAFKIPTARESAILAR RILTLTPLGTISTIFPSTTSSRNPPGIEGKPHALMEYISACDPLAPSNPTLLSLNISS TFRNAANANLSLAITWTPPPLPPPSRSFLSHLNPFSSEPPVQPQSYSAAALPRYSLMG YLEPIPGADDPKSDVGKLVQTCYTNTHPDAKYWLPGNRIHESHFVRLVVTEIYWVGGF GDRAYIGWIDAKDWESVTEKEIEGARLPGEKPLEGGDL QC762_119800 MKPDHTGEYRPKKRARHCEDTASTYYILRTMPSFSILRRSPKGH KRGDPPVQDDAKTSSTPEESDVSSPSPPAASRINSTTSSTPEPETSKPSDVNNRDGKK ERRGLNRDLLHDLRSRLVGKQPPAPSELTPQRGRWPPRRGTAVEPLETSVRCTPLSPL SPLSPRSPVNPNKPLPSPPPPGSEQARFPPPKPTLKIARIMATLTDSEVEKLFSGAPQ YFARSEGHYTGAPHPSVAFPWDESLEIRDLTDHTQIEDKAWGCVTAWPHITRDVHADR STAQRASEQKRRAHFYPRCRERPNMLSMFGLEKGSLGYQAALELSVADALQEEQWGFE SIGTRTPAVIEQRQKMLTSKDGLRHIEESLIMEQLIKNGARYTEKHLREKRVSSELYN ELFLQILHPPTKVLDHRDPYSLAVQISALVKVLAAPNMWIDFSHVEWRIRLGQLLWGN DLGDEVDDGASIGTGGSSGDIVEERYWLLMQILLACELLIRLDAITEGDELGVESIRP AEILRFERDANKSVKWSLILARAWLENIEVVKTEGAERSSDEKPSSGWLASLTKRMSL SREHGHGHGHGHKNHHHDPAYLIRGKHVQRQLKGLEHFARRLRWPDEKSYVSTISDNC RAVVEGTPLTTPLASPSSRADSQRSSYFAVPRPNSKSRRVASRRRKISAALHSSGWLS KSYVSGLMLPGEGLCHFLMATLLENDPEAMARLGPMANLCAGFVYSGKSFWSTACIVG RVLAAGKGAVECMGWISSDVTPLGLGDGWVNIEVEETVEDALHVDKKARLWGKRAIEN ESNVLGDADPSSVLPADFIIPFENIYRDRVPPMIHIGFEALQLCAPADSVHTTPSESN GVTPVSEVSSRPPEIHTYPAAISFTVTDMDTEEVTEHTFSLAKDINFVTAHPCVPSQH VKIMKSPSSPTIRQVDLNGDGGGKAATVLGHPLHKYFAYTALHLSDLLFSKKDFTLEA LLGDYSNALHKPSQTPASSSPPAAKFLVVDCITGFQPQPQEHEIPLSPVISRSDSYSM NSPLSPVGGTFEGKLESASKKMHSESRRRQFGSDMEMLARAVCAERGWNVVVSRRRRG CLACAIREAGALGWKVILRVD QC762_119810 MADNSEMTDFEYISKNFDRLLGKPGVKAILVLDRETGNVLKTGG NTDLFRKESSESSKPSISNDAPSDGAAEPSTADREGVVELATLVWNYVDVTEQFVQDL NKEDTARLQRLRTATQELVIITDPKFILAVAHDKPNSG QC762_119820 MGSSMRKKREKKRDFNKAKLKVGREKAKAANFTDTSFKSKSIHI NQGALTSDGIDSAEQFKQNLSLCISAKSDTQRREAVAYITNQISSTPPNNPVGTSGVL SKLLPLLSDASTSVRAQLLKLFRALPPSEVGAHVEKILMYIRGGMTHLSPDIRTDTLD VLDWLLGVAGDEVVSCSGGWLKTINSFSSMLGWNPSVGSAMTSKGWTTASKATLGTKK GPEAQARQIQALARFLEVGFKPEAPIPVQSAAYWDNIYRLPTTPNPFAYLNLFGIPRD EENEMYLDRGSRQRVFDARWRTTIATGMEGARKEGGTVGRAAAALGRALNGGFDGPQS GSEN QC762_119830 MSDRDNKNDQTTSNEVVDSFATGDLAAAVPDLNGDGETKPKPKP AKKVYSEAGSQWTQQVPYNYNEFGDEGHHDWEHNAAVYEFDGEIGDVGPEHPALEIQL FGEPETRKKQGVDFSNIAELEVYQEGPARVDPIASFETAGLHPAMLNNVKLAGYETPT PIQRYCLPAIKMGYDVVAVAQTGSGKTAAYLIPILNQLMGKAKKLAATRPNPAAFREG VDQAVRAEPLVVIVCPSRELAVQVFTEARKFCYRTMLRPCVIYGGGPSSEQRAQLQKG CDVLIASPGRLIDFMDDTRLLTLRRVRYMVLDEADEMLHDDWKGDFDTIMSGGEIEEG NVRYMLFSATFPKQFRDLAKNHLAETHVRLRVGRAGSTHRNIKQVVYETAPFNKKSAL IDLLESLPPTRTIIFVNSKRTADELDDFLYNLKFPCTSMHADRTQKEREAALRGFRSG LAPILITTGVTARGIDVRNVMHVINYDLPSMDYGGIEEYTHRIGRTGRIGHRGMASSF FTERDEPIASVLTRTLLETSQEIPDFLAGYIPEDVAHLRFEADSDFDETENAADNFGG DANDGGDGGDDPWGAGDAAPVAQPVAEKDSGW QC762_119840 MGAKARRAKSPTTRSGSPGSGKAKTSSTMNTTEVMRRNIPQSQD SSSEAAAASLMARESFSLDNDPSPKDRDGSSHGFFDLPRQDRRNFGLLVLLYFLQGIP LGLATGSVPFLLKNHMSYGEIGIFSLASYPYSLKLFWSPVVDAVWSPKVGRRKSWILP IQLLSGIGMLWLGSEVEALMATTGKPGGPTVWGFTGWWFFLVLMCATQDIAVDGWALT LLSPANISYASTAQTVGLTAGQFMSYTVFLAFNSPDFANRWIRPIPLDHGVMSLGGYL TFWGWSYILVTLGLFLFKREERTKNEDGIFDVYSSMWKILNLKNIQTIIVVHLIAKIG FQANDAVTSLKLLDKGFGTSNMALTVLIDFPFEIGLGYYAGKWSQQFTPMRLWSWGFA GRLVAALVAQFTVSVFPASGTVTPTYLLMVILQHVFSTFTNTIMFVAVSAFHAKIADP TIGGTYMTLLATVCNLGGTFPRFFILRLVDYFTSATCLPNNLDNLTAAQREKIALAGA PLIKEPFSCAVQADKETCLAGGGICEMQRDGYHVVNILCVAIGVVTFVLYIRPKVLQL QALPLRAWRLASGSPVKH QC762_119850 MSSPLRTSPQPPTLDDEISALQSKITTLKSALALQASTLLTSPS TLALLSSDPKLASQSSLHQSHHLQTLYRTCATLTLFRAQDPDPNAVDAGSILGLRIEV VSRAKFLRPYYVLFNRPWASSSSLPGPQRKWLRVHRHTVPSCIPLSGLAARYLPSPDK DDTRRKQDLGKFARGVRREVVRYHARLGTVADLRKAAGLGRGGDGGDKSGLVDISPAD AEVRHVSVEWGDGRTGRLVIGDDGEVEKLVVVGENGRDREAGRELLGGLGLAGTVKAE EVVRRLAGTGGA QC762_119860 MMYTTLHSKTTVVTLLTLAAHVAAHGDEYHDEAPSFSLGGPVDN PFVGKWTTSTVWATTTRTITSCPPVNPDCPAHSTVLTTVTIPVSTTICPVTETEAPPP PPPPTTVVPPPPPPPPSTVTATTPTHAPPPPPPPPPPPPPVTETPAPPPPPPPPVTET PAPPPPPPPPPPVTTPAPPPPTTIGTIIPPPITTTTGRPVTAGAATMQKAGGALAVIA VAAALL QC762_119870 MAPAVDAASRKRVLRVIFVSLLLDLLSFTFILPLFPKLLEFYRN AEAPLDASAPASKTLLSGVLGYLNAYKAAFARPIESRYDIVLLGGALGSLFSLLQAFA SPIIGHLSDRHGRRTALLASMAGNILSVLLWVVAVDFRTFLASRVVGGLSEGNIQIAT AIATDISDDTSRGSTMALIGACFSIAFTFGPALGAYLSTVPTVAANPFATAASFSLFL IVTETVYLWFSLPETLPKLTGTDKKSTKSEAKPIRRTNSHFLLNFIHFTFLLFFSGME FSLPFMTYDLFGYSSASNGRLLGYVGLVASLLQGGVTRRLPPLLSVKTGVLACLAAFA MLGRITTVGGLYLAATCLATTSATVVSGLNALSSFEASEDERGRKLGVMRSWGQLGRG LGPILFTSVYWWAGRGTAYTLGATGIAVVSALVLFGLKTPPGSVKAKTKTSSKVEKSE L QC762_119880 MSDSGSLRGAYTPGRTATAYRKPKKHHFGRASSAIKMAACSISR VVNHLLIQLVAFAWLSESPAWALSNAFDVREASIDSVHNALFTQITTCREIVSAFIAR VEEFNPTVNAIISLNPEALSIANKLDERIAAGNVTGSLFCIPVVLKDNYDAVGTNTTG ACFDLANSKPLEDAPTVTALRNAGAVILGKANLHEMALEGLTVSSLGGQTVNPYDKTR TPGGSSGGTGAAVASNFAIFGTGTDTVNSLRSPANAGSLFSFRPTRGLISRAGVIPVS FTQDTVGAIARNPKDLAVALTVMASVGFDPNDNVTALVPPEVRGRDYSASLYGGSLTG RRFGFLDGFLNHTASAETTPVNDVMADMVEKLKGAGATVVNITESIYNTVTLAALDVQ IYEFKEVLDAYLARPRLGGSPRPDSFAELYNSGRFLVIPGQSGMIRSSLVSSTANTAY LDSLRKIQDLTQALDATFARNNLDALIYPQQKNLVVKIGSPSQSGRNGILAALTGRPV VHVPAGFSPPSEDAPIGVPIGMEILGRPFSEGLLLNIANHIAEKFPVRKMPPFANGTV EARAYESVPVIRPDTGNIPGVYPIGVF QC762_119900 MLPSFIAEGRPPSVGPSSSRSGKGADVELDRSSRSSTSEDVPPM IRQTRRPIQRPFRQQRPGPAGAWSRCSSDQSGGQPRSALRPSRPQYNQNKQRASTVMG PRQPAIIPLEWGQRKNVLVILSDVPEGTSPWDLKQYFSGYGNVIFVELDEGVRRPRAG KVRFEPPPRDTSFIDNGRCKILVKGIPHTIDAHFASQYRYESNTIKSPLGNVCAEKME LGLDKFVFGLLTEPTVYMGKKEIPCAASLSLKVDFKRKKLVISFPLKIEQDWEAFRIE IKFGVIKHIYRVDAAPERTVLVLTLVDSPLFWKRRTNEDGSAWADRTTWEENEMWCRA VNVTDRSDESSDRKPVSLDEYSSTVDFGRWTTYWIELSYANLATWSKIEQYLRDWNIK TTDATFTQTPNREPELWSILRESEVVSSTVQANSWAADLAALGPDTTIFLPFDVRYQL QVCISQGILCEYSIGREFLEKLLELADPKGQDPQRARLVLEYAADMGRKIWDPVSLLT DVGALTYYPTTLRLPHYCALVRKVMVTPTKIIFSTPTVETTNRVIRHYWTFQNYFMRI QFTDEQLEGRVRGSDADRDDDLYTRVYRVLFWGIRMGKWHWKFLAFGNSQVRENGAFM FCQPDSHAGDMVPSCDDIRRWMGNFEHIKVVAKYAARLGQCFSTTRVLRGVTFPPIVE IEDINTADGKHCFTDGVGRISPLLSRLVAEDWQVYPPPSAYQFRMGGCKGVLVGWKHA KGTEVHIRPSQEKFSAAYNGLEVVRCSSFSCATLNRQTITILSSLGVPDHVFVDLMRE QLSRFDRAMTDGKSAVDMLTSFVDENMTTVSIARMIKSGFMDSNEPFVKTVLQLWRAW SLKTLKEKARLAVEKGAFVLGCVDETGTLRGHSKATEGRQRIRRDQLPQIFLQIPDRD DPSGYKAIAGLCIIGRNPSLHPGDIRVVEAVDVPALRHLRDVVVFPLEGDRDVPSMCS GGDLDGDDFFVIWDEKLLPTEWSHPPMDHTPQPPVTSDSKKSIMESLASFFVLFMKND RLPFIAHAHLATADAEPQGAKSEKCLKLAEMHSVAVDYVKTGVPAEWSNKLNPRSWPH FMERQKGSYHSKTALGQLYDMVHTQDFDSTKNYQSPFNRRILSRYMLDQEVLKTARKI KTQYDIAMRRILGMLEIRTEFEVWTGFVMSKPRVGSDYKVQEKVGREAAALREQFRAE CIKAAGDRHFEKLGPFVAAMYQVTCEEMRIALYQARQPQVLADGTINVRSITARSMPL VTFPWLFPDELGRIASGRENDLIDLGMGNKLKMPRTQEVVNREGLVVEDDEHQSDLRD IDFLKMADGRIVHRGEIVHFFQHDDDGDEQQQESVAQFETEGEPTSASEAELIAGLES VVIMPRGELLVPVEGQKDLLSEDDRGTVTRDLLNDDGTGTVKPGDDLLSSSPVTVRSL GVGFGEGKGKQKMLPPTLGDFFAVKKEDEEEEIGEQSEGEGEEVEYEEVVVVPKQETA LGKLARFL QC762_119910 MSATRLARAAKPFGRSLTCLNSTGKQRFSTSAIRRTSTSGSSEQ PRQASVWSYSGVMAVAATAGLFGWGMSEFRHGSLPKTMLFDSLYAYPRYASMHEMEQA LREIRQEIGGAEDIISTDPDDLHAHGYSEWSTSNPEGLPVAVAYPRSTEQVSTIARIC HKYRVPIIPYSGGSSLEGNFSAPFGGISVDFAYMDRIVQFNKNDMDVVVQPSIGWQDL NEQLAKMESGLFFPIDPGPSAKIGGMIGTNCSGTNAVKYGTMKDWVINLTVVLADGTV IKTRRRPRKSSAGYNLNGLFVGSEGTLGLVTEATLKLAVIPEEFSVAVVTFPSIRDAA SAAAEVMQTGIPVAAMEIMDEVQMKVVNMGGATAPRVWKEMPTLFFKFSGTKAGVREN IGLVQKITRANKGSNFEFAKDAREQKLLWSARKESLWSMLALRKEGEEVWSTDVAVPF SRLADIIEVSKKEMDELGLFASILGHIGDGNFHESIIYNRRDKAEVKKVEACVKNMVK RALEMEGTCTGEHSIGWGKKESLLWEVGEDTLGVMRAIKMALDPNWIMNPGKIFDRQS QC762_0020060 MCWLAWWETRGLRRFVVSSNSENTRQWPHHDVRSDELPGPVSKI WMTIKAPPGHQGAAGIKYNVHSGARRTQQRGLASDDRRPRLGPFLPTDLRQIFLRCST AATRNLELAIEPLVQTRSTPFRLPYLYWRYHSILTNSPDAVDTPPNWGLPTPTEPLGA GCLAEMMEGVTGVVCAPSDPDTRFNVRVVLLTLSAIYVHADGPIFHRTRA QC762_119920 MLSKPVSADLKYYNLVRPALLIRRALYRSILFVPRKPQLTPREQ SKQAGGVIQGQGRANDSRPYRRLTLRYVAAAWTAPSPPHVQNVEPGVDADDLGLSIDA CTSDRGHETRESSSFPGLSTG QC762_119930 MGRGPKKHQKRLSAPSHWLLDKLSGVYAPRPSAGPHKLRDCMPL IVFVRNRLKYALNFRETRAILMQRLVKVDGKVRTDMTYPAGFMDVISIEKTGENFRLV YDTKGRFTVHRIGDEESKYKLGKVKRVQLGRGGVPFLVTHDARTIRYPDPLIKVNDTV KINLETGKIEDFIKFDTGAIAMVTGGRNMGRVGVITHRERHDGGFNIVHLKDAIDNSF ATRETNVFVIGQDKPWISLPKGKGVKLTIAEERDRKRALGN QC762_119940 MATIEPRLIHLLNNSQTPDLPPIQSLPLDGSVEQSQSLALPPLE SDVSQRGDRNGPNQIPPISTVSNSNTQLPGIGSLTEDPSSLDNPNQLTALARPLHLLL GEPEPAAPSPFSLRRIVDDVQEAQDDGSNKKRHRALTTKDDFVQLPQPLKKQKSAQLV QRGVVPPIIAGLHQPPPNAAVFPPITSNPYHHGEPSNMGLFGSVEERSLPLHLQQHRA QPLPQPISQPQPQTPSELDKSIELRAKRRATKPRKKWTDEETNNLLLGVSKHGVGKWT NILEDPGFKFNGRSAGDLKDRFRTCCPDELRGQLVKKRSKNGNAPTPTSEPNLPSDIS RPKNGLHLDDILSGERPGVVAAEPDQQLSSAQPDSDPPPKVRKSRAHRKKMEDLVELG ICGPFKKSHRRERRPFTDEDDRQILHGLEQYGPSWTKIQRDPKYNFSSRQPTDLRDRV RNKYPDVYNQIEKGTLQIADASRRNNLLEPSVNTTIEKSLASASTNPLEPQLNRSGSK EEMARWTTASSYYESTDSLPGLTGVFDMNNETGGSGINIGRLLLDDPQAVGDQMRPDG TSSSASPAADPRIPQNR QC762_0020100 MFTKTLVVAALAAAPAFAAPEVNVYWGQTAGSRLSTFCDASGFD YVTVGFLNKSPSQDPSGANWPGTNFGSHCDGVYYKYNGANTNVQSDCGKIAADIRYCQ KKGKKVLLSIGGEWKTTANYDLSNEAEGRRFALFVWQAFGPRIAGSIVPRPFDDYYLN AEAGEENFVFDGFDFDIEKSYDANQSKGYIAMISSLRQFMATPQLNPNNRQFLITAAP ECPLNDPYYKMKHIIKNSKFDLLFVQFYNNPGCHGVTNNNFDTWASHLQSTASSGAKI FIGLPGSTNAVQNGEASGYLTPTNLRTVINKFKGRAAFGGVMIYDATYGASNIVSGSS PAGLNYYQYARSLLGGYTHTVTPPAPTPTACVREYSIKSGDYCYQIAARAGIDLSDLN AFNPGLNCNILGLGQKLCIKRGIPKPASSSSSSTILSTTSSTTVSSTTVSTTVSSTET STTTVSSTETSATSTESSTISTESSTISTESSTISTESSTISTESATESATASETVTE TETITANEPDITTSTVETSEPTGITYTEDFTSTETESVTVTDIMPTTTSTISTESSTA ESATESATVTETETITTSPTLTESATATETASETESYCEDDETTTSETATATETASGT ETVTATGSATESATASEAETITASATLTASETEVTTVSETATETESYCEDDETTETAT ATASETESAVVSGTETATASETEAVTETATASETEAVTETATASETESVIETATASET EAVTETATASETESAIETVTASETGSVIASETESSIESATASETGSVIASETETLPAS ETTTDGPVITPSESFTTSTIYSTTTFTVTSCEPSVTSCPGRVVTKTIAIGTTVCPVTT TDAPVITSSSSLPAGYTTSTIYSTKTLTLTSCAPTVTNCPAGSTTTVVVPIGTTVCPI SEAEATSTPLPAVPGVETSSKPAIKVITDVPAEEETTTSTTTFVQQLTTIITVPKPDV TATSKPAGGDEGVVVKPTPSGGFPYSSGYVKPIASATQQPVTAGAGRNGVVLGGVIAA ALVLAF QC762_119960 MHVRKALQLFVLGLSAASVAEATFVSPRLARHERALQRRQDDGG DAPPNSASDPADEPPAPSTSDAPVTTPSTSSTTPPPPVTSSTPPPPEETSISVTSSTT TTTTPRPGNSVSSSSTSSTTAPPDPDASDEPTTHVQTITRTIVTTNPDGQETTVIDEV VTTSISVPLPNGGGGNGGDTGMSTTTRNTVIGVVVGVGGAVVLAGLGFVAWRIWGKKK QQEEQDTLMDDYSAVGEKPDTIGSTSTRTPFQSTLESYHAPTHVNTASNF QC762_119970 MRYLSFSVFALGITTTSATILVSDGHGGVIHNPRYTHQRIDSRN VKIDNLALHAAVRRFNVEQLQNLTSTLPVLIPRQAANLQVFTSALGGAAAPAITNSGD PDRPFAVDGDTFPDFATASNRACDNQKNACAKIANEGGQRDGELTVGECDRQMEQCKS AALSAANRSFDGAAGEQQQQQPPPQQGGGRGDDGGQRQDPNRGQDQGQEEQAPPESVL VDSDENFDFFCDV QC762_119980 MVSSDERSTPDSVGNRGFTTDSLSATQGRLNLPERPGTPKLNGI NGSASVTQTEHKAPSTPSRAAVKRASLSATPRAFRKKVPWKGKNIMVCFPSNDRRGQP GGPPMPLTETQVKGMLRSWEELGYNTEGFDLASDAQELGTVEHSHSRGSWPDFDDVAR ERQSGKYPIVLPDLNAWKKYVDELNEAKLRALGVSFGDEEPPLPPPPAISPATTMSRQ TSMQYPPLPFSPPIPTSSASSNQAVPGFPFNVPFVTSAAQSPGIPAGASPGPFNKFNP RASISIPSPHGWSPSIMLGHRVGSPSLANLSAMMSPTSPFSPDGMGPVGPVGHQRHQS LQFPVLPHQFQVPVRASPRLQDLCEIDEEPAEEEASKPHEAGFVHHNASDSLQKEIDE AEYHLEEQMRSQLDNDEDYSPHNENDKGEMPALPSVQFAAQATQFSQADGLVLHHPRP HSRGHSLSQKFYTEDDATVEGGYRPTLQGISSHLSEDSEIETNPSNLGTPVQNFDFAK IAHQRTLSNDSNPWQHSEPGRPAANGNHQPRVSHGSKSSFSKLNVEAPEFKFNPASSF TPDNGFAFSNSSFATPVFNAGLPQTVPNAFGMAAPLPAPVPAPVPAPGPTKINVNAAP FSPGSSDFSFSSSGPKFRPDAPAFTPTGIPGVTAGSVKSTSKPGSIFGSIDLSAADIV KPAKKSQAVLITKPEDEQPDEEREGGKAPGQYTGEETRSKRARSSVAPDDDIALFAER TDDPTLVVAKPETVAEDDGPVEDKSFDESNNGQEDTAQSSMVISATPDTEAAISPSET SPDQATVPWAPFEFNKPTEMQAFAEAAPFEPGSFKAGHTKSLSATAKAFVPGVPVWED DKDTTPEATPIVANDEHSGLSQDAAETVVESIEQPEEDLEVPSPAATRSLDKELPPAP KGLAASRYARSSPPPPPPPKQSGLSASRFATAPSPSNEQPQQQVSMPASSTELDDKPL PSAPAEEAAGDMLAEPTMADLDEIMRRLNENPDMGVKKTYNNENQWAHADPAPPRGTS LEVPLDAPLLRSEASSPKPSSRQYDEMADSPRTLSAEPEDPFNDQMQYTEGAVQRLNG SESLPASDWEGTFTEDEQTKLESRVKFFDGRVSDLVDGLLAARLKPLEQLVSGLARSG KHLHSSQPRHSVSDTEDGDDEEDGLTHLRRPASPRRDRRMQQIRAAVSEALAAHQKIP AESARSLAGEDNSRVLSVLEEMKTQLNVRADIGNIVEEVIERRIPPAAVDKDHEISQL HARLAALEQRLQDSDAKLAAETAARRAAEDSAARASRELENAATKIDVEMMNKSSLGQ RINDLEERVHHAEHQVEEAVNGRRAAEDRLAENQRLLRISSEEETRLRELVDTKDSEM NEARKETLHWRGEATRIATIAQRRDKDLAQALDENKALHKLIETLGTQVEENERVRDN YRTKLFSLQEDMAKAAKDIAEENARHAKREQGLVARQEVLEARLQAEARTRERIETEL QRLEMGERQGMRAVAECKRLENVLAEMRTENHKLHQSALRYQAEFEEARESAAREVQR TRDAMQSEIDAANHQVNVARNELEDQMARLRSQLDQVKMDADTSKARLEMLLEEAQTT KQTELGALAEKHQNEMEDLQARYDRQLSNTREDAHRTEQNLLERLSISTSKSEHLQDK VAHLEEKLEIAKEAARAAAQAAKSSVGGAELPAQVGASAARELGVPERISPQALRESI MVLQEQLQEREQRIEELDQKLAKVDPEAETKISKRDDEIIWLRELLAVRHSDLQDIIA ALGREDYDKNTVKDAAIRLKANLQMEEQERERALNGGSAINLPNIAATIREAATPRVA QAVGPLAAAWGNWRRSRDPSFGAASSVQSPTGSTSGSSTTPSKSGPTASGFLGGLLTP PASSLRQTPTAPPVKQPTAFSSTGRRFTAQDLANRPLGPASAAVQQAQLETAAAVPAL PSVLSTPPRRTASSGPVTPPMRDNAYDSDAQDFDDAEFYEE QC762_119990 MAKDKHPKKQTPSSSPAAATTTTKQTPPDWPVFRPPLPVVSLDF DSPIQDKVVVLRSFFPKNLCRDYVSFLRTLPLTTTPGKPKRGMAVRVNDRFQVQDPLF AERLWSETGLKEAVLDNPDLAHLWGGEVVGLNPNIRVYRYTPGQFFDAHYDDSNNLTL STPENPSLPVKTTWTLLLYLTSSTDGCVGGETVFYPNDRQTKKEAIPISPETGMLLLH KHGDHCMLHEGKEVTAGEKWILRSDLCVRR QC762_120000 MPLLSFLASRLLGVEPASEQAKKQQNLNVSSTTTATAVPSTASP TGPQPVPTSAPTPPSDKATAPATSPRLSQPYERPPYPPVFSERSLRQMGLMAAGGGFL ALSILVTRRAIARQMIMSRLKYYSSNPIALPSQPLKKDPLIAFTALNYATLNTMAFGI MFVGGLSWAFNISTLEELRQASQYSMARSISSAMGGEEDKEAEKELVEWMAKQLNMDL PKKPEDGSPASPPADDKPTKTP QC762_120005 MAMLSVSSRFVWTIQPLWYTYPFWVGSCFFILVDILLLDPCLIL KHILAMSPFEIQVHCYTLESPSNEHHHLESPTNILSSLTADHPPSHIITRARGGTRDN HVAYISISSLIVTQPFERRSYCHGIVESDSPRPSEKGDKVWLRSDIPLLVGHKGVPVY NITKGVCCEVWIDSVGWRWDKQSISSAPQARRPDLGKLILAGPARNGGVGTGVMSRDD DSAAKMQMVIISAGEGSPTRDKGKIQGGQVLPGGYRHQQQQQQTQNQIRCLTVRELEE GWEDVIGKRGTLLASGSNNGGENVKVKRRAVVKKMPM QC762_120010 MSGDHHDSQALEARASPEMGTMSTPPRRPARPRRPNARESESIY KIRYSYDPWNLSSWSKAGESRLSELLATATDPPIEGFWKSIKASKVVGKWFDYNDNEP IVTCFRFLELDYDRAMKGWMNTLRSGSVMCKKLTDMRVERDVLREQNSVLTNENYHLK DQNKEAEDLIGNLEDMNHDLEDQVKDLTQQLRVKQVENRRLNQTLSSRKSLQTTPGGT VIEDGRVVHTMSDDIARKERDDYLANITRAEDKAEAAQARVGELEDKVARLRAALETI NPAAELTSTGAIESATVTAAGLQAQKAQYEEWMKELKDENAVLKAENEDIKAASNHLE TAMQVLREGNEETLKKEKEKVQAEIRHLRNMLSEQRSEMSGKLQKKEQELKDLWEQYD RVKRRAEELEKKVRRLEVDSDNQINQIRQQVENFGRASRAYNPQLNRLDQLINQTEAN LDQHRESAEKAKLILEQAGKLKKNQSPSPETVSGLADLVNSMYDVFNSPAKDKEKQEP GLKEARETRDAARNMIKELEGLNEMLLGWRSRVRSGLGSPTALRHEGDMIASSIERLQ HQYRRKDTAEKASRRKPEPVTPSKKPREERSPLITGRRVTQRKAPPNLVFVDEPEKNA PETPPNSYPFEHEISSVHAANLAKLEAVIRASEEGNSPSPKGALSSPPREPQSPGDAK KSPEAPKLSVPKESHAKSPSIASGGSSPSTPRGPEEDFPFDDRFNPDLYKVLEGFITI LGHVTMVTDEDNRKPDNSFLKPLLQRYEPEMKTALKKVFEVTGQPPYTLLAPTSRKEH ELFYVCRAYKEFQLCVNPGWDAALCLNWLQECKQGIASQIEARKVTIEYERYLTSKFA DALEVVIKRHEEGDELRTRYNELETELLGTKLSTEEEFYVDGCVKRHLDSGRSADYFE DLVWEVAPVIKREVRLSGITNELEKWVNDYSEWVDDVFKPDYIEPLKQLIKTLKKKIA DRNDMDDKILLEARVRRLKKILRHDPVEDLSLKDTLKSPLEVVTTNILVNQDAVEDQY ATRRRRIESLIREKVMHLYSTKTHSKHAEAACFCSLLKYFAPKLYYNALSDGCCGHGQ MVTVIEEGTNAETQPVKPDDPSLASNRTSTRRSRRTCQGHHGHGVFSASTTVPTVICH ILTSFLWVILFALSAPAEFYSTILLILTTPFDMVGYAFAWVKYLFRYIHWRFFPKNYA ENYHPPTFTPPTFNIPSPPISSTEVPPSRPRTPTIVPSPRSERSSPPRRLSTASYIPS LDSFSNPFRRASASDVDGRSESTEFPMRRDGGPPPKPEPQAKTEETPPVDPFAFRKTP TPAPSVADDASFPPPPPRPAPFKLSTHTASPASLIICLSILTTVFSSVLYLALDQERR IWLSNNNWRRAFVNDMLEPFAGTNTRVAAWANWGVLAFPVSALARAVNRVFVRWWPRW ASVVPWDTERAVVDITWADRTGVSGVSEQSPGLLSA QC762_120020 MDDRNHLAVRGRGKENEPPARSSRKRTSDIGGDPSTSRRRIREP SVQADHGDGDEYDPEQPMQERRQIQRSMRETWREIKENQDRLIGEDHNPLIRILDKQD ATLVRVKQTNEAAIDARVLVSIADMSVKRAQKIGQGNVGGLDLDEFISKASTFMRNGG GIENDEAAELSNTQRRRRQPRGALGSDEEDIGDEGDMANWTHLGRYAAIPAILRPPVP GFLLGPLSIEKKARKVTKRSAPFKVSNLIEVRPQELRAEDLKRNTRNDLPSICKNILV RLEAHESKAQDLAREKCRQLEADRGTELSEEQLRQVMDELGLNGSGNVDLLRFVINPH SYGQTIENMFYVSFLLHEGNIELKFDGNGLPGIVPYKARDDEAESASRARAPMRHQAI MSIDMEMWSAIIEAFGIKQPIIPHRQEEDQTGPGARGWYY QC762_120030 MEPFSFASSDSLDYPVRIRIINLEGEEAPVLFSTLLDNPNLRHI GSNQSPFSDLYVTVQVWAGSKPLTVPVQTSFKTFRAERRWGEWLELPVTYSVLPQNAC LAITLWDLSPTGDGPYGHRIPFGGATLPLFDKDNQLHKGRQKCHVYRHLHADGHDDTT TPALVPRSRPKDGTPEDKDAAELDRMETLFKKHEMGEIPRVDWLDQLVFRGFERRGLQ TARSSLKAKAIRQTEASRDDKSSAQNEKAKPEPSGYFLNVELPRFDFPVVFADYEYPA PPISSFQHLSSSQSNILLKPPPEVHFGPGISVDDDEGYEGRLIRVYDPEVGARDNPAE SKHRRLVRSQHRNGILDKDLKPNAKVRDELNVIMSYSPTHTLSPEEKDLIWKFRYHLT RNKKALTKFVKSVNWLDHSESKQAVQVLGKWTDIDVDDALELLGPTFDNSAVRAFAVE RLRKADDKELLLYLLQLVQALKYEHISTRPGHEVTHDSSLARFLISRAVHSLALGNYF WWYLMVECDDSSMDQGEETQMIYKKIAYDFMAELVKRPDGKETRKTLLRQAEWIAILS KISGEIKEANESIAKRVERVKHFLADPKNELVTIDPPLPLPLDPSIEICGVVPEDTVV FKSSLHPIKVAFKTTSGRKYPILFKTGDDLRQDQLVIQIITLMDNLLLKENLDLKLSP YKILATGTSAGLSQFVPSMTFQGIASKYRANPAQAYLKQNNPDAQGPFGMRKESLDTF VRSCAGYCVITYILGVGDRHLDNLLLAPDGHFFHADFGYILGRDPKPFAPAMKLSKEM VDCMGGSNSEYYRQFKQYCFLAYSALRKNSNLILNLFSLMVDANIPDIKLEPDKAVLK VKERFHLELSEEDAIRHLERIMDDNLNALVPVVIDKLHELVQAFRA QC762_120040 MAAPRLTTKALRLPTSKLLRPALTTATPRAVPIFTQKRHLTGPY GYHQSKALTFSSFGEPIDVLSLHTHSISPTLPSGSVLVRTLAAPVNPADVNTIQGTYG SKPPFTTLLGTAQPSAVPGNEACFEVLSVGQGVKGLEKGDWVIPAKTGFGTFRTHALV EQAEGKLMRVEREGLTPVQVATVSVNPCSAYRMLKDYVDLVGLSMRWYREGKDVSGGA WFLQNGANSGVGRAAVQFGRLWGLRSINVVRERETPEETEKLKEELTGLGANVVLTEQ EFLDRSFRDRLGELTKGGKEPLLLGMNCVGGKSASAVVKALSPKGCMVTYGGMSRQSF PFPTGPQIFKRLRFEGFWLSEWGKENPEGKRKMIEDILNLMREGKFKESPVQEVEWNW ETEEKTLKEAVQGTLGGFRSGKGVFVFGET QC762_120050 MSSSASRPVADQSNSTALAITTGARTIPTLPFGKQLAHSFTFNI FNLLWARPVSEDKPDMPSKKDTAAAAKPANGTATKRFELPALDLKFGALTDGTDIPPP LPSPIREEAVPTPPDTPKEAVKSNGNTTAAAAAAAAAAAAPVPPIATTAGVKRPAEDN PASPTLSARPGSIRRLFSRGLLNSAYVEGEGVEASKRTSRPPSRGASSVADSRKVKRS SGWFSRFRSDKTPLSPPATDEKKPTGPPPPMIPELTELKSSDDAKDEDGFGSDLFKDI K QC762_120060 MALPKRIQKETERLMAEPVPGISAIPHEDNLRYFDVEIHGPSSS PYEGGVFKLELFLPDDYPMTPPKIRFLTKIFHPNVDKLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLAADVAKSWKEDEKAAIATAKQWTQQYAVPK QC762_120070 MPFSFDRLSQPPEQERVVKSAFDSETFDAVGTIHVDGLVGSATI SPSGRDVALASPKGLTIIDLDSPWSPPRRLSSHGLPWLVVDVQWSPFAAREFWVASTA NHRCLVWNLNKRDDSASGAVEHSLQAHSRAITDINFSAHHPDSLATCAVDGYVHCWDL RRPRSPALTFCDWFAGATQVKYSRQDPNVLASAHDRYLHIWDQRKTAEPLTTIIAHTS KIYGIDWNRTKPTCIVTCSLDKSIKFWDYADEDDALQRVVRTDFPVWRARHTPFGSGL LAMPQNEPGNLYLYDRRPKPTDPVDGVVEPVYVFPGHGNHKVKEFLWRSRGSVNDGID DREFQLVSWGEDNELRLQKVEAPILKSAGYERGTPLMENLILTRKGATYKTFRTLDDA NQQDRRTPTMSHPRPGTRSRFRPNALSMGLHTRARLIGPSWRATSMKTKSNSRKTVDK SQLQIGWMRGITISKRKSGSEMRPDSPDSRMFGHAFDSEWWEPETIQDEFLRISSRLP NVEWENIDMDSLTLKASLKGPWGVDEATIFIKVKVDIPPSYPESKAPRFYIEKSSFMP EETHKKLQHDLQELSIRFLERKENCLFVAFTYLLGEVDLETSTTYFKNVRDLDDMDGL ADESSSDDDESGIPAGGSASMSQELSSSTELDPTTLAPVKRPNLPPPPRLCGARFFSN GELVCFFPTKEESQNALFTMVAPDVSSNKQKEEPAFDTFGQLSQDSSAPIHLFNDETS ATDDQSEYSDGTESTSTTDSEPTTVHRLRLWHQPGRYFRKPLSTNDSVRSSGGGTGTG TGTGTGTSRRRPGKPKNVVSIHDFRAYLPSKREFAEEYAIFGDGTEVCNHNAAVAAKY GYLDLATIWKYAALLLRRDVPLEVQYSREKSVLVIARESVSRSNRKKEAGLAGRVKWG CHPLARDLIDRLFDYFEKIADIQMLAMLACIFGDSFEEDGVAYAESRLTQPETPLAMK APSFSLEYFPTHPDYWSMKYRSRGSSAAGTSRIANTPAGHRGSRASEDATVLAGFGTQ SYSRGEKPPKLTPGHAREARQTQSLSTSPNTRSFYKPNSTVASIAASLPRTLAGIVSA SPPDPPARKRLSPAETILSNFAPSVITWGRSTVFGAKAAAPKTARGTARTSLSNDGRV KRTFYSMVPIAVSCVPEDQGLFDDDGWMSTSLIEPQRRELYTHYRYSYAELLQTWGQS LSRLEILKFNVLKDERLYNNNNNWNSNDSDCTDLDPTPRGSQHYPTGASSPVMGFGGR RELFQNLLATGRGLDVTGLCRIHEIQLDPVEYHRPANGHVGGAVGICPRCFPESAYGS KIPQTQLRCVYCLEPVTSLYSPCLSCGCVSHAPCRVDWHAMGETECPAGDECNCVEDA FNGQVESWSALQAAISHFPPVPSPVSSPPQAHPPTRVMTTSERTIASLKKAVGVGSSS SASLPARSRRKSAPTELSKFQLETGIPPPSQPLPPGRPNLRRMQTNTGSSYAQNSEDE TSYPSPTHLDRESGNDWEHIVSIPSVTITRASNNGSSGGSSVASTATTGSGFLAGAMN MGYSMGFGGYGSKPPVQGDEPISAARLSLGNRLMRSLHGSSSSVRGGGGEVRDGGSVR SQQQQQQQQQQQQGEEGGSGISAFARRKSGSVIWKNS QC762_120080 MPSPSQLRLAAARLSRPPGSYAYRLSGNPQIFKSEPPPACASRT GSLNHTTFLSVKQQAAKMSTMQASHGHNQACCNIPPVVSKGYSAKGSYEEIDGFKTCP STAKKGILVIYDIFGYFEQTLQGADILATSSSEEYKVVIPDWFKGEPCPIEWYPPNTE EKQKNLGAFFGKNPPPGVAGKLPEYVKALKEKTGVQEWAILGFCWGGKVVSLVTSGDQ NPFVVGAECHPAMVDPKEAEGIKIPLILLASKEEPEDKVKEFEEKLKVAKHVETFKDQ IHGWMAARSDLSDARVKEEYIRGYKTVLDFFAKNWKA QC762_120090 MARQGDEQLDMDQIDMSMTFSPAHEVGISCGTVTIDPGRAKVLI IWNNRLQIHQLPKGRRNVEEHWFDTALRETHEETGFTATPLALKVPTRAQLPKRALPN KGKGYKKPEILWDHVSREFIGTCSYPDPQSKSPSFKTVYYWAATCDSTSTPDKDTQDE GENLVPKWIDLVDIEKFLRFGAEVAVVKKAVADMKRSGHKIGEQ QC762_120100 MATPSTSRALLRTATPRTILSVPRRQFGRLSKANLGCPSLTASP RPLSTSLPTSSYLLQTTRHYGSSKHPEGFTPPTPADLSELRTTVQEFVRRSLPETLAS ETDKSNQFPPDMWLKLGEAGLLGITADPAVGGLGLGYQAHCIVMEELSRASGSIALSY AAHSQLCVNQLQLNGSKEQKEKFLPDLIAGTKVGALAMSESGSGSDVVSMRTRAKEVD GGYVLNGSKMWITNGPDADIVIVYAKTVPDGGSKGITAFIVETDKAEGFECLRKLDKM GMRGSNTGELVFENVFVPKENVLGKVNGGVRVLMEGLDLERLVLSAGPLGLMQAALDV ALPYAHGRKQFGTPIAQFQFMQGKLADMYTKLQASRAYTYATAKAVDEQADIRTQDCA GAILYAAERATECALDAIQILGGMGYTEEMPASRILRDAKLYEIGAGTSEVRRMVIGR AFNKEYAHLAN QC762_120110 MLPQISRQLSRQCRRTPLLRPTPHPSSPRTPRCSSRTVATFTTP HQSSSISVIPTAVDPSSPEYLENTKLMSAAMSRLESLTRKAHQGGPAKAKEKHLARKK MLPRDRITALIDPGSTFLELSPLAGHELYPEAEVPCGGIITGVGVVEGVECVIVANDS TVKGGTYYPITVKKHLRAQEVAKENNLPCIYLVDSGGANLPHQSDVFPDREHFGRIFY NQARMSAAGVPQIAVVMGPCTAGGAYVPAMSDESIIVQEQGHIFLAGPPLVKAATGEV VSPEELGGGKMHSSVSGVTDYLAVDDAHAVVLARRCVSNLNWPKKTALVKTYEEPVYD PEELLGIASTNLRKPLPIHEVIARIVDGSKFSEFKRDFGTTLVTGFAEIYGHKVGIVA NNGILFSSSSLKGAHFIELCSQRGIPLVFLQNISGFMVGKDAEREGIAKNGAKLVTAV ACADVPKFTVVVGGSYGAGNYGMCGRAYSPRFLWMWPNARVGVMGGEQLAKVMETVGK GVDEGLKDRIEKESDSVFSSARLWDDGVIPPQHTRRYLGLGLNAAMGGRNDVKAGATK FGVFRM QC762_120120 MSLHSGVRRTLRQALVSTRQHLHHQTTPKRTSPKQTTPKQTSPK QTSPTSSSPVRFFSSSPRTPLKDEEGTSGKEGQYARTDHTIQVSYPGPASTQLPPTDN LVKGIGHGGVPTLPTFSLQGKVGVVTGGARGLGLVMGQGMVVSGASLAIVDLNKEEAT KQASLILETFKRDNPSSDQIPTITAHYADVSDPSSVQACIQEVISAHGKIDGLVTSAG FTENFEAVSYPFDRVRKLWGVNVDGTWLFATEVAKHLIERGAKGSMVFIGSMSGAIVN VPQPQAPYNAAKAAVRHMASSLAVEWAKYGVRVNVISPGYMLTALTQKILDDNPDLKE KWTSLIPQGKMGKPEDLMGPVTFLLSDASSYVTGADLRVDGGYTCT QC762_120130 MEAMAMAMLLPKGLVENTREIYKEVASYPIVPPEKLWQYWNVYT TTSRKLVDPTAYRLEHFWWHVWGSDRRYLSGPTLAKLFEEVSHGPTFVPLRSVRNRYE GPSGHSDARNHGRGDAKAASRQGQPNQNSDQQRSAPVGGMKLPTPSSSRPPPAHPILK KKNRGPSGSKPRPTARFVDPSAFQELIITPLTEPVAPQQLPSSPPGEPVAKKNRAAAV VDEAQTPTAVDMPPPPKPSPRVTEMPPPPKPSPKRKNVPSAVTVAGGTDVRPPPISPA KPERAAPPTGRRIVASTAASKRRPVMSRRQSSQSSGGTGTRVVSPATAALVKQVVAQK SKGQEGVGHQDSAIISSSTESQGVVPPISAKSAGKRPAEAPIDQPVTTAQNVHPPPNQ ADGRHNRPLLATESRPLLTAELKPPTYMAAPFQRRSTWDLDAQFRVPRPQPRIPGGLV QDRAQRPSFGLQRSGPMMAGFVTSTTTSPIRESSSTATAPKIVRSRSSNTDNRLSLMA LPTGITSVVATTTPAIATARFDSEPVAFAPREPEARDIPDSVMDFSRRFTTNPVLQPC FTPTPPNPAPPIPYGRSKSQLHLLLEKEKEKLKRGNI QC762_120140 MFGSRRHPRPPNPPLTAATVDPNAATAAAAVFKRHESNSTLSAA AAAAALRARPMTPTRVADVQTKRTLRRSASVASSSRTESPITKGRPNLQRRGSSGSMT ERTFRSPSPHRPGGSGGHRQTQSLSHDAPPVPALPKDIGASPQTQHRKSKSLGMGTTP VRLASQRLGSEDAPSWFGAAKVGDPSNIRRTDPAMASPPSSPPQIPRQEQEMMSEIAR PDSQASSINFSYPTRTRVGSPPVSPTEAPASVGSSARFSLPASPVEAGSPAQMSRTRE QPARQALVASPRTRSTSNPAPDEILVYDPNSRRMVPRSELHPAHHEAPQQRTDSKRKK RTSQKAGSHLAAGTVSRPKGTAVDNSPAIKNQSQFTPAPVQERSHVPQPSVYVQDLEE PSVKAIISSPRLDAKRLEQHGPPPMTPSSPQVSKEATWQGVRRQPSVVREEAEPEEPK PNHIPTSSLTQALDSVPTRPKVVSTPEPRGSPFTQVLDAVTTRQKTYPNTELEVPSVE ISKPTSPSPVRGGRSPVDTRRAQVAHERSHSNSPVRQAHFGPVQENLTVKHSPPPRSI SPRKSALKHSSPSRGASPSSSEASVSGNHEPPAGRKKSVRVSFDDAKDVVSTSPDNRA TSPLSASPPEAGRQRYFGLVKKDIPSLDDDEIMKPRPVLPSFGSIRERKPRDAQPEED AERPLVRPNAETTRASTGPSATGLLPSPSLGSSNDHALGGIFSQECDEGRTHAANTSR LREPLPPVVTSVEGSGYISDTDSDSSLATTQSEHEPAEVSSRDFAPRQPLQTQFELSN GSASPQSIPTAVRQASVNSAPKQEFPHIAVIQPTPPAMAEDKSLKEEFFDVPGGFPED ESDQSVPQRPAPAMVEVPESRPAVQPSTQTISSAVHDTTDSESSIYSDACEDLSDIEG SGFLSLDAVVESPLRPHPSSRQPPKELHATEKPVEPTPKLQTEISTATTAVETPHASP PASPPTESPQDEWEKVKAYWRSLSAEKRAQLEKEAREEAGVEADLDQVQSEPKPKKKK SIERRSSERKALALHMAQQMAVQHQREQEKAVANPERSYMIKPGTKWTEEEVAIPTTM RKTLRSEPQQQSAPTQGPRLRKSLRSSSADTRRSDTRPADMSPARHPAPSAAAAASSA STESQRRAAASPPVTVVPTTLKRRDSSGSESSFKRSRPRSGSATGFRMTMRATSPPTD LPSNRFSMTSVSSKQSGEVPAPSTQMRRTLRDSSTEGRRSPSGMRMPSFGKKSGAKVI KNKSGNKFSSRFADSSDEDGGASGFQSRFEDSSDEDDIAPAPLPPLPKSKSAPNGVGV GRGSVASTALLEELEESEETPAVKTNGTTKPAVSSPAGQPSLKVDTSLRRVRSGKGSI LRTSQTAPALGAETASPVSGAAPPADGKRAATRRSSLMSVLRRKKHDSSSGGIARSSM DSPARRDTKLERNASQLKAAREQDVSPTVEEPPAAEGEPEPEPAVVQTPPPQRSPKLQ KRMGIFGHSHSQSQQQPPPLRMQMPQDDDEPKRPSTSVNLGTRTLSGGIGGGNLGTGL VQHQLQQRTAFSTGAPSVDGSSVTGTADGGTPKKKKRFRGLRKMFRLDE QC762_120150 MRSFPCWTPIPWQHHPWHFGNRNTCGCERSAVAFCKVAACPSSL PPPRQQPFPPPNMNRAPLVMWQFPDLPASQGFWITLLTVLLGFLARQWILKLTLSAYR STIGREHSSKMSADTVSTLFPGRPIRPLPKRPLRERLSAEVASSIQYPRVPQTVHPLF SYPCPPADAQPSQLSGLTGEPGQQSRAGTGADDVSIRRANRVPLDSTSRLSRPVVKTE FSRHGIPYPPNSASSSADGYDTFEHTNNKKKRKIPSAAEMLSNRAHHSSESLPSSGSL AVQPLDAHDEEPPGCTTYYGPGFRGTYGMCNMYNVAGPGRGRYGRPRSGRSPLRPLPD TSNSWVGRVKARPVQWNGKPPTENTGIISSAIANAEKLPPHQGRENISLLNQQLNTKR TPATSQFTFSCGSAVTAWPGRVVPQQSMNIRPPLVNGVRGTQVSQTAYAPSTLPQPAH VLPKDTAAKSAGNTHARPVANPKPARRNAATEYLAAARARRQAKQEENRRHPPKPEDI WICHFCEYEDIFGHPPEALIRQYEIKDRKQRQLEQRRRAQWERMKKGKHKGKKNSKLH NKNINAVQEPAAVDSQGASDSQGTQSEENYNDDEECEDKECEGEDAGYPDCPAEVPGR RQQFPIRPGGNHDIPGT QC762_120160 MAGLDPRRSSRARATQSQSQVSSSSSSASGRPERSSRHFNKAGS PQKSASAGSLSSEPPEDTITADDTFATRRRTRGQGDDRDRAGVKAEAADMTSADDDVQ EEDEAVRCVCGNEEYPGPPPLEDEPRHGAKGAVDIDPIFLASVTDDVAGFFVQCDVCK VWQHGGCVGIMTNPGPDEYFCELCRRDLHKLWTASNGCAQQLSADLRSCFTSLHHPRA LGGHRPSINQVADIVARRNTYSFYLPLRRQSRTSSRSASLNKEGTRSPTKEKETRSGR ATSANQASKRRSTMNSREAAYDETEALRRAIEASKEEAHLEPEGVPRRPKRGRSDSEE KQEGAKRQRTSSRSVSPSVDKTADESDDPKGPSRNGNKSKPRGGARNNRNEKFSEKEE KERQRQEAANKRKGRAERRRADDSDPSEELPLAGRAVVSKAVAPVTGDTSTSETAAEA VQQPSAPEQQQPPPASQPTPDSPPTPAISQAKTDKKKSHKRKGRNQYTRDDEASPARS VSRDIQKDEHPPAGKGHHHGDGVGKAAHSRSRGGMNSKVTMNDMKRRAAALLEFISRT QVELAGETAEDAARNDVAKAAIVNPTSAPAVTNGTNGITTDDSTQTTSTTGPTSALES QEREFKELGCVEMMDSLTRRLVKWQQEYAV QC762_120170 MPRISPRAAVRAASKATSELSQSTPNPITPISTMINQRAIPSDA SEELAAFRSKAPKPKPEQPPPVSTRYPHQKPHRGHGPASQQQQQQQQQQQQQQQQARP EKEPIPIDFTGFVPRDKFEISTSLPRSYFLGHHSAALNKMRQSLSNVGLILELRDFRV PISSWNPVLEESLSSTSAGVPRSRIIVYTKRDLAPPAQMTPHGRPVPGTQPPGNVVRV LRTFHRPPRVNNVQDIIFLGMGPHGASLQPLLDGIRDVAREMDSLTGLRVMVVGMPNA GKSTLLNRLRSHSLHLGKAAKTGAQPGVTRKLGTPVRILPGEDSGDPESMGLGEGVFI VDTPGVFVPYVSEPEAMLKLALVGCVKDGIIPAVTVADYLLYRLNLVRETTYLQRFGM GRPTNDVHQFLRAAARRTGKLRKGGDESMEHAADWVIQEWRKGNLGRLLLDEVTPKKL EEAMELAREPSLSMNQARKREKVARKERNEAKRAGGGESA QC762_120180 MLRRQVTGSASFVCLRCRLQLAGAAGSGFLSASGTGARVANRSS RYFGSRPVSSLPALSRHTVLPLSRQRSHSRCYAAGSSSGSKPNSEDEPEITTENFFEV EVTEVKKRKRIQRILEPAPWELEAAEEEQKRSPEASGEEIQQEIQQDIAREIEEYGQR LWSEGLVEDQSDGGFESPENPAAWSEHPPARRDRSRRSGEHAANKSMYWPFPQFRDSK DSKSWKSKGQLVEAERENLGLDMLGKPATAIVLRKKPLRRLENLTKGGEDLELGQTSA MLQNLLEGGAGSLTSEEILLNIHELRPTDERVLSEEEFVTLKDTLMQGFTVPQLNAYV ETWNSARQFKDPGDANTTVPPWILEIRPWVAAADNTPPDLDGKLWGYVSQGAPPKEKL VVRLMRMCWDLSCSSVLEGQGYLDVKLRKAEFDMLTLGGKRWLRDISRTLLKNGKQIE MFPSSQYLSITAPKHTADLILDRLNQLLSHMKTVQFNASFITPGPLAIEPAVLNQVET MTNSIIRRSPSGDKILVTWIQLPGRDAVTENHGEQVLRLLSYAYREDPRASRSLVETP EASSKARFVPEVDCGPKLPWHERSKSWARWTAATNKVPPKSTRATNDEDVSPPDQTTT TSSTKNPIPTSIVTHPVEFKDRTESLPVNKADYSPGWSLHPKTDTTAVFGHVLFSSVS PSTTAPPAPDLPRTFAPVLPCVRFLSLESNLKNPGLWHMITVLRFIPAPDTDPSLISS APNLEVRIESDHREIKELKDLRAVISDHDADLPLPESPSDVRLHQTKYYDLPGTGIKE HAEPMFEFLRDSTLKPWEEKLATPASLDGLRLPRRLFAGKEGEEGEEGEVEMDYMFAG LEIHRMIMSEWGGFRMRYTHVSGGFRRGVRSEVALDAVPLEAAKEGVVEEGVAEQEDE RFLAAEEVIGEIGEGADLVEAIDEALVMAEPAEKPEVKEKSVEELTREYLEAVGKFAR GEALVGEDGEVKKEGVKWFGDWVGAGEK QC762_120190 MLDAFSFSPHHFISSTPESLSDIIVDTNTLFVSRHQPTDSLMYA SSTALRYWDDYMLERMPRLQQSRRQRPVDEDEDVDMHEPQDDQYASDGAADETMNGPP NEELSQLIKGLVRYAIACDFSRTPIRRDAIREKVRGTNGRQFKTAFAGAQKQLRAVFG MEMVELPARDKNLMTTEQKRKAAKSQSQKEATSNAYILTNILPEELRTPALTRPSKVV SAEGEAAYTALYTTIISLITISGGELSDTRLRRHLARLNAAEYMPSMNPNDPANPTEK TDVVLQRMIKHGYLVRMVDNRGNGDDDSTTWHVGPRGKAEVPKESIAGFVRTIYGGSD PELESKIQISLKGVKERKPEILEQEQEHGLGDEDEVREDEEMQEAGPSNGQRRRHA QC762_120200 MGCADKLRTQQELERLQAKYIGTGHPDTTSWEWKTNINRDTYSS IVGHPPLLSYIALASNEPVTKVRAEMIRKMIQPAGPPPAREEDTIMGGQQS QC762_120210 MTAILLQCALAAAQDARFDSEASAIQQNHTATHGDDPLIACSSC YGSLLDLYKARYFNCPPADLLKDPSLSSQGEWFTSAPPSFLEKLADLIEKAKQYQIHP GLIDEHVKKQKERWYADSLTTLRLRSMVQDEDKAAIAEQLERFTTGSAPIEELMTAVS TSLKKLSGSESPPIDDLSRGLLAATNHTERIEVLKEALFATPSQPTDGSLSGEVPEVH AKYYNMLAQDNASMEQVKDAILSDHQRLSSAQDEIKKVEARLAELRRGQAAYELEKAK KAENKKRLAEQQRSVIPDGLTNLPPCSVCRNPVNPSSFRLCTVCALLSGYELEGAEMT VYCGFECEHEGYRNHLKAHSCSAGPTCVHARVDSSGGRQMPNNNHAAHDEDTKMSDVL ATPADVRFCKECVVNLKKPTAWCSPACVRAHYAQHHEKVHVGGAADGDDRMDTNGGLE GVDFDSQHYIISLADAVKEWEARNGGVRLEE QC762_120220 MLRPATPLSVLLFAAFCLLLLSSLSAPIISAIPLGQWMGVDFGV FGFCNGGSCTAIEIGYDVQQLSADGFDIPAGTRTTLTTLLVIHPVAALLTLIMFVLAV VAHLHSPAHSGRYLLALFIFGIFTFLVCLLSFLVDVLLFVPHMAWGSYIVLAATVLVA ISGLVSCAMRRTIVSRKARKQRIAENAEMSGENYYNRQLQQAPPVSTSPAPQPPTVPM LSGANGRPDALPEFASFEKRDDRSSDERVPLTSMSPTDRSPNNNYATDGSTTYVNDTG PNPSDITAQRSMTNTPGSRGYADPSPGPDGYPMRAPSYERMNSRGRGGGPPGGYRGRG GYPGPGRGGYNGYGPSPGGGRGGYGGPQGRGGHGTPPSVRGGYGPPPPRGGGGRPLPP SYRGTPGPYDRRPSPAGPYGPGPVPYDARQPSPGPPSAPGYVSRSASNVSAGGYNAYN PNNRDSLPRAESPPPLPGIDDGIPTQAVEMDATGSNRGVGQYGIRDSDSDVAGMLAMQ QARLSPGARHDTYVSDASRYSQDDTAYVPPRQAWNQNPVRTPSPLTAVSTRPPVDLRG GPGPSLQPPQQQQANPGLRPAPSEYYEDVDPRFAEPAPLAASNSPRPRTPPLQPLQLA NSYEDIPQGARSPAESERSNFTSISQRGINPRWQPPPEMPQMIPRRPVGNNGPRPADL LLNSNPDFELPTRGGGNPR QC762_120230 MWRHGLAGSTAGSRLRLSATITRTRTTIASAQPLRLPRPWRTIV TSPSHRRPLHPSSGPFEPLRPPSPSSLGAPRVARSYPRAEKYLRRLLIISALLGAGYL VDSQIYASGIARSLRTFGTGLLVAADYKLNFRPHPIPLIGSKSGIPELHRRSAERMSD LLRHNGGLYLKIGQAIAMQSAVLPPEFQKMFARMFDDAPQDKWELVEKVIRDDFGGRS VEEVFGVDFTGRDESKGVMEKTARASASVAQVHWARLPDGREVAVKIQKPEIERQIGW DLWAFKVVMRVYTWWFDLPMYSMVPFVSERLKLETDFENEARNSEVMRELINDEPALR GRVYIPPVYRELSSKRVLTTEWIEGVRFNDKSDLTRPWRGGHGNYSPGVHGEQLPSPD MPSLRAALRQNPDRQRLKPERSSWRGPNLTGGLGVSPKDVMTTIVDLFSAQIFKFGVV HCDPHPGNMFVRRLPNGKPELVLIDHGLYVYMSDQFRHDYASFWKALMTFDNAKIVQI ASGWGVKGAEMFASATLMRPYEGGENKVQKEFLKAMKARSPSERNYEMQRRMKQGIRD MLADEEKWPKELIFISRNMRIVQANNANMGSPVNRIKIMGVWASRSLWQDKGLGWRER VGNAWRHLLFKGVLWASDAVWWFFRVKQLLGRGGGMEDEVEVKMREMAREMGIELQHD VFAG QC762_120240 MSHPIRRSSLGGMLLRRSKSADLKKQQKLAREQELQRQRDAVSK SPPRLPVLYNGAPAPQLGLGGEARPDSIAIVSGRAGYAPAPSAGPPADAAAFATPAPR PSVEPARSNFSIPPPPIPNGGFDPYAGTGSMAHRGRYSYASSAMSTINSPRRVRRRKD PTPFNILVIGTSNSGKSSFLEFLKAALALPAKKRSKSTIEHEEIPKPPPSGNFIPHYL ETEIDNERIGLTLWDSEGLEKNVVDLQLREMSGFLESKFEETFAEEMKVVRAPGVQDT HIHAVFLVLDPARLDRNVAAAKAAGANGHVSGGKHSPHARILGSLDEDLDLQVLRTLQ GKTAVIPVIAKADTITTKHMNVLKRSVWDSLKKANLDPLEALGLDADDDESTPDSSKI LEEDEDEEEHPSSNSEAEGSTDGQSLPIQGQSPSPNSKRLSNGSVRRSKTEDTLKDDE VPFFPMSIISPDIYEPEVVGRQFPWGFADPYNEEHCDFVRLKEAVFSEWRGELRELSR EQWYEGWRTSRLKQRDGAKLRR QC762_120250 MDVASSCSKISMTAAADVEAKKQPTYTTVGSIYNPSAAAPIQAP TRRPRIRRFPQPPESPLGDPFDFTDPLRALLKEKSPPSPPTTAAVLKQYTPLQQNYDR ALSPINEQEYLAMTMPPQFRRSEIPSPLSSTTSDIGFGRDRPTVNPAGLPSTASSGPP RPLTAGPPGVRQFKRNGFDPTTSSARIGRLESQNETSAARPLFPIGHQTKPSIIRQPF QAGVNYMALRDGDIRGHDAEHPSQLLQHNLSGNYGSTAQQSRASPDLRRSSSSTPFRP SDLDPKKGPVHDTLPPEKAMEYFPAGFPSNYDGQYTPRQAVPLKSSPLDQEAQKQTQN QLDKQDQQIHDPTNGRVQRPIGAIGAERERRRNAIDKAVSRKLDNEDTTKMDSNEYAK PLLDRTYDALLKYRDSGRSACPSNGWHPHFAEPDESLFDHSPEGNNSFFDDPRMEAPK KKKVAKPTRKLGY QC762_120260 MPSTKDWEGDGSVATEEPVSRDSVKRHRSSRTTSERKDRDRDRD RDRDRDRDRDYRERDRERDVDRERAPRDKSRYRDKERDRDRERERERERERDRDRDVE RDRYREDKDSSLSSSTHRRHHSTRSSKRPTDSEGLLYTSRSHSHRQRRSRGDMDKDEP GSKSAAAGSMTELVPELARGLGERVSFPYPSVNKNYSKEALYSKEDVSTPARRTDPPT PEPTDLGSSEMKRSKSTNSPGITRQKSTRQQSRQDDRPPSPPETDLSDPKKRSGTPSS QQEDDRPGSRDSYVSRAASKHESKSKLSRASSQATFVLRAAPSTRQSKMEGSEITNPS TVDSDATQVLPRRTQSTRPRVETDSSPESAVDSSPKTPMHTQNFPPPPPPVLPGEKDH YATSDVNHYATSDVHDNNHYSTSDLPTPSATPAIHPTGAVPPPPPPPPPPASIDPQDV PRVDYLMQNGGLPQPVPRQFLSVLPRQNGTRPSNPPLQGAETLFAPFFNLLNQYQTVI NGNGSVAVATGHRTVARRLLDRLENVFNRDLPPHGCNCVMCERSDEVNRGLGWGEVLE RVSGRVELPPWPPFDFSMLAGKAVEELADVPPRPSSPVKMDPDIAEEFREHYLRQTQR VKMAVDKWMVNCEKTPAPPPTEVDDETLSFAILTNLETDERPYFNAFLTGSRELQPAN RAPTPARKSRNDFVVKAGLSLQRLYRLPVAPRDAEAAVYLVRNPGCWHDLLVTISEIT SSEWEILISGRFDGFLWSGAEDDGIPFGEGPSRLTTPASNMPSRLMSPGVRGGMSRTT TPFGGVGGPMSRNATPFGGFSRGPTPASFISGVSAASSSYPSNRASITHDEETEIACL AELEREIFNGMEALEDAFEKLHEQAMGVRDALRRRGAALSMSLQQRRGGLGMRGIDVL PLSGSSGVYDRPGWADDESVDGADSEWGADEMSELAPDDSASQISSNRMRRPKRRRER ATPGVIEEEDEQ QC762_120270 MANTPHGGVLKDLIARDAPRHAELSAEAETLPALLLSERQLCDL ELILTGGFSPLEGFMTEKDYNGVVKDNRLADGALFSMPITLDVDQATIDEVKIAPGAR ITLRDFRDDRNLAILTVEDVYKPNKELEAKEVFGGDEEHPAIQYLYNTAKEFYVGGKL EAINKLQHYDFVELRYTPAELRAHFDKLGWAKVVAFQTRNPMHRAHRELTVRAARSHH ANVLIHPVVGLTKPGDIDHFTRVRVYKALLPRYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSKGVDFYGPYDAQYAVEKYRDELGIEVVPFQMMT YLPDSDEYAPVDQIPKGVRTLNISGTELRSRLRSGREIPEWFSYPEVVKVLRESHPPR SQQGFTVFLTGYQNSGKDQIARALQVTLNQQGGRSVSLLLGETVRSELSSELGFSRED RDKNIARIAFVASELTRSGAAVIAAPIAPFEQARKHARELVEKYGDFYLVHVATPLEY CEKTDKRGIYAKARAGEIKGFTGVDDPYEAPEKADLVVDLQKQSVRGIVHQIILQLEG AGLLDRF QC762_0020440 MGHQLESFLGSPACQPCTISFGGTVPGSGVGSLTATPSSGLPLA YWDDTPYQHAFDPHTYCVADNIGPISYWKYYSPVCDPRHERCANKYGIRRHLFFDRKS FAVCSFSIVKLQLIKLLLSYGHWFFWSIIATNLFIFSVLNFIWYSRNKRSICLEPQRH LTNIKCAVPNRELHHDAKTNRDNPRHRELWSTIKSFNINPELSFLSICKFYHHCRSFG NKPDTRDREFTDCAVTWNIKWNSTSRVDWKRNGVISLEQFDWSNKRTIRNRLNVWHRK RDLHSVDEYHSLNLGDRNCHNCIIERNCTVRSCIFSHWHLVCAISADKHICFVPGPKL AWD QC762_0020450 MKASAVVVGLASVITILNSTPLTLAREDGGLWSRFRRAVGLPAV PEVGISHVSYERLVPRQDPGEDGGDGGDGGGDDGDDEDGDDDGEWTDDGGDDDGDGVD DGDDGDDGGDGDDGVDGDDGDDGDDEEPLGYGPPPPPVSTTSGGYGYTTPVHFHHSKL DWGVYVFDVQHGIFQEHISLPYDIDYGGHPYVYDKFGDISQRIILSECYAFSRLLFGD RVAST QC762_120290 MPNRLVEQAQEVAKEDIDKYKALLNDAARSRSYLYPIKGIFYFM SHRELWKPLASKLVPYLGLYASVIGPMFFFTYLPQLAIMVFVNGPLAVLTTILLVLNE SAAVVSILSKSLLLQDALLDTFDGTLIAKNKTEIVANGREVNSRGDAIQKLGKALKKP FSKFSVKELVRYFMYLPLNFIPVVGTVAFIIIRGRARGNSVHDRYFQLKGWSASRKSE WLSKHKGPYTGRLRKSWIHEAQIASRAHMSAKLSTCDTLTYHAQFEALVWLTMTDVTS FGTAATLLEMVPVASIVFSFTNTVGAALWAADIEGKDTEMTKATAPELREAAKKVE QC762_120300 MKLRHKQSPLVLLLLQSLAATAVLAERQLDRDSVAADSYRSDPI IDTPAAVKKYGTKDAPIDGKDGRPHAGPFVELDSASESSELPVLKDRPTDPTIIDGKK IPDSNDGVMDDKNRSEPKKGTTGLEGGVSEKDKERKLKEQTGEKVENKPQAPKEAPPL PHADQEKILAAAGDGEGRDKTSEDVSGLEKPADLPEKTHDKSLPLPDSAANIDHLDIT KGSKGQSSGSGDDEAEGLIRPFHSFVLSLTMILFSEIGDKTFLVAALMAMKHDRMVVF TAALSALVAMTVLSAMLGHAVPALISERLTHFLAAALFTVFGVRLLREGLAMSPDEGV SAEMQEVEQELAEKEQEARKHGRRRSSVSPYALEMGLGTRKSRSKSRFPTPPRSPSSS PESRNRSGRNALGGFVSGLSNLFSLLLSPAWVQTFVMTFLGEWGDRSQIATIAMAAGQ DYWWVTLGAVLGHACCTGVAVIGGRAIAGKVSLKVVTVGGAIAFLVFGFIYFIEALYS QC762_120310 MSGRGRGRGRGGAGTDTGAGRARRSTRQAAAVQQQEQSEPTPSI NTQSPAQQSNQAIPSQDGLMGPPPPPGIKNVQGPSVYQFSRRGAPRSERGGSLASLNS VAVSDSVYTAMTSQADHLSAASGTPIRPINVPVRATSVPFSVKYETPGKRARQTVFMT RRLRDLKNTSVEFFEHLCTDQDNEGWEEAHEQIRFIYDAFRRVYVGKNSEPTIDPSFV LEAMGHHEGTPAWYEAYRTVYLANLAALLQDIQTTEQQDYLPLLQSWEDDFPKFYIPK DQDIAGVSEMVIDIRTQLSIFTLKKFRQDGSIDFHPYETIAKIWSGEDISASKVEAAL GGNQDELQLRPVDPEDPDSALHSERAWTRLRSLCEHFLNQHLTPGTEDAFDQALKQLQ STYDFSEFVKHLRALVEDSFLRTKEALDAAELPLPGQSSDASRIDSQIQTQLEADMAQ DPASSYNVGYPSSLPTYPRIPYPEFSQESSPGFSDQAPRSGFQNGAIYAQSAAQVTTT TGGRKKRGAAAADASGDQENTQPKKRARRKKNADAESDPSANGQVVPVQPAAPIQYPP LPGTQLEPDFDALTQRSKEISAANRKAREPQVRSAWVRNDVRELVKAVHTYGCKWSVI EKQIKEGNIHFERPRDQQALRDKARLLKQDFLKVDGVLPQGFDLVVLGKKEREAVKAC GKNPDRKESDLDANGHPINTEFGDSVTAAAHAAPVAQMDALPSPPPHDPQLLQGAVHG VQDNDIGMDGGQDGMQDNGFSEHGKSEADPVHDPAMDASAEAQPVISDLQPLTTV QC762_120330 MSYGKKDEDADTGLVKIDRTQVFQEARLFNSSPIQPRRCRILLT KIGLLLYTGEKFPTTEATTLFFGISKLFQNKDASLRQMVHLIIKELASSAEDIIMVTS TIMKDTGGSTDAIYRPNAIRALCRIIDATTVQSIERVLKTAIVDKNPTVSSAALVSSY HLLPIAREVVKRWQSETQEAAASTKSSGGFSLGFSSSSANLPVNNSTMTQYHAIGLLY QMRMHDRMALVKMVQQFGAAGAVKNPAAIVMLVRLAAQLAEEDAQLRRPMMQLLDGWL RHKSEMVNFEAAKAICDMRDVTDAEVSQAVHVLQLFLTSPRAVTKFAALRILHNIASF KPNVVNVCNPDIELLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMSEITDEF KITIVEAIRTLCLKFPSKQAGMLQFLSGVLRDEGGYEFKRAVVESMFDLIKFVPESKE EALAHLCEFIEDCEFTKLAVRILHLLGVEGPKTSQPTKYIRYIYNRVVLENALVRAAA VTALAKFGVGQKDPEVKRSVDVLLTRCLDDVDDEVRDRAALNLSLMHEEDELATRFVK NDSMFSLPYFEHQLVMYVTSDDKSVFDDSFDVSKIPIVTREQADAEDRTKKLTATTPS LKPPKTGPTKAAPSAADAAASATATAQKYARELLAIPELKEFGAVLKSSPVVELTEAE TEYVVSVVKHIFKEHIVLQYEVKNTLPDTVLENVSVVATPSDEEELQEVFIIQAEKLA TDEPGKIYVAFQKVNGEGALPIATFSNVLKFTSKEIDPTTGEPEETGYDDEYEVSEFD LSGADYVVPAFASNFAHIWEQVGAQGDEAEETLQLSGMKSIADATEQLAKALSLQPLE GTDVPVNQTTHTLKLLGKTVGGGRVVANVRMAYSSKTGVTTKITVRSEEEGVAALVIG SVA QC762_120340 MVYVRQERLPALKQYKYSSVDHSLVSKYILKPFYTNVVIKLFPL SMAPNLITLTGFMFVVANFLTLLWYNPTLDQDCPSWVYYSWAAGLFLYQTFDAVDGTQ ARRTKQSGPLGELFDHGVDALNTSLEVLIFAASQNMGQSWKTVATLFASLLTFYVQTW DEYHTKTLTLGIVNGPVEGILILVGVYALTGYKGGASFWQQGMLAAVGIPQEILGLTI PEGVYNMNFTEWYMVQGTVVLVYNTVESARNVIRARRAKGDKSRYALVGLGPFFAAWG LIVAYLWLQPGILRGHLVPFALFAGLVNAYSVGQMITAHLVKLDFPYWNVMVIPLGFG VVDSLGPLLLKHSPIPGLGWPSALGDGVYQVAYMFCMLGMAVGVYGSFVVDVIVTICD YLDIWCLTIKHPYVPEEEDESNGELKKKN QC762_120350 MDFTHTAYFGGSQPYQFMGIPPPLTPAHSTSVASDDFNTTSPPE VYEQYPNGIPHEQFQNFDNYVQFNPAQTFPGPPTPPGQAPIPAPVQPGPINGAVPQAR PPTVEIMPLSTIDFDEQSRRQQGSNSEEDDLTPAQSRRKAQNRAAQRAFRERKERHVK DLENRLQQTEQREQETATENERLKKELQQKMTELEILRATSNANGGGSPGRNSASPVV TGPMSYNPTDFYSNVLQNHANKTPSHRIVTSDSGERLLAAGATWELIISHDLFRRGLV DIGAVSERIKPLAKCDGQGPVFEERAILMAIEQSVASGSDELL QC762_120360 MATWSGQRTRLTMLLHIILFTSLSRAAKVMFTTGTDVDGVTREL AANRYPALYTGDYGDCMGGNSLFSISKFDAAYYADNMTIVLHMDGTSSLRNESLMMRI AVDAYGENRFDKIVNPCNLNIASLCPVLPDVPFRAWVEIRVGPQQIGGIPDIAYEIPD FEGTTRVQIFASSGQQEAGCFQAAMQNGKSLSHAYAIAPFMGLFTMVAIIASFSTAAY GISVPHMRMHHAHSLSVFIVFDTFQTIFFSGALSVKWPSILVAWWSNFAWSAGFIYAP PFVQSIHSFAGVQGNLGHVDGSGPMIINRGEHLVDKIYGRSLMTRGSFNATDPWRYEW GGDPVAPGLPLPGTWSGFPATLAAIGIPAADAFVFGLLWFLIAVALVMFAVIGVKILF EGLAKARLVKEDRLAYFRSYWVGFLGHALLRTLVIGFFMLMTLAIFQFKIRGSVGAIA VAAVVFFVVLMGVTSLVAYGVWCRTRHGTFTITKDRAVFYRSTWRVSIILETRIKEHN LEVKPILSIPVYRLRHNNHDDDYPTVHLDQLYVKRFAWLTARYRRTRWWFFAYYITYS FVRAAFIGGAGDVPLVQVYGVLALDIVNLAISAISKPFEGTRNTAMGVWILGLCKILT TGISIAFLPQLKVNRIITTALGVIIIVIQGFTTVALIILIILSALSSRLSLLRNQEEF TPRWLDKVRIRYFEKMQTKAQDRWKPPRGLVSKDDEIKGPTTPPSPHFSVTGVRRGSK IEDDFDGSTVYNSQQSLREKGSDQSLTEQPRGRHSQAGSARSWLSTGSLPRTGRASRM SWSSRECADPALLERPDSTLAKRLSGITFTVTPDDGSSMMTRDNARVSGESTTSHVRP QASYRSFRSQSTSRASSIHEKASTPTPTRETFGLPALTRPSTLPEAAEPDE QC762_0020530 MIHQLEIMQAQLCQRLLGQVVSQLLCVLLRGEHKVMFEQPCAFL DPIFLRCLLVYLAKLDNEALFDAKDGIRGLVGVTLKVQCPYLIISIPIPPRKHGQGEN SRDQLIPTLLLKHEVNMRRPPRMSPQPLQQLPHRPIMRDWVAHRLQPLEPEPALLITQ HDAPLARLVPVAVLDVIMPAAVRLPDINLDALNGVPSRVSDGTNGKHRLTRRVGGHRG AVLEEGRIVGVEGAEDGALGCAGGFGVVDVVEEQGEAEGVGEEDEFLFVSPHQHLIPY CLPPSLNHQMSETDATYIPHLAADLPTSNQKLQPGHPLVRAQPRLPRKVMQVRHQSRQ QIRQPLVVRLAVNPDGIRRDVVNRQVQKRRADRAGVFSARFRHSATEKSQTNPAITKL VSRSLGKESRLPITQWYNPPKQ QC762_0020540 MAEPRRENSGPVGPPLLDLTIDNITPNTIRINSQSDDQRLTYLL SRLVTHLHDFARETRLSTDEWMAGLEFLIACGKICSEVRNEFILLSDTLGLSLLLDNI NHPKPAGATEGSVLGPFHTHDAPLLENGASMTSDPAGEPMLAVCTVRDTAGNPIEGIK IDIWETDSSGHYDVQHSDRNEPSERCVMLSDEEGRFWFKGLKPVSYPIPHDGPVGKLL ERLGRHPWRPAHIHFMFEKEGWDKLITALYLKGDPYETSDAVFGVKKSLVIELGKVDK ETAEKYGVEEGTWLLKHDFVLTTQKDTEKLRDDLAKEALAKLGLHNLKLVDHLPVPDL D QC762_120380 MAFRPEELALDTPPVIFEDASHSSGNTHTTPTKATWRPLYRHEC AFGPPVFDSVMDNLIRNPNINSTWLFRADILHDAQDGPANPATPADEADSLAFVADIP SFVGFELQRHVIRKLIPRNTLRDKPLDQTCLIYKSNPGGEAVERTLVIYLPHVSTPSD MPFYHPVVKGIAFVHEWTPAESCGSISLSYLFFDEQDRTVDKLIRTAFQLLMVIHKHG KGRVQGYQKRVHHDVLLPQARVQDTYTKLKQKHARSLIKGWAEQTDPEKHIFEDICIA AFMIELWRDMYGEGPFPGFVDVGCGNGLLVHILNQEGFSGWGFDARSRTSWASYSTRV RSLSGEEQDSLRELVLLPTYVSRGGSGDGFDEQKAHDGVFPKGTFIISNHADELTPWT PILAAVSDCPFISIPCCSHDLSGKLFRASPPKDKTKADSTYSSFVGWVSEIAAECGWE VEQEMLRIPSTRNAAIVGRKRTGDISSVDVPALVHKYGGTAGYFDAVVRLMKQPPSTH EHEASDTGPSVGKKSKGKKPKQKQHKAG QC762_120390 MSTEIENLKTYDPFAEADEDSGKTKQTQEYIHIRIQQRNGRKTL TTVQGIPSKFDHKKILKVVKKEFACNGTIISDTEMGEVIQLQGDQRTKIKEFLTDKEN GLGLDDKTIKVHGF QC762_120400 MSNLAMPAAQQRRTAAAAAAADGAPGANSNTTTDYVTHVTGSTT TSPARSRAQTPRYVDNPPPYSSKTATTTAVSTAISTEPITHPSVTADHHHHHQLLRAV TQSSGPVAPSSGQTQSTSVLRPRVAVVLGISTPWQILLYISRLGSIVPGLWLGLPCVL RLVYMIFSILVTNHVVVGNGQHGFSFGRLSKPSSAVSCTSPPSAPTTEVPPTRIPTTG FDIPFETSLRITETLLATIWCVASSYLSFFFTDCLMSRWLLNYTPQATIVRLLAISAL NGWCTWGVLYLTGGSEDPRLLLPGWIVISTTLTLLYHLTQRKINIRKETRASISAFSI ASFTSMVALLAQLHSNRTDYPDIPLVTFLSQVWGVITGLALKIMEYGNVTRDL QC762_120410 MASVSRSGLGVRGVLSSQASARISPRVVRVAPTCSFSTSAALAR SVRRANRAPARDEIRSPSAQIAQQKLNQKVTSNAINVIFPGTFVRPPWDQWPKDFSSR FAFFRTWVTVKAREAATKFAMVHSSRPRFFKRAALKTSNPLAILTAKGLHRSMMEALA SGDKDTIRKVCASKLALPLQATIDNRPKDKLMAWELVEYTKTWFYPTVLSHKLSPIEK SKDAPIIEQVVVAISSKQRRYQYVLGADGERKKLAGTEKEMDIIENIVIGCVVDPHTW QRDEWRIVGSMKATDPNEWKEEERLVKMVEHSEAMKR QC762_120411 MHDATNHLPYIFPQPSPQQVPWGGDLLLLIIFLPRRCCFQTLQI YTMADIQQIHNTIRAIAHDKAHISIAHIIYQQNASRLRVLLDTVAVRHGFTTGTVTKA MVGPVGPGNFSPLVAGWVYRHLWNLRQSDKMLQDIMNPREYDNQRYNNDQLRSWTNTI LHSHVHQQKLEEIAKELNGIPQEVRTRLRRVILENAKIQQRIGRIENTPGGVDVAALL DDSDEGSSGDEDTC QC762_120413 MLSKIYTLAALLGVSMAAAAPSTRSLNLAILQARQAAEAPRLAA AGMVLDSTEALADGYFIETYTDIDDTTVPAVQHQNFVRPAYCHETLRCDYSPQHGVKR EVTERLVRVLFRHKGWEWRAPRSMCLYGDYSRACEQADGFALCLGLAGSGDT QC762_120414 MGDGETSPWTSSSIQTIRGLTISPSTPVNMEGPRHNTANKRVLL AKLLAVITKHSHWQRTWSDHCYVEAQLRQHTNRIFDGLDLRLTLMKSRPSTEPAAPVS PNLIDPCFVPLPLDTEREALITNPFAMLMTPPLTPPGSTISWDVMRNPFAGLRSFSGM SEAGSVAEAATKKHTCFPMDLERPYEQAFYPELPLGGPPDQIRHPELDSDGEQKLQAA HDDDKVWQLQMQFVFRGEVIEVWRWLEQRSRFLHPSHISLQLQLAVIKSLEDYLVPPI ASQLMDAEAEANDNLALMTKEIAGLELSIDRMSRVLDELEREDRDPFTEPISAVLFD QC762_120415 MSEATSKSTRFYLNLSHTTTIVQPQTNSTRPLTSTLNMFRTLQK ICQAAKDHFRKYRQHLDLGRPVQKPPPRAILSGPQLDRIKAVIERGNIQANALNIRAR TLIDLSHAYRREKARLTAEMERQVRQMNHQFSLADLNHIIALYPEDDSTRAAAVEFHD VLEWLNSNERAMRQGPAVSDAAYHMATWNHSAHLAGFPDMYPNSLTIEQLEVEAAKVE ATLEAVENKVWLMRRELDSIVAVARLVNGLGGRWDPFAHMLKKELY QC762_120420 MLAWGTCCRYPKFLPFLPPYHSCCVVLKQRCVALGSAILFAYPQ LATISGVQGVIVYALSSALPLFAFAWLGPIIRKKCPQGFVLTEWTRQRYGDAAALFLS FMTLVTLFLYMVSELSAVGQVVNMLAGIDGLPVLIVECIITTIYTSLGGFKISFFTDN IQGTMVMALVIIATISIGVETKIDTSLIEESGLLKGNLLGWQLVYILPVALLTNSFFL SHFWLRTFASKTDRDLWTGISLAVVAILVIFVLVGCTGLVAVWAGLVPGDDLENPVDG GIAFFALLQQLPNWVVGIVIVMSVTLSTAAFDSFQTAMVTSASNDLFRNRLNIWWIRA GVVVIMVPVVVIAIRAPSILQIYLITDLVSAATIPVLCLGLSEKFYFWRGFEVVVGGL GGLFTVFLFGLVYYQDAQKGAELLLLQQGLFTGDWGCFGAFVAAPVGGILWGFGALGL RLSIQYFSAKRKGVRFDALDKPFVVDGGQQQLVGDTQHVDSGVLRDEAIADDSSDAPG IAKTKGKFF QC762_120425 MEPSGLELVDTRNQSDCGKAGRIEHRHRRCEEAIISAKSVGFFI SRGAWGIFISCSIKTSISIIGTGVAWTFRNQSKGEFLAGSRTQTAVPLALNFIASGE QC762_120430 MSSPSVTWSEEAVVGVDSSPVASGRSRSASEPSSVTGSDELIFS AASSPAMESLAACLPSAALKEDDEVLETSTRSAAAEATASTSPTDRRSVSWAVEVAGD SVGSLSASRSVSWAMSVSDSGNSAEIDPQDVYPSTACVFVANLAEPRDDVALEAAVTR AFSRFGTVFVKIRRDHNNLPFAFVQYTTEEEAKDAIERGRGVPIFGRPCRTEMVKSNR SFIIYKRDCSEILIDEARKIMETFGTVSSIEVVDDDTCERMDLPSSVLVEYSSFNSKK TFSMVGLFFDCPSGLLLYLLTTTHKAVALFPDYYIDMFDVRKRAAKSNIDRDTEFLRQ YDLDRRSIYVGGLPLDATEEEMFEIFSDVGEVIKVNMVQRHNQEGALARQFCFVEFDK METPTYAINNRDGMVLRGQHLTVQRKQSKVAKTRLATRYIGKNELTEVNKTQPHHDDQ GYQGHQMPIHHHEPQHYQRYAGPAMPPMPYIPGDGAAVGMSGMPVHPATVSPSFAQSF PVHPPGHTPPGMMSAWPVITNNTPTRHHQAYNPNPAFAPTQRRAPDRSCAQPRRNHTR YFYQPADADIVEE QC762_120440 MQTTRVLRYKGFWGRSMDELKRLSNIAIKMEGVTGPQGPRELFD FRTPSSIEDCKVMSDDEIGGLSTSHLDWIVAPPAGSVPASQLPSPNSPGYAKFYGNIS THLPADRPDIKRTGYAAFRTQDRPRNLFTRGLWDIDPYIYLALRVKSDGRSYFVNVQT ESIVPTDLHQHRLFVKKPGEWETVLIKWNNFVRTNHGFVVEPQTEILRQKVKSIGVGL TDRIPGPFELCIERMWATNDESDADQVVDASAAVAAPEQEAPVVETKQEGDLKTKRGE KVAWGSR QC762_120450 MAFVRFSHSGKVEHTANMANDTLLTDDYVAGLLAKEASDASIKY SSVGLEAFRSSKPANKAKPNTNFLGRIIKETANHNKALLAKEAAEAQARLNHHTEVEE RKRQRLNPTKSDIRRRQLGAISSILQGRKGGESSHTKSDRDSTKERRSDRKDRHSALT KTEPSQSRESKHGDEKDRERSHRRVDDDTKRSHHRRHERSRSRSPGHRERRERRHRDR SPLSSEPEDSLRHHSRPHGRRSGKGGRDCGSHSHRRHEHDERDEKDQSRSSRHSRPHR HVDEEDSDPLDELIGPAPPSQMSEPPVRVRGRGAGAPRRGAAAMDSRFAEDYDPKNDV PLDDILKQDTSSSNTWDSAVELFRDRQKWKQQGADRLRAAGFTEEQIKKWERGGKESE KDMFDSVKYTKKGEQREWDRGKSHSNSDMDLE QC762_120460 MNGAAHQTVKTTMAAVQVEADAIGGELRLIRDALRDYLDDKILL HNRKVKGPTTKGGREFMEDILGRLDRVSTGVDKQLSSHNTPPPARGPDTPVSYNSPAS HPVLPPNGLPDDGPLRNQAQASSRQPSQALAPSLSQPPPPQANGSGSLAPVHHAGHPS GASRVLEPPAVSVEVPSKPNTPPTHNSEPASPSPALVNQHPHQSQQPQPDFTPRSPLS PQSQTESKDQGQTETHSRGKAQASKSQTPQDRGITLSNGAVYHEPIVQPHEASPLFDC MYQDVNDLNEELFEQYSNHPVVKDRGYFKLQVRELPPLQVRKVERPSKDHATSFRYLA DKQGLVKVDTGKKKRFTPPHLPFPVSAKTQWTLQEQKELWNTSAANPPKGTRGYIIGN PLFDDIELHPGNRLKSRGRTILEGINTQYVYFNLEGLTITTMHREDAHVRSENLLRSG QHKFWCFVKPAFSDRLEERMAAAYPEMRRCSQAVRHLSRHIPPAKLDEWGIEYTLDYC IPGQAVVTEPGTYHQVLNLGPNYALAVNVEYMSSPEDPPNYRFCDRNCPDPFAMKATD FGIYGDLNCLAAQEEQARLSGERPDQEEQARMAARSSMFQSAPEQRLPPSHSPLAAPQ LTQSVTPPPEHSSSAVCEPSQSGLVRPEALVQRREPSSQPLAEPKVHTFQGFQPPRES SLGSVLRLPSEAGMVTPSQTVKQPDPSPQPPAAPPPVAVEPATTTSEPRRSQPPSESA PGWDNAVFRAEQQDLAPRSQLLRHPNTFLDASGQPFQTTHQAAPFSHHHNLPLLPPPR QASSEPLRKTARLVHNRRPAPTEPLSPRSAKRQRVMEYLRFEDPFGVDPEPEMPPSYF THASSSLATLLRSCQDDVYNNIQPQQVSGRPGFDRLARLISDWRRCVREQNPTPSGLD LVTNLDRVAGEEPELNTFLGRFCKMKLAEWLDAKAEEQERARPAFQPAQQDATDLLLQ ELGWAEAERHTLNDYIREGKCWKTICGAYGGLLCVIPPDPAFQQLALFQDQVLMFHHQ LNDPFLRAMCAVGKTLQGCIWESRELPAFVFESEDTIALSTEELGPMLKQYKYITANV FNPHALYEWPKPVGWKASWQWPVDPTAVLHEKWCNVCKKKKSCRCHARFVPAIPRVSI DGSKGSGVRVMGMFRANDIIGEMLGELVPPGAIPNREWTMEFRRPDLDDVVVAELYSK EQGNWARVVRHSAHPSCEISIRKMEGKWRMLIVASRQLFDGEEITVKYGRGYHRNQAY GIVEGF QC762_120470 MAVSSPADPPPVWVRAGDPAGGPFYILVSNLPDQTDAREFRNFV FSLLHKKSEVFVGIQGEQKNKGWVRIIGFSHFENCKRTLAITYYRGRPIKVDDPGYRT GGTGAVQIVKPFDRDRVLIVTHEDALALNKPPGVPAPARNYTLESAAWGYQAAAVPRN AGAMMQPGPYGVVSWMGQPQPQQHPQHQHLQAQLNPQQQPVTSMTATWGNQAQVALYH GQPQVAHAAQPQPAHSGYYSQQALQGQLQAWSHPQQQQQQQQQQQQSPISLEAQAAFQ LQQAAWSQPHLYAVPSIPQPYFQPVQPGMVAGMPYWGFPQMPAYYGPQAQQPASNEAA QAQAQAQAQAQWVYQQQQFQMAYAGMFQAAQQQQQVQYSQVGLLSRSHPPLLIL QC762_120480 MGRAKSPTKASPQSLTHRANHALTQLQSLVPSASSASDEESPIT IIRLSAPIISSASSSETPSAARTSDISNTSLPPSGPTPSSLEADLLHYKELFSKLRFS YVEQVTKEKFIRAIVGDPPLIVTPQENAELEDSNKVAKAELKALKNEVADMVKDLEAR GRELAKRYERVKTETVRLGELPGRVEGLEREIARLKEEQQVGKGSRAELNLPLAKTLQ LVGEKKRQMQELDRQLEQLRNQAPRKRKEVERLQGEVAGLEQKRGNAMAAAKEAKRRR EDKGARNGVDELEARGRWYRGSEAVLRGLLGIQG QC762_120490 MSQLWSSPKSPATAGPVRRFGQIIKLKPEHVAKYKEIHAAVWPG VLEQIKASNIRDYSIFHDPYSGILFASFKYIGTDYDADMKRMRENPKVREWWKLTDSL QESLVPGAKSSSDGEPAWWKGVEEVFYTP QC762_120500 MHTLLLRPTLAGRLSPRAKSYKTVIACIEEPLSVSRVHFSTSPK VGAKNQVYASVRNPDQFHTYQLLSASSRTPLLTMWTASYCPTCKVVEPLIRELVESGV GEAEGGVGYCEVEYDAPDVMSAGLGMTYMISALPTLLSFDAQEAQVETKVTDARKMAN RQFLEEWMRTEARRHGNRGGGGGNFLSNFFGKSK QC762_120510 MASSSGESPQRRKWFSRNSSNGEGSVEKKGPTRWTMGILEDKET IEVPGSVLLLAPDHNEPLGLRNAPARTSHSSIPVGVLRQVTEPPPPAEDPKKKTKDGK IILDPQPDDSANDPLNWPVWRRDSSLLSLGLYCLVGGGMTPILAAGFTDVASDYGVDV HTVSLTTGLYMMGMGLGSVVFSPTAILWGKRPVYLFSSVLFILTSVWCALSPNFASLV VARILQGVSVSPVECLPSATIAEIFFLHERAFRIGIYTLLLLGGKNLVPLVSAAIIQK LGWRWVFWIVAIIVGFCSVLLFLFVPESFWDRAPHRRKKSRPNFFRRFSSRHDVAHPG AHATPAVTPAPQSPITEAVPSPEPPKAATRAQVGFAPEPEYLDSEPRERASQDIQRPP TAQAPPAVSESEKPVSDGPASRTPHDTDSESLSSSRSGREAYTTVLRGAPPKSFVQQL KPFNGRLNKDKWHKAAVRPLILLSYPAVLWSSIVYACSVGWLIVISESMAVIYREEVY DFDALQTGLVYISPFIGGVLGTAVAGRVSDVIVKAMARRNGGLYEPEFRLIMALPVAI TTVIGLMGFGWSAEVRDHWMVPTAFFGIISFGCCLGSTTSITFCVDSYRQYAGEALVT LNFCVHLDWCHSAYPAAVHHPDVYLWQAGAHVDGAEEFYGEVLGEHRAEKAGEASCQL SKGWTQEIGVRLFFFFFSIFFFGGFFLAFF QC762_120520 MSTTTPHLLEPYLSLPRETSLIVLSSILGASTNWLLARYIHSYL KTPSVEGEPEVAVLLASFLRDYPFFQQTFSKLSLDLDSEARKGRFAFVDGLTGLFLPS QRPGGRLQDGDDLRAVQRQIGDALAGLDAGRKRRVVLVLDQPDFLVASTSAGGGEGAG IVVRDVILDLREKVHSCVVTVSADDPLVHPPVAPTPLETNHSWFVLSLLHEADMLCAL RLLDTGTAKDVSGVVRITSSRDGETEDREYLYKVGGHGGAKVFERGQ QC762_120530 MTKGTSSFGKRHNKTHGICRRCGRRSMHNQKHTCASCGYPAAKT RKYNWSEKAKRRKVTGTGRMRYLSTVSRKFKNGFQTGVPKGSRGPNTASE QC762_120540 MLSSSWRLVSSKRNSLLKASLASFRPEPRTYHSSAYRLSKMADE AVKAPAPATTPAAAPDAPPPKKNEAKEKAKAEKAAKFAAKQAAAKLKQQQQAGDKPAE APKPKAKKAETPVLPPYKDETAAGEKKKIQPFDHPHFSAYNPQAVESSWYSWWEKSGY FKPQEPRTPDAGKFVIALPPPNVTGALHCGHALANSLQDTLIRWNRMKGLSTLWVPGC DHAGIATQSVVEKMLYKREKKTRHDLGREEFTKRVWEWKGEYHERINNAQRLMGGSMD WSREAFTMDENLTAAVMEAFVTLHDEGLIYRSNRLVNWSTHLRTALSTLEVINKDITG RTMIDVPGYDRKVEFGVLTYFKYPIEGSDEFITVATTRPETMLGDSGIAVSPGDARYA HLVGKHARHPFTQRLMPIVEDSYVDPEFGTGAVKLTPAHDFNDYKLGVAHKLEFINVL TEDGLINENGAMFQGQKRFNARYTVVEELTRLGLFVKKEPNAMVIPICERSGDVIEPR MAPQWWVKMEDMAKDAMRVVESGEIKISPESARKSYFQWLNNITDWCISRQLWWGHRI PAYRIVLEGEDSEETDKATWVVGRNAEEAKAKAAEKAAELFPGKNYTLEQDPDCLDTW FSSGLWPMAILGWPNTEKDDFKKFFPTELLESGWDILFFWIARMIMLSLKLTGKVPFT EVYCHSLIRDAEGRKMSKSLGNVIDPLDIINGIKLEDLHAKLLTGNLRSDEVERATKY QKQSFPGGIPECGADALRFTLLSYTTGGGDINFDIRVMAAYRRFCNKVWQASKYVLGN LGDSFKPDAQLDLAALSVPERWIVHRTNAAVKGINEALTNRQFSTATRLVYSLFYDDF CDIFVENSKGMLGPDADPAQANSVRQVLYFVLDTCLRLLHPMLPFITEELWQRLPRKA GDETPSILLAPYPEADQALEFPAESADYELGLKCASGIRSLAAEYNIRDGRAFIVAST PAALEKVSAQLNAIKTLSGRSIASTEVIEESATPKGCAVSVVNAEIVVLLQVSDQITD IAAEIKKITTKLQKTTVAITKQEELINREGFEKVSDVVVTAEKKKLADAQAAKENYER TLAEFSKLKL QC762_120550 MRPPRIAILVLFFSASLFLVCRAISSLRHPNAAPAVTPLTTRKS SFRSFFSFTAPFSLFPPNAAISLFDDNSTFFAARPAAFGPILPADGLSGQLWIGSGFA EDHLQEGEVGGELGCSDLPGWEDGRPKLSIKTTVHGSAASKSGPVALNTKSTKRDPLG AGIPADGKSSERRHDGTDDYLHQELDQTRSPYSEGSVVSASSHADIQSMQETAEITGK ITLLSRGGCGFLEKVKWAQRRGAIGVIVGDNVKGGPLIQMFARGNVDNVTIPSIFTSQ TTAMLLSSLAQPGSFIEDILDENGNPILKVQHSSKPGVNKQTKAADTGAGHRPKSGPH AQGVKTASFQDASAPAVKKSRSTRRSWISRLFFWGDSGSKGSEQSRPPSSGRLNWVAA DEWSDESIRLLQSSADKVNKNGAGGRASSEEDKSPGDGFQIGVQDWRDPDLVRSSEED EEDRPAPENQSTNKESSGLRGGSITPGSGEYVPGDSHGHRSGKSGSSSESSSGLIYKL FGDDAKEQSAAEESSRPTPESLGNKDDGNVREGLWVTITPAGSASPFFDTLLVLVISP LITLTVVYTLLILRAKYRRRRWRAPKAVVDRLPVRTYRTVAPSPSQSSRTPSPNSSSP TTPLLQGNSRSRPRSRTTTGVPEPSDLLRADNALQAGRSSSPDNTRVHGASQWKKYMG RQSECVICLEEYVDGVSKVMSLPCGHEFHVDCITPWLTTRRRTCPICKNDIVKSLARG SPSSPHYEPYHDDSEYTHPEPSSFVNSNSGSYSSNHLSDVEEGREALARVQREIHEVR WYDFMAHLLRGEPGQAFLNRHENRRFAADDREESRL QC762_120560 MARFLTPAKIGLLALIELYVEGAVPNEGIIPVINFLASNLIDCD LSAAQSPNNSSNNNKNLTPADRWKKAESTLRLVTSIKDFETLLSPLAAADKLPGRRLW DRFLEKLWGLDSLHKLHEFFVAIPRLLCRTKEELRRLGLEDEEGELGGRTRLGRNSPF GAFVRRAHVEFVRLKFERVMELWRVFVRYRQPTAGYWARRHPQHGGRLSFDQVLTEGE GEWGQKETGELAVVAYGRMLLPAVGRLVRGEGGLEGGETLPVSSDDVEGLLEFQIEQI QKYGNRIPPQIRDRFRNFLKGSHTVPSLSHYLNFSDAWRSGDFPTSFDYLHRYFDYTM QNRDRLFYQYALMNLAIVQSDFGCHKEAVATMLETVSTARENRDTTCLNFALNWFFHF GRAHPHLVRELENNSMLGSGKETLAFLRVKAKETGMWILWSSALQSEAKLCLANGESV AVAFEHMVRSSQLIVERNMKTMMGAQISMAIAMWDRLGLSSMASMACQVFLSCHARNS VFDDELKITCRLAGLLAGKGKYEEAFAMLESLDQNSLRSARPNQYWHLYRGLLKLRRD LHRNNLPAVDTLLAQLLQTGPEDAEPDTVFIIDTLHIEALVRHRDFDGAFTKIDNMMA NLGENNRDVALRIRLLIAKAHLFDEINRPEKGFTIAMRATSMAWRALNIPLLWQAVGA LANVLNSLSEFSAAAQLLLSVLPRVLETDVSFTAGTLYNLLADARMGQAGQFFCTAVS DESTELERQRGRRRQREMMMRAHAALESAYKYFERVEEVEKQAEVLAKMATVMRGLGD EGLSEGYAARYLSLRREVERVNG QC762_120570 MIAQRQVMRFAAQLRTQAQRRLASTEHAGENAFVRERRHVKEHA KGTTELWRKISLYTVPPALILASLNAYNLWNEHWEHWSHMPPLEERVEYPYQNIRTRN YQWGDGDKTLFWNDKVNYHNKDKEA QC762_120580 MFDTLEADIVVMQEAKIQRKDLQDDMVLIPGWDVYFSLPKHKKG YSGVAIYTRSSKCAPIRAEEGITGILCPPNSSTTFRDLPEDQQIGGYPRPGQLSGEVD EATLDSEGRCVILEFPAFVLVGVYSPATRDESRDEFRHAFTEAMDVRVRNLVAMGKEV VLTGDLNIIRSELDTAGLVEQLRKEEVSLDDFFSSPSRRFLNQIVFGGRVVGTRDEGR EEAVLWDLCREFHPTRTGMYTCWDTRKNCRPGNFGSRIDYVLCSSGIKDWFIDANIQE GLLGSDHCPVYATMGDTVNHNGTTVPITDVMNPPGMFKDGERQREWTIKDALPTSAKL IPEFSNRRSIKDMFFKKPKATIKPTTATAIPGSQDPPPLTITISTGPEKDSWAQGDLA SSQPSSQVTAPPSSGSTLVASPQKPPVKRPAVASPAKRPQKKGKVTLAKEPSKTGASA SQGTLKSFFKPKTPVPSPSQEPTGTDNTASATADISTASELLPAELPPEIPSPNQSSK GSAESSAKETPLTTVPTDDKVFDPIENKASWSKLLGKRVVPKCEHGEDCVSRITKKPG VNCGRSFFMCARPTGPSGKKEDGTTEFCCKTFIWSSEWKPSSSASLSG QC762_120591 MDVSNSTPVNSPATIARVWYSDKMDIPIINDSHILSFILVDHET VVQHVANDHDDIEVVSALAVAATNFSKMNDGLDTAIVHRKHSMLYYITTLALAFRLDT SIFDVIHTTVTIGDLVPTADRVPTADAVPAVYPAMAPVSPESNRDTMVQSVAVTTKEH IRRPRNQFIIYRQWMSARLHEDNPGLTAGAISSIVAKAWKGETPQVKAHFKALAVEED RKHKLAYPGYRYQARRTRNERRKLFSTIKAVSQYPVPVTNPVPQYPVHTPSSLTTADL NDTVMNLGSLNN QC762_120592 MNITDMDSTSSHSSDDDYCASPTHANMDHRDLSQVTLLMESTLI RTALRTDIQQFEKSFEQIIEQAGVFLATTEEHFISLSLVVMDEDVLIRHLCGFLASKL AIEGFLSFHQQTIQRTSGGDASLAKQVKAATVFVLELIQTLIYHKEAADYPGKHLGMM YDRDVKYFGGTLFHLNPQVNLDEELPELDDYYEDVDELTNYYHGEKLSHPLRQLPGNP WHKFFGNFPETRVEHAADTALFRENPRPGDLTVSIPGTILFLIPEFRQEHEKFRQLML EHSQLPLPLLLEEARKERVQVIQRRLANVHHGNVEYDSLEPLCRENTDMIPRPEYTLE GNRTFGMQNLTVNSPDLIGDALPEGRIANVASQLEGFPARFLSTNKDKRM QC762_120593 MAGINSILQTFEGLGEGDRAETIKVLSDMMREGTPRQPAKKKVN GFMGYRSYYSSMFSQLPQKERSPILTTLWQQDPFHKEWDFMCAVYSAIRDQLAEQNVT LQTWIQFAVTPLGIAPRTGYMEALGWVLTRLDDGTHTLQRMDVPDIRHHLQPMNGLGL FLSCLNGGLPISDPQNIISQLSDPAFDVICINTQVPKIPGTFDTMSSFRQLAKQNPAL AMSSLFQLPDTDQLIAQGVGMYEFHSVVSQPVQNHGMPPTTVPPMESHSHEDNMDFAK INEAELDAILTMYDTNTNGYIDPNKPQGF QC762_120600 MATIRSLDHTKSEAELAINIKKATSPDETAPKRKHVRSCIVYTW DHKSSQSFWAGLKVQPILADEVQTFKALITVHKVLQEGHPSTLREALNNRSWIDSLNR GMSGEGMRGYGPLIKEYVYYLLAKLSFHQQHPEFNGTFEYEEYISLKAINDPNEGYET ITDLMTLQDKIDQFQKLIFSHFRTTGQNECRISALVPLVTESYGIYKFITSMLRAMHS ATGDNDALEPLRERYNAQHYRLVKYYYECSNIRYLTSLITIPKLPQDPPNLLAEDESA PALPARPKQEIEKQPTPPPPVPKSEEPDQMNEFWKSEIDRQNREYEEQQRVLEAQQQQ ALHAQQQAQLQAQRDFEEQQRRLMEQQQREQEALRNQQAQWQTQGRLAELEQENLNAR AQYERDQLMLQQYDQRVKALEGELATIQGNFGQQLASRDDQIRSLQEQVNTWRSKYEA LAKLYSQLRHEHLDLLQKFKAVQLKAASAQEAIDRREKLEREIKTKNLELADMIRERD RALHEKDRLTGSNKDEVEKLKRELRMALDRADNLERSKGNELSTMLSKYNREMADLEE ALRNKTRALEDAQAKLREGSSDLEMLLREKEEELEVYKAGMDQTLIELNELKNNQGVS DQALDGQLDAIILAQLDKINEIIDSVLQAGVQRVDDAIYELDSTMQAGNQNASPSYVL SQIEKASASATEFATSFNNFIADGPNSTHAELIKNINVFSGAVADVCSNSKGLMRLAT DEKKADALANGARQSAHSTVKFFRSLLSFRLEGMDPLQKTDVVINSNNEVQMNLQRLN KSVEGFAPGFGKLANKGDLGEVVDQELNRAADAIAAAVARLQKLKNKPRDGYSTYELS VHDSILDAAMAITTAIAQLIKAATTTQQEIVQAGRGSSSKTAFYKKNNRWTEGLISAA KAVATSTNTLIETADGVISNRNTPEQLIVASNDVAASTAQLVAASRVKAGFMSKSQES LEQASKAVGAACRALVRQVQAIIKERNGMENEQIDYSKLGSHEFKVREMEQQVEILQL ENALSAARQRLGEMRKISYQED QC762_120610 MNILYSTVNSLRDRYTPASHTSTFRKTGEITPEEFIAAGDYLVY KFPTWSWSDAETPAQRVSQLPAGKQYLVTRHVPCNRRLDSDFAGDAGHEEAVVEGGKS SDDDGWLRTGGLTSSQPLKVKEVRTVDDAGNVGEREVIEDDDDIPDMEDDEDDEAIIR DASAGGQNSGRRTYSLYIVYSPYYRTPRMYLSGYLPNGQPLPPHLMMEDIVGDYKDKT VTLENFPFFAHQVKMASVHPCKHAPVMKTLLDRADAALKLRREKQKAAAAKAGSSGGV GSLTSQVKDLNLGSGAENDEWEEIDAADQEVAIRVDQYLVVFLKFIASVTPGIEHDNT MGI QC762_120620 MADPTPSTEAPASGPAAPVAIFKKRGAKAKANLRKRPATPPPAA SDSDSDSDYFSSEDESGRRIKRRKRNAAVVVTASSRDRANPQSEQDLKATIFTTDRAS ALALDSSRRDATKQTNWFDEEKGLSAKSLLGSTRSMPPPSSSSTTSGPDGTYKGLANA TSYIQKNPDAPSRKVGPVKAPTNIRTITITDMAPDVCKDYKNTGFCGFGDNCKFLHAR EDYAHGWQLDREWENVTRGKKVIGGTVVASAERKANKDPNQGEDERDDDEQEEAAMLE KIPFVCIICRGDYKSPVVTRCGHYFCEGCALKRYRKDPSCAACGSGTNGVFNAAKKLQ KLLEKKKERAARRRREAIENGEDVSSEEEEEEEDEE QC762_120630 MATSVASDKPVEALGPGEVADPFVISPSGPTHLRHSNFDGHLLA LAPGASAEQTKRAIQAHLRDTERRMEEAGKLGTALVQQQKELTEKLREVERLQSEAEL DPDLRQRLLDIEKDYSEVARDSARALLPKQRVPSSESQGSPYAAEGKAGRRSVSPSKF ETLATPSPTKFSVPNRKLRNQPASRIHDIEFAAEISTSLIAQVRNLQALLSEREEELK ESKADRARLELEAEGFQQHIKSLDESESRYKDENWNLETQIHELMASQKEAAEREKKL TQALAALQSEKNATQRELEEVKDSMTKQEEKHSAAIRNLEIELGTSRRSAVSFESERL TLQKRVDELTSQNQELAKAFSAQRGRMLERESTRGVSDEDRNSGSDRNTGPEQSPPPS PLKMTPRHSGLETETLKTSLGHAQRTIQTLRTNIHREKTEKLELKRMLQDARDEVEKL RSDPHPAPKKNRKLDAREAKKPNFKVTQLGGHRPSRIEVVEDPDWDDVSEAPSPRTNP FRGSSSRIPNLSIGTIQESSSDHFETAHEGGFDTATEASEAFETAHERGTETTETEDF ETGLENITTDESEDTATETESGPRLARGAESIRRPPPLPASQDSYSFDSTASTSSDEE YGYVDVRSPSANPAQRMRARMNRGSMSQRQRQLSEDPSTAQSSPLGAPVHRGSITATP QQSLFAELNNMDNSDDDSYGGTPARSLRSMTPATPASVIRGHLSPAPDVPRMPIKRVT MVDSGMMTEPVDVRDLVESGVLSDGEGSISAPPSVIHMERSRPRTMESVIARQRSMES VIGPKRSMESVVRPKRSMQSVIGPHTSVESVIGPRKSSQWLGSELNQGRPASVMSYSD VSVQHDSDAEDRPAQFPSPSTSPRQRALLPTPVVVPPQTLSLSSIQSEDIAPVIEPEL LPMPPSLSLSAILTQHTEPVREPEVPPPKLTLAPVLSQNVEPVAPVPPVLSVPATASL DIEPVSEPEVVPAPLSLSAVLGEQIEPIAEPEPTPVVLSLSSVHAFHDVEPVPEPEPV PAPLSFSAVLSTAVEPIAEVVPTPAALSISSVHTYHDFEPIEAPEPEPVPLSMSTICA EEVEPTAPPSPILLSFASIVAEDVEPVEQPFDLPAPAVVPVVAARSVETKLEAPASPP LSFSSIKSEAVEPRQEPEPAAPAFGLAPIQSQDVEPREVPLPALSISTLKTWDVEPQD PPAPALSLSSVQACAVEPVEAVRPPLSLSAVAALDVEPCEAPLPVLSLSSVRQTLDVE PREEPVVPPPALSLASIQSQAVEPLEAPEVPAPLLSISAIGSQVVVDPVEPEEPKSIL PPLTISAIQSLQTEPSEGRSPKRNAFIIPRGTDGEEQGAQALEEQPAQKQPAQKQPAQ KQPAQKQPVLTADQGVQTTLTSEAIDQLLLANSQQSLPPHDLERSSSHLSMGTPSTVR INRARQGSFDSTLRSKGKAATDTVTEPIDAVLLRRPGSSASIRSSAEDIVPPLPANHK EAIEAARTNSSGGGQETINSMPPPLFPASAMRPRTPTHRRPLSPAGAAGNGGRATPTP RAHKNGSIREMPEVHSPSRMTARSRKSSISSFASEVDTRFNIHPEGGYDTSGLGPNTD PRMIQAITQTMIGEYLWKYTRKAGRGEMSENRHRRYFWVHPYTRTLYWSDRDPSSAGR HELRAKSVPIEAVRVVADDNPMPPGLHRKSLVIVSPGRTIKFTCTTGQRHETWFNALS YLLLRTSNDGQSDAEEMAGHITSADVDEFNPSYGKRMPHGTRGAPSLSSYNSRTVRES PTMDHYLNVPTLTPSRSKISQQQQQQQQQQPPQAARSSGTLSRISGYWKDSKVLGGTF GSLRSRSVSGRDTAQSMYESSEVQDSAEDLRQIIEQQDREADRLENVRACCDGKSMS QC762_120640 MHCTAVSGPSLRVRVHRFRWSCSFLSRISQGGAKRNWKLVRGRE HRCAETKPASQPPVWCHVPCILCLARCRVWLSGGSHHVHTMRRNHQPKLWNTAVSSPT R QC762_120650 MESFRVRLNCIDHYQATPTRYDPQLRKDYRASQAAKEPKLPVVR VFGSTETGQKVCAHIHGAFPYLYVEYRGPLEKKLVSEFILKLHASIDQALAVSYRKDR DRDRPRFVARITLVKGVPFYGFHVGYRFYLKLYMLNPLVMTRLADLLQQGSIMNRKFQ PYEAHLQFLLQFMTDYNLYGCDYIEVGNVRFRSPIPGPAGPEDAPRIWDSNTIPPQFI TDDFELPRSSHCEIEVDICVQDILNRREVKERPLHHDFIEREHPLPADIKLVHSMAGL WKDETKRRKKLSGDSSDGSSPFPPEVLVSMSANPRHTSPPGWIQEAEYRKQLQQLIEA EVDDEDYRELTFSNYVQPLPLEDTIPTTLQSVEDMFPENLLRALGLEDRLIQENPDVV RNIEIDEEKILELEMGEEARQLFPEDSEENKAKKGDQATEPADSTVCAGSKAQIASDL KETEAIANLQRTVDEIGGGTAVSGKRIGRRPNIPIPRGIFSEAQRLGLVLMEDGLPPG APREDKKRPSSTQPDSRPPKRPRLRQSESDAGVLEKTGLGAKPFSVTEASNKPPVTGQ AQSSQPKPAIKGNPQKQGKHPALSFPVVKDPQNPHTQLRLSQQHGSQQSDNETPKKHV TFDFSMSTRGPQSEQDKSPAITSSEDSPVTPKAVRLSGKPKYHWDGPTTMFVLHSQPP TAQEVLSTMQTYGLPDVIYRDAFYSQDEDVPRRPREYAGKEYRLGSDTLPYLPDFDPK GESPATYGIKPEQGPDLAGLELSYKEQRMACTLRTWEIATPPPAFQEVSQWWDGKQKK RSTSSKKPGDKHSPLTGTQSANPNLMSQIIGATPKNKHGFRYSQKAKSTSVQHEAQYM STMSLEIHVNTRGKLVPNPEEDEIQCLFWCMRSDEQVLFGGSQAENGTLSGIIVLSED GLLAEQIRKQMPSKVDVVAEESELELMNKIVEIVRMHDPDILTGYEVHGGSWGYVVER AGRQYGYDLCNEFSRMKSSSPSFGKSGREADRWGFNTTSTIHVTGRHVINVWRAMRGE LNLLQYTMENVVWHLLHRRMPHFSWKDLTEWYKSGRPRDLAKVLRYHLKRTRMNIEIL EANELIPRTSEQARLLGVDFFSVFSRGSQFKVESIMFRIAKPENFLLVSPSRKQVGAQ NALECLPLVMEPLSSFYTSPVLVLDFQSLYPSVMIAYNYCYSTCLGRIVNWRGTNKMG FTEYKRREGLLKLLEPHINIAPNGLMYTKPTIRKSLLAKMLTEILETRVMVKSGMKQD KDDKTLQQLLNNRQLALKLLANVTYGYTSASFSGRMPCSEIADSIVQTGRETLERAIA YIHSVDRWKAEVVYGDTDSLFVHLPGRTREQAFALGREMAEEITDVNPRPVKLKFEKV YQPCVLLAKKRYVGYKYEHPGQEAPEFDAKGIETVRRDGTPAEQMIEEKALRILFETA DLSKVKAYFQTQCSKIMKGSVSVQDFCFAREVKLGSYSAKGPGPAGALIAGKKMSEDA RAEPQYGERVPYVVVTGAPGARLIDRCVAPEELLERGVTEGLELDAEYYIGKNLIPPL ERIFNLVGANVRAWYEEVPKVQMVKKGQQQRAVSDGENVGAKAKKTLEHFLASRTCLA CGVKMAPAPPAPAFAEEVDEEEKMPLCERCKEDELGTMVVLQGRLNSQRKGYNDLVKI CQSCVGLGSWDGGDQEVKCDSKDCPVFYSRVRQRAKVQGEGVVLEGVIRGLGDKVAKG STGLDW QC762_120660 MPPPNRPEQQPPTTGANAAPTGSSDGHHAAGVARKEDNIYIPSY ISKQPFYVSGLDDQDDSLQHQRRATAKDHEEAAQRALLDSKGRKAAPARTKWVKGSCE NCGAMGHKKKDCLEKPRKVGAKFTGKDIQADDRNLKDVKLGYEAKRDVWAGYDPKQYK EVLDEYNMIEEARRKLVAETSNGEDRKSSEEENGGEDAYEKGFKYAEESDLGKDRTTK KSMRIREDTAKYLLNLDSDSAKYNPKKRALVDAGAIADKSAQVFAEEAFLRASGEAAE FEKAQRYAWEAQELKGDTSLHLQANPTAGAFARKKETEEREAKRRKKAELLASQYGEQ PAVPEALKATITESETFVEYDEAGLVKGVPRKVGRSKYPEDVFINNHTSVWGSWWSDF RWGYACCHSFVKNSYCTGDTGRQIANEEWDK QC762_120670 MAPRSYSKTAKVPRRPFEAARLDSELKLVGEYGLRNKREVWRVL LTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKAEDF LERRLQTLVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDFALTS PFGGGRPGRVRRKKAKAAEGGDGDAEEDEE QC762_120680 MGHPAGLRAGTRYAFSRNFREKGMIRLSTYLKQYKVGDIVDIKV NGAVQKGMAHKVYHGKTGVIYNVTKSAVGIIIYKKVKHRYIEKRLNVRIEHIQPSRSR EGFLRRVKENAELKKKAKAEGKPVQLKRQPALPREARTISIKENKPETVAPVAYETTI QC762_120700 MGWTAFWLAYLLGGITFLPLVAAILLAHAYLTLPYHEDADNAFP NADDLVQPGDDVDALKAAQKDKEEAKSRTIHHDTDVAAGYFAVCREYTPMGINAKPIE RSTPVGSATVAAPSPSVYQTMYRSIFDRKGTSSPLDNKNGASQRPKKAGNVFYVVLRH GHLMLFDDDEQLEVRHVVSLAHHDISIYSGEEVTPEGELWIKRNAICLSRRVDGPELG PDSQVSKPFYLFSENCSAKEDFYFALLRNQELSFPTEHRAPTPIQFEVKNIISLVQKL HSSEEHMQTRWLNAMIGRIFLGIYKTKDIENLIREKLTKKISRVKRPAFLSNIEIKAI DTGDSAPYITNPRLKDLTVEGECGVEADMRYTGNFRLEVAATARIDLGARFKAREVNM VLAVVVRKLEGHIHLKIKPPPSNRLWFSFQQAPKMEMTIEPIVSSRQITYNVILRQIE SRIKEVIAETLVLPFWDDTPFFNTEHKKWRGGIFHDDRIKSTMDLETAAAQDGELDEV DRLEETQGAPETELPQMEKSHSVPVLEKKTSIGLFGRKMKSKTDLRGSAGGSTSSLDL KADPRTSVASFESNRSDAKSSSLSLDSRREVKSDLSSSPPPVLSVPFAQPATPTVGTD PINADLFKPSSSPPNGSPAISAMANLSAQAQPPSPALTPVTNAHTTSSSSVSSRDATD TEKDLDKTPQGRRNTSSSSGSHDDGRARSRSPSASTKGSVKSQTGSIARGFFNRRDTG SSASDTASLADTKKGAALAAVTNAAASAKRWGLNAFQRRLTDAGSATDGAPPHLDLNQ PMGRGQPLPPPGTPLPMPDKSIPVSPIPVPKRKPIPPPSISSQSEDSSSHKVERRPVP PPPLPKRRQYQSDSVVDDGHNMLVVAAPADSEPTTPLSGSHSPSWIEDGQAHGDSSAK SSSDMPVIKLNGENSGSSPEAAPIDKTQPGREGRKGGGSTKLVEDDDDDDYSAWMEDP LPDDDLDSAVEPSTA QC762_120710 MKFTTAILGLASLVSLAAAQAPVACPTATKTIQNRECNKRCPLS DCAFYSTIRQPCNCPAAIPTATLIAPCAADCPYQGCDIIFRTSSLACPTPTSTRRVTT TSTRRTTSTTPTRTSVPIQTTRVITSVVTLPPRITTTSTTSTIPCPTVTRITTPGDCP VIRCPVPTCQVRTTQVVPCNCQPRTVLWVQGCPTACADGCLTRTETASIAC QC762_120720 MTTRYRVEYALKTHRRDQFIEWIKGLLAVPFVLYSQPHGVFEYP PSSPELGTSPPGSPNPILIKAREEAHRRYAEILHDVELMIDDHIAHQNDPDNPFPSKL KLLVPSIGPFFTRLPLEAAFKFQDRKRYISSRRFVSPSFNDVRLILNSAQLISVTSTT PGSTLQLATFDGDVTLYDDGQSLEPTSPVIPRLLDLLRKNIKIGIVTAAGYTSADRYY ARLHGLLDSIATSVDLTPIQKRSVIIMGGEANYLFQFDPASEHLLSPVPRSEWLTAEM LLWDDRDITQLLDIAEAALADCIETLNLPAVLMRKDRAVGIIPSPPHIRIPRESLEET VLCVQKILELSSAGRSKKVPFCAFNGGNDVFVDIGDKSWGVTVCQRWFSDPETGRPIR GENTLHVGDQFLSAGANDFRARSVGTTAWIASPAETVELLDELGELMGKKMS QC762_120730 MATLATKLPSDAFWPSGAVESDVRQSFPTTLSSDTSSTIISPLT LPRGAAGYFDQRIHGNPKAHVYSPLSPGVRGPGPHQQSFLSPHILNDQYRSSSVPPQA RQSTASPAGQENNPRSSQDSTGGSIHGLSFNGPGGHPRSLSDSNSSASQASLIRRLLT QNGRIREAWEAERKYMEANRERAEEVYKEERALMEEERAEWETERALLLKQIEHLQNQ LLGGAGNMLSPRNGNVLSDQGSFSSASGLRGGAAWEASPESMKSSHSSQSNGPAAPKN LAVFHPASLGGSPGPALKHSSLPQLRTASNVLEPLPERISIGHIPSSGLSPISATASE TDGSTPVPVVDIQEIIPELEGIPLKAPAIQKPTFTDGPSVEGSNASSRSSSPQNSSDE AKAPRAAKVETLQVLAAHESARLTMHAGHTPSHSLSLLTTVASSGAATATSSGESTPT IQQGDGAFGQAEAAVQVEQHAIEIAQQLTDADSADDHPEQVYEPSEGDVRLKGPLMVR NMPAHDEIFFRRLSDKLEEVAQDREASLPAVLKDADSSEEAEAQADASVSETSDASST TEKDNSSTKSGDDEEDFEIPLKIKKSNNFGAPFGSSRW QC762_120740 MCEYKQREYLACGHLRWLATKHCEKYKQYGEIARCEPKILEFEE SPKICGNCLTKDNPPHLRLMLDPNSPMGRYFSRS QC762_120760 MATISALAAAATQTSSLDPSSVRPNSDRSSYSSSPRQYPTELTD PILEEGDETDSEAANEPVTPVSGRQSQDFQTLATHEIHPDVAQEQADQSVTSFPAVRA GTTPGSKPPLVLNTDGDTPPRAPPKSSSSSVISNTQTTPRAAEPATPPPPTAPASSAD QDPAAHPHTRRPTFSSSSLRRNMSSFLKRVTHPDKSDAVSGVGYSEHPVHESGRKVPA RRWSMNRSSATTRSNTPPSPGSPVEMAIRSKEQASTPTVPGSDEFVKKKPRASTNFLR SRPHVPNNAELQLRRRASSFDYTNQEKVLVASTPHGPVNVEKIERQIWEMPAETGTGL KARRMSVSLPDDFVVDVVELQSEFEYENKLLGRHAKSVGKGGHGKVKTMARKGCPAEL VAVKEFRRKSRLETVEEYEKKIKSEYTLSKSLNHPNVVTTFRLCIDHGRWNHVMEFCS EGDLYGLVKEGYLKGDDKEKDRLCLFKQLVRGVHYLHSNGIAHRDIKLENLLITSDSA LKIADFGVSEVFCGSHPGLRESGGQCGKNMGEIRRCAPGICGSMPYLAPEVLKKEGDY DPRAVDVWSSAVVMLHLVFGGAIWQKAQEGDNSAANKNFNELVRGWAQWNASHKDAEN PAITEMDYPKVKAFDFGVRPPALRRVLLQMLNPNPDQRTSIHDVVNNRWVRNIECCQK ADNEEADPATTMIDASKSGCLIRSGEKLFCHNHLPPKKQFTSHSLGKMPGSVGY QC762_120770 MDATAIRSFIVATLDADADVRRRAELQLKQAEDQPNFTDILLDL VSSEQNVTLQLPTAIYLKNRVNRAWERSDHITTNSVIPEDAKVRFRERLLPVLAGSQA KVRQQLVPVLQRILHFDFPQSWPNFMDYTIQLLNTNTPASVMAGLQCLLAICRTYRFK SPEGETRAPFDKIVEASFPRLLVVCQELVKQESDEAGEMLHIALKCYKHATWLELSDF LRQNEVNLAWCTIFLETVSKPIPATAMQHEDPLERERHHWWKAKKWAYFNLNRLYIRY ESVLGQLTVSRRHGNPNSLMDNASDDQKRFAKDFTAQVAPTIFNHYLQEIEKWVAKTT WLSRPCLSYTIVFLDECIRPKEMWAHLKTHLTTLVTHFIFPVLCLSEDDLEKFEEEPE EYLHRKLNFYEEVSAPDVSATNFLVTLTKARRKQTFEILKFINEVVTQYEASEPDKKN HIAKEGALRMIGTLAPVILGKKSPIADQVEYFLVRFVFPDFSNEQGYLRARACDTVEK FEQLNFKEQNNLLTVYRHILDCMADPKLPVRVTAALALQPLIRHDIIRTSMQSSIPTI MQQLLKLANEADIDALANVMEDFVEVFAAELTPFAVALSEQLRDTYLRIVRELLENNQ QRDDLDNEYGDYLDDKSITALGVLQTIGTLILTLESSPDVLLHIEGVLMPVIEITLRN KLYDLYNEVFEIIDSCTFAAKRISPIMWQAFELVHATFKSGAELYLEDMLPALDNFVQ FGAPQLIHKPEYVQALFSMVSDMFMENRVGGVDRICACKLAEAMMLSLRGHIDVCVHG FITIAMNVLSGQEVTIKSYKIHLMEMVINAIYYNPLLALHILETQGWTNKFFSLWFGS MESFTRVHDKRLCIMAIVQLITIPNEQIPASVAVGWPRLLKGITILFSTLPAAMKITD DEADREEALRDDFQLDSSAYYDEDEDWDDDGAQWEEGDAAEGEHSESKDESTAYLDFL NEEAQKLQSAEGEVSDDDLGEDSVLLENPLDKIDPYMSFHVSLHRLQQEQPQFYASLT SQLSPDEQAVITHVCAQAEAQAAQHQQAALAAQGAGMNGTS QC762_120780 MAGRPNNRGPGGGQPPVPPAAQRQNEYFVPRDGIDREVITSDIC RYLGNDALVRPGTYESPDGRVTQGYFITAYRNLTSAMIQDLKADSARWEQERRAASRS SGGGAGGTTHSSHSNGVYVRSSNSPIGAREQTRGQSDYSAWKNRQREQEYEASYGATA MDIDYQSAPPPPKNPGYGGQPYPGPPPPAGYPQGAYPPQVHPAAAPQYQTQPYGGYPP NVPPTQYSPGPQGGDRYAGMVPPPPIQGQFAQDAAFIHGSNYQTAPGYANAPRMPAMP LAPSSAPPSRAFSTPTSGPPFGSEADPYGYPPPAGIPASQAFPADPLYGRGAYSTTTI TNPPEASSDDLGSPAGTAQRQGYPAAPDQPPYEDHNSPVLPNTTVPPTSSTPTSAGPS GGRRDRDSEPRDRERDHREHRARRSETERDDRHGDRNRHHRR QC762_120790 MSSSPFRNRHRDSSPAGAPGRKRSQFTYRHFNQMASYNTSCPLR VVAHLDLDCFYAQVEMVRLGIPEDKPLAVQQWERQGLIAVNYPARAFKIGRHCTVTEA RRLCPELIAQHVATWREGDDKWAYREDAAEHIATDKVSLDPYRLESRRIMRVIKEHLP GGGLQKVEKASIDEVFLDLTAHVHQVMLERYGEELGGPPPYGDVSEELPMPAVTALDW KADALVDLGEGDRQEGEFDDPDWDDVALLVASEIVRNVRGVIREKLGYSCSAGVSRNK LLSKLGSAHKKPDQQTVIRNRAVGHFLSGFKFTKIRNLGGKLGEQVADAFKTEAVSDL LTVPIEQLKQKLGDENGVWIYETLRGIDTSEVNSGTQIKSMLSAKSFRPDITTVEQAT KWLRIFAADIFARLVEEGVLEHKRRPRTINLHHRHGNQTRSRSGPIPARLLNEESLFE LAKNLFHQITLEGDTWPCSNLSLSVAGFEEGVSGNMGIDSFLVKGDEARALKSSESAR TSSSKEALDTSTRQQPAAKRRRIDGAGGGIQRFLTTKREPSQDTRTTAPEDDLVRRNS GEAKLGTSKTYVASGMGWPGEPLPEEAQADSRRSPITPHACSRCDANLGGPEELQSHL DWHFAKDLQEEEEERVSQAFANRQASTAVNNARAGSQKGTAAASSASASGSKKSTGRS KKKPERGQSKLNFG QC762_0021030 MVSVEKLGREAPSSVTPSVVDAISTPPVGVKSVTFPLPGGRSAV TITSLYGRCDPLDHRGLDTGAPLVRYGAAIRLKKPSPKC QC762_120800 MVAAKTASTRWLVRIIPLILAGCAGLATYVVVKRKNGVAAAFLT LYFVFLLCMLLSYFRVFLEIQHNPGVTPLGERAVVQRERDKERKRQGRKAESDLEAGE RYEAGADNNPDSPGLERFYSKNVFVCNTDGRPRWCSSCCTWKVDRAHHCSELDRCVKK MDHYCPWVGGVVGETSFKFFMQFTGYTALYCIVVIVATVICLKSKLDSGQGVDGLVIA ALAISAFFGLFTFTMTATSIRYAIVNLTNVDYLKSKNMVHQLAIRVPRGTQGTPKYSV ITYPLPKRSGPGHEEDPPRDQLATRTFAIVKTEMGENPWDLGPYRNWQSIMGNSPVDW LLPIKPSPCAVYENNESFYEMGPLYEQLRERFGLPELSSSEKGEVIELKQHRHVNGTD STRGS QC762_120820 MATDMAVTLATDVATSSIAAGFGCVIMSESSFSATPTDDSSNAA SLSSTPPTTCTPDSMSLASEPDATKPASMADATIEAVIPILEHEAAADDNQQQQQQQQ PGELSPVGQAIVVAEPLPSTESLPTGRPRRARASLPVYNLSQLSGTDKRRRRVAGQGV QENRRRTIAGDAGSEADANRSTGIDVLALSGSVSGTNTPKGARISSKTKPIPVQAPIV TRRATRQSGAPVETLATKVAALGRKGRKSAQSLGRISRELMRLQDTNEFAHIDTRPVK YTVWSNGKYVDVDPAAEPPRKKTKVDEKPKTETSKERATTEPSEPVRPPVKQRRVKQW HRKGLYAGQETPTDITQGLTTQEKKQLAQIPELLKPVKANKTLPLPMYNGLRMLIHGR DFKLPFDVCNPLPPGQPKPPAYRTIAKNRFVGDAAAYWKKSPHFEDFSSKCVCKPEDG CAEDCQNRIMLYECDDTNCNAGREFCQNRAFQDLQERTKKGGRFRVGVEVLKTSDRGY GVRSTRCFEPNQIIMEYTGEIITEEECERRMNEKYKDNECYYLMSFDQNMIIDATTGS MARFVNHSCSPNCRMIKWIVSGQPRMALFAGDKPIMTGDELTYDYNFDPFSAKNVQKC LCGSANCRGVLGPKPKEVKPPRPPKPAKQEKKTARGSIKAGKRKLKELLVGAEDEASG GNAAKKRKVQAAAGVKKTLTAAAKGAAKTAAAKGKGLARGVSKSASTAIKRSVSGTIS LGASALKSSTKGAGVKKTTTTTHRKVTSVGLTKTFGKRGVKTTTTTKIKLSAKSTSSK ATIVAAGPKPTPKKPAAGAKESTPASASASASAASARKRTPSRKALEASTPDAGNTPV KGTSASSDAKAKVATTTTTTAAVKLKQTKIKFVPKANAVAAAVAAAE QC762_120825 MGELRGGAGGGGGRRRRTWEKTKSGARWLKAFVTRQPVQGLRAA ADPEEDTDSNTAGTTGASGKLGATGTTEVTRALGTTATPGTAGNTGTAGESRIIVRTE ITVTVETTGTTGTTGTTGTTGNSGDPFQPRRRSRSLPNIAVSRSSSGPQILGVPQRSS RHRASSNQLPSHPIDTSIRDVAAQAYPSINPSTTAETWWQQNTAPSYGPGRLRGGAGE GDDGRRKKGWKAFQNFIKGSLKKARTSRIGELEATGSQTGAPRRQSTLAGTSTATGSA PVAKPPTSSETYEMTTSRQPAGTESPRKWGFKAAAAREAGKREIRRTAAGHPDAISRA PPVKGSEPAVNTVGEPWPKYDRNLCKTGDYNPPGTRNKPQVIQPLPQVKEKIPITGTG FDYYPSTTFTERYRSRPIETYDDYAGPPIEKKDQGEEKGVVVPGDSEAGGSRRPPPAP RHGLAQPVRRGQRAPPMPSITSVLAEAGPPGLPPLVSSL QC762_120830 MSERPYQPRGGHRGGSGGGRGGPHRGGGNRGGGRGGHQNQQGHQ HQGGSGEQTERPKKENILDLKKYMDKRITVKFNGGREVTGTLKGYDALMNLVLDEVQE AVRDDEGNETTRSLGLVVVRGTLLVVISPVDGSEVIANPFLQQEEMED QC762_120840 MAASRYNSNDLRRQVGSPRSKGRDTKDTLCRNVVIYGHCRYMDT TCNFNHDQNKPASGPSDFLSKKSFNADSPSFTPSGQNLGQAKKTTLSSQAANAAPFTP RGVTASTQQNADLTMFNPAGAREFTPSGNTQNYELGNSNMGNGAAQDNGVFSDPFAMN SMAPALANNAPFNPYAGDPSAVSGAGAGFYSSGGAYASVPMQQPNYHLYQPYDAYRGE LQPWQRSTYDYFIPAAMREEIQKKMFATQHTMPGLPKLENYHSLFSLDTSHRKNTACF GYHSWVYKAQKVTTGLHYVLRRLEGYRLSNDQSVMSVMRDWKNVRHENIVSFHETFTS QNFGDSSLIFVYDWHPLAKTLAEQHFSSPLGNNRQRYPAVPEGILWSYVCQIASALYY LHNKNLAARCLDLSKILVTEKSRIRLGSCAILDVVQYDHNRPIQELQGEDLVKFGKVI LCLATGMPPNLLQSSNTKAALDSLVKYSRSLRDALQFLLSPVAPNGQPHTIAHFLTMI APQLAQQANNTLRENDEQQYWLAREIENGRIARNVMKLSAILERGDLGSQSNWSEVGD RFQLKLFRDYVFHRVDASGKPDLGLGHMLSCMSKLDAGVDEQIMLTSRDNETVFVITY RELRGMFERAFNELMKFSKSPGL QC762_120850 MADGVEFDLGQLSSQQQEALQQYTDVTGQEIKDAVPLLERSQWN VQIAIAKFFDGDGPDLVAEAQAAQDNPPPAAARYENLHESFLDADDDRPRRTRSPRTE AAPRVVPQQPVSIRPRGLLSILLTPFSTTWSLTRGVLVVFGRIISFFFAFLPASFRPR YLGTTIRGLGQAHGRTATLPQEAARRFRREFEEAYGTHGLMFFEGGHAQALDTAKRDL KFFLMVLISPEHDDTDSFIRNTLLSPDVVSYISDPSNNIILWGGNVADPEAHQVANEY SCLKYPFSCLVCLTPKEGSTKMGIVKRLVGPMTPAAYLSGLQDAITKYSPDLNGVRAE RAAQEHARNLRNEQDSAYERSLARDRERARQKREAEAAAAAAEKRALEEAERAARQEE LRRQWRIWRATTVAPEPDTKDAVRLALNMPASAGGGRVIRRFASDTTLEELYAFVECY GLLQEGPLNEKAAEPEGYEHKYGFQVASVLPRETFHPSKTITIGQKMGRGGSLVVEDL IDEDEEE QC762_120860 MMKNFSMNKVLGTIKKRNTSDSTTDIPAVVDPATEQPQDTAARC VKAFCESRGSSTGDDVIYLPAIVEAAVASPVAATESARLIRKFLSRDYWSRPACQYNA IMLIRILSDNPGPGFTRNFDKKFVDVCKDLLRTGRDSSVRQLLMETLDTFETSKGYDE GLNLIIAMWQKEKKKAYEAYSTTPSTYATPRNHNVPPYPQQYPQQPPSQYPQSPHHHS HHHSSSRSSRHRLPDPIELANRLEEARTSAKLLEQLVASTPTQEVLANDLIKEFSERC TGASKSIQGYMSCENPAPDNDTMESLIDTNEQLQQALNQHHRAVLQAKKHLGEARSDN NTPVSPSSQQDQPPVPPRKPMGSGYGGNGFGVAGGAGFGPSGSSSRSNSNGKGKASAE PSYRTNNPNPAYGPSASMAGPSRSNTGTPAQQEDDPFRDPEPRLSTNNRRVSEDETPR LGYEPFHPGFGGGGAGNGSAGEQVGAGPSSKKNKDVEPVTPISDATEEQEDAYTRAAR ERPTAGGSGSEQVVKDPGPLYRY QC762_120870 MTSVHHGNNLIGDSIEHDVHPDRVAVMIRDNLPELQSILFSFTS RVTGRSWMLTTVLQSPALTSRRPRVQMLGLPLMRADSCDYISSIPRRHLTWLSVTGPG SFVTLNMLKQRLMQTRGLETLHLRNFAERSFEFVSNERLPPLLELVLESYDWRHSLEE STNNWDFSQLKVLMLFSVKHLTSLFRVISQVPHRLETLVFDMEWGPEVIPSLQTLCEC PSLHTVVVRLPPKLPTTDTDLLQQLQIPLKEAHDNLAYKLAAGIIHLLLRTRFGNTKW QDIYVLFGEWKMWDNAGLHPGYYFKYIGPVSEGMHIENLNKFDMQALLATVARMTGAG AEGATTLDDAKKKKARRPQPVGQGGDRMGFYHEVYGLRSLLASKPLDRISLSF QC762_0021120 MSRSPQTLLRLPPKRTFTTSAPHLKSQPYSKSSTRQQSQGKADP KSTTDGKPEPAPRWKRLIWTGAFAATAFTGSIYGAGLKTQREWNEEKQKVQELTTDEK VAMLENSKGELWKQKVSLEQKLGEVRRRMEKEEEGVPK QC762_120890 MTPRVFIIRHGETTWSLSGKHTSTTNIPLTASGEKRVLATGRAL VGNDRLIVPSKLSHIYVSPRLRAQRTLELLNIAYRSHTPLLSPAREGGIPCQAEVEIT EDIREWDYGDYEGITSPEINRIRKEQGLDDGRKWDIWRDGCPGGESPADITARLDRLI QDIREKWHRPVMEGENVGEGKKGDVLIVAHGHILRAFAQRWAGKELHDGPTFLLEAGG VGTLSYEHHNINEPAILLGGAFVVGDD QC762_120900 MADNHRRAYPPPREGPESSHYPPPPEEDEDRRRLPPVGGSGMSL PSISSYPPPPASYPPSDPRYPDRGYSADPRYQDSRSWPADPQAPSGYPPPPPDSRYPP LPSVNAPPRRYDDRPPYDDRRPYDDHRPYNDPYYGPPPHASRPPSYPPPGSDPYYRYP PGTPYPYGAPQQAPPQQQAAPRQRTSIACRYCRKRKIRCSGYQNTQNGKCTNCDKLRI DCVFQPVSSNSSAAFVPVQALPGGVPPGTPLFGAWGQPLGSTGSQGPPPQRPYPQHPP SDYPPPLNSPTAAYPPYDDREGSRRRSRPPEDDPSLRPGPPNYPPDDDPRRRSPASNH SNGTPPTGYHQYQHGVYDQDRTPTPQKPSPSGPSAPPMHPQQPPPLVAHSPPSGASTN PMSLGHLISTDERERGGPNAGIDRDMLGRLGRRS QC762_120920 MADHPHTHGSHHGHSHGHSHDHGRSHAHGHGETQNREYFNQQAS SYDSKHEKTLDKLVEEIRKRIDFIGVEWADEETSGDEEEKKDNNREKKEVREVRLLDY ACGTGLGESALTPYTTQCVGIDLSENMVATYNAKAANQGLTPEEMHAHVGNLCLPTDS PSYPTQTQLFSSSDFFSFDLAAICLGFHHFDDPPLAARRLVERLRPGSGVLMILDFLP HEKMDASHPASGTVIHHGFSKEQIQKIFEDAGAGEHFAFEELAVVFNKAAGTREEMKR KIFMARGTRRAE QC762_120930 MLSWSRRRRSGYPWQAPCLSRAACGKAGQGGAAQARSPKHPAGW QPPVLQLWRWVQSLSLPLTTPRLSFAFHARSTTSKPSDESQGCLGSLWFGGKTFRIRG FQLSILHSNLDRLSSHTCKRQQSIRMATVNVVIKHGPKKYDVEVDTTAPGEELKYQLF SLTGVEPDRQKILVKGGQLKDDTDMSKLGLKPGQVIMMMGTPGEGGGAIVRPTEKVKF LEDMTEAEQAQQAGATPAGLINLGNTCYLNSTLQALRSIPELQESLEKYEFRNAAPSG SQLGLTTTTPGADITYQLRNLFRDMSKTQEGMPPLGFLSALRTTFPQFAEKSKKGPGY AQQDAEEAWSQIVSQLNQKLQIKEGGEGSSAEASFIGKYMCGELSSTLECDDAAAAEA GEEPTHSKDQFLKLNCHIDGQTAHLRDGLANGLKEKMEKRSEALGRDATYTKTSKISR LPKYLTVHFVRFFWKRDVQKKAKIMRKVTFPHELDVVEFCTDDLRKALVPVRDKVREV RKEEEDVERARKRRKRNPVEDQTPEEKKEQEKKEKGKKPSTSADGDVEMGESFKTDAE FEAEKDAALLAAKKELNSLIDPELRKDDGANQSGIYELRGVVTHQGASADSGHYTAYI KKAGPKDPVTGKVGPEDGKWWWFNDDKVTEVTSDKIDALAGGGESHSALICLYKAIPL PTAEGVME QC762_120940 MSQSLRPYLQAVRSSLTAALCLSNFASQTAERHNVPEVEARTSP EVLLTPLTIARNENERVLIEPSINSIRISIKIKQADEIEHILVHKFTRFLTQRAESFF ILRRKPIKGYDISFLITNFHTEEMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPFD QC762_0021180 MAPRVFNGFSRGTGQRSLLTSQHLASAQLCTSQLGQSTSAPTLP FLASPPQPSMAKPGMPPGVVNGSFRIPMPSAFLGFIHHPMVPGGVPPPTPLGTPCVPG LTSNAATNNAAAPPAQTSQTGLTPSANFVHPAAHGSHVTYNTGPIYSGMVPPPAGLDN ATPQSHFPDHSNARPVHAGNGSAAFKHDNPQSHPSVYNNVGPVPAGNGSQGLSSQVNH QFGPNSGAIPAQESYVGRKNNVDTPHRGHQVGQNNHMANLNNSNMGPPRGDNNLAQNN STGVPGHSNAAAPTPSNAGTTLQAYFNPVTGQTMRLDGTIWTPHESKKDRYADHSIYN QSEPRNFAPRPMGIPVMFPPPPNFTSGPGSSSQIGDIITNQAFTDPFTSPDSSASNAV NEAAYFLANSHEIDYSELTEAEQELEVQWGLLRDKLSGLRTMGSNALRRLINGVNGIP TTSVLLNVMNFPFIESSSQSMPAVWGVIKISNIPFGTMRAEIIAMLGRNSKIITDAQE GVHIIMERVTSKTGDAFVEFNSIHAATRTVERHHEAIRKHRQPRLGDRPIKVTMSSQK ELMEALFPLCRGVNFEDGRVTLLPEDPESPWNTFRGFVMAEELNLLIKHIEVPSRSPY SKDCPQRPFESMISTLKKLPWYASHLITLEQRQIIYDATFRLIEFLGELLQKGDVTAG KHRQQTVLNEQLYKRLVHAAMLCPGFSVLQKDNLAYLANMSGPAIAEFNMPPFSECWA HLYNLCPRPGVPVDVLEWYITLIREETVRYVNTLPASVQASIKERAKEDSLYFGYMWH EIQLPQGRELAAMTLRDVAEKELDAIKQILDRAAANAHTLLVTDAAYQHPDHYIVI QC762_120960 MATVTTTRTLPGGNQKMETVSGAPVHLLQSRETGEVDPRPKPGE RPAVAKSWAHFVAGGVGGMTAATLTAPLDVLKTRLQSDFYQAQLKASRAAHVGPMNPL RTAVYHFNETASILAAVYKVEGPRALFKGLGPNLVGVVPARAINFFTYGNSKRLLAQW FNDGKDDSTYIHLSSAMIAGVVTSTATNPIWMVKTRLQLDKNLAAEGGIATRQYKNSL DCIKQVLRNEGIYGLYKGMSASYLGVAESTLQWVLYERAKKSLARREERLVISGKERT WWDTTVSWMGNASAAGGAKLIAAILTYPHEVARTRLRQAPMADGRPKYTGLVQCFKLV AKEEGMVGLYGGMTPHLLRTVPSAAIMFGMYEGILRLLQPSHHEP QC762_120970 MQSLPDTRAQSFDEIYGPPENFLEIEVRNPRTHGIGRHMYTDYE IVCRTNIPAFKLRQSTVRRRYSDFEYFRDILERESARVTIPPLPGKVFTNRFSDDVIE GRRAGLEKFLKIVVGHPLLQTGSKVLAGFVQDPNWDRNAW QC762_120980 MAVANGDSAASAAAALENLKPPPGVIIPPPGEIREAIEKTAGYV MRGGLGLEQRIRENHGKNPKFSFLMKHDDPYNAYYEWRKEEIKAGRGTAVAAGRVGEN AAPAKEEPKGPQKPPDFQFSARMPRMSQKDLEIVRLTALFVAKNGRPFMTQLAQREHG NPQFQFLAPNHTFHNFFQSLIDQYSILLRESGVNGEGTKLHQARVEELRRSATDKYHV LARAKQRAEYAKWAEAEKAKKEEQEEQKKEELARIDWNDFVVVETITFTEADDQASLP PPTTLNDLQYASLEEKNKMSISSSLRIEEAFPFEDTSYNAYPPQVYGVQAPAAVPQPT HQTPANAPPPPSYGSSEPVGGSRSAEEEHEVQRIREREQERLRMQQAQTEARGGAAPM KIKENYVPRAAQRAANKIGAQTAMCPNCKQQIPLNEMDDHMRIELLDPRWKEQKAKAE ARYATTNLSTVDVANNLKRLASQRSDLFDNATGQALSEEEQARRKKIALHSFDGNPET AHVNQMQNFNLDEQIRAIHQKFADK QC762_120990 MARSAAAKAASGRVSPPLPPNPAPTQPIPGTVSTSSKNKNKGAS KRPNDYTSEGVADNDVFLLPGSDYQLLLGITFLAAAVRLFRIYQPTSVVFDEVHFGGF ASKYIKGKFFMDVHPPLAKLMITLFGYLAGFDGNFDFKEIGKDYLEPGVPYVAMRLFP AICGVLLAPTMFLTLKAAGCRTFIAAMGACLIIFENGLLTQARLILLDSPLMIATAFT ALAFTSFTNQQELGPSRAFSPSWWFWLVMTGLGLGMTVSIKWVGLFTIAWVGGLTLVQ LWVLWGDHKNVTISTFSKHFLARAFCLIAIPVAFYMAMFGIHFLCLVNPGEGDGFMSS EFQSTLNSKGMQDVPADVLMGSKVSIRHVNTQGGYLHSHPLMYPTGSKQQQITLYPHK DDNNLWFLENQTQPLGADGLPINGTNAWNNLEETPYIKNGAIIRVYHVPTHRRLHSHD VRPPVTEAEWQNEVSAYGYEGFDGDANDFFRVEIVKKKSKAGVAQERLRTIDTKFRLV HVMTGCVLFSHKVKLPAWASEQQEVTCARGGTLPNSLWYIESNEHAKLGPDAEKVNYA NPGFLGKFWELQKVMWKTNAGLVESHAWDSRPDSWPILRRGINFWGRNHRQIYLIGNP IVWWSATAAVVIYILFKGIAVLRWQRSFNDYADPVFKRFDYEIGTSVLGWALHYFPFY LMQRQLFLHHYFPALYFSVIAFCQIFDFVVARISALGLKKNPLIGKAGALLFLLISVA AFTLLSPLAYGNAWTKAECKRVKLFNTWDWDCNTFLDSYEAYDQLANSPAAASPTSTS KPSVNVQAPAPAENPVAAGEQAKAPAVEQPKEKVSGAPAAGEQRVVHTEERVEYRDQD GNLLDMEQVKALEGKVEFKTRYETRTRIIDAAGNEVFVGAQEGAPVPVAPPHPDVEGV DRETPKNSVPDESIPAAEKSVEGEKEAEGKVPKPASESKEKTAKEEL QC762_121000 MTVAAMGQRPPHVPELGFFTLGEDLVNNPEAIVIPAVDIAKPVE IVARHTEISSNGARGRSGPTKTEVSAAQEVKSTNSQATKAETKPVKTTGSKSKDATEE DKKNAGATVDSQSQEDSVDYGDQWTWSERDQDYIRIDENGKTLHYTSFQKPPDPDATS PPSPTKPGSPAGSRQNSTGPEAATKHKWEQPLDLRFQVVTKPKRFFAVGRIFKVPWFE PLGSTDPSPNDPFPSATPPNLEYSTKCPDFHGEKPLAKYRWFVVVRRRLHHSLCFSIT TYAGANKSATNKTCRGRDVDFVVLHNSNVVPAKPYEEENITRKPIGVIIEDQETYISP VARLDCGRMYTVEDHLRVMKVGRVHPGSLAALEEYFKDSVS QC762_121010 MLVPSASLASPTPSVTPTPTFDVFGAALLRPRQTDFYGGCYNYG SNVYSCTTHLSECYSDFDFVTAGGGPENGLAYNSAVDAAVQSCVCTHGQAYWNCWYTR IATGTCSSLYDGWNDLYASALGSTCSNIIGGPQGQQQPNIPTVNVVTVSTRINTPAYD GQPKFQGTGALLKDECGQASFTLVDAGNTAYYAGFLGCIKDRPDCCPWPVETATAAVV SAVAGSNAAELEKLGFDYPIPVDAKQARLQTCAEDYYSVDGGCCPNGFVPFTSAVGGQ TPCWSSIKAGTTRPPTLTVERGKETKTDRETSAVVNIVWSMRYPVVEQSSGGLSVAAK AGIGAGAGVAAILIGGLAFCLWRQRKKNKQLEARQPADPNSAAAAAAQTVPQQQMAQT QPQPGQPQQFPNGQFQPMPGQQQQQPPQGQYPPPAQFQNGHPSPNQYIAAGAIPPGTD PSRHSVNTSISSPSALMPQNTGASNTTNGHASELSSLSGGQNPFNTHPQSTPSPGVGA GPGGYPAPIAEADEGQHHGQNQFYGGGYQQNQGQYYNQQPQGGFPQQQQQQQYGAPYV QQGGQQWQGQPQGQNPWQGQQYHNAAEMSAQREADPPQEVMGSYPQSNQQQQGPPPPH HHHQQQQQ QC762_121018 MAPLITNIYTADPSAHVFEGKIYIYPSHDRETDIQFNDNGDQYD MADYHVFSTESLDPAAPVVDHGVVLKTEDIPWVSKQLWAPDAATKNGKYYLYFPARDK EGIFRIGVAVGDRPEGPFTPDPEPIKGSYSIDPATFVDDDGEAYLYFGGLWGGQLQCY QKGHDVFDASWQGPKEPTGEGVPSLGARVGKLTEDMRQFAEEVRDVVILAPETGEPIL ADDHDRRFFEAAWMHKYNGKYYFSYSTGDTHYLAYGVGDSPYGPFTYGGRILEPVLGW TTHHSIVEFKGRWWLFHHDCELSKGVDHLRSVKVKEIFYDKDGKIVTEKPE QC762_121020 MIIGNYGDTDDVDMDVDPEDVGPARLAVIPDEDIGTQIRDISAS NPGKTLLPTPVAKVVSFATRSTGLALRMSTVIGGYGFDAAKLTTLSSLELGRSILGGI ISRAGKDVISRSGTDLGRADAETTLERSLENLHRTMTQIVFWTTTSFHMTGTTLAMIS ETSQLLLSTLDQFFGSTDSSRAMASIITLIRREFQNPATGRQGEKVGVIDLMLGLCGM AYLQRWCRRLLEEESRTLRVEEVVWDVVVLSDGVRVDVHEGSLYGVHNGSYAAEEASS KETVIAINSNGELNDDDRSRLPVAQLEQQIMRSLPDNARVSITRQIRTTEIITVEVTG GDEDIRVDTPPGVELIEEPRAVRHSLSQTQLRGRPENSISDSRFVFRHSRSHERRSWF QKEHGDVSQVPGFVERMDTESPGTSDVETDEEDPPPPPSVPPKSSREQLRPPIRRPNF QGSGSPISPSSISKPSRIPSASRHGVENAANQKRPRIPPTDSSSNNDRPSSSSGRQSF NRLLPSYKQQKEDSGPSIGSSAKKGGFRSAFTKPGRLFNREDSGSESSAGKTKETAKP VKPARPPSHLAVPSKQSSLIPKQPVIVPPQKTTTTKTSRPGTADSRRSVPRSESRASY ISVHSRRDSSISQTETFSITTADDYRPVSPYQENSSTNPVVRKARSDRELGGPLGGPL GGSGTNHRRVKSHMYAPSIYTLRANESQSSLVPYQNYQSRSAYSDTEALGTLRKAGKL DTIFPNDHFLTNITRYMRFASASYGSNFLRVLGIAKEMPILRALDDTHHELRCFAHHT ESDANSILLSSFVDPQGGSDGTGSTNTGVPLVHYISLDHKAKAVVLACRGTLGFEDVL ADMTCDYDDLVWRGKAYKVHKGVHASAKRLLYGGDGRVLNTLKQALEEFSDYGLVLTG HSLGGAVTALLGVMLSEPHPSSSTFITSPNPHTRLLGDGTTTTAFRHQEICLPAGRPV HVFAYGPPSTMSASLSKATRGLITSIVNGNDLVPYLSLGVLHDFQAVSLAFKTDNNEA KVEVRQRIWDALQSGIADKWYGSSGSGGESCKREDDDQWAYAALKVLRASMMSQKLLP PGEVFVVESTRVLRRDAFLVPEIGGEDLGRPAHRVVLNPGKYEDALNKLRSGVMEH QC762_121030 MSTQDLFSADLLSPSVQAELPEGYKLRALRSEDYEHGFLDCLRV LTTVGDISRQEFDERYQWLAKQDGTYFILVIEDTNFNPPRVVGTGALIVERKFIHGLG KVGHIEDIAVAKDQQGKKLGLRIIQALDFIARETGCYKTILDCSEHNEGFYVKCGFKR AGLEMAHYHNK QC762_121040 MGVIAEDLNATVARVRIALIQSQVRDQATSPVRRARRRSTTTAV YAGSKDGVPVFSKQKVVRVI QC762_121050 MSPHSVSAKKNIGGISGVDGTGEQREWLMGREAFEATMPHHEGI KALWETKWRFPCTKSLYPFHDGRFSDFEPIFQSLIARNVNDGTSAEYTSSFIPTADNL ERQGDELLAQGNKTKASELYLRACTVLRIARFPYIASFPDVTDKTKWEAWEKQKATYA KAGKTWESPLEEVVVPHSQAKGRDRKDIPVYVRVPDGKTGPFPAVVLMTGLDGYRPDN TVRCEEFLARGWAAVVVEIPGTADCPADSADPESPDRLWSSLLDWMAKEGRFDMKKVM VWGLSSGGYYAVRVAHTHKERIIGSVAQGAGVHYFYDREWLERADGHEYPFKLTPAMA HKHGFGSVDEYKEKAQKKFSLLETGILKMESARLLLINGTLDGLMPIEDSMMLFEHGS PKEARFFPNALHMGYPMANSSVYPWMESVMKSVQGSK QC762_0021310 MFSLKDHTALVTGGTRGIGQAVAIALAEAGADVILVQRNAKDEE TATAIRKLGRRVTVVAADLSSPTEVKRIVPELLDEGREIRILVNCAGIQRRYPCEEFP DEDFNEVIQVNLNSVFTLCRDIGAHMLTLEPSPVTGRKGSIINFASLLTFQGGLTVPA YAASKGAVGQLTKSFANEWTSKGITVNAIAPGYIETEMNTALLNDKERLASISARIPA GRWGTPEDFKGTAVYLASKASAYVSGHVLVVDGGWMGR QC762_0021320 MAFTVIPLDVHSLAKLLLPSKQHKLARDWRGLKRQHVSTNIPTE GKDAVEVDLNDFVKVLIWELLARVPPLDAGAIDQYAYFPALV QC762_121070 MSTEVKTSVLYGAKDLRLETRPLPPLTPDDVRVTVKATGLCGSD LHYYNHFRNGDILVREPLTLGHESAGIVTAVGSAVTDLSPGDKVALEVGQPCESCNLC LRGRYNICPEMKFRSSAKAWPHAQGTLQEEIVHPRKWCHKLPEGVSLEDGALAEPMAV ALHALQRAKLEEGAKVLVFGAGTVGLLCAGVSKVVSKASVIIADIQEERVKFATENGF ADEGVVVPMKRPETIEEKLVFAREVAEMVGEKMGPVDGTFECTGVESCLQAAIFATAP GGKVMIIGMGNPVQTLPISAASIREVDLVGVFRYANAYQKAIELLANGLRSKLPGLNH LITQRFTGIENIPKAFGMAGRVKDDEGRLVIKVLVNM QC762_121080 MPPKHFTLNTGAKIPSIGLGTWQSPPGQVASAVSYALQNGYTLI DGAYCYANEDEVGQGLAEAFSTGKVKREDIFVVSKVWTTYNTRVEEGLDKSLKSLGLD YVDLFLVHWPLLMNPEGNHDRFPTKADGSRDIIQGYNHVDTWKQMEALLKTGKAKAIG VSNYSKLYLEQLLPHATVIPAVNQIENHPGLPQQEIVDLCKEKGIHIMAYSPLGSTGG PLLSAEPVVKIAEKHGVKPSSVLLSYHAPRGSTVLAKSVTPERIKENIESLIDLDEED QAELKAYSDKLVAEKKWQRFVYPPFGVNFGFPDKQ QC762_121090 MAVAINDRTAEFRHIVSAAKRKQVAKPGSQRLLGSSQQSAANGD AKPKRSEFARSAAEIGRGISATMGKLQKLAQLAKKRSLFDDNPIEVNELTFIIKQDLS RLNEDIRNLQALSRRLHPKPDQEGENNKNILLLLQGKLGDVSANFKDVLEIRTKNIQA SRSRTEAFVSNVGQHAQLSLQQSASPLYGTPNRGTPSPGNDLISLNPVVDQQMQLQMM EEGGQNNYIQQRGQAIEAIESTINELGSIFGQLAGMVSEQSEMIQRIDANTEDVVDNV EGAQKELLKYWSRVSSNRWLLAKMFGVLMIFFLLWVLIAG QC762_121100 MDGSYGNVFPTNRSMSPAVGSPAQANDMYKVNVSRQKTRKWANF KPQNYDGDDWGDEYEDDEPEPNPPPQSKPMGPRSPTEPQQFQRAVTMPESTGSLATLP SQSHQQPRKSSLDAATSPTSPSSLASPSRSPFVRPADIYRKLEEERKRSLESPRPALS SVTGPPVVDRPQYAAGAEAGSREDEERTGVNRDSRPGLATVPERRSEYGLEGLLDSYG SDEPSNEPASYTPHYTTSEAQPPAHAEDSKVDVGKQLRRYSTSPQLPLVTRMSGFGED LFSPSSFLDSSNRQPSVPAVGVPAVGVPTTSTTTGTAQVSNDPSRTQSPALTLRQHQP QQSPSTPATQDINLASTAPETTAGETPLTHDSKHPVSPAAMEHGAETSSSAIAVQQDS GAPPSSNTPAQQQPAADLPREPAANKQSNEKGILRPSLPGGWVSETLATPGQALLPSQ IEPVPAAVERAGGDANSGTPAEHAAFSMDSSHAPSYGGAGPVKESGLLETQKAPLPRP ASPRALPPLRTTSPSLGTTSAMVPGSESKVSYETTEKVESDALAAPEPTTTTTETSEI TPTAPLNPRRDPPEITTDVHPVLSPPSYGAGSMLEADTSSPLKENDVLSEEIMKSLSP VQSTEGFGNIHADSADAYQAAARSPVRESSYLGDVYDDYWTASEEKVEAGLLAAAATG QQPEAGRPSDAPSVSVAEPPREETAPSLDVKAVALSASSPTSPAKPAASEGGVGNTPV MEDTRKRFSWEAGFSDPAPVSIQPAIKEPAAEPKSLVLPAITTLPAELDAAPSSPAPG LKADAVPLADTVSQASSTLAPRSANATPIEPPSPISVLSDRPNGKSLAYADEKIAVEP PTGEERTSPTPENIAPQEQQQQQQLASRAVAPRKGSINILPFRQVLEMPMPLDRIRTF NDSRAQFAAIDTGLDEWLTMMTSRHPEHTNAFVAGFGSQQGLSPTGAPSQQFGGNGAH GLPANIPMPPPHQHGASGFSHLQHSAQVQKSKVLLMAAGKAGKGLFSKGRNKLRETGD KVFSSS QC762_121110 MASYNPAYKPLPHPGNFQQGYLSAQQQHQHQQLQHQQHQQLQHH HQQHQQYLQQQQQQQQQQQQQHQQHSGQNGIERPPSFVGLPPIRRGSSLGLNAERFSG DNNNNNNNSPGNSNGHQQQQQQLQQYGQQHQQHSGTAQQNPNTSHQGQQHPQQQSIVQ SVYRPTQGAPGPQTQAPTQGQHTWQLQGQGQQGPSPGLSRGFAGAAVNAPHGVNGVPP QGPQQYTDGSGRQGPMLPHMLPGNLSQRFQPQSWVPQESHLAEPLHPSSRHRSSPSNA SSQHQQQQQQAQQQQQQPHQQPSPPQQQQQPYYGFDKETGGPVSGGHSSQRPKPQASQ PSQQTHPAFRDQQHYNGLPSQRAHPTQPLPALPTIHQSPVIPQGSDQENQQQKNNVSP PGSGAPGITVTEDGRIKRNSGVYSGLRDRLATGGPVERRDGDGTPRYQGVGNDVVSDS SDDEGDLPNPIGPFGGRAADNDSMIAHGPGTPMGERVPPPPSQFAPPGRKLTTFFGIG ATQPSGPQQPNSMRPDMSRTSTSTNATENQPAGSIGGPPKKRFSALKNVFHRSEGHKH SPSFTIKTSPPQAQAQFQGMNQGPPPASFLQGPPPGPLPGIPQGEVPRTPQGPVQGQF QGQSPGQIQGQAQGQGQHPQDPTPPAGAGPQPGSFNLYSGPERTVTGLRQPGHALPPP GAQNQQSPLQQQGQPQQQAQQQQQGSFAQYDQTRKPSGGMFGFLRNRADSKPKDGPPA VSLPIPPGQPIPFSPGRGGQQQYGMSPALGPDGRPSTAANQQLFQSQFGRGGPGSPGQ TLPVSQPSDNGQLDDEFIAHRPPPLPQQSQSQLSFQHGQAENLSTVSRQQEQETTPYL QNAQLHQPTKLLDPTPAGSAFESPVSSKSQPVSQAAVEQGRHLNDSPASFTVQQAVAV RQPPAQSYGQGSRFSPTQNPTRKPLNASEGLNNDQSAPPGSPPAGYQGQGPTQFGVSG PSFQQETRGAGPSQFHPSAGVQLPDQDSRAPSRQSISAVSPTVETPSIHMHPQGPSQG PGAGPRPPSGHSYQSSLQSSQVQQGQAPLQGISTQPTWGSAQSGPQPNRAPFGPGPRP NGNPMIPQFHQKEKEQSTISKLLKGSKATGPSAPKPEKGEKEKGGNLSGFLGAFKKGT KQMEIHSPNALPPMGGQPFLAGQSSQGQKSQPFAPHQVGVQAQPQPQQQPPAGASTQE QRPQQTGPAGQYPYQNQPQSQRQQGTAPTVQPSPPSQQQAPRPGPPPTEPKYERVPIP ASYGYVHGEGRVAPGPVGFYVGPTPVGLYGMPQGQMIPAGYPQQWVQPGGMPTQVPPG AVPGQVYSQQVNGAQGASPQPSNSSVPVNARDPSPASQGHVHVQAPSPVPVQPPAPPP VSAAPAPQQPPVFNSQPSLRERVPSPEAQPTQQTQPPQTAQPAQPSPPVQQPAQSHPA STLTAVPRQIEVSPQSSVRTAAPQAPSPNVSPPERQVQPRPEPARFDSRDSDTLPSAR PSPLKRNVSPPQNGSATHQRQFSAGNGLASLPSQQRYMQSKQSPSPPNGSQFLPPRSP DRQAPSTVVPIHIAGASIVSQGSRTVSPPQDSRTVSPEPIIQGPSAPVQHLESPSRVP DDNIYDATPRNSQFAPQEQPKQQPESQQQQQQRASPPPQPQTPQQQEEQQRQSPVQTR SSPMEAENTIIISEPTETKSAGPSHDTTRPKLELKPPAEPRPRSNSPPAVDHDSDDDL SDVESPIIASATVATLKPASPSNKTTQTGAVARENIAIFERAKKKAEEERIAQERMVM EEKIPVFDDEMMNAGKKKEDEKVQMSATSYPGQEWNPYGEFQEWE QC762_121113 MAPILPPSSNPTQNNTQDEMGLEDVHSRPRPRPRPRPQRSPSEL TRLRVQNRRREYLSRNPSYFDRPDHELSDPLLHDHLIRRFLTPREREADSKSKGYARV LEGSLLRGEERLAKLSSEKPAGDEMGENGAAAAGVSQAGRGRGPKVEAAGTSFTSFSA ELSPPPETKEEGEERWREFLRDRFVRGEDEDFEYDEVDDRDELDELERREREEEWIEG EEPGWADSEGEGEGGGRVGRVLTGETGVQDF QC762_121116 MVDTSAYPVPPLAGDTHGYWLETRPHDESLDPRNVVDFPRPLNL PADLSVLTAGTSDYAGSYIIWPPGHPNSPTSWYDWVAREHDCYECRRNSLGSHGQQPE STQQPPQGTMAPFMEMLHPSFFASQPDAFSIIHAPFPETTYSSPAVQNNIGGIVSQLP RPQQHPPPEVQALPVAAFFQPSAPDYSHQAFQPTRHNPAQQHYQPHTIADMQQVVTPQ HDSLASIDINPHPDPHYPTATPFNSPTTTTVTTDPFLSDLLPTPVPISLDNNAPQQPV PSPPITHARRTTLPNAEERYQCAHLLPSGQVCSAEFPRHWELDRHIDTLHFKSTRTTC HDCKPSQTYSRPDCWRRHWRRTHAVRFIEERGDRFFVGLLERQQERAGDGQGGTGQQK GEVASTRKKVRRYGNGLRWVTIMGGDDGGLSEEEEIALDVWEAMKKNGRQGPLFEERK RAMRWYMREGRS QC762_121120 MTADIARSVPSTPQPQRLFSPQEHDDENDLSTIPDPRSRAMSPA DPETMAAPHHPDLDQEVATLSTKLINAINHQTTLDDNLSATRLELEKAREQVRQLEVQ VAEQREMLAGDVWVRRKTVEAEKSHLLARVAEEKRARADMEQQKKKIEQELENLTAAL FEEANKMVISAKEEARREQEVLQRKNDQLKSQLADTEAILRSQQEQLVQLKNVMERMT AANEEQAPPTCPSSPTFSKFEDCDGPSVTVNHPHHTHSQSLPAALSPSYPTSFTQLLH PVLRTDLAAFRDFKDLVQTSKRLSSQRTPSNASNSGLVSLGLGLGSVASHVTAGNLSS TSLATNATAGSPATSPQTPNTPASTVSTTSSAAAPVLPHLKETKFYKRVLTEDIEPTL RLDSAPGLSWLARRSVLTAMTEGTLVVEPAPSTTTGRFGRIMRPELIPCSLCGEQRTE EQYLRTHRFRTSESDSAQNAYPLCKYCLGRVRSTCDFLGFLRIVKDGHWRAEDEDAER AAWEESVRLRDQMFWSRIGGGVVPGGHVHRGVSSVAPSIRGERSPRPSHEISEKFADV PEVPGPVDAAKELPKTPEQRPADETLPVPQAPPALDKVEEVDDDKTPPAVVEDDSKTP PAAIKDEKPPPAVEESQIPPPALDEPVQSLKPQPSVQQVEVKPLSLTIP QC762_121130 MQSMQRQFGKLLNKGPGDNAKVSVLLKDYEDADKVLATLIENAR AWRDSWDSLINSQHNMVVEFEGLYDPIVGATDGHGREAAPTPQLQLERTLRLKETYGD LKTELLQEIVAIEERILRPATDARSYITPIRKTIKKRENKRLDYEKVQDKTLKLQRKP GRNAKEDASLAKYQDELSRVADEFNIADEHLRQTLPPIVEATFSIVPPLLAALVLIQN RLLGLYYTTLHNYCEDSNFPSPAPPMEDVIAVWNAACSPIQSQIEHISFIRHKGGYTQ PPPSNGYRRTPSGLIPSTNSSSNSLQPRPMRIPSSHALKPPSPSPSPAKTPPSSFSSR RPEWANPTEFTTASHLGGANIDRSKPARERSVSPNPAVGSIMVNGGLVVKKRPPPPPP PKKPALVQEQWVVALYPFAGQGQGDLSFDEGERIKVVTKTQTDQDWWVGELRGVRGSF PANYCRPA QC762_121140 MCCLPGNLLESPSQSPVKGYVVVSTISTCFSTMPAITSSGARPE LAGGGSSAPVLEFLCLFTHDLQRKQKRWQDGRLKYHTFNKRVMVYDDRGNSVGDMHWQ RDWDFDEGEEIKLDRGGVIVQVQECVGRQNQDLTDLLDKRAKEKEERQSRTAARMAPA AFGLRTPAIPSRVYPAQIAGPRSHHRRLDQVLTPTGHHGRALVSTESPFEQRQRDQET PDANQETPLAKRRKHDDTPPSKLGYAQSLFGAPLTLSAVPMSSAPVRRPAASATRMHS GPASSQENDSPAGEPEERESHPSKRRKRDDMPPSKMGYSQSLFGATLNLSAAPMSSAP ALRRTTSVARGHTEPVSSQEHGPQPQEPTSGIQEIPVNPSVRAGLSRSTVTAPLLKTR LPPLGSASKPAAKNEADKARARQRDVAVEFPAEDTRMNSDPGDSDLSATRNRIAAKYI DRGQIRGQKVAPKPKKPPPVVILDHDDDDDDDDDDVEGGEDDTNLNDKNQAPIRDKDT GESRTLPRNMPKQRSKERTTKTPFTNAAPATKQAKSSKSTRNSKTKKAQDAGSKLPVE DERAPEGLGLNMTPEGPRPQLRIQPRQKRGLLVSNEKGNKSKKPKVCHAQSHAELEYD GEPFVPNPAHMTMLEEDDPFASFVDAPQEAQTVPKPKTPPLLQDVHSLGARHESLANS QASNEDQPSNIRANDDPSLDSNNTFSVPPPAASKTNMMGANDEGTAGLYGAERSRKRS PGQQRTADLVKDTTQDRGSTIQPPELPRAPTRPIAEPQTSPRYLQDKMTDEEDVSERP RARPPRKKVTLALDESDNDSLPTRKTKKRMTMALDESDDERPASCQSTSRDISVSEKS AGEKRPMRRSNRRTAKAFVGSDDERPPTRQTRSNTTVAVSEESDSEELPQVPVAPRLA RLRKSVKSREVIGFIPSSSPVMEVATVAEPRPAPIPISFPAPTPAPLPSSLPPLFPIP GLMDTPPGLEANDMSSLGYSNGPKAPAPGTGIPEPESVTVPVVQSLQTSRVLPPLPAF QSTTDVPWAVQRGNLQPTITSRETPVKESAQAPTPAHLEQSICDFPSGEPPHHHLASA PGADKAGQSAVAHQQTMPSLDTSSTAVSMRPVSAKGPTLIAEEAEGVSRSREAVSHQE VHENPIAVPRDVGVSLVASNNPNGQPPQVPPAAPTRPKITNPATRGRKAALKSHAAGQ VPQSILPLEPAPVRLTVRPPETARPGAAAGGRPKYKMQLPGFTSAKETAKDSGVDVGP WSREAHDLFGSGRPSEA QC762_121150 MSRPQKRKLASGVSEPRPKRFSWRGKGPVPRPTPSEETQALYDI WQQAEREVNLADLRRLNEPPTFVGHDEFLLSPSQATSRSRSPSCASTTHSVTKAMNGV DLNQQGSMSKKKRATRTKPLSKPAKAKAAFIRKLGACEDCRKRRVGCTREHWDLHLFE EAWRVKYGPLPEEEDIKPTPLPELGVEYFKTEFQLTRILTPEVATAPTPPDPSNRYQA RFSELDDLAGVGGQVVSAAGAPLGPVDEEIDIDNMLQTLQHEDHEELPLIPVEFTDFF DTDFDLDFGGVDIFQPAPETSFNPEPADDTWLGDTDYQCVPVGKQTYNFVGQLQFECL GASAAEHDGVEFPCAQHFNTLDLLLEHFYSAHYVFENHEERGRCLSCLLDWDLTSAEE LTEPCKQCGQGRHEKWYWGFISKGTPPSLTSGTTSVRVASQDGYGYGMQQGGSPFGNQ STDLYGHGGGGGYDFGGGFLFGDYGDGRNQYYKAAQHLTKQPYKPTINRGSKAMPAFG SNPASSIFVGFSLLSVIATRLYLAIGAPYQPASSLTVSSLSWWAAFVPELSVACIAAG LVAMWLFRHVVQYREDSLYAALSIARAEALEGSVRAAVAA QC762_0021440 MANMAQNGSAGTAFHGFSVYQPALGAALQWLPQVGTPELDAMIH AFLPGPASIKDKRAHVSMDFFEYARQTGETIKFYPVPATSSFSPAVTASPVGSAIYDS GYASGYDTSPVTSDQSWAQSPVSFARVASFEEFTPASTSKKATASRQHTIDFSSHPGM RIMTKDGRDVTNSASRGCKTKEQRDHAHLMRIIKACDACRKKKVRCDPSHRKRSASQA STSPSEQKKSAKKARKSEQQPSVPTDQLVANAFAAAPETTTSLPVAFDADLENLWNDF IVEQDPVVLPTDFTFNDPLFDAFTDSQSFYNPSSGSSSATSPSQVFTPFTPAPATASP ATLLVDAPENLLPYMNPGVDLGTSYVDFNLYSPASSYYDEDPIFQQTDVGSRHVAASS SAEYSGPSYQQQQQVHPGSSHNLVLADQNSSDYYYESERHSEPVLHVPEALRADHRSS SAAGTEVYLQAVQQTLYTGQDDSTVAHDVQSSVSPSTSTGARRVSSPSPSPSPSPSPA PLLRTTAMNTGTVLQSGVPGHSVAITPIATTTSTAAIDGRCCVEEIVGGSCGGVSADL GIQPKSGKQSRVKQCFDGVMAVLATMMVANSPTRRQPAVKDAAQEGFQPSMARLSQLV VLGLVSILCASYGTHPGGQVDSLANILSIMTLSLGYIALWCCGVPGTTSVASKRLQIP MAKIQALGSASQGVQSRASQRGRTLLRSIGYARSSIMV QC762_121170 MAVTLFQALSATGLKAFAFLQALLWFPAGVFRQALALLSAATSS PHRPGSPTLPRTSAPAAGSLGNCNNTADNDQADLHANQDSDADNTDLTMAGDTSSASS RQQQMLSKNAPLPKVVPAMPRFGPASLKENAPAASAANPAVTTNNSTDEVANGVSRLS LQESAAASNRVLSASDGNAGAGSTAAPAENGGTAALSKGNFVQSNGALPDIFGGDEAL ATQEVTRAPAVPLTHSISQTSKLKEMPPPTIQLGDETLRILEKEETPEQAAERAVHSG FMREALDMARLALRTNETPVGCVLVHNGRVIARGMNATNVSRNGTRHAELMAICALLS FASEADTEPARPVKAIVPLGDKTNSQQPEVGEEDALWGDVDPRDGHLFPYGQKLHPAP RVDPSVIQESILYVTVEPCVMCASLLRQLKIKKVYFGAVNDKFGGTGGVFRIHKNSPH SMASAPPSPAPRNGKGLARPVLERRPVSSADVTTDAAKAGGAPVMAGQESREVLSPDE EVKGGPDNSVLDPIDTSHLPGDGGNVERGYEAEGGWGRDEAVTLLRQFYVQENNRAPV PRKKEGRAARLAAMMERDGHAGGPMIDPNSTAPPPGDCNGGAETPEAVGTPIMETCPL AEDENKENEVITA QC762_121180 MGWKDNINQRVAASSVGYWFQLEGSGHPKERKGSQFLTEFRAGL ATFFAMAYIIAVNANIVADSGGTCVCSNGPNSADPYCKITNTSSPLFNLDYQLCKTEI KKDLITATAATSAMGTFFMGLLANLPVGIAPGMGLNAYFAYTVVGFNGENSVPFQTAL TAIFIEGFIFFALALLGMRQWLARAIPRCIKLATSVGIGLFLTIIGLTYAQGIGLVLP GQAVPIQLAGCLESDIADGKCPDGVKMRSPMMWIGIFCGGVFTAMLMLYRVKGAIIAG IILVSIISWPRGTPVTYFPYTELGTNNFNFFKKVVDFHPIQNVLNVVDFNISGADGGA FGLALITFLYVDILDTTGTLYSMARYASLVDPVTQDFEGSTIAYMVDSITIVIGAILG TPPVTAFVESGAGIGEGGKTGLTAMWTGLCFFISIFFAPIFASIPPWATGCVLVLVGS MMVQAVVDINWKYIGDAVPAFICIAIMPFTYSIADGLIAGICLYILINSLVWIIAKAS GGRIVPPNFEEKEPWSWSQTGGVIPPWMKRLASGKKDFWRKEHEIPGSPASTEGVTEK IEGKDAGGSDKGVNDVVGEGKPEKVA QC762_121200 MKRSVTDRSWRLPLQLRRQAMHRRTYSDSSEASHCSRASSSSED QFAFSPASENPPTRSVTSNFTASLDQTADINSEARFSADVAGPSVDTPQGSSSSKSRP IAIEIPSFRRHDTVTGVDASLPPAPLSGRGDIPGGYFPLHEDPQSRVTIPHPFHNDAD MARQYSWQKAAESTTNRAASPLSMSMSTSIRNETGHFPFSERSRTTALTAHTPATSYI PMGHHDGIALPLGKYYPTNWERRHGKSSQQQRPSTPTKPASSAGHESQGQKLRREQGH ARSGSEVKRRIQQYQRDMVAQATMAARSVVANSAALPAAIGGPDGFFGVHQLKTKPKS PRLAPLGSPGPVTPMSLEGEDGGYLTLGRPMTGADAERQAAELEKAMRDDEARRNNSH SSPGALSV QC762_121210 MAIGNFTLSYMLFTILHFCQFVLAIAVCALYGVELDRARKANVS GDSKWIYAEVVGGLSALTALLYCIPYILRFAAIWVWNLVLFILWIVLFGIFGKMYINE NPEGNHDIVRMRSAVWIVLVNAILWLVSFIANLTYWWMHKERRSRFTSRAKV QC762_121218 MLQHASIYDTEKRLTIDGYVRGTSSLPGFGPTESRRLHVTPRLR ISYHRNQMLSNTQKLPGIYPSGTTWVF QC762_121220 MAHSSSDSRKHSKSGSSKSGSSRSGTGQPADYGAQDNGGYYLET FWNCHYCGAGTYTSSTTPQCLNMHCQHPACGFCTTRQEWVRRER QC762_121230 MATNLTLPTPPFIHAPGIENLRDAGGYPVESQNGKAVRRGILFR AADPTHLDEEGVAILQRLGITHIFDLRSLVELAKGREQPREWEGARRIPTPVFLDKDY SPEALALRLRNYSVGTEGFVRAYASILSGAISPDNAYRPFQTILEHLASDPNPPTPIL IHCSAGKDRTGVIVALVLALCGVSDNVIAHEYSLTELGLARLKEPIVERLTAPGAPHE GNRAAAEVQIGARKEYMLATLRYIRQKYGSVEEYLLQHMGLSQETLDKIKKNLVVDLA EGEETVPWEGNDELVSAQIARL QC762_121250 MPPRIRNSNCPPQQLLLNYLDAPLPSSLLPVHRPAAVASSSTPS PCRPQQQSAAAPQRCFSTSQPREMTKPQREFRQFLKHAGKQFEKHVGNGPMYLSSVKS MGADDVPFPSNKMFRSEPVLSSRARQIIWEAVMLKGMPLKAVSAQYQVDVRRVAAVVR LMEIEKRMEKENAPMAIPYALAVEKMLPRSNLTGDEQPFEPINDVHVHSFTMQQLFVP VSESREFTRKDAAKAFGDHILPPDHKMRIPELVKMERDILNDVPQAQAEKDFLASTRE SERVFAEKEKYVAAKRDAMKSKVDTERFEFRIEDINSEAVGKKGRARGAVGWRYGVPF NDRQRGLYKIPTSVG QC762_121260 MQHEHEQHQIPYYLTLAKCFPRVSPARLSIVPNTTNKKPRRGRT TPLLHRHLHQRHRTTRRSTTVSRARFLGSMGDLRAKLRAKFPRRHSGVPSLVSDQSTK SNKSNSSNKSESRPESECTAKGFEQPPASPAAAAADHGHEDSSHGGSGLQTGVSVPAA GIEETQARDKQLLRHGEPGKQETEEDTKTSTDVSDARAVVDTTVAAASPAASPATSPL RAARTRRAAGSGFTGGNTAKNSTNDSSPRTIQAMPILLTPAATLDSLADTDHTHDVSD TDRRISTASRLSALSSINESTADDESRPTSAYYTTSKPTTSDPIDPATATPQQTSELA NSASQAQRRPAVRSAPSQGRQSSLPSRQNTLIRTLLSTTHADDLDLAAASEQLLPFGA TMVTRKIWVRRPGGSATMVTINEDDLVDDVRDMILRKYANSLGRQFDAPDLALRIIPR EPQRQERNLGPEEHMARTLDAYFPGGQSVDEALVIDVPIPPRRTPRASPRTGPPHAQH LTSAFFDDNRPAESGTDYFGPGAVGQGPVTVAAPVTNGTIHAHSMSVVNTGQVPPIPS PGGTWSRTYKERPDRPRLGRQHTSSPTILNVIGAGGHAAAIAAAAPHGTLPHAKIPRT RTHSNASSDQSGGGTLPLTTTTAPVPPPLPTPPAPAATTPVPPAPAPAPAPASASAPA PAPAPAPAPAPAATPPPRAASPRISAVARPKKKKATDTPSLPAGIMLNDGVPPINVLI VEDNIINLRLLEAFVKRLKVRWQTAMDGREAVTKWRKGGFHLVLMDIQLPIMSGLEAT REIRRLERVNSIGAFSSMPTGTVSRKNAGNRNDEKTKAEEATTASEKEPETNGTTKSN EKAEGNAEEDVLPNRAMFKSPVIIVALTASSLQSDRHEALAAGCNDFLTKPVSYVWLQ NKLKEWGCMISLIDFDGWRKWKNSAANSENEAAKRWAKKKEKSEEKAVTKG QC762_121270 MAIPDNLRFALICPPRKLEADKTKETALIFDKFVFYFADYDRRQ GMWLAVDRPARPDIKPWNQDWNPATYEAMWKELVDKWLVNWTDELPPTPAQDILLVDW QDSVPYVNNLVDEHTVDQYRRLIKRELGIRHYCLYPTLRATNFLDEKAKRYKTSELLE LERKGPNLDLVSFRDKNKPESEKAFFKYAALPRDDFNNMLEHFVLHKLFSSPSTRQLF DLYKHPVMDDTGKVVVGFLTEYCSGKPLKDNVSGIFKLAHLQQLIRAVGFLNQNLHVW HNRISYENIIIDPQKDKPKITELGCITPYNNKLPADVLLKDVYALVRAIHAHVVRTPE EIEQFDPKMMKSGDRWDVDPRVRLGDDFGASHPAEVCFNFLTQQRKGLPDTWRPSPLR EAEMPNITREFAPRPGEPPKPNDLASYWLRDPEDKAFQHDPLPKWVRTPHTKLVELQL ANGERPAEELLKEIEPPLIPLANTVEMIQFVGRVQHSVNLGTPVSERVIKKVEEIANT PGIRVPPLNLPSRKRPRRESDVGNEEKRISSRREKQALNQLMKELREATDLAQKAGEE AEEAAKEAKEATDQLTGLKTMIEAARKVTATSLHVTGPLPRPQPGVAWQI QC762_121280 MSDSPAAPPPPPPPPPPFRATVEESLSVPSFGNGSGSGSGGDSA SITSSTRRRWDDPPDEEPGQMPSENMTSMVFVKTMTAHHANNFVGSKTAAVQGEVSYL AFSPGDMHVAALVPKQSNIKSFDPDESSALAVWAVKEDGGGRTHTSLFGLKVHKGFCF RPGRTVGGDPVVVCPFYVKVKGLDGFDARQPLVEVYDLGKRVRWSRNEVPVRAPVVMS EDGGLVAGVSSKDSSRVVVCGLEKLRLLKVRTMIIKHTEEVTGMGFLPEGGGLVTAGR DGYVRVTDLHSGKTLKRIEIGARAACDILQVSGDGKVVVTVWGRDVVLWYLETGRVHN YNLNVVRQTEGWPLAVSKDCRYLACRTEDGFDVSDAASGAFRGDFATRASVITAAAFS NDCTKIAVGDFDGYVNVFDMITA QC762_121290 MAFQQFPTWPRTAADSEDPYRYQVGFGNHHSTEAIPGALPPHGT NLPQKSRYGLYAELLNGTSFMSTKSTAANVWMYRGKPAAARHPPSQVEEIFHLESCFL PTNSNVAFTPLPYTWGPLKSNESSNSATNRRVTFVQGLRTMGGHGDATLKEGLAVHQY EFNMNMEREAFVNHDGELLVVPQQGTLNVKTELGSLLVKPGSIVVLPPGIRFSVEIFR PAGDQWSEIKASGYALEVFGTRYALPDLGVLGANGLAHDRDFEYPVARFDLDPDEDTS SFCVTVKLAGRLFSYTQPHTPFDVVAWHGKYAPYRYDLSRFCHLTANTDQLDPTSYCV LTAPSKWPAASLVDFCVFGDKWAVSRNTLRIPYYHRNIATELCGVIHGQYKGSVRPLE AGGLSFEQSYMPHGETYEAYTQASEAANDPTKLEGSLFFMLNVSSHLALTRWAMEQHP DIRLERPGIWASVQNHFVHHLKHAADASWSDATDQHIPTPPKSPNGRV QC762_121300 MEHLQRLKGAIDRTIAEEQARQRASLEAQPQASGSAPARRSRSS SGAGTHSPARRPRPAAKQGQDSASREGGAANPDPAVFEAAFTIDDTDDTATPSRAATP MSTDPDKAKELGVIPEKGGEAASSRAMSEKSGQNADGSSISEKRSMDSSTVTLVASEL PAEVRAKLRKLERLEKAYPELLRSYRIAHGKVMLIEPFEKTLKENTPLTSIAEPQALV EYLNQVNLKSDMVMEELKRVTTEKDSHKKKADEAEKQLAALNDELSKKITENDKEVFA LKEEIAALKTNKSTSPPAEKEKGSEMVKAGEASQEGGQGESLFSYDTEIPQLQAEVEK KTEQIEKLETEVRTLKEELSVAKEHSAGMVESLETAMQELGEAKDAAALKNSFEAQLT ARNTEITTLTERANTARATIKDLERQVEQGKQAIKEKEAKLSSASNRNRDLEEEMKTI TEAKENLDTKIQELNSEIQTLKKAKAQDEAKIEELDKKLKTAVSVPIPAPVPAVAASA STPAQPAQGGGRKKNNKKKKKGGAGGGAATAAAAAAEPAPSEASVTEQPPSSPLLGPS ADELQTELTRLQEELAEKDQRIERLSKQRKTEEDLREEIENLQENLMTIGHDHVEAKQ RLKELEAEKRELKERIAELEKEVETAAAGAQVSTKLQGEHESLKQEFDDLKMKSSTLQ SDLAAAQQLAQTRYKDLTDLREMLQKAQPELKNLRQESALLKTTKEELAAKTTELRNL EKRERDLKTELARAQRLATDREAEIKTLHEKVAQETNARLKVEDERRVTGRDLRRSEA EKIEISAREEKASRELQRVQEEASKLRPRVGQLEDELNRLRKEQATLREEVKAKTNQY SSAQSLIGSMRDETAELRLQLKESQSQVDNLEEELTDTRKMLDERTRDTDTMRKLLAG ADERAESRLHEMRTKMEAAIEERDRLEDESSSLARRKSRETEELKQKVRDLEREVKEL ASEKDSLEREEREWRRRRQELEAIEERAETEVSEMRTTVSNLRSTLDASELQVREHER KATELRRALDDYRLRYDKLNKEVKTLQAKLSSAAANPSRTSQESTRSGSVNGAGTPDA MYLKTIMLQFLEQKDNRLRAQLVPVLGKLLKFDKSDEQKWLAAIQHMNSR QC762_121310 MVSLWPWGRDDSSPASFEKALSTLSTKITVTQTRLDQSRAKARR IKVLGTLYIGFAYLVYAIVLMLVVGYKDMGAWEWTGMAGGPVLISLVRSITTIFFDYR IERLTARLKEYQTERAKTIQKLKDATKYDSTLELLEKYGGSDNKQKKNKKKTSEEEED EGAGAVKEQPQPRHHARTGLPPPPTANIQRRPESSAGAPAPHSRVPSSVYGAPSQRSP SPMISEAAEFAPNAFEGRAPPPFLQHPPATMAPPPEPHWYDRILDTLLGEDETAAKNR IVLICAKCRLVNGQAPPGTKSLAEIGKWKCMACGATNGEIDEGKRIVQEVLGGRGTKA DSIAGTTDDEGGQSSSEIVEVEQDLSTEPQELSVRKRAKRGQ QC762_121320 MGNNNNSRTPTQDDPNITTTTEWDSGDSEGVDYVYQDNRKPSPP SDKKTVKARSPRRKRSPKAKPRCHKCVCGATLHTPAADEDVGEETVFELDTDSENGGS HRSHPTRPKSPPTPEPKSPTPEPIPQPPPESQEKSRKVKKKKSATKKSHSKRSPSPYI EEYPEQATRPTILLREHKAPRRFSTSDAKRAVDTEERSSPTSSARGRSPPARRFSTAS PDKAARPSPKRPSHRRHHLAAMQSAEGEHPLGTTPPKKLIGNHGDRDQVSEVEDTGPP FPRQTHHGSSNQIPKKITRSSAWTEHQPQYSSSWPLNPGFHHPPGQPPQQPAQQDLQY DSDDESEHDTPHNESKFADNTFSRPSRQSSFMGDQWQMDEELKRDREWEEEQERERER ILERERALQRARELEMERGLSRERAREMERQRTQREFEERQRLEREQEERRRHEERER ERTRSMPHRRRTMPAWLAVGNMDQGPKSVVTELCDIWRGRASDWESPYPSDNEIYSDD DDDMGHHNRPFHHGGPSRLLLLDSCPPRETSPSLLPPHGARSHFGLAPMGRPPAPTTR SRSPAPFPGPRLGRTWAGHSSSNTVNGFLLLEAGPLLMEPEMMDEDEQGEEMFPQGTD PAHRGWTYPMVSPVSEPPRLSRSVVLRRRSMAPDEPPIFCARKTKEMLSPTPVRATRF DFEGWGRDRSSRSSLGLGLMG QC762_0021600 MARAAPEEVRRRWEAERRVDEARVAVVHGLGGLPTPPMLSERPE TPPKPFTTIPFSRDRDFVNRGDILEQIDRRCSEPPARVALVGLGGVGKSQLAIEYAHR LATRQPDAWVFWVHAGTYARVEEGFRTIADAVKLTGRNLPKADILQLVYSWLSNERNG RWIMILDSADDRDVFYNANIAHGTTSGDERDRRPFVTYLPQSQNGSIIVTTRNKDLAF RLSGRRQNIIEVGPMAQTDALTLLEKKLGSPADLDVAADLVQALDLVPLAISQAAAYI QARAPRSSPEKYLTKFRKSEHRKSSLLQYDAGDLRRDGGASNAVLTTWQISFDYIRSK RRSAADLLSLMSFFDRQGIPGWVLKPPRVTKQEVPGRCLDDAGDTDFGNGSSASDGDM DGETDGGLTDDSADTIDDGFEDDVAMLRDYCLIATNEMDEFEMHGLVQFSTRKWLEQS GQQETLKQKFIERMAASFPSGDYKNWATCRNLFAHVQVVLGYRPSENREEIWATLLYN GGWFAWSQGRYEVAQQMVGKARRAFEKRLGKEDAATQASMSLFAQVLLNRGQWEEAEK LFMQVVETSKTNLGADHPSTLTSMANLASTYRKQGRWEEAEKLDVQVMETIKTKLGAD HPDTLSSMANLASTYRKQGRWEEAEKLDVQVMETIKTKLGADHPDTLSSMANLASTYR NQGRWEEAEKLFVQVMETSKTKLGADHPDTLTSMANLASTYRNQGRWEEAEKLDVQVM ETSKTKLGADHPSTLTSMANLASTYRNQGRWEEAEKLEVQVMETSKTKLGADHPDTLT SMANLASTYRNQGRWEEAEKLDVQVMETSKTKLGVDHPSTLTSMANLASTFWNQGRWE EAEKLDVQVMETSKTKLGADHPDTLTSMANLAFTWKSQGRHSGALALMKDCAQARQRL LSAEHPSTLLSLATIAEWSREHVS QC762_121330 MGRRGRDTYPWDRYECDWCMVRLNWDVRPCYVRQCHHPGSRSHR KEYWESVRAGNAAKRGKTLPRACRRAMMAAAAATAIENEDSNEGDKPDQSTPVEADAT TTQTKTATEEPDAPALPAGSEVTSKPRHHQDEVKKPSQKRQPKAIIGPKRIIDEGITL AFDDDNDVARIHAANRKAWPRGPMPIAADATVEDWEVAELVRQGLIGPEDLQVNYVGF GDEACLYTIQVVDTMKKGRRGKGRRRQGVHVKDLAALDAESEWSHLDDEVYAQFLSDG ESSLADWSELSFVCVES QC762_121340 MAELVGLALALPPTIDLCLKYGRQLRALCANLRQADAQISERVI RLDNNWMLFTHKLDFLKRIQHLIEDDHREIWGQTLRILLSKLEIVTGIINRLVRPLPV FLEINHSLEVNARRGKYILIKKSLDKAIEELETWQQTTDQSWFLLMRIADSQVDLALQ TPINLDAIDDKPQSNTATAIPSTVTIRASRMQDSTYGSSSEAGLTFDAAELSKMFIQQ VAFSQISIAQRLYGNGQVGVYILNQITCEPVAKYQLIKKDARDLARKLQHNDPHTFGL LACKGVAVPLSTPTKGLHDRTTAPSMLTMVFRQPVHTTGIPNSLRYVLSHTAPPQTLS LRFDYAKQLARSVSYVHTFGFVHKNIRPESILVFTSTKSGLPSQSLFLVGFENFRRED GSTQRLGDDTLERNLYRHSSRQGASPNEDYIMQHDIYSLGVCLLEIGFWKSFIRYHPQ TGQALPTEILPVSPHPDIKQVNHFLHTRGKEFLLHLARNELPQYMGTRYAEIVETCLT CLDPDNQDFGDEREFQDEDGIRVGVRYIEKVVLRLNQLCV QC762_121350 MANHTSMSLEAVHDTRLPATVEANVTIHRVTTVRQGNPSWWQER WVRHDNNILGHGGCGLVWLEKKEKILEAEEDKWRAVKAIRVADSKSTNEGVRYVRELE ALKRFSQPKYADFFVEFFGWYEIPNYLCIAMEYCEHGDLRKYLQTVKTMPEDEVKVVA SQVLGALEMMHEAGYTHRDVKPANILIKSKPPQRWWVKVCDLGLSKRAEDIAGASTTV RGTPGFLAPEVLDCDPSTGQRDSFPIDMWCFGETVYQMLTGEPVFKTLAALFHYRAGS IEFPDQAFQRVNASPEARHFVRSLMLAHPPLRRTATAHPIYGAQGHPWMAIKPVENLV IKSRSAVSYETATAWPPPLPQDGDQITGVSGKWTQTVEIAKDSMAHAIGSFGDQSESQ LPSIYPALPGLGQLYHPYLQYAYSNSTLNPFYYFLSPSNYYAAQYLNAMINTMSSGGY KLPAVSLRRSVLPKRVKALFPRNVARSKPAEVVKREFKEAITKAASKVTDNRLQPKDT NLDAQESIKQHIEAADQHSDSNGNSQPLVGQSTYQQPGLSAETRFSVNKENKNLAEDF TPTIPVPSEMAELFSRGKPPKSNPSGTAGAEDHDSLRDETEESWTVVTSRRKHSGPAK RRPAPPSPSTHARALPARKSTPTPNSDVLTTQVLPVMARRPAPRLPQAIIGRQSWAEV VATEGGEPE QC762_121360 MWPAEAVIGAISTLLSLQADHVALGFISSNSTPWHTSLAKRAAQ DFFLRVMPLGASITEGIGSSKGEGYRDLLRAQLRTRGWNVNMVGSKQNGRFEDNDNEG HPGLTINQVRGEFNKTGKALMPNLVLLNAGTNDCIRQIDTNNAGARLKALIDDVFATV PGVTVVVSTLAPSRKNDSCSADLSQQYRDLVTNTYRGNPRIGMADLHAAININDHLHP DGVHPNDAGYAIFASLWMEAIRKLEDQIQPPAAVIDDGPVDVQRPSPPNDKECIRTPG TVVDDTYLHEQVERGVLSSAKIPKRVDGRGATPSQIFFANVVVMNNLFKRGEELDDRI AVYRGGDGSNRYVLSQNRAGGNFETNTMEFSVGIDCDVSKGARVIFADFNNDGLDDFF CVNAKAGVSVSLNRGERPPRFESIGQVVPDREGFTADDVRVAQIDGDGRADYCLIKAD SSIDCIRQNGQGEFNGALQLTFDKLSGIDKGRVVLGDINGDFRSDYLRIGENGNILAF INSGMGSNNAPEWRDAGIITQGGLGIMPPELIKFGRVFGSSKLDYIYLDENGGNFEVH AWQNTGKGGKVRVTEDCPP QC762_121370 MAALPDILMKKVDDYVDSLAPQIQPRITEELETFQTKTIDSLEQ QVIEAFRTLFDKDNNSSSSGARGLNEDVPDSYGGQSLSFANEIAKLTKSFGAVAGPGG VGDDLAEIFNLTSGGGGGGGQARGFGGEGETRSRGGGEGDGMKKFFSAAFDVVQDHLD KRNDGPGGGQGFQLDGLLGVLSNTVKDVAGNPEEKARMITPEIKELVGAKLRDQHTSI AEQFTKIALDHIKKWLRGNTTTRDLGDGVKGEFEDQVKDLVKGFGSLFGSKKSHGEGT SRGVGDRAEGDGGESKGGFSKLISEKLSHGLAKVHREVRLEFRKILGQIEKQLFELLP DQFQRPLEKILGGNPFDSQLDNTVSASRSGGDRGFGDDIKAKLLIKIRSLVRKVQETL RQSILGVVNGGHRKFEKQSWVFVQGIVEQKVQRYLPKVKVTVPDDISNDDGVEVGAVT NNIQLGGGNQPITGVYPPPPPQQGHNYSGSQQYAPPPTQQYQQNQFPPPPTQEYQHGQ QNQFPPPPTQEYGHGQQNQYPPPPQYNPQQYQSNQGQSYGYPNNQGY QC762_121380 MLWTLWFGLLAALLSRTVTAQANAIYRDPDTGLVFSSNYVLYRV NQGITYRVAIPANVQTYTSYDAVIQAVIPNDVGWAGIAWAGSMPRNPLTVAWRNSQNQ PVLSSRWAGGHITPQAYNNAQYTLFRTGTKSNGTHWQYTALCKGCTSWTTDTGASRFL SPRGGNRMAFAYSPNRPSSPNSPTSTIPIHDVHGYWQHDFSGAANQDFDAIVERLASG V QC762_121400 MSGLTINSSTSFDPYATTSFDAAQLQSYSDFSPIFDNFEDFGTD FNSDSAASPISPISPVLSSSSFSYPTADQWVDWDRIEHSPEPEALFKTNPFDGSILTS NATSNNDYLRNPSLSPAVNPMATVIGTEGIDSQAPLFQTAPIMVAPLPSQQRQMAASM DDASKRYPSRNLKRKTSIPSEDDEPLPASKRSSPPPAAAPRRSSKDNSAAGPKKTAHN MIEKRYRTNLNDKISQLRDAVPALRIVAQRMENPGAYEENGADDMMADEILGGLSPAA KLNKATILAKATEYIMQLERRNLGLETENNALRGRMEGLEMLLMSRGGPQPQQLPVWN QC762_0021680 MPASDITIDLDRSSTPFPRSRRSERAHEQVEDFNRRNSSPRRFT STPTRSRRLSPSPTRYRSAATIARSPPRYRSPTRITSAPRPRRISPSPLRYRSPTRLP SSTFRRAPSPSPYFKETRITEARRTTTYHLTSPVSRYTETRTTYRIPISRPRTPPRGL IDSPSRRITSPRLVDIRSSSEIYSSSRRYDSYPGRSTDRSLFTRRY QC762_121410 MGLKQTAIFALGVAQAWTASATNNKRQATTKYCPGNTQICFSEF KVPTHDVIYRIAIPDVAAAPFDVLLQIVAPVSKAGWAGIAWGGKMATNPLTVAWPNGN TAVVSSRWSTGRNVPGAYAGATYTVLPTTHTNETHWQLDVLCRGCSEWAGGSLDPNGV NTLAWAKNARVVNTATSNTSSFGIHDGRGAWSHEFSQARIPKGVFDAVAYDLENTPVS SSSAASSASFSTSLTSSSSAAVVSTSVVVLPRPSTTSTTVIVAPSTISSSTSKAALTS SSSTTPAGPQTTYVFITTRVSQLPPRPTTPSPSIVTVTVTVRPTPTTTQVTPPWGGGG GGGGPPWGKGKGGGGGWGKGWGRRRLAARPVEEE QC762_121420 MESTTLAPPGPDVSKASLVVGTISFLHLISWTLYAARIWTRMRP ISRLFVDDYLITLAVLFDLASYIFLMIAVHYGIGRHNYYVPTDQEVLAEKWLFLSQPV FPWSLAFSKMSIACMLIRIRRDQRVWAWGMYFIMAFVVLIAINTNAFQLSLCRPLWAV WDHSNSEAQCMDMTVAQTSIYVNSALNVVTDFALSLAPITFIVHLQRPLREKIAVAFM MGLGIFASSACIAKTFHVKDYGKTGDSLMDCVPITIWSMVEMQLAIIASCIPCLKQLF ERGLRRFGLLSTQDAGDSFTGSRNYQTYPGPNFRTRQETSDDYGHHLTSIQQSPRSPR SNKAARNSGVGAESIESSEIPIMRPDSTGTDYIQTQSAPPGRGSFYFNFAVNPGLNPN QRDDRGPRPERW QC762_121424 MRVPDFHDPKSYRVPLTVGCQISQFPLPLSTDTTKMNPYLYHLS EVSHSMASSPWVAVWRYEADNWVLRTPSTDLSSFYALHYSTNPSCDSTNPICLPSQYY ERNNIHSTGTHGEPSSAATFQPIIVHGHDNESLVRQYHQEPALHHHHLMPPASHPHLS MGIQPALSPVPRPPGMSANYEGNPACEANWSADIDDHLNTSVTGLPSDIDTKGLLGCI RKMGRIWATVINYPDSTRCHTSAAAKITFFDAQSAQRFLASYGDESQAGGWLVKGRMA RVRPNRIRVAQKETPRENTRVIVISGPARFLEYGNLERVFVSHGIEFQMDEVVAHRHK VEGWATVELRFGSYRGQAAQVMMLVKRKLRAFGIGARYGRDPCGE QC762_121425 MRPTTRINNTRVRRYHEIHEGNSGVGYGHPGLPLQFSTNVGLYV TNDLSGFYPPHPIESRAFHRSYSAPDHDSLIGHPHAGFVGHFDDGLIGHSDAGIVGHP HAHGGYYPQFNLHSRSATPHAPSPLGLSGQYGLRPNAIPFDPSSPLVDLSSAPSPSNS AFSTFAGGALCLEDCDDDCPFADPYLPGWLQRKGNEKDSSLEFLREGGEGASIMQTGW LERMARKRDACQAAASISWTDTTSSMGSGHTLWEDLRLVSLRGGELSGFGDLSDYVES PSGALSRSTTLAPSDQQSSTSWFFPRGISNGSGSISRPSTPSIRRQNLSSSQHHAIPS GAQSNTCRQNQITESSRPFNRLVQPLDGNSSEQVREAFKRAQDAKRTVEPTDSPCSST NNSQQSAWSVEDMAEDVPPDDSCSVFIFDLPKDVTLSKLLGSIRGYGRVRYSKNCSSG ALVVFFEREAAQRLAQEGHLMVGGVQGSIRLAKCRIAQSTLPSEFSRVLVITGLTGGL SVAFLKECFEMKNIRYELDKIAMVSSGDMSALEFHFASHSQAAKVKEMIATDPYFRKK GTNATYGIDPCAEPSVVGSQEE QC762_121430 MKGLLSRALTLATALFQRQALVWDGTCSTGVHMIVARGSTEPDG YGRIGVVAQNASLLIPNSSIATIVYPATFENYFTSYATGASEFEKLVLQYVDACPDSK VALLGYSQGAHAMMDAVCGNSDDGFFVSLEFQKALGSQVIAIVAFGSPDFNKTHPWSV GTSTGAGLFARKNITACEPYAARIRSWCDEGDIYCDLGSDRSVHGSYFANYTLDAAEF IAERFNSSDTVVDVPTTTAPPTTTPTQSGTETTDGTTTETTTDTTTTSTPGSGAGSFN PSWIMILSMVGTLMIWTELL QC762_121440 MGLDFLKRTAAPKSYSSTVELVEFWRLKATTAHKGQPFNVLEDL KNAALDAVWVSIIGEEPGTLRYEKRKLEHELKGQQFDDPAPPGSFMKEQVEYIVDTIM DASATPFPAWAVKLEVLKPRFHRFRKVVTREMSRAMKKALTRYEGLDVDSLGKDSIDT CAMDLVLRKQALQAKKVGVEPSDPAKDVAMLDELFVLLIGGHDSTANTLAWFIKFMGA FPQAQTELRAALSSAFGPGIPTLSQILEADIPYLDAACEEALRLSGTSNGVLRSPLQD TTILGYPVPKGSIIYMNIHVNHSSVLADESQRTETGKAARQKKDDGFKTPAGRDLGTY EPRRWLVRDETGKEKFNPNALPQLAFGAGVRMCFGRRLAVMQFRIAVTLLILSFEFQE VPEGMQSMACIERLFRTPQQPYTKLRVL QC762_121445 MKFWALVALAASVAAIPFEGGTIHKRAGGRIHIGYRIVSKEEAD AINANGGKAVQSRGTMGRQLGTGTYISPAFHDFPDFDPSKGYPWDCAVTVDATAWAGL RKAWIPKMFEFPEDKEKNPDKCKPLALWTPRWVANRKRFLKYLDPTSTPENTVLFSVV LGHEEKRQALIPPAIIDSVDVYLAQCAERAPDSVSNAQIGQLGTVDWGVEDMKGWGLG VEG QC762_0021760 MWFSPSPVSAGTLAFLLLSPATALQVNGGSDRLNSYLAAHPVAT KPAATAAPASANPNTLAPELVNLALSHCPAGCDESGLRPGNWTLYPRLGRLLMCNQTM LLDFSLFTSLRKDETIRACTASSAITLGASNIGNTTHEASCLPGGSLTQVQESLQLAF NETDTPATLEDFDAAAQQLIAMLSQREDSSCKDTTSFAYSNSVAVGLFAGSGVRGIPA TVLQQLTSKIKTTGFSGSFVVQLCSKGGRSSKYSFGIVASGDRDVSLVQDAVATWASG KCITSFDDAEDWLDITLSVPSLVSSSTAGLGNSTAGFGNSTARATRSRDGSSAMLNRR AECSTIQVSSGDTCESLAAECGISPYDFTVYNPSSTLCSTLVAGQHVCCSAGTMPDFR PQPNPDGTCAAHYVVPGESCSVLGAANSLTNAEIESFNTNTWGWQGCGNVQAYQFICL STGAPPMPAPIANAVCGPQKPGTVQPGPGISLASLNPCPLNACCNKHGQCGINNDFCT ESESETGAPGTSAPGENGCISNCGTDIVIGSAPAQYMNIGYFEGYNLNRPCLNMKITA MDLTPYTHIHLAFGHVSSTYAVDVSPIQEQWELFTQLSGFKKILSLGGWSFSAEPPTY HIFRDAVKPANQDTFVANIVSFVTEHELDGIDIDWEYPAAPDIPGIPPGTAEDADNYL TFFTKLRAAMPSSKSVSFCAPASFWYLKGYHIDEMAALADYIVYMTYDLHGQWDYANQ HAIDGCPAGNCLRSQTNITETLLALAMITKAGVPSSKLAVGVTSYGRSFQMTTPGCTG PMCTYTGGESGAYPGPCTGTAGYIANAEINAILDGTGFWKTPSGALQPITSYSSYFDT DSHSNVAVYESTQWVGYMDNTVKADRTALYKLLHMGGVVDWAIDLDGFGGDSIGDSDP SADIVYPPPSIWDSSDPWTGCSPPCVIVFPPYPLSAPHTVTSWPALTTTLLSSAEGGG GVFIKTTTIPVPSFIISDVSLHPVTLQSTDTATYKINPVQSITPTSFVWTLPPNHATF PVASPTPTTSTDTDIPLVIIPPVTFHPTPVPVTIQPQPTYSIDYPDPPIPVRPVTIKP NPTPTPPGCTSGCGKRDCGIFGCGNDGCGLFGCGGGCGIFGCGGGCGPFGCGGGCSPL GCTPSCPLGLCGGPGCLIPGGCGNTQGTNGGDSSNDCEATVTASACTHLVTSYSAWYM ASSTTTTETTCVTSTGCNGQDTVVKTTPGSPECSLDPDIAAAYSAERAADQTIIGGKQ VPLAFAPTNGPGYDGSTFTAKQFGLTETITVIKTSTVTNTATKTTTVVVPPTATADCA RISDFFYIFNVYNIDGWSTDGGSRLKSEEKGCGALTGWQWHERTSTRRARAYFQLPFI MKSGCVERAIVSAGGPKLSCTFEGYDFILKKRSEEMSKASLPMRRRQLISDTASLPSR TETGTRTETSTRTGTAGLYTPEPWGPGLTETFMTTMDEISKSTYTTEIVLASNEVTMT GTTSGTVSDITTSTTSSTVPTSTSNLSTDGLCGAANGGTICFGTAFGDCCSEYGYCGD TSGHCGSGCQSDFGICNAITGPPVSTDGTCSSLSTPGGATCAGSAFGDCCSASGYCGA TAAYCGTGCQADFGMCSSSGPPVSTDGLCGQPSGTTCEGSAFGDCCSEYGFCGATNAY CGTGCQAAFGTCA QC762_0021770 MGLYPLAEQQSPNAEPAHVAPPGVLRELHVPSVDTGGPVIALQM PKSLWHPEPQCPLVSPQYPYSEQQSPKAVPKQIVPPLAAPQRPSVERFDVLVGTVELV VDVVMSDTVPDVVPVIVTSFEANTISVVYVDFEISSMVVMNVSVKPGPHGSGVYRPAV PVRVLVSVRVPVSVRLGRLAVSLMSWRLRIGSDALLISSDRFLRMKS QC762_121465 MSAEEFLAEAESGAVAVDCHDQVLQIAFMYLDEGLWDGNGVFDV VEKLHARGWSFGKGELRFNRTLDVFYLAQLAAAIYRSSDQLTGDFPSPSDFPSFYAAH AALLNPEAWRAYYSPAFLTHPTTARFYRLPNLQDLPDSSSPLAQPRQNLPPAAGTHAT KLPRWAHNVARTSRRQPSLPLETITRLALRTLEATTSRLRATHPSVKPYSETQARFWL EHMKLGSSESSGSGTAKEAWRPNNFGVLIAQGGVDVLAWEARYSARLWEASAVLGEVA KPDLDLDGAWESGVEWCGEPDGGVGMQAWWRGWDGEVGSEEEVEFLAAVAVEETAGLE AEGLDFATRSHAVLGVMRAAVVDEVKREVLLKEMERGMVQTGRIGEDRAGKWLTEVLL VVEPYVKVWQGVWPGVEARGQVLRRILVENGQLFARWKVSPLLKEFDFELGPRE QC762_0021790 MASSDASPQRDFDDQHEPPPLPPRPTPGLPPRPRKQDSKQPKLI QILEHDLDDAEIKKKNQEPRKMVYHTDSERSIGQFLLFLFPSVAVTIGLAVLHILRMS WPGVNSNILSALLVAAKIHESLIIASLFHVLYANIRRKLVGSQGIPFGYLTAPFQLSS PFYLFSSSFLAPLTQIHRLTLSAVWMALLMAMSFLITALCGVSSGIVMLPKLGWWRTL PGTSRLYSIGSLESVYPSTMDRAHVPDYRPATNDTMSSRCAHWDYSNPNSIDWSWLAN VARGTRGLPANLTSGSKSISWGKIYIPQTVVAATTPMKYTAAVVSDSFSMTKSEAQPQ VSIQCTDPSRPRKDPRGEALAEAPYNFLLTPGFYYRSNPTFFIPHELLDEAYTSGAHF GFLDLGNHALVKASATFWTRYNMTGTSLALCFIDARWVESDVWSYSNGDDPQFSYAMK NSTLNASANPDEVIQLTVPWLNSLNNSLYMAPGGSSNYGLKSSSRAASGSRFAYDRIW DYAAEGAEDSELYRALSRSLAIYLVDALSDLTWSRVNLYRGQNYSLEQVLREEGIQDY A QC762_121470 MIIYSRWISLTNSIAALVAAVAALLFAVVQTFAALTQYVSVSSR CSRRVTGVFDLTAGFWFHLSSLSWNPQYRMPVLTLPGLRGESVVTMERYPLQTGFRPG KNYDRGRNGYVDYGVLRVVSGTNDSKVHKEISILPTVLRSIFAMAWTPIGLALSSLTT IFCFPPAWVCSCACTGAGCCGLRDQEDDDVKHRRNQKTSRDICLDMLKPLTFAWEWAI RYKSDTTVSNHGSSPGLEAAAWSQFLVNYQAAWWGYANIRWEWRLATMIPSDIYGATI ETTMADVRLLAALAGMSCSSSPGVVARTKCGEMLTRSQHMTLGRVVYYRSGRENIAPK ITRGVPVRSSRWLHCQLEVQAHLKKSRLLLSSSGEDKQHRIATLLSRPRTEYDAQLDL SLGNNLGFIFETETFRALSSGLHATDDSGWKTETIRIFLGPLGQGLASCSCLTCCTDW VLSHPAYDVEPTASDGHITTLFSYPWVYEYDTGPPPALSLQAELKTYRPVVSGFRPAH EILTSTHWSRKPGAKLIKESRIVGPVACYTTVTNAARGRAKIRGKWKPELEIQARRVC VDFTLYPGASPKRTCTADVCKCMGGPARIARPVRVTGTEKHSFEEAMAVAAINNDFLS RVDQAVLRRAAEEVAGWICQEDQQSQKLRRQVTVCLGRAWEDVVGQGEVSEGNLELVK VVMAATEMMLRVVRRGIGMEDMWAGSEEGGQIWEDDFGGVVLGS QC762_121473 MSDTNEPTLICPEPPAKEGEVIIAGRPWRKTETTLFDTEGGQQD SSTPEGAELICPEPPAKEGEVIIAGRPWCKTETTLFDTEGDGKDAVDDVQPTLRCEDT SNIEEGKVIIAGKPWDQSEDMLFDTAGEGGEADTGKQDV QC762_121475 MAATDPIHSWMYFLQRDPKFETERVYELRRQKPTKKIPQTNMLL EKVDNIAIHDVRPRLEQCSFGTTGFFLLDMDTGLVAEDFDHREKVIKCFLPQLAAEVK DRLNASRVQIFDYQLRKRNRDFPISNGEVYEYRQPSCLAHVDATPGDIERLRHGLNKG SFERVDNVRCQFVNAWKPLRGPTRDWPLALCDNRSVDPEMDLKICDLVAPDGTSETAS VHHSPNQRWCYVKNQMPNEIWVFMQSDSNGRSGVPHSSFPHPDATEEDHLRESIEVRI QC762_0021830 MMFERLPEELLREVAKGFNIQDIKTLSLVSKTFHAIWAPRFWST LCVNTAGPGDRPSSVSIKRIEQCAHALQNATSSVQNVADMVFRRDTRWKLWGYEKEED WPNVACLHRQPPPEDLGVWRALQRAAPVAGWSKDEWFLRNQRCVEASIERMGKQLGPD NMDDVALAVQSVLERIPAGQLQSFTWDLATCIPQPILDSLFQTQPQLQSISLTADTRC KAMTKSIHLPFCQLKRIICNTIPRSHVLPVRRMLENNRGHLHDLQIEELPYGGLFEEL LFGPKECEDSADRCHSMLGANLDVLFPSLATLSLRSVYLTKRMDRAFNISGLDALTLR QCSRSSEFLERIMAANRPLQLKTFEFMSSHGLDNDDYDVETNTVNAFLLSFNCLENLY IGFARDFDEDSAGLHPLWSTVGHHGSTLKRLVVHQRGIWTGPMCTMGILERNFDPVGD VDGTLDISETNISKWALDPAENPLSALPKLECLGLPCDVSDWSESDTWARHSIEPGVR SEPFIITLLKPFTGGSRSLKLLHLRKTGSGYAWAFKATSLPPYPRRRRAASTRAHDAE DVFLLPIPPSDMLSSLCPHFSYFLNWAFGPKGIRSLQAVAFGDFANGHMGGKFLHNIF AIRNKDELGGYQVFDCRDKAHEHKWRAMADRYADFLESCPVGPRVESWEDGSRYYF QC762_0021840 MLPDSPSSEPRSPVSDKSSNTSGSVSDESLPKSPSSPIRQRLRQ LRRSNANKQAATMSPKSQLGNRFPGEFEATDIYLQSNGNHPPPKPIVADQRSDQEEMA SLIRKRADINHPHKGTGRTPLSVACHCGHNDIVELLIAEGANVQSKDKWKLSPFHLAA SNDHCQVIATLLDREAGINARGPHGKTPSRIACDHGQLDAIRILVKQRAMIDTRDEEQ KTPLHVASEAGDDEIVKLLLQLGANRNAKDSHMRTPLHAACISGHVAVVETLTNAKVD LEAQEEESLTPLAAAARAGLTAVVDLLLRHKASPRTRSAGNFTALHWASYNSHEEAVG LLIANKRTELDARSINGRSPLHVAAMCRSFGVIEKLVRAGVSLEAECLERNRPLHYAC QYATHSEISLLLNAGASCNLQNMAGETPLQVAVRAGNLRAVKTLLARGARLDSLDKKA TRPLIIACQKGHAEIASYLLTRGARVKGTTDTPMCLAASGGHVQVIQALLQHGGTVHE LDAQGWDPLRRAAFEGHSQAVASLLGHGARATNLGALSSFSFASTTTTEQRQRILDLL TTAVDAENAKHQRVAYLTELACSLNDGQDNLTELPDTRIIMRQEDAGAKEGLDATDIP PSSPVRPPPSPSRPPLAFPQIPQATGEESDHEATRPAPYTQPAPWPLLDLPTQQPKTP ILQRILPHKSPSISREVRTPPYLSWYAPATIPPPKQQKYASQAAAARLEALYQARTGT EENRAELE QC762_0021850 MARYFGRLPFGTVIVNHTLDNPQGTLICTGANQNSLAGNPTLHG EMAAINNCSSIFVSSAYNMTPAESLAAFKDLSLYTNAESCPMCAAAVRWAGFREYVYG VSIKELIELGWGQLDIGSEEVIGSGVGMRDKDPEVVLGGVGSEESKVLFGWQFAGGDC PSGCERGERSDGCLPVELE QC762_0021860 MQDLYRPLDISKNEIRLLSFEDTADSGTVSLLLQHVSLNDMKPE YTSFYDENASAMGAFQICKAWSDRHEFLPVAPKREIHDAVARFTWGDYICLSYTWGDD AGQSAAVVVNGVSTAVNKRLAAALRDVRESHEGQIGMKVWVDALCINQADVADRNAHV LRVRDIFGGAFSVMAWTKDSEQWDLEFLGLSQPGEHLELCNVVLKLYGKRALEEILGV KERGWGVDDEQYEEVMGLVRIHNVDVLVFDQFYWEDSDDSDDLGSGRMHLRDVLAMEL MQLFRKRYWSRLWVIQELAVSPTTSTVCWGKLTFDLSTLQAVCEILHAQSKTEERMQE EFWQELKPRFDLLAFISTWRELEAAPADQARQLLDASIKELKQLEQHAACSLPQDKVY GLLGLFPLSVTSAVTIDYTRESADVVGEFLSAVPEWEASTVN QC762_0021870 MLLLLLLVAGRRSKQQLKEAISRWSRSSSQREPTLMLLLLLLVA GRRCKQQLDKAISRWSRSSSQREPTIMLLLIKAGRRSKQQLKEAISKWSRSCS QC762_0021880 MDAQAATEPRTHSDYTVGWVCALPKEQTAATAMLDHKHDDLPKP PNDHNTYTLGSIGKHNIVIACLPKGEIGTNSAATVATSMANTFPSIKIGLMVGIGGGI PSKVRLGDVVISSPVGQYPGVVQWDLGKAKEGGKFEQTGSLNNPPSSLRTALTKLETE HEMSGSKIPQYLEDLKRKWPRLALKYASRDHLEDPFDVPDDPPRSQKAIQGLPSMESG AKQVEDTTTLRSGIGSTRSLVAMFSVSKWKRRD QC762_0021890 MSTFKTKVSVTHIGTATTILDIDGITFLTDPFFSPAGTTFEHAG YVLKVHDDPALKLDQLPHIDAVLLSHENHADNLDPLGRQLLDGRRVFTTVDGANNLAP RPDVIGFKDWEERQVRIAGKLFTITATPCTHWPGHECVGFIVHTEDFGVAADGKPNAI YFTGDTVYVPDLARMAEKYHIVIALMNLGKATFDGLQITMDGKQGAQLFKDIKADLLV PMHHESWDHFTQNEPELARELREEGVLDSVRWPKPGVPLRLT QC762_0021900 MNSWGIIISFGIFQTYYVSTLDLPPSDISWIGSLAVFLLFFGGI VSGRLTDAGYFRSITTLGSFLIVFGCFMTSLCTTYWQLLLAQGVCIGIGNGCLLTPMM TVMSTYFARKLPLAMGIAACGSVVGGLIYTGMARTLLPSIGFGWTLRAIAFIQLGTLA LAMMVVRPRDLPSKGERTLPMVDFTAFREAAFSLFVAGSFLSFMGVFFGFFYLASYAR DINGMTYTDSLNLLLALNGIGFVGRLLPTPLARLFGTLNAFIALVLASALAMYTWIAV HSTAGLYGWTALYSIAVGGVQSLMPAAVAVLNSDLGKAGSRLGIVFGAVGIGSLIGSP IAGGLITAGGGSYVGAQVFSGSVLAAGAMLMLGAREIRRWKTSASFWSKL QC762_121680 MNLSTCATRDIHKHSNVSQKMAPPTFPPVPRLLFYPRRPKTRDN ETAFRLEALGASRHTTYAQHLWGFTILRTVYTPESDALFPIAMRRLDAWARYSPHQGR FPKYGQAYESKAVTDGEPNEELAKRFYCDVIEDKDRLAGIQSDAEGFAKLRDYFREWL VSVGVQPDLPPNYNDDDDDTDDPDLDPALEPNESHDDPRFRSVLVVDEECLRSLIEEL PDETPPLRTAVDREEWLKYLRMGKKAWLWMLDTGYMTRDESQFSPPGFRGWFRLGPTE LLWAWYEWKSYQHRQSDPSWKVQEKDGVPGVWWYYYNLGIRPTRRRSAI QC762_207970 MLDQVIILQDSAQRLSTTYNTLTYRVLCSDSSLRDLFSSIKTVS VALDSCRGLLEQLKSGTTSIPIPTDLSPRLKANLEECKEAFADADEKAKKLMPQLGQL GSTKLFEIFTPAEIYRLTSQLYARGREFYRVGQAIRLQTTSQLPQNILSNTRQPFGQP LQIRGFQPIVQFQTPRFQYQPNNTPNIDEKSQPTGHTTLHDLCATTGRTTKTTTVIKS LLERGADPTATLNAAYSQLTAVHIASYHNNVAALEAIKDSMTTIKTFTYQPTTTRYTH YQTAPSNYTSYQPTSYIGQYKWKSLLKQKDFRGMTPLHWAAYGVCPEAAEFLLKEVED AGLRQEVVDGRDREGRTALIVLAGGYKLRDRESVTKIAKGLVKAGASLDVGDRRGKTA RGMVVELGVEKEAAGKKEEEQTGGGGGGVFELGYQGGCQAYRWQGYQGGGQQSNGLPG WKGNSYQGGGWTSGR QC762_0021930 MAAPATQATATPPTQATGPISDADVAEWKDKFSKVFAAPSEHFN SKSPATAQPWTHNFWNFVNPLETCLMTWCLPCVVFGRTHHRVNKSASLRGYEPINTSC LLFCGSTAVCMQWLPMAIQRADFRAKYNLQGSCAVDVALACCCGCCDIVQMDKEAELR ASGEQSQNGIQEQYKAAEVMVVPVEQKQ QC762_207983 MRAKSPGENANLTLIPGDVFVIEAYIQVHWPWLTLFVVETLMAT WLLALTIMMTKDEPLYKSSALALLKHRIPGWEKDGSSSKVSIKRGSQVVDYEVEVKQS VIEVRDTEKRLKDSARDVLVQFDRGSAGETIVTTRTRAK QC762_0021950 MASGTLVTCLAGVGAAAVLRVLYWASQFVLYHFWRPSKSLTAYK RASGDSYALITGASAGIGLAIARAIVRQGFGVVLLGHLPDELASAAKSLNSPLVRTLV VNARTATPEELSAAVESISDLNISILVNNVGGFPMADPPIRPLSTLSTAELDGFVDMN ARSWHV QC762_121600 MDTCTPGDSKIGYACQLTPEAYFAKLRLGKGHAATAASWVRRHI DRVYDDYSSAHLARDEDGVKFNPAMLQFLRPIRRIMNMEDPDAPKQAYLVMTCLQNLW YDEMDQWCNTMCDKRLEDGLEDEEERENEPDSHSCYPNGGNGGVLPPGDNRKSNGKDL IGKYDHLLDKLVVGEAVAIHEQQDYVSHFIGITDWLPETRKELDLLSVLERRKKQLTL VEMGFVKLKPRKEEEKGCEN QC762_121597 MAPRRFDLALVRVADIKLILAFEGKGNNFEWDKLASEVRQCCIA TRPKGYQGRNYGMGGRGPECLFLEYNGTQASYLYVDNTATVKTCEYRRTYHITTDRDE ISQILQFIAAQF QC762_121595 MTTRYTVQVEEADSDIKTLHGNAYLLQVAKAVATGGKASVNVVY ASKLLAPHMNVQWTTVYGINWATEMPAQGATVTYSGKWKQCNLGDSYTLTQDGVWAAT QENPNAKENALNIASNDYEVPVHVLVGVQDPSTKMWTPIWFGNNKLLKGSHGEYEPIE TVNIWYQQGDQTATMISNQATSIQSYDMPASHPVYFSYDAAKGKWRTPQDVTFNFP QC762_121590 MARMRSFARLVAIASLSQITAASFWTATEIYVERQYASPYGCDR PGGFTQTIASCTTTETRNPLLVTHTSLLPDITPTSTTTSYYSSWDLDVVQYHYPTDAY PKSDLATYDGYGLSTHTWLVDVTLTAPTSCPTPFEYTTETNLEYWAYVPSVLTPIMSS KASVETHVMTRVDEMYSYVSPNYEGIRYTTTSHMTYTTFHVKATDLPPIRRPESQGST YYDDIYYNYMRHCFLPGEEDPRIRAANCPYTYAGQCSKIKPWILILAIALPIIFLIGF VENYFWFTRLMQGKGCFRCGTVAWCFLIYLFMIFFVTYEHKRSPEDQERLRLLWKGMP LGMRLKLWLEWGFRQKYPEHLLGSRVPVNVQEGMEMRQTGGGGGGGGGRARGGAGDLD GDMLLPAYPGPPSSSIGDAHSMESGNTSAHRGPVLGNPNAVLGPVLGSGSVVIGPTMT SVQPTPASPRRQETDERIGDGVIRAV QC762_0022000 MVLLHSLGLLVFLTSTAVSHPQPSPAPVFTTTCQGKTYTYNELA GFGSIPSDARDKFGDTLSIGSSAAITNWKKRSPPGKNKQPYYTGTLYGLPDRGWNTQG TQNTIPRVHTFDVTFTPSPPSSSQSPPLPPNLLFKYKSTILLTSPTGDPLTGLDPDSI ITLPNLPPLPASTFPGDGFGGPGPGGKRIAIDAEGLVLNEDGTFWISDEYGPYIYLFS PSGKMLDVIAPPDALLPLRNGTLSFSSDNAPIFDSGKRPVPANPSQGRSNNQGFEGLT ASPDGRKLWVMLQSAGRLEGGQNAATRRHARLLRYDVAKGKGKGKGGKTVEYSGEWVV PLPTFTDGQGRTRVAAQSEIKYISEKQLLVLPRDSGVGACTDSPTSVYRHVDVIDIGR ATDVKGGRYDAFNASIAGADGVLKPEITPATLCPWIDFNINSQLNKFGLRNGPPALPT KSLLNEKWESLVLVPVDNGKKDEYFLIAISDNDFITQNGFINNGKIPYKDASGCSLNQ QALVFRVTLPKKSKPLIG QC762_0022010 MDDHSFLFKFVPTEDKYISLLTGVVASVVKASVNYQKIAEGFSL ALVEMSENLRFVQKKTKVANTREMQRLVVALYVQVFKFLCHAMSFFHKRHKRFLASFN KGFYDKTVKAMVDGIQKTIGDIRNEAQHTTELRIEYMHQRFNDMEPVLVTLQRLGIQA HADSQQQVNAKLAAAALGFQRLGENAVQQLEGLENQATHDIAAQPPQRTSNILPISSA EESANKPEMPTYKPTAKLSNGGESANPTQETIPDCQRIQQYAEALRIYIESDAELHID EFRRQQTMIPEEVYTALRRWIFNTESRMMWIEGPSLPGVSTLSKIAVTAVNQVMNAQL PCIAHFCKSRYSFARSDSRSGLNHSGAAVISLFYSIIRQLTYLLSTQGPITGISLDYR EFEKLDGKLHSLPTALSIMRALLEHAPPTITWVIDGLQYARDQVSNGYLWDFTQLLRE QKTKRLCKVLFTTSGRSQVLDRCTTVQERADASRLVQARGGRAYPGGMPL QC762_0022020 MAEEFEDLDVFAYGPYLSKDDVSGRTTGVRCAGSGCYGGAATDI NVLEIHFNNNPLYHWTTYKDRGHPYKMYGLDGRTYGECILFPGVNFHHLRFAETRSGV RKFRCLTQFTAAQIRAADR QC762_121690 MAAPRTNGINPAEATNNETAPLIPHHSHDHNHHHRTPTLFPIPH EGESGRTGFHPSHFFLVLWRSSSPISSFVNLLFPFVIAAFISRIFFSHSHPLWTFALS YIGMIPSANLLGFAGQELARKMPKVAGILIETTFGSIVEIILFVVLIINHDEKEEGGN MVPIIQAAILGSILTNLLLCLGVCFFVGGIRHVSQRFHAIVSEVGSGLLLVAAFGLLI PSAFYSALKSEAKTVEVVIGEGVKVVVPLEHSDDFTLGKLEDDVLQISRATSVALILS FFMYIWYCASSQHSIFDEVIEADEHRDADREADMEKPKFTATEAVIALLLSLACVTAL LIFLVDEIEHVVHSGVPDQFLGLILLPLVEKAAEHLTAIDEAWDGVINVALYHCLGPS IQTALFNGPLVVIVGWTLGKPMDLNFEIFMIGLLVLSILVISNFLRDGESNWLEGALL VIVYAITAIACWYYPNPDVASSNSLQQLELINGTVANTIEGLRQAFTGV QC762_121695 MADPSFEVVWASLLLFCSLSRASGKLILKRHSFTFDFWQVDTQL SIIKMKHTTALVAALGLAATVSAANPVTDNRRHAVNRRSVPVSTPENTKRDTAHQQGN KRVEPRSPTRFANPFRGDEEPGVEVEPGVEDKGSLEDESSSYGLKDQATDAAWDVGSS YLEGKTGIKLPDRPRSGSGINFARRNKKRSVIEARAKSRSGGGKSNGGGFGGQLVDAG KDFAVEEGTAFVEDQTGIDLPDRNGQSSSGSSGGSWTSWRPWKLSKREITVDEVVEEV LDQMKEGESKSDAETADALKEIVEEAAKDDEDKSISDVVEALAEASDDNDSEPKEEST DTIEEILGDSSDKEDEDEDILAETIEDIVDGDSSDSKSSHGDTLEEKLEELEKETSAL DKDDPKAEMIDELIDELIDEAATLDEIPVEYFQYWMAVQSCLDPVHLLTTKGLMASHL SRRGTTPIIVTPTKTVKREEAKAAEVNLGLAQPFGAEMAQTSGAGDGLLGRGTVGYLV FGVAAAFLVRGVAF QC762_121700 MVGGIKSLIICASAALAACTPTPPTLIVRKEWRTLTTSEKAEYI NAVKCILAKPALTPEGSPPTGHGVISRYDNLVYTHIQQTMQVHYVGHFLAWHRLFTAT YEKMLRNECGYTGAQPYWDWTLDAADITASPVFDPVTGFGGNGPWVPSDPTSWMPPVP GRTGGGCVVDGPFAGINDLVHLGPESSLVYNPQCLKRDLAPSFASMYLGMNQTQLTLS QPDFGWFNAVVEGSPNFDASGVHGGGHFGVGGTFGQMGDLYTSPADPIFHLHHANLDR LWWSWQSLNLPARLSDISGPSIIMDPTSPNVTLAHPLSVGVSGVDTTVGDVMKIDACG TGGSMCYTYDSLYTLL QC762_121720 MDEETLLRKRPSEVSIEVLDPEHYHALVKAIRNILSTELAELSI AQLIDGLPLMISVFESRGCLVGKGHPLLEHETLCDGALQQAKQLRDGFDPAVLSFSSK IMQAYQSSEIGSREFKMRLVELTAVSIHNIAVALFAHHPKLHSQEDIDATVSWVLPPR WIEHDGLKPRWEKDIEPHPTLFYHVNYLDYDRYTHGLADVAGYWAEDRIFGGVVLFDR GESAYECNDIYLHSGRVKAPSRIWKLLDSQFEGLVDFLMSADISTQEPPISFPILATR ENLHRHDAWDAIALHNIYRDPWERKFPQTKPEEWRDVRSIGDHPELQDAFDAITSYKP EKPVKVKLTYDERGLPVVTKCSRDLEASEDELSQASSSDKRRRIGADNSGETPPQQLS PPRLGSPPPLDSPPPLSSPPRLDSPEAVELPSSPGRSGASKPEQ QC762_0022070 MHPLRALLLTSSLALAHASVLPITPKQGLKVISKRAEPDTGEDF PEDETPLPNRLNKVETAFRDAIELTSVVLSVIETDKTIYQHYFSPDDREEITRIFRDL NNNGEGHEMLGNFLVQTTDLDNACGDRGLAYSGDYNTDRPFIVICPRAFNKKAINDLE GKDRGDEDAGDFYAGCAEDGGDIGDHVSFHFNTLGMTLLHEYLHYDLIIGASFGSIVD DPDGQPGYGPVVIYDRLPKELAREVYWSLICQKEFQAPREGVDDADPDCGDLPLEAFK R QC762_121727 MSSPTTTPPSPPPKVHIKITLTPPTHSFTTCSLPPLLTLTITST APHPITLFTFNHPLSLPSALTNRTITIHALPSRQKVETCSLRVNRPAITRIRGDADEA FYLTLYPDTPVELSTPFGRGGGVNKVRPVPKAVAEKGWEVDDEGRERKVRRSVQPTGV DGLEPGVEYEVGLDRESLEGMWWAGVEKGEVLVEERTGKGRYMQDYGGWVRGGGVEWV VEEGVVKVEE QC762_121730 MEETPSDFFLLFSSPLITECNVSTSPYTSRFDSTMSANHPEQTF PHLHNAVIGNLNNILEPRPYFKRGRREILSNFTKKYSTFVMANFTCENSQCSKAGWRS GKVTILIRGYENNGYHATIFNQRCDRCKKFGSLELDESAYIDRVCYRLKKWAGISMEM RSSREPKKTLPHKNHLCEGCRKGFCEG QC762_121740 MDRVANWEHGALTAANSGETHILSTTIGGTAPDYKSVIVPYLRE RVPEFRRLDRLRQQGWENPAGDRFFQAQRSNADNIDDQQSSQFHFGLMQRIAAELHNA ADGCFTTVTKPGAILDVCAAPGGFLAAAMALNDPDLRVRACTLPFSQGGYKVLLPHEN NDAIDINYCDVTMLAEDFGVKIEEIPASHPDHNKFMPRQFTIDEQYDIAICDGHVLRT QERASYREKRESIRLQNGSFVLALEHLRPGGTFIALLHKIEMWRIACLLQTMSRFSDV RVYKPKCGHAKRSSFYMIAKDVQSHSSEAKEAIKTWKNIWYVATFGTDQEVYQAIRDS GPSAEELLADFGPQLITLGRPVWLTQANALAKAPFIKKRK QC762_0022110 MRLDIRVLPMLPGLVVIPRISFSLLILIQHSLHRSPGELPVAVV GQLTGISSLLVVLGRVHREEAVHGQVQPVQPHYRPITFTAVVMPVPRRGENNISTLHH QLLPLHRGKTARSLDDEPQSKGHVPVSRGGFSWQDELKTGVDGVGGVGCLHGRVYQHE HTTLCLLC QC762_0022120 MRRIVRGHDQYMLKRYPFTTTTHHHHQLKTQPNKTQFTMANRAN VSGAKSSTLSTLSTEDQFYTDIASKNVEPLWTVLNKMVPPKPNPSSVVTAWPYEDLRP VLMQSGIVVSAEEAERRVLMLVNPAMQAPYTTDTIYAGLQLILPGETAPAHRHVAFAL RFIVEGSRGFTAVEGQKLMMERGDVILTPSWHWHDHGSKGDGPIVWLDGLDLPVYRFL PVNSAENYEEARYPSELSDNSNWKLPWAPVQAVLDENKETETYARYDYKSGEHGKHLS KTISGQAERINAGATTKKVRETVSFVYHVYEGEGYSTIVSPEGKEERVQWKGKDTFAV PAWSTISHTCTQECGSAYLFAVNDRPMVESLGLYKRQELK QC762_121760 MRVMSTKTQKRSVKFTVQGTPDAIFICYCSHCKKNAGAPGQIAS LKSPSDFQDLILTISQSAKFKCENVHVLEGSEHINTWILKDNLSGCEKHKKFCSRCGC TLWTIPMKHSGSHWIVRTALLENGFDKFPYKAEFFASRKMPVAAAVVKSFDTMPDA QC762_121780 MSIPATQRAVVVEEIGGPEVLQFKSDWAVPQPTEGQVLVKNEIS GISYTDTYFRTGLYPSPKPEVLGREAAGTVVALGPKTEEFNLKVGDRVIWLANAGYAE YSAVPAAKTLKLPDTVSYEDATASFMSGLTVLALARETYTVQPGDWVLLHAAAGGAGF LMTQLLKHVGAKVIGTAGGPEKVELVKSLGADHVIDYRSEEGKDGVKTVMDIPGGRGV DVSMTLLERTHGRAVWPLSRGKGP QC762_121790 MSECCLKGFKWDGEPQGKEVEVSGQTCYVTGSNPDVGIIVIHDL YGWTFGNTRLLADSYAAEVGVTVYVPDFFGGVVLSADLLNNPAEWGKLDLPNFMERNN KAVRGPEMVSFAKHLRTQHGKLGAIGYCYGGWAAFQLGLKSDAPLVDCIAAAHPTFLT KEEISNVGVPVQIMAPEIDPQFTEELKTYAVTEIPKLGVPFDYQYFPGLSHGFSIRGN RENPAEVKGLERAQRVAVTWFKEWLV QC762_121800 MPSAIPQNGSALHEEPKPWDPDHLRTRFVQALSEMYRTEVPLYG KLVDVVNQVDTTTLQSRGQSLNDLPSRFQLERHGAIRLGTQQEMRMISRLFAVMGMFP VGYYDLKMVGFPLHGTAFRPQTEESLRKNPFRVFTTVLRPDLISSPKVREMATSILST RQLFSSRLIELIDQAETSALANLTAEDANNLIIESLKIFKWHSRSSVPLETYLTLKKE HPMVADIVCFPSAHINHLTPRTLDIDAVQAGMISQGLPAKDRIEGPPKRKCEILLRQT SFKALEERVSFAGDADHAIDGTHTARFGEVEQRGAAVTRKGRELYDALLAKAVGRSEK EDKDSDKVLKEVFAEYPDDWEVLRKEGLVYFRYRVAEDKAPVAGTHKLEGSVHINKLL KEGVVTCEPITYEDFLPFSAAGIFTSNLGGEKDGGTERKLQRTEEESKRSRVQLEGLL GRKIPSEIDLYDELQTDSVKECQALLGLSEIVLGA QC762_121810 MTQKFDLLQIIDEEVGIESVQLLLLMGFYLQSTERFSKCWNITG LAIRMAQNMSLHMSAHDARKKGLLLCCPSQLEEEMRVRVWYGCVLLDREISMSFGRPL MISGGDQLRLPEAIDDEYLSEVGGKRNTQPSNCPSQISSYIETIKLYKFLGQVLDIEE DGAETPTNTCSDMQALLDLDTRVMEWRDALPGHLQYDNIAENGQQDLASTPGSLSSPD FSGQARRLYTRFLHVRVLILRPALEQFFQKQRHTPVTPQARGNPRVARVQDLMLSDIA AQCVLSADSLVKCLDIHIRSQSLAAWWYNISYLHTCGSTLLMGLLCSFNESVVRRVSL ELCLRRLSQYTALSSIATKSYHLLQESSKRLLPERGASNIQTPSCSAVGNMARVPDPD PATHLGQPMTLAGMAPPTSVEAGTYMQYSSLLLAPHRIYACPQQTTSSSNLLPSALDP LLAGSADMMNDGVGGLENVPEFWETPFLSQLEFYQPHDFTLSQLE QC762_121820 MSEQTPILQHPTIGPIRGVVKKVGVTQFLGVQYATLKDRFSRAE LLKSYPSDHPRVQYSIFDATTLAPIPLSPANGCQWEHALIQQSLESPEFGQSDTECLT LNIAVPDLQADGPEWPVLALVHGGAFATGSSSYPQYDLARIVQRSVKIGKPIIAVGIN YRLGVPGFLYSSAMKAAGYKPNNGLDDQRQGLLWIKHHIAGFGGDEHRVTYIGESSGA ASGTFHLHSKEPLFNQLISMSGSSLVKAKQPELAEGSFKRALQVLDISETDETAQVQR LLKVPMEDIREKIARKVPMAPIVDGDLIPRTTSYAQMADPARTAELFPGMQWCKRIMF GDCKMDGNAYGPRLAARVNIMPKTMATFLAATLDPIDRELAPKIISGYGLNASATANS QESLKAVLDLATDICFGLGARTFIRSWSQAGLEAFLCHFNVPNPWDGPWKGHATHILD IVFVLQNYRELLPVGQQKASDKQTEDAIAFIHGEAPWPAYKIGAQEGAMVYYAPEQGE EDQSRFVSGEIPEETGRRDILQKLMKQEVLDKVMDAWDLFMKGPK QC762_121830 MATTEKLVSPSSIGHFGIRTTPEKFEAMVKWHLDFFGGREVLRN AKASFIQWDEEHHRMVIVQDDSHEQIPADKRPTAATVYHIAFTLNSLEDLATSYEQKK ARGILPTGLSTMA QC762_121840 MLIPHLSCIFEPILNLFRSTKTTTSTMSSCNSNNNNNNNNNKIC IVGASPSGLALGALLEKQGGCDYVIYESSAEDVPPRGGCLDLHPGSGQRALKDAGVFE EFKKYARYGDATIHRLFSQKGENFFDFGEGRDAPEIDRWALRKVLLSGIPKEKTHWKK PVASTTRDENKQIVLNFANGTTASGFGLVVGADGTWSKVRHLVTDAKPQYSGNLFVTT KILPGGPYYEKMKELCRMGSMIVMGKGVHMFNSRQGDGHYRVDVGIPGPENFADAGLV DIKDWDAFKKYLLGDDLFGPYSDEMKEIINQSQGPFRPWIMYYFPTDSLNWKTVPGVT LIGDAAHVTTPFVGDGVNCAMRDAIILAGKLKELGVSEEAVAAYEREMFPFAIDVITR SLQSQKMFFEKKAPKTFIEVMSSGKPLIGTTDHI QC762_0022210 MAGSLRKRFGLFRWKKESREKSKAQDGPEIHGSIASANSSEVSV LRPEQAASSTTVTPSLPQSTINASQTPAPAVPPTPSSKTLPVLSNTSDKAAKAPQTAT DGQAVEIGENLVAYVSLWDRAYDALKEEEPDRVTEYEQLLSRVLIRVPSISQLASNQT DDVKIANQVPQNDPIARRKKLKEITELGLKHMEDKKVSTTLLGHEIVLQDVVAKVAGA VEWVEGHVKDAIKDLPYASIVMAGVSLVLPLLKNPAAAEEANRDGFTYVTSQMRYFAA MESLLLPQDTKPDLKDDLTERLVDLYKLIIDFQVQSVIRFYRTQTKNFFRGAINYDGW DQKLQYIKDTDVALVSRFETTMSASRLQVLRDLAGRAEESRIALNSLLAKVQEHIEVS RQQLGVLQKISQHITDPQDHACLQGLRITDPHDDKSRIEQAKGGLLTGSYCWVLDNDD FRQWRNNQDSRLLWIKGDPGKGKTMLLCGIIDELTKSIPNTTTVSFFFCQATDARINN ATAVLRGLIFLLVSHQPSLISHVRQRYDQAGKQLFEDANAWEALSKIFTNILEDPHLQ STYLVIDALDECTGDLSRLLNFIAKTSSTYSDVKWIVSSRNWPNIEKGLDDATQKVRL CLELNEESVSAAVANYIQSKVDLLAKQNQYDNDTRDAVRAYLSSNAYGTFLWVALVCQ ELAGISGWEAEEMLTALPPGLDALYERMMSQICSSRNSQLCKNILAVVSVVRRPITLD ELPSLVDMPPRCSGSRDVLAEIVGLCGSFLTLRDHTIAFVHQSAKDFLVQKASREIFP SGIQHVHRSIFSRSLRVLANILRRDIYGLSAPGFPIDQVKQPNPDPLAAARYSCVYWI DHLSECDATRDEVESIDRFLRRSYLYWLEALSLLQGHGDLVWSVVFSPDGQRVASGSG DRTIKIWDTASGTCTQTLEGHGSSVLSVAFSPDGQRVASGSRDKTIKIWDTASGSCTQ TLEGHGSWVLSVGFSPDGQRVASGSGDRTIKIWDTASGSCTQTLEGHGDFVLSVAFSR DGQRVASGSDDNTIKIWDTASGSCTQTLEGHGDLVWSVAFSPDGQRMASGSDDKTIKI WDTASGSCTQTINVGLTATHLSFDHANAYINTNIGRIQIATATMESPN QC762_121860 MTAHLTRWKVPRIGRSGPGRQSTRFIMRNSTFQDKSRDTRPRHH DILFALVFSKPPATLADDLCHRDISTRKMGEPEQSMIFIMGLSGSGKSRFVNLLRQNS VREGAGLQSETQECQIVQLRLKDKLVSVVDTPGFGDSRKTDAEILSTISDFLILQHAA GFRLSGIIWLHPIEQQRMRRPDLQALTMFHDLCGKDALSAVTLLTTRWDHVKDERTGA MRERELRRSFWKDMIDHGANAQRFNGSSEMAKSIVRRLLRNKPVILQIQKDSMESGKR LRDTAAGARIMEDLEVDLKRQEEKVKKAERELKAGAQSGDQATEQALRTRYEAEARER ERLISSCQRMNANLGQEIMEKWDRIQEDLPQAGAGSDSDSCLEHEKQVPNKGTAPKIE NTRRNRWKGRVSAFANVLGLTLTAVVHIVLPLAGIAVG QC762_121870 MFDKVFRPINDTANSSRKGRSRLPEPVRSPTRGGIFPKAGTQAR APQIPQRLSSASQSLPWPASPSAHSFHLRQLKQELAEKDRKVKILCEEVEGLKKEKES LEREIDQYDDECFKMEAENKQLRDAFVALKGNPNHHIDDGVVQSRFGEIKFTIEQIVS IRYSSSTTISKSIRLDRPSKQLFSNLAEDVDRYLTQPVGKALLIEAFIWNFLISEVFS GNGMLWAGKAFEHVKFLSRELENDTVSLADLYRWNAQTASMLFSMYDLDKRRIQKIAS NLRASLEPWQNKSFKEGQCDSLDKLVLLAAKLDADLARSRAEYMVFMLETTEALNGLA KNKYGVPFDQDYMELQSGNNDRYGDVELVVSPTVAKVGDSDGENYDCCTVLVRAKVIC GGSKAGRRQQSASTPSNSFQPQR QC762_121880 MTSERSFQSTTDNFLPLCKRLIFTRFPDHHVIMFALTRFTRHTI LILFFITLSFLFYHSYRNPPTQKGSSFLTSIPEILKPLTSGKHPPPRYKPAPSWLPPP VFDPFPLLANTAADPPPIPEYNIPRPEMHKEYGLDRAPPLFIGFTRQWPMLLQAVVSY ITAGWPPENIYVVENTGVHNMNKQGRLTLQNPFYLNHTTLHRLGVTVVQTPVLLTFAQ MQNFFLSIAYQEDHPYYFYSHQDVLVFSFEEGLDFISRPADGNWEFYSEAEKKEILSP VQAGKDGYRTIYENCLRDLQTVIKRKERWGFRWYQYDHLTLVNREAMEAVGGWDSLIP YYATDCDMNGKMGMDGWTMKPRRVGIINDVSTVLEDLEVLYRNKYKMPKFIDPAPLPP EKEAKIAKAKAEKEEKERKEKEEKEGKAKREEHGLPADQLQYFRALRAVGDEMGKYKY RDGAEQRNNWQRAQRGGEGEPFYYNADGFHSAFWTLTDAGRRIYEEKWGHRGCDLASG TSLTLKDQWRVEKDWEKKKEDKKN QC762_121885 MPELRENPKQRDIVGDAAQENALQAPEEISNQARGHKANLSNPN TSEQSKENSKQKLEELGGEGAFFSKDSK QC762_121890 MSCEHSPAASKSKKPPQQQVHNFWDKYITKSPGKVTTIFPPSLY SSLLPRPSALSKNDTTTSPTTTNFGASYAAAADACRAKIARIVRDCHRTNSKFTDPDF DLKGNQWDCLLGLNWRSPPAKGNPAAVEDALGTLERMQVFDGTDCLQLTVPMLRKIMG KRVLDEEQEGDNDEDYDPEPKAVHRVGWIFEDPKFEVDGFSSSDIMQGSNSLDCWWLS AVATICHRRELMDKICVARDEECGVYGFVFYRDGEWVYTVVDDHLCLTNGDYEGGGHD PSNAIVKKWRRDKQTGSQALYFAACREENETWLPLLEKAYAKAHGDYHAIWGGWVGEG VEDLTGGVNSELALEDVLFKQKLWKELVNENGNFVFGLDILDRNGSDKNGLANAHAYS LLAAREEEGEDGKTVRLVKIRNPWGARGGDGMGEWTGPWSDGSKEWTPYWLTKLDYRF EDDGVFWMSYNDMLHTFTNLYRTRLFDDEWAVAQEWTNVNVAWVSGYLQRKFVIEVKT ATTAVFVLQQLDTRYFNGLEGEYSFLLHFILQKQGAEPGDYLCRVRPQAENFFRANRS INCEIDLEPGIYEVLPKITAERDTSKPPVEAIVKASARRRPEKLRQVGLSFDLAHAKA FRKEEEKKGDSSDGWETEQEDEEAEKASVTEAGEETPGGSDEAQAAAAGREEIQQGGQ GGQENDNDEDEEEDDEDQPSLWNAVAVVGLRVYSRDPELVIKLTSPGNDEEAASVVQG T QC762_0022270 MKEVSLLYLPIKDLNNPLLLEEIRECTTCLRKRGFFMGIQGSTT FYVFIRGSLLSTLSFPSLLPVK QC762_121895 MIIAGPPSFISPSPVRLEPQLALLGSTHFRFISNQDLVDLRVCQ PTNTTVHHTLARSEPLYLPDTNHARSMSDKLCDHSLKHGCSAVVASSVSLCDACEKGA C QC762_121900 MKFKSQAVLAAAVAVSNVGVAQAHVFIWGVFVNGVDQGTFNGIR TPAYNGPPPRGYSNSPVKDLNSIDMRCNVLGDNQVPHTIKVAPGDNITLDWHHNNRTI ADDVIDFSHHGPALVYLTPDPPTENSFVKIWEKGLYELGPTPFSPGKWATTWDIKANN GLMNFRIPANLKAGFYLIRAEMVGLHEADARFDQNSRRGAQFYPNCVQIEVVGDGTVE LPEGVSFPGAYKYDDPGVHYNIYCSTERAPLAPCTDASTYKIPGPTVWSGAWLETTQV ALGPVTGPTTATRWSSWIQQSVVTTATYDQAGSPVPVATSRYTASWSLAYAAPTASPA L QC762_121910 MGSTDAKLILYTNHRCPWAHRAHIILEELKVPFEEVIIDLDTPR TPEYLKINPRGLVPALSYNGEIIIESAIVANFLADAYPSHLLPPSNTPEGALRRARVA LFVDAFISKFNSQLFALYTAEGEAARAEIADKAVAALVKEVEPLLADASPYFGGSDKL TQAEVLTGSFVIRHKSLSKTDLYPSNLWPSIVEKAPNFAKWAEVVAVHPSVTSIFKEQ EIIDGTRARIAKLKAQKQQ QC762_121920 MSKPSDKQDLAAAPGSSGVKRAFSLPPQWLHMYDDFITKNSHQV SQIESTLRSLTYIIPGRFRDAEIASESIHSGVQLLSLYHDTLLSRAATLSKLPLSSLS RAPSPQSRYTKFWTLKSAFYRRVAYVLQIVNYVQLLCEMSAKRRGERVRWNVVVLLEA IKAFCKLLLLRITKSRPLLTPVLPEREPIPDEAPEDPEEAELRALGEDDEDRKPFGKG SVGAPQRKGVGPNGEWTMPRTGMSLPTLPAPGDTSGYLLSRVLTADDIKPASNLLNRL QGSAQLAEVLHILAPLVFAIALARSKDKRKSWTPWLLGVGTELAARQLRDRGLRTTPL EREEWSRRGWAMGWWAMRGAFYENVTKGVVGGVRSRMPGLLAGIIEDYEYLWENYYFS TSA QC762_121930 MPALPKCSTLGNGKDMIKTRHGSSSYLEVTYHVFSDFHVIKKAP LALSQSPATSYNMFPLISALAVLLGSQRALAASDPIPPTASSCPNNSHLSPLTHKRSC PRLVDDETAILTNSWYPWSIPPTCFDPTKQKGKRPRVKLSKLCTFTTLNTWAGTPLSI ITTPETAADVASFIHSPYLSWLENDRGGVPFRPTHYPKNPFKVEKLPNKGYGVLATEP IQKGTVLMAQLPVMLQLLESAEQNDKWETRDVLRLLQRAGNQLPKEQQREVMGLAAQG KGYIVDDIMKTNTFDVTVGVLEGSTGQMGWGSHSGLYPEIAVGFLFFELSKRWMTNLT SCFTRFSPSTLIMEIVAYKDISPGEELSISYAPLNILSADRSELLKWWGFTCTCALCQ NPNAIKKSDKQRNRIQALLEEFDTPSRLTEEKIAEIEQLVREEGMEGQMGDLYNIIGN VYAQRGEIERAKEYGKKGVVWLKQYAGADSERTEMAKGFVKRLEDFERGRRDWRP QC762_0022340 MLSERPETPPKPFTTIPFSRDRDFVNRGDILEQIDRRCSEPAAR VALVGLGGVGKSQLAIEYAHRLATRQPDAWVFWVHAGTYARVEEGFRTIADAVKLTGR NLPKADILQLVYSWLSNERNGRWIMILDSADDRDVFYNATNGDERDRRPFATYLPQSQ NGSIIVTTRNKDLAFRLSGCRQNMIEVGPMAQTDALTLLEKKLGSPADLDVAADLVRA LDLVPLAISQAAAYIQARAPRSSLEKYLTEFRKSEHRKSSLLQYDAGDLRRDGGASNA VLTTWQISFDYIRSKRRSAADLLSLMSFFDRQGIPGWVLKPPRVTKQEVPGRCLDEAG DTDFDNGSSASDGAADGAADDGSADTIDDGFEDDVAMLRDYCLIATNEMDEFEMHGLV QFSTRKWLEQSGQQETFKQKFIERIAASFPTGDYKNWATCRNLFAHVQVALGYRPSEN REEIWATLLYNGGWFAWSQGRYEVAQQMVGKARRARDKRLGKEDAATLASMSLFALVL LDRGQWEEAEKLFVQVVETWKTKLGADHPDTLTSMANLASTYRNQGRWEEAEKLEVQV METSKTKLGADHPSTLTSMANLASTYSNQGRWEEAEKLFVQVVETRKTKLGADHPDTL TSMANLASTFCNQGRWEEAEKLLVQVMETRKTKLGADHPSTLTSMANLASTFWNQGRW EEAEKLFVQVVETWKTKLGADHPDTLTSMANLASTYSNQGRWEEAEKLEVQVMETRKT KLGADHPDTLTSIANLASTYRNQGRWEEAEKLEVQVVETSKTKLGADHPSTLTSIANL ASTFWNQGRWEEAEKLFMQVVETSKTKLGADHPDTLTSMANLAFTWKSQGRHSGALAL MSHGPTTQCP QC762_121950 MHKIRERTGERGASLHVSPDRGNVQDGRVFRSPTMTSSTSPQTT VVMAAQAPTHFPDRPQFSGFMKPCRLEGEVSHLEVHGAIPDDIDGTFYRVMPDPQLPP FIQDDPWFNGDGSISAFRINDGKVSFKQKYVQTEKLKREREAKRALLGKYRNKYTDAV EFKVRTTANTNVIHFNGKLLALKEDAPPYALDPETLETLGLHTFDGQLPSLTFTAHPK FDPKTGEMICFGYEAKGDGTPDVCYYRVAPDGKFLEVVWLVAPVVGMIHDFAVTENFV LFPIMPQVCDLERLKQGGEHWQWSPETPFYVGVLPRTGAKPEDVKWFQYRNSFPGHVS NAFEDSSGNLIMDIALSDKNVFFWWPDAQGNAPEPSSIVSQLKRFVIDPKSSNLHLAD PEVLQEDNSEFYRIDDRFATQPYRHCFYDMLNPALGTDFPGIAHQLGGGYPLYNSLGH LDLQTRKVEVYFPGRTHMVQEPVFIPRRGSGEEGDGYLLALVNNYATMSSELHLLDTK NFTKAQAVILLPVRLRQGLHGNWVDNDKP QC762_121960 MPPTISLTPATLKAFFDELALLIGEDNVSRDHSSGALTGALGQT TYGDAFSKADTNLPAGAVRPETVQEVQEIVKLANKHQVYLWTVSRGKNLGYGGTGPVV KGTVVLDLHRMTQIIEINEEYGYAIVEPGVSFFDLYEEIQRRGLKLWPSVPAIGWGSV VGNTLDRGFGYTPNGEHSQSQCGMEVVLPDGTLLRTGSGAMSDNATWALYKGGFGPSL DGLFYQSNLGIVTKIGIHITPAPEAYATVEVDVPLESDLIPLVGTLSDLMRRSIILNS PSIANIFRIALTSEKPEVLSQIGPYMKADSCVPYDLLEKIRIEERWGFWRAYFSLYGS VEMLSALKATVERAFRQAVPGVQFKYREWAGLPGAAISAAEDIKTEVVPHSGIPTVEP LGIVDSRGERGGGHICFSPVIPPSGRELYDWYLAAKKRTADAKFDFFADFHVYPRYVI AIDLVIYTMGEEQRLHELYKQLLHDAGERRFMEYRTHVGYMDTVASKLDFNDFAFGKF VQTLKDTFDPNGVLSPGKSGIWVTGQNM QC762_121970 MSPLPEEFDIIVCGGGSTGCVVAGRLANLDHNLKVLLIEAGENN LNNPWVFRPGIFPRNMKLDSKTATFYESNPSPSLSGRGAIVPAANILGGGSSINFMMY TRASASDYDDFQAKGWSAEELLPFMKKFETYQRASHNRETHGFEGPIKVSFGNYQYPI KDDFLRAAESQGIPIVDDLQDLKTGHGAEQWLKWINRDTGRRSDAAHAYIHATRAVHS NLYLACNTKVDKVIIENGRAVAVQTVPTKPLGNSPGTRIFKARKQIVVSGGTMSSPLI LQRSGIGDPAKLRAAGVKPIVDLPGVGLNFQDHYLTFSLYRAKPGVESFDDFARGDPA TQKRVFEEWNLKGTGPLATNGIEAGVKIRPTLEELKEFESWPTPNFKEGWESYFKNKP DKPVMHYSVIAGWFGDHMLVPPGNYFTMFHFLEYPFSRGKTHITSPDPYAVPDFDAGF MSDPRDMVPMVWGYIKSRETARRMDAYAGECQSMHPVFDYDSEARAHDLNLADTNAYA LPGNITAGIQHGSWSVPLPEPEDKLTPENIKRIVSSNRKDKRRELKYTKKDIQAIEEW VKRHVETTWHCLGTCSMAPREGNSIVKHGVLDERLNVHGVKGLKVADLSIAPDNVGAN TFTVALTIGEKAAALVAEDLGYSGEALEMKVPDYHAPGENRLASRL QC762_121980 MESWSTAHTQTHTPSPTIKFENSPNDSLLSTPGEMYPSLFGAES SPAPSVMADPTSDVAMLASLAALTQANTQSPTPVTPSSTAGTPEPEKKPVKKRKSWGQ VLPEPKTNLPPRKRAKTEDEKEQRRVERVLRNRRAAQSSRERKRLEVEGLEKRNQELE AALAKATQTNEFLLEQLRNAARLGAGAGSPETFDALRLSSQLSFSQPLFGSQDGHSTL AKPDSLSTLHNTQNNTTVDPAALTPISEVDEEHELSATVSTPVADSAPVVNASPDATQ HPAEMLCQDLQCRSAEAPPSAWLENSQQQLRPALALFLQLQFLLTSTSALISLCQRPL MQIAMSLKAGFSLPPVPALLTTIIWLVTTPKPSRSRSTTSTLTSMTSSPTTPTSPPAT SSSRSNLPPARSQTRPSSLRLRLLRKILTCSPSLARPLMDATMAALRLVSSDGFTVDR VKAGDASAAAAADGKEQQQQQSGRPATWPTGVPLPSKEVLLTLLWVLKVEERRLEIRQ QVSPKLGSNSCVPKTVTPTINNQARHYVLTVVSKRKPDSLEGDRKRHRFE QC762_121990 MSNYNMSAMRKHNVTTFPRPPVVDRVQRHIQIKWHGQLIADCPP GEAYWCLETHHAPTYFIPPSRVRLPLSTTPRTSFDEFRGPITYYAMMSPINAADTVSN RIWSFNEPPKDFEAIKGYLAFFAGPWECYVDGERAQSPPQDFYGGWVTSDIEGINKAP HPWGVPPPWGHEQF QC762_122000 MANRQLARDMQTEFQARFNAKQARREAQKAAKQDNELKKQIQNL LKKGETAQAAQKARMLLAKQAIAAQMDQAADMAELSLAQIQANNAMNRMTHMMAQSSR TMQRAQRQANPEKTLLTLEQFRSQNEEYAMSNGIYQDAMTQNTSVQVSDDAVHELLGK LADDAGLELNQELAKASASKVDPVKEPAQAVEPTAEEEDALQQRLRALRA QC762_122010 MIWRGKSMKLRPCCLPGWSRPCLGTTPHLELVDETITLPLPPEM ATDLQDAIQRLHLDDNSTFDAIDDILDHKVVKPPKKQDPDELRAELERKFLSPSTTFS DEWLDKLQQRWDTPIDYSLLFNIAPSQTRTVTRFVRHGLEGRVTGYRNVTVPASHATA KNSTSMTRKPASRSEFVRGGAGFFPFAPGGLEGIESTAALEDQLRASAAIEEADSRKK LERVIKLGSGGLLEVAPGVSRGIDFTKRRKVADEEAEKQAKEVEEVLDQEPEAAPDQE DEDADKAPTNGASDESEEEEDLEDIDSILPVEFPALEPHGKLAASSARKAGREWAHMV DINRPMPNFRELVPDPAREWPFELDNFQKEAVYHLENGDSVFVAAHTSAGKTVVAEYA IALAAKHMTKAIYTSPIKALSNQKFRDFRQTFDEVGILTGDVQINPEASCLIMTTEIL RSMLYRGADIIRDVEFVIFDEVHYVNDFERGVVWEEVIIMLPEHVSLILLSATVPNTH EFASWVGRTKQKDIYVISTPKRPVPLEHYLWANKNIYKIVDSEKRFVEKGWKDANAAM QGKDKPPKAIEAAPARGGGNQRGGGRGGQQRGGNQQRGGGRGGGQQQRGRGGPPRASH NPGHMGRTGRPGGFTSAAQDKNLWVHLVQFLKKQTLLPACIFVFSKKRCEENADALSN QDFCTAQEKSAIHMTIEKSIARLKPEDRTLPQIVRLRELLSRGIAVHHGGLLPIVKEL VEILFAQTLVKVLFATETFAMGLNLPTRTVVFSGYRKHDGHSFRNLLPGEYTQMAGRA GRRGLDTVGSVIIVPPGGDEAPPVTDLRQMILGEPSKLRSQFRLTYNMILNLLRVEAL KIEEMIKRSFSEHATQQLLPEHEKAVKLSEADLAKVKRDSCQICDVHMDDCHQAGEDF KQLTEELYRALLNIPIGRKMFTPGRLIVWMKEGVRTPGLLLAEGASTKSSATVPMLHV LEIRTNREIRNDTDLLPFVPSLRKYYTSLPQAKKHIGTKTLHIPLSDLVCLTKYVTKG ILPDIFGSGEGYQKAKDKLQTICRTWASDHWDEMDLGRIKSLAIHDIINKRREAEVKL TKSAAPLCTFFLKHYAMCHDQWLIKTNIDQLRQALSNQNLQLLPDYEQRIQVLKDLRF IDEETRIQLKGKVACEIHSGDELVLTELILENVLADYEPAEIAALLSAFVFQEKTESI PKLTHNLEKGMKTIVELSEKVNAVQTLHQVIQTSEESNDFVSKPRFGLMEVVYEWAKG VSFKNITNLTDVLEGTIVRTISRLDETCREVKNAARIIGDPELYQKMTVAQELIRRDI TAVASLYM QC762_122020 MPAAAGPSKVTNGNKKDSGAFVDSFLEGWSDFDEEDDPFGSPKG DKSKKTDDKKTDASSKKRKGTDVLGLETEVDKKKARVPRVKLDDARLLSDKGIPWLRK NAQSRLKLKGKGHEFSDAARMLSFYQEWLDELFPKASFLDALAMVEKAGHKTSLRNAR MKWIDELKPRGEGEEGPNDVDPFPIYEHDKTPKDTGRIAPVFDKAKERPKTPDGDDLF GDDDIYNATPRAATKGSRGEDVPDDDDLDALMAEAEANSGQPPRSIFGNGLESIFGNG TSNSTAAAPPKPQANNIPEDVDLDALMAEAEAETLSTRPNQSTKSILGDGNSKPSAPQ RKEADDFDDDDLDALMAEVEAQPPTKAPSAPKSTEPTKVNDEEDDLDALMAEAEAEGA SSKPVPETQKEAGAKKYTSFDDDEAAMAEMDGLW QC762_122030 MVGASRVKLCKWLWLAPHCTSHIEFPPRLVDMIFASGINPLLEL QRPGSSKLQGTSQSRRPQFACLRPPCSTTTTTETAGQAQSVKMSTTPGNTYTISKQIK TEYPLIDNDPHFKRVIRYARPSDYVHGIVAAAAGPSLLYAMERFAPSYVGKGGVAQTM RLGGAMGLCGGFIYFYQRSILRFYGMSENAREVQMDMREMVDKVKRGEPIYGESQLTP AMQGVAARQSRYSALFMAVLPWFNFVNHSQHGVDTAKYYRQAERELEAERLAREGGNP SQ QC762_0022440 MKSTFFAALTALAAKEVAAHATFQQLWVDGTDYGSSCARLPPSN SPVTNVGGRDFVCNAGTRGVAGKCPVRAGGTVTVEMHQQTGDRSCSQEAIGGAHYGPV QVYLSKVPDASTADGTSTGWFKILSNSWSKKAGGRVGDDDNWGSKDLNACCGKMDVKI PADIPSGDYLLRAESLALHAAGPSGGGQFYMTCYQITVSGGGNANPATVRLPGAYGAS EGQVNIHAALTSYTAPGPAVYSGGETRTPGGACTGCASTCKVGSSPSAIAPGGGGSSP ATGGGSNGGGGNSGCSVAMYGQCGGNGYTGCTNCAVSCYE QC762_122060 MSPDSHYTYTPIDLKTDAIRLIRLLRGRVDEPVRCELFETFLHQ VEGVPYEALSYAWGDAPISKEIELCGKKAAVTENLYLALSCLRQPDEDRILWVDALCI DQRSHREKNHQVKQMRLVYSNAQNVHIWLGPGTDDIDLLMGLMSQLDKRATKRKNCRR NSPDAWVKEWSILVKRDGTGETSIKTCRVNALKDMLSRPWFKRVWILQEVFSARSAVI SCGLNTIPSRVFVLMPKLMVLEVDEHTQAVLDIMPGYLRQKSWWKDAPDLCSLLGRFG ASKATDPRDNIYALIGIASDASADGILQPDYNMSLHRVIENTILYLKYRQIPSIPGPE PSTKWDLKHLLNQLDQIPSQAFQWAIKQGNQAAAAAVVARPSFHVNAWHTSRGPPLVF LADKPGYESLFSCLLVLPHCNTNVKDCHGDTALNIIALQGHLEMAKLLLNCNDVNVNH KGRGGQTPLGSALMYGHHLIVDMLLARPDIDINLPSGLNGIPFTPLYIACEDNKSTYV NKLLDRGAELETPCNENASTALWVACSQGHQPIAKTLVSRGAKIDAKDSSGRTPLWMA AVANHPYCVRMLLDEKADYGPGASSENRTVLWKTASMGHGNAVKALTMYFQQKGVVHE VLQQERDSENQTSALWIAARNGHTSVAQQLIGFGMDIDSSGHYGQTALWIAARHGHAE TVLMLLREGADREVLDVYNGLTAREAAEEFWQERVVDVFRTEEGWEKARVVSKLAF QC762_0022460 MEELPELADNNDHSAKRACDQCRQRKIRCDRELPVYSNCRTASR SCSSTGLGQKPREPRQRVLITHQYERKIDSLDSRLGAIEKMLHKLTVSLNNRGPPTAS SSISPTLESAAAASSSAPRENSADALFGADKDNESDDFEATALMKEHTAFATQFIENT TFPFLDPGMRAAFLSLQELVKLQNIENARHDARFTTAKPLPKGGWAELPMPSADIVLS VLREIKQHPPMGFPAIATFTGIEDFPDNVRRVYFATEEYSLMQWGIVNLGLYFTLQER GAASEGDRQVQLFEASFLCRDNIETALTNLPLLLPQRRESVELLIMATMYAIEESKYS LARDFITIAANICQTLGYHRARSPSTTESEHKAMLFWTTYIFDSALSLRAGRPTAIQD WDITIPREIGPKVKNVDPLWKIGQAQWISWSEVMGRTYRELYSPEALARTAEKRAENA RQLAQALQDVVARSAPLIEHVVEKMKMSGGYNAQARFSFDIAVVGDALVQWSVLTLIY RAIPTPPGSPSTFNPECIHAAREAFSSHEGCMALCGESLFMKAGYIQWNILYIPFIPL VVLFCHTIETANEEDLDILVRFTEGLQPVAPISSGVTKLYRISQVLVNIASLWLQTRK QGQQDHNMSMVGDNVEMYLNQLGFMPQHSQQDHTYGIGGPAGFGYDMDQSAQLANWFS GNTHIFGLMEEDLSGFQGF QC762_0022470 MSQSWIAVGRPARSDRALSKIRHRQFSPAAFWERFRSGEPRIMA GILDVLEFDKLLQGQECRPHPWIEEWKCGVFDELSRKCGMLLHQSGSLEVIALIVFVS TKQGICTILTRGR QC762_122080 MPPRNQTLPPAQTSSAREARQAFYCQLCQKGYSRNNDYEAHLSS YDHTHKQRLKDMKAMVKDPNAIARARRQEQKAEGVISIKLGEAAASTGGGGFKKGGFK KTGFKSAFVPVAGASGPPADTKTAAAPKSDVTGSSSVLAPKSELVESDTEDEGYEVYD PRKPTD QC762_122090 MRCAKPGGPEQCGVRVGFSGHCPPGSAKFRCQQRLNQRIVSGLN NSKAGKKAQRPLPRILHCAFFETGRVTPCLSPLSSTMEYHTLRSRASQDTLVSNASTS LSLLELDPTPMDSPASVPYTDKDLPPLPEQPEESLSDSTHSLRSNPTTTSSVGLSGAG HGPIFYLTRIQRYSSYTFSLFTTLHLATTSVIPVLARSVPASESYLLLAREIYQTPLS EPLLVALPVVVHIGAGIATRLIRRSQNLKRYYGDDHHHRKGSVPAKQTPTLRSGWPTF SYIAASGYGFTAIFAAHAFMNRGLPLLVEGDSANIGLAFVAHGFAKHPVISWTSFVSL IGLGVGHMVWGWAKWVGAAQGAGWQLERHTGNAAVDKQTKKKRRRRLLVINGVAAIGC VMWAAGGLGIVARGGETLGWVGKLYDGLYEKVPGLF QC762_0022500 MKLLILLATATLAIASPALYGRQHNVTGPCPVTATNVETCRDMI DASACWNGIIGANGDGSSQNAERLWTCVPGGKKNMCECYGCDWGLDRYVTRYKLCDEP QC762_122100 MTLLCLLNRGGAARGLRSTSYASSTICCGRHNININPTPTAARG SQLRLNFTNTYPRYCATASQPLHTMGSVDKPSLAGIDLPIIKLDTTLTELFRRASPQN ASTRLGMVFCGVNSGVDLNPDFPRNTKYLYQDSPFNTVPRKELTRKNHDLRKSLAMKY LSLIPQRDAFIAGPSPVIMFNMNQSPATVAHDREEATTTLSVLDPSQRPNLVFCPGPS KIPMREYGIDKITYKIVADGLESYPLTHSLETHWILNSKAGLARSGLPTPRCDIISVK GYPPASPRSCCDACRADKRSNDLTFIPESCSGPSGQWVKTESAKVLSAISSRPVPFVL KNQQVFGGAGTWVVTTQEQKDDLLSDFSSPDGPLRKLLSQVTPENHHMDPAAVVISDM VSNPIGDYGLTFFVNEDGEPVFLAASEQMTDGNNAWVGSTIVYSRQEALYERFQVLMS RTAEFVAKHGYVGPVGIDVLETGTEGETETHCGERTKFHVVDLNVRTSGSLALPLLKG HFTQRGLDCASSFGITVKGGREEFVRKWRREFEEGRMFVLSWYEDREEGASIADVVVG AENEEGLQGMVERVREGSEEVIF QC762_122110 MSTQSEPATSSNGVRVVGDEEKGLHRTQTGVTMSPELFEKLYLT PKVPHVGDNNRRFANPTALGFVGFVISTFTFSIVCMGWGGASGFSPVVGIFFFVGPVL LLFAMVFEWIMGNFFPMMVMGLFAVFWLSFGMLQLPTLQLAAPYATDADPTGLASREY NTVIALYLIVWGFALFTFFIFTCKINAVFATIFLLVSLGAWVLSGAYFRASAGDFDGA GKLQKAGGALLFIVALLGWYMCFIIMAGEMRITLSLPVGDLSHLWPKTDVELAAVEQR EHRD QC762_122120 MSLSPLTSRLIQTSTLSTTRLRSLPVLSRAIHTAVPRAKTPAPQ PLSLNTPQKQAFRHFTKMSKSVAAASHDDFNRNSLFNLKGRVALVTGGGSGIGLMATQ ALAANGAKVYIVGRTKEKLDKVVEIYNKDIEGEIIAIQGDVTKKEEVARLYKEISARE KCLCILINNAGVSSTTVTTETETAQEMKHNLFENENMTEKDWTDTYQTNVASIYFMTS AFLPLLQASSERHPHWSGTVINISSISGLVKGSQHHFSYNASKAAAVHLTRMMAAEIA ENGHKIRVNSIAPGVFPSEMTADESDEYQKSHIDAEKYKGKVPAGRPGRDIDMAQAVL ALAANQYIDGQTVVVDGGYTLTMGM QC762_122130 MSMLGVCHPADGQTVMPNMADQLNSNNSSMHMPMSFGRIHPTAR NPLSPPSQKPNLSTARSIMPNPSHLLSPPGPAPLDDMNSDMNITAQNSKGFEMQRPNP PPSPPVSPPSSPLPKSTASVMHRSVGPSDPILYETAERASAAPLPLFPPAPRTAGSVE DDLMNKHIAARPPSLFESVSPPKREDYQLVIYFKSEVYKRFSQNPRKWMEQERRLRQA DRESARSVARARLPTILPASNPTPPRIHVPRAPVARVQKPRSPKVKAQAPRPIRATPA PTRPPTAGHIHATPEPRMRNAAPNREDKDFEALEDLSPPLDSLPQGRPNSLKVEWKGN ALDLSNDPHRHLLHPDELILASNLRLDCATYLTSKRRIFLRRRECALIGKEFRKTDAQ QACKIDVNKASKLWQAYDKVGWLNIEWTRARP QC762_122140 MAFPSYKRSLDSFNPEASSPRPPHRRKLNNSRSTPTSTIANLGL VPDFNPTQALQQKPHSCLKHHTGPDLISPLSDELLLRILSSLSLNQLLGVSPVSRRFY TLACDSQLWKNLYYHRFVLPRARLIPGFGPHLGGNHSKNTFGRACRWGTGHRACGNDL DTDSKVNNAGDLNTGSSGQNEDAEDEQEEGVRDWLEGGITPPEDHDDDVQSDGVQEDP LLYYAPDEDRPNRTMDWKRQYRIRHNWAKGKCKAVELRLSGGQETVKTPRHEERFNEH QRSRKGRRSFIKAVQGIAVSADSRYLRAWDLRSRKLLGHVGLVEVPEVDGDAHSTAPS CMAIDEAKLVDGLLDIALGFTDGSFGVWRLSVKDGRLKRRYRHEKSSNGELIEMAYSH PYLITATRAVLVSLYTFGGSMAGPCDKTMVLPPPSLLASLDSHTCQAPLSFSIRKTNS SVIASIAYTVTTHIGWSFGVQDLHISPPIQDDPASMPEITTTPIAHTLPLLLFRRPVN WIEYIPRPGHGSREPAESSVYEPPFTPPMTPRSRVMDPGRLSPHFSFSPRECGPKALC YNHPYLVATLPDNTLSHFLCRSGPSSLTVTSGHRLWGHTSGISQGQVNCRGKAVSVGS RGEEMRVWALEGATSRASNVMSVEIRPERVSGRDNGTSRYYDPIDVAEERDLVGFDDE MIVVWKQNRGGGESLVIYDFT QC762_122150 MKPQLLGLLAWFFMPEVLAHNTMGILLVNRTATPEWLYVRDVMG PNYEDEIFPWGQYHKTIPQMDVHHPDITCGRKAFAAAANTSTADVIAGSEVGFRVSWD AYGPGGEFYHRGPAQIYLSRAPGDDVENYRGDGDWFKIAVAGPKDNNSWLLSGEGDFN FSIPLTTPPGKYLMRIEQFWPTDSYNYSQWFVNCAHVNIMGEGGGSPAGFARFPGTYE IDDPGIRLPGNQMVLGSVKVEDMRLLEYKPPGPAVWAG QC762_122160 MPPLQFGKSFLSSAVFLGVAISTPDLFHAERQSFAAQAQRIDQR AFNVLPTVPPPSEYGGFIAWIPFAPPNATIESLVARPFHIYSKEFEDIIGPNPTLTLL NHTDGDPLFHEAPVWNPSTDEMFFCQNAGAPAAGTGMQKSAIIQKIKLSAITRAITSQ RNASGSVQIEDVTAADGAKVMNPNGGTNYKNKLLFVGQGKGENIPPAIYLMNPEPPYD TKGRLGSLRASSVYHVLTSGGYLVMLNNYFGRQFNSINDASVNPRNGDIYFTDPTYGF VQDFRPAPGFPKQVWRFNEATGAVTVVADGFSMPNGIQFSPSGSHLYVTDSGVAQAFR GMEYNNPSTIYRFDVSRDGTLSNRQTFAFITPGIPDGINVDTNGNVYVGCADGVQVYS PSGKLIGKIFLGKGVANFQFAGKGRMVLLAETELYFVGGLKAEGAFPGRLY QC762_0022580 MAKVLITGINGYIAAHTAARFLQAGFSVRGTVRNKTSPNVESLL RALSAPQKAGGGKVEIVEVPDITIKGAFDNAP QC762_0022590 MKFSPATLLPLLMAAGSNAAPSQNSPRQTKPPTVYLAGDSTMAR TNSPHNGWGEYLSRYLTIPVVNKAISGRSARSFTNEGRFAEIERLVVPNDIVIISFGH NDGSSPNSANDNGRSACPGTGNEVCRSGKTGETVYTYNHYLQTAGRALIAKGAKVVFS AQTPKNLWQNGQWSGNYEPPRFVPYAAAAAKNVGSGASFVDHYQAVTKTYQRLGSQKV NSFYPVDYTHTSPEGADVIAQAFAQAVSRDFNGTTAVKPYLRNPVPNVFN QC762_122180 MPRFRDIAPAPYRQESAESRSSQETSSLGPPSDSPALPPRRLLS RKACAYCKTKKIKCSGGEPCFQCQSRQRPQECRYQLSPEEALCLENARLRERIQELEL LYKSQCAESSDNIHLDDIAVSVFDHGPSSGQSSLGERLDNGAFSHYSQPFSPPQPLST KSSPAVGNSPLPPSLLSRQTSTDTEKEYMLQPHAHHSLANELATRYPSVYPALIHPDA ERLGLQVIYDPSQLLSHIDAVQITCSIENKRPYPQSYNRFQSVDITRWTDVAINGDLA ASLLSQYFVNDQPAFQLFDADLFLNDLAHGGHVYCSSQLVNALLAWTCQAYCSLYPEK GLQELGVRFLAQADHLFWQSLNLNTITSAPTATLLEACAVVSGHADLSARYKAASLAA SRFMGLFGTGDAFSAGAIAQPTGITLSSGQWAKATSHTAWGVFSNQTFTDLHARSCAF QGHPGLPIAGRGTYKMDDGSLAILPLPSYSDVSYVSTNELAVIVHDMVRLYYYTGEPD DGSPLAAMPNRNPSLEQAENIFRRLLAWAAGLPLACVRGDQNSPETMCMHMHFHTAVI ALFQPFIFGPLQRLKFQSFDTQGSALCAFNSSVDQLKRLVINYQSTFDLQGNLLGPLG GTMSLASSLCQTGPSVERKDDSVRRHYFAICIKGLLRIVSRFEVIKVFIQGLLALALS TQTITRQYAESVMGEVTRPENSSLSAMAAEHLTSNVVVDYELALTDRSAATCDQVAAT LGDLSRSKRIEPTVDADHVMDGDKMEMV QC762_0022610 MKGMVSALLAAGILATLPSSALGSVVVIRDADFPDPIDGFIGPI PYGNATINAPLDKDGKDTYIGFKFFPEAHIPHLCAAHCVSVTNYHRKHPKPDGTYDTC RFFLAYTLFENGVPQGLFCSMYTRDWDQSYATNRGETRGPSQMSMQDGYGYILDDNYY RTCRTTATAVKTTIVAPPTTTAKPNTTPKSEPSSTPKSEPSSTPKSGPSSTPKSGPSS TPKSEPSSTPKSEPSSTPKSEPSSTPKSGPSSTPKSGPSSTPKSEPSSTPKSEPSSTH KSEPTTYKPNTTPKSEPSSTPKSGPSSTPKSEPATYKPNTTPKSEPSSTPKSEPATYK PNTTPKSEPSSTPKSEPSSTHKSEPTTYKPNTTPKSEPSSTHKSEPTTYKPNTTPKSE PSSTHKSEPTTYKPNTTHKSEPSSTHKSEPTTYKPPTHKPNTTHKPNTTHKPNTTHKP NTTHKPNTTHKPNTTHKPNTTHKPEPPTTYKPNTTHKPEPPTTYKPPTTHKPPTTHKP NTTYKHTTTHKSEPTSTHNWKPTTHKHTTTHNWKPTTTYKPTTHKPNTTHKWKPTTTG KPTIPRLTISLHPTTTHKANFTNPRPVMTTLRTTTTKLLMTTTKRTTTITRKPTVMTF TTRRTVVETGRVIATPIAAIALDDSD QC762_122190 MSNLPAPISQDGPDQHDTQVASVGLPQTAPRSNGKPNSLNVPLY MQRNGNKVLVRRPKRKDDGALKGLARWFVANQIGQSPYFVSRSLDLKLISTGLSCNLV ALLFLAHSMPRAREYTSKFFTLSYYNQNTGKYFLGGDDWYLIAFFIVVLTGLRAGIME YVLAPFARAKGVHKKKDIVRFSEQGWLLVYYSFFWPLGVYIYRTSTYYLSLHDLWKEW PNREMDGLMKAYTLAQLSFYLQLLIVINIEERRKDHWQMFSHHIVTSTLIYAAYREGH TRVGNLILVLMDVVDIFLPFAKCLKYLGYKTICDVMFAVFMVTWFIARHIFFPMAIYS VWAHTLIYMNGCFYGRELDGPHPPPANDTWLYIARPLWDTDAPVCFNHNFRNGFFGML FFLQILTVMWFYLIIRVAIKVIKGGSAEDTRSDDEADDIEDPDEYVYEEAQPLEEEVG ADEIDLKSWERRVARGKRSTTATGVSLPGHSDRKELLGRIGCEKQVD QC762_122200 MNQIRAIQALNKKELENGIPPSASWHTDYRDTAFVYFGGLPYEL TEGDVITIFSQYGEPTFLKLVRDKETGKSKGFGWLKYEDQRSTDLAVDNLGGAEIAGR LIRVDHARYKARDDEDLEECKVGWEDVMRRERLEKGLPSEDEDGTDEDEDDKPRRAML KEERELQLLIQDHDDDDPMKDFLIEEKKKEVDEALRREKKKEEKHNRKHRERREKDKD REHRHRSHKSKRDEDDREDRHQDRRQERIEDDPDRKRRRDMSPSGGNDKEKDRDSRRD GHRDEARRISDRRDRDVRRDRDVRRDRDDRRDRDDRRDRDDGRDRDDRPDRGRDRADE RRPRDDRGDGDDRRKDRDRRHRDDDDRRRRRSRSRSPRPGRD QC762_122210 MNTRPPLETTSLPLVLSITFNDDCSCFAVGLNTGFRIFSSETCT QTTAREFNAGVGLVQMMGKANYLGIVGGGRKPKFAANKLILWDEGRSKSALDISALTP VRGTQLSKERIVVVLQNSVRLYKFAKPPSFITAYETANNPLGLCRMSSRIIAFPGRSA GQVQVVEIATSNVSIIPAHAAAIRALQLSLDGELIATASETGTLIRVFSTRTCAKIAE LRRGIDPAAIFSLAFNPSGTMLACTSDKSTLHVFDMPKGKRLGGQGEDGAPETANEQG KWGILSKIPLMPRFVKDAYSFATHPFEAGDEPSGANQLLTESSTLGTMRLPKGVIGWL DDTSLLVIGAGTDARWEKFIIKDEGGGKRSLAKAGWKRYLAD QC762_122220 MSSPAKMIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGKPV LGHKESDDPSTAHVEDGVKIKPVTVELELDEEGTRISLTIVDTPGFGDQIDNEASFAE IVGYLERQYDDILAEESRIKRNPRFRDNRIHAMLYFITPTGHGLRELDIELMKRLAPR VNVIPVIGRADSLTPAELAETKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELR GLMPFAIVGSEDIIEIGGRQVRARQYPWGVVEVDNPRHSDFLAIRSALLHSHLADLKE ITHDFLYENYRTEKLSKSVEGGAGVDSSMNPEDLASQSVRLKEEQLRREEEKLREIEV KVQREINEKRQELLARESQLREIEARMQREAAAAAAAQQGTPSNHSEPNGNPE QC762_122230 MARKGGPKFYAVRVGKKPGVYTSWDEASDQVTGFGGAIHKSFPT HKEAQDWFNAGRSSSNTTNQQASSNSTRQPFRQGPDHPTPQTTYLIRPGSDHSSPQTS YPGRTNRNDPAPARDNSPSPPAPPPAPPPAPPPAFPRYPIINTVTNNIVLPKKNPVPP TSTATREPPAKRARPNPDPNELIVVYTDGAAPGNGKRHATAGIGVYFGAGDPRNISKR LPGPLQTNQRAELMAVLLALQAFDPAESVEIRTDSQYSIDCVTKWYRGWVRNGWLSAK GAAVKNDDIIKPIRALIDERDAAGARTKFTKVAGHSGDPGNDAADRLAVLGAQLPVVE SRLRR QC762_122240 MEPSGIHLVFTCSSSATDHLAHSPLSRVILRERLPSSFIQLYYT DCLQIGKVFALEHLQPTIRNGHHQFDPTLITDTMASTAKYTPAAQEDPDAHLYTQPPP SYQAESSSAQDNAALFGGAPRSSEDNIPDDFKFGGSVAEATLEIRNQFIRKVYTILTV QILATTLVSSLSFMSDGYRNWIQNNPTVLWLSLFGSMGFMILTYWKRHSYPTNLLFLS GFTLLEAYTISVIVSFYDSSIVLNAVVLTGGIFIFLTAFACQSKYDFTSWMPYLFGAL WGLVLFGFMSFFLPHTSTTELIYGLLAALIFSGYILVDTQLVMRKHHVEEEIAAALSL YLDIINLFLAILRILNSQNNN QC762_122250 MAGNTNRYSSYTTASVGSGSDGKNGEKAEKKDLWSSMLDGVASA KRLPEKNIILLGGTVDSQREFFESLSNNELRRTLDRNASRMPPVANSFALGYTYYDVL DADQEDTLARISLYTLTNPSPSFASLLKPLLTPQTIPNTLIVILLDWSQPWKWMRQLR EWILLLRTVLISLSHECMATMEEVMLSWRDRGRGGGTNLDGTTALPVADDGVALPPGP GEWEDALGIPLCVVAQNAEKMEYLEKTQSWKEEEFDVVLQFMRTVLLRHGASLIYTTP SLPSQLPSLIHSSLGIHSLLKKQPLKHNVIDRDKIVVPPNWDSWGKIRVLREGFDVER VSNAWTADLDQPFPHRQSNGNTSNGEPNGESGEAVHDQEKEDDDPQGSTVRLYELSVQ DPTMDALQLAGRDTHSTELEVKSEDTQAFLGKQLKQLETFKKQHDETFGQDFGSSRNK PFKKIEEDEGALDPYTRPTEAKVLEHIGPVQFNMGGIQVDADDMVQRLKDRQAYGTSE PSSPTDEVGAEANAQMDTENLQAFFQGLMNRKSGTGK QC762_122260 MMSAQLQQQQSAADGAAPPALGNQSLGSDELPPVRNRASESKSP YVFLHADTPVAWQPMSEETLARAKAENKPIYMHIGFLADHLCHITTRDTFHNPTVAAF LNEHFVPIIVDREERPDLDAIYQNYSVAVNSISGWPLHLFFTPDLEPFFANAYLPAPG TVGEEGEACDLLTILQSNHRLWVEKEQKCREEAAKELEGLEKFVQEGALPLARAPNAT ATSDSDIEVDLDHVELAVSRIAKLFDPVHGGFGQPGEPKFPNPARLSFLLRLRECPDT VRDVIGGDEDVERATKMALQTLSKMKNSGLRDHIGEGFMRMSSTSDWNMPHFEKMVGD NALLLGVYLDAWLGNRKGTQLTNQDEFADVVLGLADYLISPAIQQENGGFISSEAAYS YYRKGEQHMTNGTFYLWTHREFDEVLGPEASNIAAAYWNVQEDGNVPQERDPSDEFLN QNILSAGNGVHELSTQHGLPVEEIHRIIASSKKKLLAHRDKERVRPPRDTKIIAGVNG MVISALSRSQAAAEAVGHSKSAEYIKRAEKAAQFIFDNLWLNDINTEGPNGGQHKVLH RYWNNGPSETLAFADDYAFLIEGLLDLYEATLSKRWLNWAQDLQDAQNRLFYDSPSAV NGAPSRRAAGSGGFYSTELQTISSNIPRLKSAMDILIPSVNAVSASNLYRLGSIFAEP RYKQIALETIKAFDPELMEHHWIHQSLLANVITAKLGVEEVRIENQDLAQSQLAELRL RARGRTRVLVEAK QC762_122270 MKSSAMLAACGAILAAASPILQERRLIVHSKVVIEWVTVTVTEG EQPTPAVFSVARRPAQRTTTTTIATTTSAAPPPPPPPPPAPSTSAAPEPVVVVEPAPK PEPATQAPPPVVEAPAPVVESPAPVVEAPAPVVEAPVPAVSTQAPASAPAPAPAPVKV EASSDYQKAVLESHNVHRFNHSAGALEWGQQYADSAKVLAQRCKFEHDTSINGGGYGQ NLAMWAASANVDKVDPAAAAARAISNGWYNDELELFPASEYGRANPSAQAQATFSKWG HFTQLVWKDSKQVGCFTARCPVGTLVSNMESLYTVCNYFPVGNMGGAYGKNVLPPLGQ PISIAA QC762_122280 MAARKPTFNQQILIDPTPLPDSIPKVKEVGASSAPLLSAAFFIG ARCKDYNDDYMQCKTENPGKGEFECLKEGRRVTRCARSVIEDINKSCLEQFRAHWTCL ENNNHQLWQCRPDEWKLNKCVFDNLKLEKVIPDQPKQSTPVHLRQKQIYAHSPVPAWE KPFIAEKSQSS QC762_122290 MFSTATKPAGQNIFGNSMFAQPAASQQQQQQQQAQPQAQQQAPL ALGQTLTNSTQQLGSSIWQPGSQTNFQKPIPDQIKLITEKWDPSNPNCVFRTYLYNKV EEHAVPHYHPGPQDDPKEWDEALRNKPAANFMPVLCAGFPAIVARLTLQRRALAEFNN KLHAINASLDAILSRHDLEHSVRALNARRKHAEQSRRCLVLAAKVQILRNRGYALSGD EDQLKQKLQKIDKDLQDPALSARLEELWSRLIILRQYADSLKDEINKPGALENGGLSE DVEAKAKKILEDYDKQLQHLRKQVEEAKKDFDEWEKEHKPAPAPSKKAR QC762_122295 MFGLPPQVSEAELRAAEAEATFTIQRVIATAAALYLSPFVIDAV SKVF QC762_122300 MASRQQMAHLRKVPGALLHASRASRPLLRPRIATACSTPKALAR WESTTSAAWESPKPTLRTPEFHRLPDLFPINESFTKRHIGPDDQGVQEMLQALSPPVK SLDEFVEQVIPADIRSTRELFVSEGKQSESETMSREGLQEWEVQKIAQDIAGTPNKSE RYFIGEGYYGTLVPEVIKRNVLESPAWYTSYTPYQPEISQGRLESLLNFQTLVTDLTA LPVANASLLDEGTAAAEAMAISLNSLPTSRQKLKGKTFVVSKSTHPATINVLCGRAEA LGVEVAIMDVNESTLASLQELGDNLVGVMLQYPDRFGNAADFRSIADAVHKQGALLSV GTDLLALTLLTPPGELGADIVFGNSQRFGVPFGSGGPHAAFFAVQEKLKRKMPGRLVG VSKDRLGGRALRLALQTREQHIRREKATSNVCTAQALLANMAAFYAVYHGPEGLKRIA ERCNLAARVIWAAATRYGYEAQEPIFDKVTIDLPDMADKFYRFAMETGVYVRPVGESK VAISLDETVTEEDLTRLVQILGDFRSYLVQQSANSGEDYASTVKSLLEEQSVRTANIP ETLKRTSPYLTHPVFNSHHSETEMLRYIHHLQSKDLSLVHSMIPLGSCTMKLNGSAEM SLITLPGFANVHPGRLKQNIKAQPIYTIIYELEEQLKSITGMDGVSLQPNSGAQGEYA GLRIIRSYLDSQRGPDDPVRDICLIPVSAHGTNPASAAMAGMRVVPIKCDTKTGNLDL EDLRAKCEQHSKQIGAIMITYPSTFGVFEPQIKEVCNIIHSHGGQVYMDGANMNAQIG LCSPGEIGADVCHLNLHKTFCIPHGGGGPGVGPVCVKKHLGPHLPISTLHNYPNHTTT QVTSAPFGSAGILPISWSYIALMGAAGLKKATQVGLLNANYLLAKLKPHYSILYTNEH GRCAHEFILDVRPFQATAGIEAIDIAKRLQDYGFHAPTMSWPVANTLMIEPTESESKE ELDRFVDALVSIREEIREIEEGKAPREGNVLKMAPHPMVDIIGGDGEEGSKWDRPYSR TKAAYPLPWLKEKKFWPSVARINDTYGDTNLFCTCPPVEDTTGGNLSSVQTSQ QC762_122310 MSSSKPYQHPADDDDSEPEMIAEDDVQEVVDDMDGDEDVPMDSD DEEQGEELVLENDGIAYFDQHKDSVFAIAQHPVYPHLIATGGSEGDADDAPGKGYVID ISAASSKPVLPPSYNSDPSSAGAWQPTELQPLFAIDGHTDSINALTFTLPRGDFLVSG GMDGRLRVYAVGVPNTGTGAQFKYVGESQETEEVNWVSPCPSAAHPNTIALGASDGSV WVFTIDPAADPSNPIQIVQSYFLHTASCTAGAWSSDGLLLATVSEDSSLHVFDVFGEA AAKNLVTDNGQTVVSLADADQRFFVEGGLYSVAISPSGGLVAVGGAQGAIRVVGLPRL SAQPASRTTQRAVPVQRGPAGRRAAPSNSSNAQSDPSAGAGVVLAALNVQSDGIESLS FSPQQPLLAAGSVDGSIAIFDAARSFQVRKHIRGAHEGESVVKVDFVRAATGATAGWL LTSCGLDGVVRRWDMRGATATQEAVAAGLTAGLIKEWKGHRGGGEGGGVLGFVQGADG ERVVTAGDDSVVLVFEA QC762_122320 MLHNKILLALFMGGASCTRIYVNTTTTTHVIATHDPTPRPSYPV CRNGTHCGGVCGDGMVQLPYEQCDLGPELNGAPNSGCSKDCKKVPCCGDGIVAFPEEC DLGHRNGELNSGCSKDCKKVSCCGDGHVDWPEECDLGSLNGEPNSGCTDDCKKAPVCG NGYIDPGEECDAGPRNGAYNSGCSDNCTVCDYCGDGILDAPKEECDLGWQFNGAPGSK CSANCTKVDVPCPPTCGNGVVDPGEQCDYGSFNGSPGCKCTTNCTWVKGPICGNGITE NPEECDDGDLNGTPSSKCTSTCTFKEPCYPPNPSVCGNGIVEHPEECDDGYLNGTPNS NCTKTCTKITRCTSSCPKPTCGDGRIDYPLGEECDDGEANNGKDYSSCTADCKRKSKP STCATCNPNPFFNKCTITTSCISTPFGLEKNYCACRAGYRASGLAATDPRQFRLPGFV GQEYRVFVAPGVECDQLCDSPHPGPDSCREVPVRADCA QC762_122330 MGSIPTVPDITSVHIVFQRHAESVAEVPTNLDYPPLESLEDLEH IVEKRRETVRTSFFLPDGLTNAGAQTCLDYNKDVPGKLDNVYLLVSSPCTRAIETIQG LCPSFQLVGPFHAPQGEPPMNPRVPELEKSIYIHPGLMEASTRPSDIPGIPTVRENAP SRQSVTFLRLKGGTDEDALTILNEQEVDITRMVWPEGVENRWQTDEDRTCAVTDIPDL ASIDQAVKEARIWLREWAAKVLSVHQAQGRHDTPRIVVCTHGGILNFVTQEWRTQLEQ RPSDGKWELRSPTVLDHLDATVWTFESATDEEAMLKELPQSEARYYARTLGQYYHHLG DDPSQLYLDVDGSVVDQRALQFEEIQEISAEVRDFGERWWTTLRILANWTGLENSQAE EDSLEWV QC762_122340 MPPKEDTRNANNSPDDDNGQATVDPRGLAPAWAANRTDLIESLP FFRQAQQGIYQHNRIIRGVLLDGREGEHSYFDDDIVIIKLDAGGDITNSGYMRHSTSR LEAAQRAKDEQSPVGLILGSNSDNLHLRIAPAKPCRYAVLGEYVLTDLWHEYQEGGDA TVTMARYQRRQFLQPPWWKANNIASARYRDQKQRQVQIISDRKPTRSRVCEECGQPSP KRYKVWVCANPRCEKFSTAENGEVLSEDVLFSTHWLLERVASTGEAGLDFGSNRLRRP TQPTDMRKGSVCPKCGKCVSRFHWASWVCEGPYGCGHAVSLTVDIPELQNLLKERSRG FTQARHPFHSLHDPRNLEREFISHGITFIKFKHEMPGGSVIKLLKSRPPRTATPGTIF DDLFNSIIWEANHGSRLDLQRHTAPNRSTGTRINRYEISFGEKGDPSAFYPVVPLENA PASVQTVLEILTELLEEDIQDTTVKRTEKTQDPWLLTVTAYVGGEQKEYFKPAGYNRA GDVTATLCLGSPVRMRWRYSPDYWNHTQAGRVLDGSPLPETKHFEALQQLKGRKMSRG EYEKQRQEILDSSDGQSRPRNEIPTYLTADLTHGDILITEGDAIGSRFDSAVEPQGLL HIRLTTFRVQDGDDDGRGRGNSAARRSTSRSRSRGGISTRATEAQRVSSRTRGTPEIT SKRITRGPSKARRGSSENRQEESAVEAESSTPSVPRRRGRKAGSRQKNQKRKSTSPDP SKTPNLKTRRAAKEARDRTKAQSGHSESVSSSNVSIASSSDGEDQIPKRQKTQATLTT KTMSAPTGPKLRSILRTAASMKPKTTSKTSRSDSPDILATFQPASTAATTSKLRSNSP DILTTSHQPSHTASGHIPTLSRRRFIYQTPKKPQTRPLNAREIEYVETILHTDPVPTN LNSTTKVPDKINPPGLEGRSVPPLPVPLRRNPRPVIPSSILKPRCGSAAPVVGSKSPE TRTAQHLPWEIDTLGVPVPPTLLSNPIQDQERTGGTGKPKANHLAPTSPNTHESPASS NPQVKTPLLPSPPPLKTTIEKNSLVSPPISSSPTRHLPATARRTSTTIPPASPTLNRQ RSLQLQDPNLKVITISPSPSPSPAPEPQPSAQNHDRNSSSSSSSSSSSSEDEDDNGAS YKLPAPDRTRSRSRSLWGRLWGS QC762_122350 MAPDIPKEQKAQVITADGVMTLKTIPVPTPGPQEILIHILYSGV CHTDLHALNNDWPLPRKTPLVGGHEGAGVVVAKGSLVASGQGVDVGDYVGIPWLNSTC HHCTFCMQAQEMLCSEAQLSGYTVDGSFQQYAVANASHVAKLPKDKGVELDAAAPILC AGLTVYTGLKQSEARPGQYVAIVGAGGGLGSLATQYAKAMGLHVIAIDGGPEKGESCK KLGAEVYVDYLKSKDLVADVKAATADGLGPHGVLLLAPMEKPFQQATGYVRSHGTVVC IGMPAGAKVSMPVFDTVVRMVQVKGSYVGNRQDMVEAIDFFLRGLVRAPVKVVGMSEL GGVFDAMRENKVVGRYVLDTSR QC762_122360 MNSNRSRPDSRAGRASERVTFDEIALSDDERIATVFHPMKALAN RNEADEQALGDERERRGFSRNYRGDMNNPKNQRGMVSDNENTSLFITRLPADVTPAQL LKALAPHGPFGRVWSVHIIPANADKGQTGAAAKLIMFDRAGAEAVYNFIHRGGLSFYN GSTGIRAIVSWNQVKSPPSDPRGTKSRVLIISGPADFVDVKKLRALFSRYFIYQEEEV KLTSHRDSKHEIEFRFCCFHAQAEAASVFLRRLKPSSVFVKFGADPLAQQPPANAQAR SSVAEDRWALLGQRRRGGSSS QC762_122370 MASNNPGNFANRPKEEVREIASKGGKASHGGGGTEAANDDSSGN SGTGNQGFASMDPEKQREIASKGGKASSGSFEPGSERAREAGRKGGLASHGGNSEE QC762_122390 MVSRGSTKWLLVPDVVHGFDSANWRNKSLWGDEEARMDAEMKTM AYQREVIEWLWGGLFGWLPTEEDLTIPPSQYGLQVVMGFGFGFNMGTLLMMMPLAVKQ EDMRMLSGVLLSTLS QC762_122400 MASTGNISTYLPAWTIRRQPAMIPKHRRFVFEHDEWDDTHYSCV FFDQERRQYMQLQFATEAVPKIPDNHVRRIESEKKFYEHARTWLPAICDKLAPAHQTF VADTDGNLVSSGKSTIPIQPSYVDRKHEAVKPTGRQVDRVAYISTVGEKGDPIKEGRV VEADFRYYCTKDAKTISHCLRNLYVLNRILTVPNDQILGRFHHAVLEKKSVVGYLTQA ARGPNINTLSTADKKRPFKLAHLEKLLDGVKTLNLVYGLVSKDLQANDIVIDEDTDEL WFRSFSHCVPISSQNAMFDVALAYILLYEKITHNIQTPPKTLTEARDLVKKLSAIDTW FKSDAAELDADVTTYRRVMNNFLQSSYLDVLFEKSQHPKPQTGVGSSEVATEGRGNAS LGNQTRLSRKRPNGGPPEDPRPSKRNKTNQDAPDVDVNWEEAKVPKIPLSIIIPDEFT IKVGGVEVNITSEKLWRRGKSEGTQDNPIIDWYRPATDSPARKGIKYLLANGKAAPDN DRAGRWHELLIQQPTEEDEELDDWDPFALSGDVDDEIRQLYANAAQAIASAKQRAANA REAIRKAKTQARLAGVQVQEIRKAIENAQALVADYVAGNATELTI QC762_122405 MWSSITRPCQCSGAFQGNIVFLSLSISVFQAISATQQDTKDSAN RSTMEFEGIIPPAVIISSNTMAVDDELLPLAPAFLDLAYTSFDPYSHQASFPHHQPPD PTYGGGDHHQEPIGLYHSAGMMLAVDPQSIGYAYVPNCHSLMPVSTPLQLPVQPSTQT QTQQSIKTDTLRIGDAEAVWQFYDGRFQLFEQRGCIILARILIKIIAPKKKHHYPYTK GDSAAPPWWPQDGGVDENDRIRHVDPSYIPKTPRIRLLVHLIRLITEPHHRQHPEIQE ANFDIGRLESVVMETISTWFQEEPRNGAKRPILEEIFHVAKAEASFKAGQIDDQSTIH VWPAKTLRPKYRKRSAAYNPTANDFDLELPPDMSDLAPDPQFAGSVLAPGSVPEICLE VPPGPTGSGQGIPAPVGSAVSLPSLPLPMDMIRLPAMAGEYVVDNGQDVQTETYADQT KLMQYGYAVGGEEQLAGVAYTSVGFPQLCHAGENVHAAAFPTGVIV QC762_122410 MSTKEIFKHSSSLPPGEIRLLSLVPSSSTLNFRLITTPLSSPVP YLALSYVWGTPKTASDSSAPSISVDNQPFIVTPNLHSALTSLLTPELQSGLPIWVDAV CINQVDNVEKEGQIKQMGQVYRNSERVIVHLGDSPNPDTARAVQQLRRLGKKVWDADA VILREQDMQHWPNFDHLDDEPEEKRRRTAVRDKIFKMIKQERGGITWPRPKIPVSAAL DLFHRPWFGRAWVIQELVMAPDHERGDGGCVFAVGAERIRWEHLWAAHLFLVLWFILE ARSIGNAKTYLGKLIAFGVYVRRIGMIPRAFSARAAQTLGLRKKYLQGELGLRLKDLL LQLYIGDSGGLLGCRYPQDKVNALRGMSSDGEMLDRFMTPGADWVDVYTSLARYLYED GDLGFLGLCRQRNPRLPSWVPDWSQQQRPPWLGYSGDKGIPLYNAGGDTRAEVLARGE DGRVLVLKGYIVDTIQDVGSLWVADLADDFNWESAKLRIDDIDRFLSLSEKYTPQTAR WRIMSADKELNDVMHQRRATQVSQESFALLESATKLYDPGAGSLGAWYLTYRNVLMSL YGSRAFISNKGYIGLCPGTADLEDTIFIPSGSHCPYAIRKQPVPASVSNAEERWILLG EAYVHGIMDGELELGKPTSGITASMFSLA QC762_122415 MMQPLPSPRYYTDLPNNDDHHHDDEFDVSSQNARSDNSRAALMN DDHEEKSWAIDTEGRQHAHVGTSCQCRSNQPRKKRRWMCKTIMSIRSLLDTVMLVVIL VLVAERRWPEYSILPGKQNNEGAKGEIGGDITGFAPYFSQQITTFQPDPLFVPDNSSD FFTEAVRKKWLGIVPRGLGYVTVNKTSSRGQDSFDNLPHPLKEYPSSTFTTSVTHQIH CLHTIAGVVAAYESNRLDMLPEEGAWHLNHCFDYLRQSIMCCGDVALEGQHTTFPEDF TGSDGWDAKHVCRDYGEVLEYLEANRADDQVWI QC762_122440 MLLTLNIFGQEFTNTPMVNSDFMEKLRSNKAEWGVEPGGQGKQD IIEGDIVAMATGFGRPQLVGFSCQAHASKSPMAPPNWYLQTFPPNHPSIYINCTYMNA IEKVGYWHIAIYTGILLEFLVDPLTRPSPFWMKRWIDMTRLRKAASPAGPFEFFTYLE LVW QC762_122450 MAAPATLPALLDALTKSISTTLEAAPKLTNFELPKDGISLLDVK NELLLSYLQNLVFLILLKLRQARNGGTKDQEEEQNLDDLVVNKLVELRLYLEKGARPL EDKLRYQIDKVLRAADDAERSTRAAEEAAAANVESESETGSDNEGEDEVNELHARASA AYQARANLSAITRPAGAKYASKEGDKSGVYRPPKISATSMPTFDRREKKEKPMKSATL DEFIQDEMSSIPMAQPSIGTTIMQGGRKIKTASERAKEDERREYEERNFVRLPKESKK DRKKRGLTEGRRMNYGGEEWRGLSEGLDRISQLTRGKSSGGGTKALLEKSRKRGIDTV DGPRGGGGGGGADMGERYQKKLKMLEKGRGRNRK QC762_122460 MQLRSHAPSGATLLISLLALPQLTSAFYLPGVAPTSYKQDDAVP LYVNAIRPVGDADSVLHSVLSWDYYHPTFQFCAPEGGGQPVGESLGSILFGDRIKTSA FELKMKHNETCKKQCEVTYGKNAAQFINQQIQEGVSLNWLVDGLPAGQKTIDVLSNTE FTNPGFLLGEQLDDGRIKFNNHYDIVIEYHEVNGNNGQYRVVGVIVQPESRKYTGEIG PDTCNTAPDIVELSETGDTKVRFTYSVYWIESTTAWATRWDKYLHVYDPKIQWFSLIN SSVIVIFLVLTVMSVLVRALKKDIARYNRLDQINLDDLSGTSVLEDGVQEDSGWKLVH GDVFRNPSHPLLLSVFLGNGTQLFVMAGFTIAFALLGFLSPSNRGSLGTIMVLLYTVL GFVGGYTSARMYKSLHGEKWKLNIILTPLLVPGIVFAVFFLLDLFLWAEESSGAVPFT TMLVLIFIWFLISVPLSFAGSWLAFRAPAIEPPVRTNQIPRQIPPVTTYLKPIPSMLL VGLLPFGAIFVELYFIMTSIWFSRIYYMFGFLFLCYGLMIITCAAVTVLLVYFLLCAE NYNWQWRAFLAAGTSGGYIFLNALIYWVTKLSLSGFAGSVLYIGYSALISFLFFILTG TIGYFASWLFVRKIYSSIKID QC762_122470 MDKKLDASFERVEKALAVLIDSMTKFTPSEKAANDVVKAERELF LGLEELEVHQRNVARIKQLKQETEALDAQTKQTISALWEMRKELVAVAPTKFSPAKEE KYPFTTQQLLDYARRISRNTLPPPGVTNGVDLSTAAPTTPDDQAATGPNASFATSIGG GGGGGSGQGTAASTPAPGVQDSFVSQVPSQSQTGATELPVHLKPAVNPLENAPFFTFP TIDRIRSGALAQYQDLINRGIDPKNYDPEEEERRIKQEEQDRKDAEERARQEREEQDR RMREERERMARERELARQQQQQQEGSMDRRGSVLAPGQAGGQPARAAPKQFTFLDEDD DDEDED QC762_122480 MPFPTPVRRAAYPEYKSPYGPKYQFQPHVGTITAKTVTNLGVKA GLFGGVALFTVIFFTSGVPKVYNDVLGNIPVVGASLQNFFTKKVHPADNPF QC762_122490 MVGSLQDLLPALVNDAQRNLKDAFDIWAQSLRAQALVTVILTST FLAWIICPPVRTSASPSQIKSRWVSYALVSTSLFLLSLSGGAWTLLVNRYVPEPYLDE FFHIPQAQVYCEGRYRQWDDKITTPPGLYGVTISYLFSVIYNKITMLTCETSILRFHN LSVVLVIVAAAAQCRNLIERRQAERVGKVASTNLSLYSFHTAINTALMPVVFFFSGLY YTDPLSTLAVLLSYRHHLQRVGPERPGLLSDVWTVILGVAALFMRQTNVFWVVVYMGG LEAVYTLRSVKSGAQEFLTTLHDPPLSNSGPDDWFFCLLTIAVTALCNPVKVLRQIWP HLTILGLFAGFVAWNEGVVLGDKSNHIATIHLAQMLYIWPFFAFFSLPLFVPSILGFI TGPLQTIHSLILRPNALFTIPWALLTALLSAAVVRYNTIIHPFTLADNRHYMFYIFRY TILRSPLIRLLLVIPYTVARWLVWGSLSTTTPSTTQPSGPKPRTAPAQPKAAPESDGQ LTLLDSPSSVSDTTPTTSTAILWLLTTALSLVTAPLVEPRYFILPWVFYRLLVPSWSF ASVGIGKRIDLRLVLETTWFVGVNAVTMYLFLFRPYVWKDSEGNVLDSGRLQRFMW QC762_122500 MSSQRPFFLSTFFAAFRQQPPSALSAQQPNKHTTQASSGVGSSS TATPRSISASATAAQSQATSPSTSTSASRTGVIGQLPLHSPRHHHTAGIPIPHSGGRR RGSDSSSEGFRDALGTEKLYIGGRTATGEEKFFKLGVVRRVRSGDRLSLDRLSL QC762_122510 MPTITIIPASCKTSLATIRALLSLSDPSVKIHGIYRDLSKVPED LLSHPQFTALQGDIDDPSLELPPSDLLFHTTPNTYTDADVFEHAKRQTENLKTAILKS STIKKIVLMSTMGAQYSSGTGELKANHAAETTISTLPASIQKVFVRCCWFMENWASEL PNLLSDKPFFYSTISPADFPFPHIAVRDIGKTCAQELLSTAPPKSNPYIFELQGQGYS SNDVKKIFEELLGKEVDMRVIPQEGLLDYYRQMFSEHVAREYTEMNQSFLEGGILFLN PEPTPGGEIRRGETELREVLAGLLKGRV QC762_122520 MKSFTRIAAGSLRQPRQSLPSARHVCHSCQNLRLSRIEQRQFSQ TAPSLTDSFGFKKYTLPRSFKPKEVNLPAKMAGPPPPDPARKRLAYIALGSNLGDRIG WIEKACAELDARGIKVKRTSSLWETEPMYVLDQDRFVNGACEVETTLEPLALLDALQD IENSLGRKKIIDKGPRNIDLDILLYDNIKFNHERLTIPHIGIPEREFVLKPLAELIPD KPLDPDRPWTLTRDLLDALPSSTTPITTMTPLSAHHPPIMALNPARKTHVMGILNMTP DSFSDGGQNTSLEEQTLISNIRSFLDAGATMIDVGGQSTAPNCPEVSAEEELNRVLPA IKLIRSHFSDRPVLISVDTYRASVAEAAVAAGADIVNDVSGGSMDPEMLPTVARLGTT ICLMHMRGTPATMNNLAEYPDSEGGLIGGIAKELVERVAAAETAGVRRWRIVLDPGLG FAKVGWQNVDVLRHLDELRFWPGLQGLPWLVGSSRKSFIGRVTGVPTPKERIWGTAAT VAAAVQGGADVVRVHDVREMAQVVAMADAIWRY QC762_122530 MRVPPQIQLIDNWPSSSCFVLCLFCFSAARFLTFFFFTNTYSTI SRFGVFTSVIMASPQQIRTDITDLFGIKHPILLAGMNVAAGPKLAAAVTNAGGMGVLG GISYTPEMLREQIDEIKKHLNDKKAPFGVDLLLPQVGGNARKTNYDYTKGKLDELIDI IIESGAKLFVSAVGVPPKHVVEKLHKNGILYMNMIGHPKHVKKCLDLGVDIICAQGGE GGGHTGDTPTTVLIPTVAQLVKGHKSPLTGKPVQVVAAGGIWNGQLLASALMMGASGV WVGTRFVLSKEAGAPKAHKEAVRTSGFDDNIRTTIFTGRPMRVRANPYIVNWEEDRVS ELKQCLAKGKIPHEVDLDKLMAGEVPSIADLKKIGLVAASTPDNAEVDVDDLLDSLMP HLMGKCAAVVNEEKSAKEIVDEFVNDAVAVIKRGNAQLVSLPKL QC762_122540 MRGFGAGGDGGMVGMVLKVAPVVCSFFAFVFLAVALSAGSSPNY IEGLSVINFNMSTFGKNLIKAPNVQEAAQGGCDKADNAVTDAGNALGNVAGGLAGAFG GKKAEEDAKKALNGASDKVGDGVGAACEKGAEIADKAVRLGQDLVDKALGSVAKAIGL KEYYSIHIGAMCEGMYAPLFSDPAAEPNVEKCTKKFVVEQTDLSKSLDASLNVGPFKF KLSDVGLIDTIQDALDLIPRALAAMGFFFLTSVVFLALAFLGSTAALASAFVPALAAR ETVLILPTLVCLGIGWFLAGIGTLGLTAAAEKIKNAVNKDGAKFGLSAATSPGLYFLI WAAAVLSTLGFATLAYAWYKSRHVSGDRSETDSDLDEQKHVARGQYPIMQGNYPPSER GSYYGAQDGQDQMQQVDFGGQPQGQMRQQDDTYLGQPVNDGRPSKEYYQQQQ QC762_122550 MADISNGHANGNASVDALKNNLAATYNNVTSGPVAQNIKAEGAR TTDELSNLANSRRAPSYTAATGQPLTHYHSFFSELLSWKNPRASGIAYLTIVSFIFSV RYLDVLRWGLKLTWMALGVTIAAEIAGKAILNNGFATQLRPRKYYTVPRETLDAVIGD VNELINFGVIESQRILFAENIWASAAVALGAFISYYLVKVVPYWGLALIATSVIFFAP LIYTTNQELIDSQIQHAGEIINDQTEQIRSLVQKNTEQATQVTKQYMGDYTAKAQSLI KGAVGQENGHKSELKPTDFPVAPKEDIKSEPIAPPTKAGEEEPLIAA QC762_0023000 MLSYPSSSVSAIREHRDGSVGAPCAKRQQLGSKPWCLKLLPLAS FLHLGFPSSSKFIWPSSNRLLEPPIQKTSFCHKEIHHSPTALLYSTPPTDFLSAHDHL ICLLTAAKLPIIPA QC762_122560 MELDRIERDEQQRLPSTRKIMKPAMATTPTTNETGHERTTSEPI PLEMQEKARDHDSLVTVRLSEPPSLTVNTAVPPSTIPPRYDAEYAPSKTMAETLIEED DDDDDSESEIFEPDTRKKKGPSLQDELGEAEEGRSDDVANDSRSSSGSDAVDWDKLQA TEDMEARNKQNAQASTASLLARLEQENNRIATNPKSIKVKIIERQQHLRESHPRPPSM AQLRKMVTGPTPPALRYSTLPPTPMTELEFYLALVKDPQQTAARLPTLLSNKVRKGIP PPLRGVVWQSMAGARDSALEEVFERLSGESSPYEGVISKDLGRSFPGVDMFRDPEGDG QRMLGRVLKCFSLYDTKIGYCQGLAFLVGPLLMHMGDKQAFCVLVRLMENYDLRHCFV PDLSGLHVRIYQFRELLRQHLPTLSTHLDELQVEPAYVSQWFLSFFAVTCPLPMLFRI YDVIFAEGASETIMRVALSLMRKNQARILACTELEDVMHLLLSRGLWDCYNYNADEFV QDFAALSEIVTKARLAALEQGYREANLSPSANPRATDATAQQVSDVTTAASRFLGRLW ASSSTPRFATFAAAASASSNTSTANTTTNTLNPGLGAVSRPLSMLRRSTSKQSLASTL NSMEVGSSTTSSAASVLSSVSTEATSVSRDSSADDSTVTGAPQKTSPAVFSSGANNSK EDKQLHSQIEDLLTALSELQRNHALLASQLQKEKEDRDEDRKAVRSLLDGLRKKAGAE DSAALSSSVDSEDTIRDASTEPTSEESDETVKPTSEELSDLLDIVELRFSDSVVNRRS SMAQTKSQLRDELARAKEQLSHEVSKSREHERKIHEAEQEVSSLKEQLRESHTHVRTL HQEKQRLERQIHGMRTRASDTPASNATSAEWFPQIGTAAGTSGLRELKLGRSRSTPSH PPNYNKRASSMTMQKKSNRDSAGSTLNVVAPPPPPPIPTSESDALLLELAAAKTAEAV AKQEAEEARQKLEQLRKAFGLAPGETPPALQRNHSTADGGGPAAAAMGMFGRLTGTTG PTSVPAPGESPQKAATAPAATNATGGGGFWGWRR QC762_122570 MYSAQYGFNGAPPQGAQLQPSPNQGQQQQQQQQQQRQQMMYNAQ QQQQQQQQQQQQQQQQFPIQQGQGGPFPGGHNNPAMMGGAGPAGMMQNAAMPHIGANG QSKPPFYAFPTYDVQPPVSLANFPSLPVSLSSLSYPTETFARGGLRAMARQLPQRLST SEAHSVSLPHSYTANLPSTLHVTTETDASNATVAYQAPFTSSPYGAGIPSSAAPQPQL PANFMMGGPMNQYQLNAGLQQQQQQQQQQQPMMQRMHPNRPNAGGMPTSTPQRPFNPS QNTPATSMASQPTQYGTPQQTQSNSRSQTPTNPPQGQQGQPPSQQSSQPSQPLPPQQQ QQQVQTQVQPQAQVQSQTPQPPSQQLQQPQQPPTPQQQQQQQQQPSQAQQQQQSGSAA MTPQTPTFPANGQGQQVNGTSRLSTPQSPGGDPQDKERVKILFDINTELLYESMQLSN AQLELKKEAQSAPEAGVDYAEQERLVKEDYNHCMKRLQVNFQYQSSIQRNPATAVFPA PFLTAPPLSTTLKLKLPPASPDDVLERPLDPSADRLQRIEGLKQLYAKLQALFPGVDP KREQPGSQASPAMRPGFNPAAPGAIPNGQLNAYARAQAQAQAQTQAQGPGQATGFGSN HSSPAPGPTAYRTPQMANSPGPLLQTQPAGQ QC762_122580 MRFSIILAGASASIAAASRGRKSFDNLVAFGDSYTDNGRLGYYI NNGGQAPPPGQYHSVSNTTASGGLTWAQFAAQDAGARLIDYAVSGATCSNKIVERDFA FIGRSFPSVLEDEIPSFTADVKFKSIFPNRTPENTVYALWIGTNDLGFDAFLSDSQAP GTTISDFVDCIWSVFDTIYKTGGRRFVLLNEAPLELSPLYAHPDNGGTGDSQFWNTKT NYNVTQYGQKIKQYTTNVNTIFDYGVPFQVAIKSRWPKATFDLFDVHSLLVDIYSQPQ SFLDAPYNVTGYYRHCSSTGSDCVDQTALGGLSGFLWYDELHPSTKTDEIIADHFLDV VSGKSKYGTRYGRK QC762_122590 MSPFNLETCARPNILALEPYRCARDDYKDDGTNVLLDANENAYG PPLPPSITGLIQPQSGLGPVIDLPGLNRYPDPHQVPLKQLLCNLRQTHVHTQKKLSPS NLFVGVGSDEAIDALIRAFCRPGQDKILVCPPTYGMYSVSAQVNDVSLVKIPLLPGPD FQLDVPSILSALSSPDASTIKLAYFCSPGNPTGSLLSKSSIGSILAHPTWNGVVVVDE AYIDFAPDSASLAEWVVEFPNLVVMQTLSKAFGLAGIRLGAAFTSPEIARLLNALKAP YNVNNITSAIAEYALSPAGLEVMRANKAALLEQRDRLLREMPTIPGVGKLMGGTESNF LLYEMLNKDGQPDNVVALAVYEGLAETKGVVVRFRGKEHGCLGCLRITVGTEDEMTRF LEAIKKQLEQVRGVDARSEEEEKEKAASAVVA QC762_122600 MRFVKYVRPVAVPAVIISAVAPKELNISDSLSIKGVAKTVAANA MSYYSGTPEKFVDLPQPYYWWQAGALMGSMLDYSHYTGDHSYDKLIARGLLDQVGPDF DYMLPTHFGQEGNDDQAFWGMSVMAAAERNFPQPDPNVPGWLDMGANIFDSLAGRWNT TACQGGLLWQIFASNPNGLDYKNTVSNGGFFQIAARLARATGNKTYSDWAEKVWDWTE AIGMIDKFGNVYDGAHASKDCKDTNPVTFSYSASIYIYGAAVMADVTQDKKWTERTER MVEASRSFFSPFENATNIMYEHACEQVDKCNQDMRSFKAYFSRFVYAAARYVPSIKPA IEELWHTSVEAAAKTCTGGASGTQCSHKWYTGAFDGNPGLGQEMSALETIQGLLALDA EAPLKGGEIKTVRAFADQNAVKGDSETASGSAPSPTSESDSAAGSQTEAGTQTSGALR RRTVPVKGWW QC762_122610 MRFGREERRRGGRVSCWICTTVVIARAITGAHGQSTVSVYIPGY GEAHWAALRGSIIGSDQSATTYTVFCAEKAPSCQIAGDLPFVFTEGPGTLKYGGVAPG KLTAELECSLDGTTAATCTGSSSFGANHWEGTITGPTQTMWTETFTTPEVTWGALTLT TPGPLPNTIDIDGTPAASLTNSPVKGAGFSLDPVRASWLSGVSSGAVILFVLTFM QC762_122615 MVRVTTALLASAGLSTMASAQDVGAATTSVTSLFLPGFDTQTIW ASVITAQPAAATYSLACPPDADSNDCGLGTGLTIVQGESTFSIDMRGESVTQQYGCDL VGEEAKCFGSIISPGGTALFQHVSGGYQKEIQAVTITAGLEKLDVEAATTSASGTGAV ETGTQTTTQTEDAAGSTSSSTAGVPQITQNAIMMGAALVGAGAMLL QC762_122620 MPRLTTEGTYTDSPPPGRSASHDGDEDDDLLDRGRAKAHRFLHG FIDFAFQGNVLEIAFGLIIASMFTALVTSLVTDILLPPISVLLPLNKNLEEKFAVLKG GPKYKGEGGYNTLKQAQADGAVVMAYGFFLNRLINFIGVGMSLYGMAATYQFFSKDPI IKRTVKCRYCRKSINEKAARCINCTSWQDGREDRLF QC762_122630 MDSDVESVFDTAQSESDGYSPEVKTKAKAAPKKAPAAKAAKMVQ TKLTVGKAKAAPKKRTKPDSDDSDDDRPLSSTPPMAKKQKKAPAKKSSGKPLAEIEND SMLIDDEPALAAASKSSKSATETYQKLTQLEHIIKRPDTYIGSVERTDQKMWVFNKTE KLMENRVVSFVPGLYKIFDEILVNAADNSQRDASMTFLKVTVDRETGEISVENNGKGI PVEMHQKEGCYIPELIFGHLLTGSNYDDDEKKTVGGRNGYGAKLTNIFSLKFTLECQD SVNGKRYKQTWTDNMSKMEKPKITANKTNDFVRVTFLPDYKRFGMENGIDDDLEALMY RRVYDMAGTMASVKVWLNGEQLKIAKFKGYCQLYAKSIAAERGDVVPEGEKPTAANVE YEEVRDKGRTWQVGFTVSDGSFQQVSFVNNIATTSGGTHVNYIADQITEALLKELNKK KKGHGLKPANFRNYIFIFINCLVDNPAFTSQTKEQLTTKVSAFGSKCILSDAFLKKVQ KSEVIANIMEFAERKADKMLAKSDGNKRARVSNEKLVDANLAGTKRGHECTLILTEGD SARALAVAGRAVLDPDRIGVFPLRGKMLNVRDASTEQIMKNKEIENIKKFLGLKHKQV YTDTKGLRYGHLMIMADQDLDGSHIKGLLINFLEVQFPSLLRIPNFFQQFITPVVKVW QGTNPKKPLRPKSFFNLVEYETWKENNKNELRKWKYKYLKGLGSSSNEDAQVYFTDLD RHLKEFETLKPEESQMLDMIFSKKKADARKEWLGNFVPGTFLDSTAQRISYSQFLQNE FILFSMADNIRSIPSMIDGFKPGQRKVIYSAFKRNLVNDQKVVELAGYISEQAAYHHG EQSLQQTIIGLAQTFVGSNNVNCLEPSGNFGSRLSGGKDSASARYIHTRLSPFARKVF SKLDEPNLEYQFDDGNMIEPKVYAPILPMVLVNGADGIGTGWSTSIPNYHPMHIVENL RRRMGRFDPDDTEEKPFVPMAPWWRGWKGTPEQEAPNKWRFNGIIRQDEQNPNEIHVT ELPIRMWTDDFKAKLEDIIQNDKTPTFIKDYKEFNDHKTVHFIIDMDEKHREAALREG LLEKFKLTTTVSTTNLVAFDTQGKIRKYDNVEEIMEEYYHYRLKMYTERKKHWLKVYH ADYRKLKNQYRFITEIIDNKMVVNRKKKAVLVQELRDRDYEAFPPKEEKKAKSPDEEM AAEEAEDDDTAGGARDYDYLLSMPVWSFTSERLERLKNQIAAKKAEHDELQALSEKDL WVKDLDAFQEEWETQLKLDDEIATGIRRMGRRKSDKLGVGKGGGRRRKDDDAYEPEKK SRAKAVKAAPVPIKTEKTQQRFAEAFQAKSKPKPVADKTLPDVEAGGGISDDDFALLG KKAMPINTKVKEESEAPTTTNGRTKRAAAAKSKYVVSDDSDEDFMDLGKPSVDEDVDM ASEPEEAVAEKPPVKRVAAAAAKAKPSYKLSDNESDEEVEEKPPVKRAAAAKAKPSYK LSDDDSEDDFEAKPPAKRAAAAKAKPMYKLSDDSDSDDSLKLGDVGAMVKGIGAPASS SSGGRLSLFAMSHSGGGDTSVLPKMKSKPSKPSLDLDDHDDTNYEALARSSPLKTKED NLDDFLSDDDVPAAKPAPKAASKAKAPLSVVPAPAKKRGRPAGSKSTKDKDEATAPKA KAAVTKTAKTAAAKPKAPHLSPAAKAYAAKKAKAQKGLSDDEDDEAMEDAPDSPPAAR PKARPGRAAAVKKKPIVIDSDEDDSIGGGGGDDESDDFDMSD QC762_122640 MVSVASLLNPEPPRAPLPSSRPSQFASPSARPAVAFTSGPSPDR PGTRTANMQDDPRPARHAVRGMVNYPPFEDLDAASLHEVHRFHVSDLREIQSNCRRIP YHSQKKDFYQKTGRESFEVFEYSFRLPRDSRLRSDNSYTVMWDYNVGLVRMTPFFKCL EYGKTTPAKMLNQNPGLKDITHSITGGSIIAQGYWMPYSCAKAVCATFCYQIAGALIP LFGPSFPSECIREGMPGYKHMVIDPDIIAKARLEARRLLHLPTPRSGPLLSPRMSRSV SPRPCPRPPRLAAEPYSNRIDYDRPMPLSPHSNADHEFYAGSPDIYSRNTPTEFMPGG YGTGIRPPPMNTPTRWTPVNLPRPYPSYRSDPYTEREQRRPQHQHHQYPQPWTRNNIE DKSYGSRANPWLSAVPRIPSPYPQRAVRSPTIQNTTARPYERPAHTLPPIRSLYQSLG ANKRTFDQIERPTSSHSTPATDQRPDISPYPKLRGLPPASRSLSPSMQPVRPASEEDA ALTLMRLCVKTSKQEEKQEQEQRQDDAIPESSGVGPKGESNTTSSSLVTTIGSPTCSN GGQTRVWSEDADLKMEHASTPSSPHAGDANVTSTPNSLAIRSVSSSSDADNEDNDDCN DDVSTRRRRGSKRRRVLS QC762_0023110 MCEIQERHDKRCGHLVEMSYESCEDACGNWEVFNWHTHLVRCGM SRCVELRRQMRRFQRTQRRMAINQFPSSPRAQELRSDDTKMEDKEEPQVEHKEKSMPT PKSATATENQEKRRDFWGVI QC762_122650 MDNLANDTRDLKLDDNNVPIGPAPPPAGADLSTMFPGLPAGYKV NTGMTLEETIADLNKHPLFMTELDDSEENEELAALQSLAYDGTPLENGLNFKEQGNEC FKAKKWADAKEFYGKGVQILQAEEFRRSKGIKKKVQKQQEVAMRSEEEQKAFEEGEKK RLAENDGSGVVKEDEKTATKEEYEEVEDEPEETQKERTLLEQLYVNRAACHLELKNYR SCTLDCAAALKLNPKNVKAFYRSAKALLAVNKIVESDDACARGLEIDSSNAALQQLAK EIIAKNETVTAHKKAEEKRAADARRKEVLLKAALEARNIKTRSTGKPPDMEDAHVQLV PDPLDPQSSLSVPTMLLYPADYESDFIKAFNETESLEQHFGYVFPLPWDRENKYTANN VECYVETVSGGLAKVGKKVSLLKVLSSGNVEIVDDLLKIFVVPKGKAEGWVKDWKEKK LGRK QC762_122660 MTLKTPSRRDPEKFPAFQLFILAIVRLAEPIALTSIFPYAWALI KRYQIGNEEDASFYAGLLISSFALAEALMGMYWGGLSDRIGRKPVLLLGCVGTMFSMI MVGFAYNIWIALAGRVIGGLLNGNIAVIQTMVGELVTKPEHEPKAYSIMPFVWSVGTI IGPMIGGLFADPHESYPHLFPTGSLFQRFPYLLPNLICAALLLVSITMGYFLLEETHP DMQPRISLPDHTFLSENTPLIETSDAIKRPAVDMRDENYGTMRDRDIKEPKDIEKQHT NIFSRRIMAVVVSLSIFTYHSMTYDHLMPIFFEDDRVPIHALFGTVNPLYSPGGLGLS LQAVGMILAVQGIIALVVQAVIFPPLAERIGVHRLFIIVTVLHPIAYMIVPGLLYVPD QLLYPAVYFCLGVRNFLSIILYPLLLILIKEATPSPSVLGKVNGLAASAGAACRMIAP PVAGYLYAMGKRVDCTALAWWGSALVAVFGAVQCFSVKRYPARKTEEEERFEA QC762_122665 MSHIYYQPPDEAIQEDYGSDEGPANHGEGKDWDETELELCGAIR TNITSPCDLTGEVHPILSNWIPAPSTPSDDGPSDEVLIPELHQPLLLASRILEREALP WFSDFFIEDIFSPSYAGYLRPFVENDRQKDQDKTPLVIVRHHKARWATPAMRQYWCQL AAERLRSEELTSRVRWSLDDSIVHTKRAYGYTPRYPHTPGEKHKIDLPDLIIEYDKLA KLRGEKGRTLTVLLMRPFARRLYDLRVMGGRGREEYCRTAFMAAVTMLHELAHVIYWQ DFRAVNRRLYEPFYGGDLEMELGDSLIASIFGGWTPVLVNWTSGWHFNPTFQDGIAWC QCLNWDYHTKRPRYRAHYSIRVD QC762_122670 MADSINLVAIHDSLIAIARQAGEIMRNATGKELETDEKMNAVDV VTETDKRIEDLVNSILTKEYPTFSFVGEESYVKGVTKVTDAPTFICDPIDGTQNFIHG FPLACISLGFTLGRKPQVGVVYNPFSDVLFSAIKGQGAFMVDNFSLAPGQGQGKPQQL PLRPGRKLGSLETALVGIEFGSQRDGHNFDLKLEVYKELTASKATGGAMAGAVRSMGS AALNICYTAAGVMDVYWEGGCYAWDVAAGWCILEEAGGRMAGGNPGVWDPAVDDRKYI AVRQGGEEEQKKIVEEFWALMKGKEMEYEH QC762_122680 MHPAGVAILVIILLLIAAGVGWIVLSRIRAQRLGLPPPPLSSYI PFLGSSSSSSYGPTPAPGGIKGWFNDKLRQIKQARNTRTAAGAYEGSSSYNAGYSGGG GQGFRSLDDSAWDARVGDYNPYEEERELGLRPPPPGAATGGEGYQMNVPRDPEEQEER RGRSTQREPQPQLKPNPFGDDAEPSNISLRGVSPRPMAVDTSFAARKQQQGQQGRQQE DNSPTERRSIFRENM QC762_122690 MDWIRSLFPGGRPEYTSLPEDELSAGQRRVFREHEHKRKLRLLI VAMFVTILAILGLLFIQATDGSNGRPRRLRKCDTPDLGFQCDANISHSWGQYSPYFAV PSEIDPAIPQDCELTFAQVLSRHGARDPTLGKSVQYIYLVNRIQENAERYGSGFEFLK TYKFGLGADQLTLFGERQMVNSGLQFYNRYQSLASKSVPFVRASDQNRVVVSAKNWTQ GYNTALKKDESSNLPHKPLPILEISEAKGLNNTLSHGLCDAFEKGGKYSELGDSAQAT YLATFAPPINARINANLPGVNLTNEDLISLMDLCPFNTVASPTGVLSPFCDLFTEEEW KLYDYYESLGKYYGYGPGNPLGPTQGVGWVNELIARLTRRPVEDHTTTNSTLDESPET FPLDRELYADFSHDNDMMGILGALGVYNGVKPLNNNTRQEPEDAGGFSAAWTVAFAAR IYVEKMVCGGTKGNGEELVRILVNGRVVKPHNCEADDFGRCKLDQFVEGLEFARKGGK WGECWA QC762_122700 MVASWFSSVTLCCGAEDKEEDRPSTAERKIVVLRDQPAPIQAPT AGPQWPSEKTYERTLERERDHSRGRRYSRDNASMRNWFSKPASSSTSSSTRRLQISGP TNFRHLTAESFQYPTPTPPPAPRQRVRSFRPLELSIYAPKNRLTPILPHLERGENFIT PPPRAHTANSSRWDASSTTTVGAPERSYSVMSFHIPRKHVRQSSAGSDVSTVMTAPRI PPKSRARASTAPNTERIVARIASALVEKERLQAEINSVVERQSIYLGSRPSTAIDPKD LYPLPSIPAMPAAAPSFAERLSTDRPSTAPANTGTNLYTQRKTMELAQAAFNSHPPHT PYSPSRYDDEEVTDNNNNNNNNNNQTDYSYLDRPLAPPLPLILRPPLRKKKSFSRVSS WLFNPDEQHNFQKPATREGSIDESTLVTTSPRPIKETDGFYQCVAPPEGLPRTSMETS SSVYTYETTSEEEDTKTAPTTNWSPGSSPRVKQTQTPKQTPPLSQRVRFAVEDIEEKE AKSRTFQPSPPPPKMKMEKGIAPAVGLGVPMGMEGHRPLSVGVAF QC762_0023190 MENTPAVWCYGALQRPTKISISDPDGRPEIEVTPGPTMIDSHPC REAQFHDDKSENKIAVLPGEDGLLLYDGLG QC762_122710 MQAPPPNGQMPMPTPEQIQEMQRRLAADAQAAGMTVPEFIEHIK RQQYEHMMRMQQQAQQQQQQQGGGGPQQQHQHQHQHQHGPPAQAQPIVPGPPNPLALV LAKFLRSQELKPRTVILNGERKDMFRVKRALRALQSDAYKKLRTKNPALPEITDRASL ENAFKLLPMSMLALRVVQIDEHEGHDHAPAKKSSKRVKGLWTVRIEPQQEAGDDMYYV WLWEGSQVMRKVYAALALLIIFTLVCYPLWPVKLRQGVYYLSWAFLVFLGLFFAMAIF RVILFCVTYFVLKPGFWLFPNLWEDVSVVDSFKPVWAWHDPNPKKKKKSKKVKASATA GATFSAATGQAAPATASVNSTATQIASGPTPTQRSYLAPRVEELEDDEE QC762_122720 MESARKRRVSDGDEDGQNDNQQRSAPQSLTHPISPPLKKRRLPD TPTEAKYHPPFKSVGSPFQLTKIKDLPAGLNKDTYTLRDVLGDPLISECWEFNYLHDI DFLMSAFDEDVRSLVKVHVVHGFWKREDPNRLALQESAARFNNVTLHAAFLPEMFGTH HSKMFILLRHDDTAQIVIHTANLITRDWTNMTQGAWLSPRLPLLKPEHDEGRPRIGNG AKFKLDFLNYLRAYDTKRPTCKDITAKLMKYDFSSINGSLISSVPGRHTVTQSTSSTN FGWAAMKSALAAVPIHSTIEHKPEVAIQISSIATLGPTDSWLKNTFLNTLGNTPATTF KVVFPTPDEIRKSLDGYMSGGSIHTKTQSPQQVKQLQYLKPLFHHWANDSASGLPINA SKVKNSGRKRAAPHIKTYIRSHRPTPESSETDIHIDWALLTSANLSKQAWGEALSAKE NTVRISSYEIGVLVWPGLYGENAVMKPAFLEDALPPPEQTRGDGDGKGKEDYDGKDEV VEVALRMPYDLPLQPYGPGEVPWVATASHTEPDWMGRIWQA QC762_122730 MRLRNLFLFGAAALATASPPSADTEDDITRENTYFNGKKVPPIL ELTPANYKEELKKSKFLMVKHFSPWCGHCQEFLPTFQTLYEYYYTSKPVGKDADFTEF YDFRFAELNCVAYADLCTENKVAAWPTTILFEDGEPLVTFRGVKHISVLSGAVEDALE KVKPNTRPKTLELPEPGDKTSPDAKAETEKTEKADARGKGSEKELVKETEKEKETETS TAASADASTEGEATPKKTYTVNYNTPSQKVTPKPSNPNPKGLSVPLTWELFQSMVTLT QEPWFVKFYAPWCHHCQAMAPNWEQLAKEMKGRLNIGEVNCDRDTRLCKELRVRGYPS IMFFKGGEKVEYEGLRGLGDFVQYAEKAIDLSSGVPDVDFDSFKALEEKEEVIFLYFY DHATTSEDFLSLERYPLSLIGKAKLVKTRDPKLYDRYKITTWPRLIVSREGRPTYYTP LAPREMRDTRMVLNWMKSVWLPLVPELTPSNARDIMDGKIVVLGVLNRENEDSFRGAI REMKSAANEWMDKQIQLFQIHRQDLRDAKQLRIEEAEDRGDQRALRNAKNIRINMDRS DMKEATFAWVDGNFWQRWIRTTYGIDAKDGDRVIINDEDKRRYWDQTSTGNPIVPSRT SILETLNKVTVNPPKLKPKLTIGVIEKMFFDIKMTFKEHPYLSMGCILGIAFGLFQWL MGRTTRRARSHFKLEEGVGMKESKPSTLFGGSSNTATKAD QC762_122740 MTSPSQTSVFHPEHHAHLIPYMAAIYASGINIDRTTAIGPFLPP LSLERLLIWWKDRIAEHQAQTRIIILLLPPSENANQKPKGEDLRGIAMVELDQSQAGD FRGVIDLLIVGQKFRRQGGGRSLIQACEYESARKGRSLLTAKTETDSASELAFKSCGY VEVGKIPNFSRTSVDAATKRGVTLFYKEILLQTQQSRTTAGA QC762_122750 MVIHSLLRKAKQGLNDILPGEDKHSHTHEGYQCRESECAEFSEN RHCSFAPRSRGNAKWYVDGATYFWAISMAIEEARESIYILDWWLSPELYLRRPPAQNE KYRLDRLLKAAAERGVRVYVLVYKEVEAALTREFIHTKNHLQGLHPNIKVFRYPDHHP AKNVVSGLQDLHTSLVSLDLKNFNLAKASQSAIEGLYGTADDVVLFWAHHEKLCLVDG RVAFMGGLDMCFGRYDTNSHPIADAHPGNLDNIIFPGQDFNNARVYDFEEVNKWENNK RKWNQHLPCSWLILTILVDRTKASRMGWADVAISLSGPIVDSLATHFCERWNYIFDKK YSGRTNLKVHRLTAPGVQKHSDYGAHALMDQGEELLGGVQSKITSKLGKFWGGGGEEA GVSQVQAPPHPPVCNANEHGIANIQLARSVSKWSLGVRTEHSIANAYIDAITNAKHFV YIENQFFITATSNKQRPVRNKIGKAIVDRVLRAHINNEDFQIIIMMPAVPAFAGDLKS EGALGTRAIMEFQYNSINRGGSSIIETLRREGVHDPYRYINWYNLRNYDRINRSQIMT RAERESGVSYEAAHRDFEDRYNSSNSGGNNSYYRRYQATATSLTDQSWDTVSPCYMES GLSILGVPWTGSPEDELDAFVSEQLYIHTKVLIADDQLVVCGSANLNDRSQLGDHDSE IAVIIEDPTPIRTYMNGRPYTASQFATSLRRFLYRKHLGLVPHQHPDRPDINWTPVTH DAVNHYDWDSPSDRLVADPLSPDFINLWRGTARRNTEIFSRAFHPVPNDKVRTWEDYD NFFSKHFVIPGEPAEQAEEGYKNGKVDYGHVVRENFPGGVGELKMWLSGIRGNLVEMP LNFLIDVPDIAEDGLALNSLTDELYT QC762_122760 MAQSEAFQKAVVDSKKLTSKPSNEDLLEIYGLYKVATGEKIADA TKPGMFDLKGKAKYNAWQDVDNKGLTPEQAQEQYVAKIEEMKTKYGYDANKEPEAVGG N QC762_122770 MADNKTVVILGGSLGGLHVAHALLKKHQQYPNLRNTHFYWNIAS VRAIIPGQIPDQKMLRELSEALQPYPSNMYELVIGEAISSDFTAKTVKVQLSNSAADA QTREIVYDHLVLATGARYTNDTPWKANSDYQSLINLLYETASRVEKAGHIIVAGAGAT GVEVAGELGYEYGKTKTITLLASGQHVLPGEQESLSTATENELKKLNVTVQKGARVKD VARSADDKYTIQLENGQNLECNLYLPTQGMVPNSDYVDRKHLDPKTKTVLVDEFLHVT GVPGNNVWAVGDIVSKPRAGFMITQKQASSVAKNVELSLLQGKEPAPAKGPPVDILAC AVGRGRGVGRMGSIRLPSFGVWLAKGRTLGMQLVDQYISGSIA QC762_122780 MAPRIEPQEIETYWNIFSTRTNGGQFLTGEMAAPVLKNSGLRDD QLERVWDLADVDNDGNLDFEEFCVAMRVIFDLLNGEYADVPKVLPDWLVPESKSHLVS ANKAIRGQEPKMERVEDEEEEEEGLKDGFDWYMSPEDKSRYEAVYREGRDMRGEINFE ALSDLYNSLDVPDTDVRSAWNLINPNASQTINKDACLAFLHILNYRHEGYRIPRTVPA SLRASFERNQIDYQVDNQAAKSRWATKADDETSTGRKAKFGDQYLTRLGRSGFTSKGT DFTTTKNSDAEWEEVRLKKQLQELEDKLARIEQGVEARKGGKRDSKPALVKRELEQLL DYKRKELRDLEEGKGKSRTGSNLKSVSEDLQTVREQVEGLESHLRSRQEVLEQLRREI EEEKISR QC762_122790 MPEGMRLRTTSERRAPARFGDPDGEGEENYIGSQVPQTDDPNSN FPRPVYQFDNQLARECAFPTLPFNHPGPGPSGAILNEKRKNGGVTIFPQHQPAVSAGT GHDGSGDYQDEEDHESEANKEDQAHQTRNTSIKPITRDQTTISGKPNLAIQNHGHRGR LPYSAPAEDWIAAANQPEGHDNSDLDALLSGADQVDPELPSFGLDPRDRDHDDVGDWT RTSSEYHFDISPSPPDTASPHEDPFVTHREVPGNALPEVGVSQSQSQSRRPYTVHIDR DAAKRRAEEVERNQTRNFNLRKLGQRAPDWVSLSDSAKYIITYEMTKTGMSFVQFIRT FRLSASEATKLMNLVGEEQRKIDDFDKEIKKHLHAADLTWMDEYNKTHAPLITDHFTA KEIRLAKDFMTFMGFGDIASNLGGYVGVGAGRRFPISLNHFWGDGFRDLPPHFKVFCS EELDKMASTWDEVQKEHPPRLSTPEMLVRLDPPPGTINPRRLLINPEPAPSARQPPGQ YHLAGDASVADAPIRNPALPLRSTTASFPPGLHGRQPHSVDAGYRNAEQAQPVNFAQH DFPACLPDPSYGVPLNPFASMPPPSMPGSPRHSQHGGWQRYLQVQDEPQLPQGPQHQH IYHDRGTQWGLPDRYREPANVHFAMAMGEDQELRQPPMIIRTRYPPPPAPSQQSPDID MHRELTLSPAFGHASSRVVPGSNHREHPDVAGLLPHRRAPEPMDHRLQQMPSHGLGDR SNQAFDPRQCVHAFRAAPQIAAYPSGMGHVPQDHVSSEELSSRNIASGSGTEMPLMNT TQLALLYRTYDQPRPSRGTLHHTAGYVGRSAGTGQLSHLQGNYSIPVFGRQTGLQEAR HVGKMRQKDAQASSAQPPKESFSEPLLNYPRPKIQQRDPRLRKEVDKLRNKFQNTWTS SSESESEPEENEEDILRPLKTRSTRVEQIPDDDDSDNDFVLPNEDEIQENKQEKRSRA SLPSRKRAISAASAPAEPRKRSNTAIKKSANTVAPVARATNKRKAAQTQESQVGPKKR RVNDETSSTRASSSTSRSLRRKSAPAVEDLSDGGEYDEGKKSKPVRGRRQPGGVWGDA NTMFTNGLGGFMKRSDVAALPNTKYRPGGSFGGGKWLEEDTGIMWLPVNPAEQCRKNV VENQATTNCASGAEVVGTGEKTTKKSTKTTTQAVKKTIRLASQAATPSPSKIVSSQVT HSSATIGHSSPAMAPKGESFEELLSSRGFYTREKFETDSLFWAYISALGPPFSTHPGV PTPQSPPKGKSLDNYIPTQTRAEHEVYLATQKATGGEVSRSQDEQTQGQGQQNEEIQE KLQESTRESQGTQRNQETQEKPRHESLEKSQEMSDGGNQEAGHTIEQTPQSAGEVHKE IQQQNPQAGESKENDLPDVLKNQGFDLDNPPALDTTEKLGRYPTRLRSSVFGLIPSNG GSSSDSFSSPKGTPSKKPVTSKSASEEPTTTEAPAEKTPEELDTEDTGTEEHVSQDPA STGAIGGLMTIRTLRPRKGKDLRRNDMK QC762_122800 MAPHHRLPRMAVSYGRTRILRLTVLACIACTTLVMIMQIGRAWS RGRDGHRHHLLQGASPGWKTTEGGLVPVKSREELGREMLEYVRGLPGLQGEVAVLSKR VRVVESKEGAVGEGKIRRVGRGFLEGHGFARVDLTPPAAGEGRSDNGETLKQETRLPV PGVVEVPVDVPRDDMGDPGEVLFGIASDYERVSRDDFGLVRDWAGFLTNHSAERERKE KRRSNGAGLLLVLSKATESEVVEVKARLWQAGIDGTVFVVEQREGKDSDRYKGGVYAQ LFQRLLMSRFGKGEVGAGKTRRWFAVVDEKVFIPNLRKLVWEMDTRFEDGGEWFVGLP TEKEDWADDENGKQITYGGGAVVMSPSALDTVGQLMCFQAGGKGGNDEVKADSNWGQT LYKCLEQERYLKIQVLVGGGGYLPGEGSQGQKVSGRPLVLRTDKDPFKFSVKGHLVSN VCGEECYLQRFLFADSWALINGHSITAYPRRIEVQMSSQKMTQQKGFQNKSGGGEVAD KIAVHSTSSVGEGQKIAWRGGKTKTWRLVSAEVRDDGKEVWQAYVNEKGIGSKEEEKS DVDSVIVLVWEF QC762_122810 MSISQGMASLSRDPSRLSLHGLKGDQRSETGESFYKSDKPSGIP VATGRPGSGNPVTSRPPQGQGRQKPGTNIPHRFLTPAEWARVAHGLGAIREGETHQVV HPSSWYWPPKGLPEGLYRDIVTQRTKYFYSYHILSVLRWLLMLLQIILGAVLTALGSF QTTDGTPVTVLAAINTVDAGILALMHNSGLPDRYRLDKVEFVKVEDFLKELLDTGIVE QHQTVDDILSDCFARYQKAKSIVLANMPESYTTQAAPWIGEKAPMICPDPSAHFVMSV QPQSQPSQDKQ QC762_0023310 MRLSLFSASYRSIVGNFYRPRRSYYLPHVSAFPLFKRTHSVLCA MSDDELPGLDATPANGQRIKHDNVIYTTVKEGLAHILVPEQKDAKDGQEVQQVFYNPI QQFNRDLTVLAMKAYGKEKVKQKKVASEARSGKFAEKKRKRKEQTQSERPAKSPKLGD DAGAEEAVGESAVAPADTEMPDAKEPEPAIPEQDQELGAQPSNAADAAKEKKSSGVEF TILDALSASGLRALRYAHEIPFLTKVTSNDLLKVATESIQRNAIHNGLADKISVSHDD ALAHMYSVVVDELRKRSGRAGKAIIASNKYDVIDLDPYGSAAPFLDAAVQAIRDDGGL LCVTCTDSGVWASNGYPEKCYALYGGVPVKGWYSHEVGLRIVLHSIETAAAKYGLAIE PLLSLSVDYYMRVFVRVTRSPAMVKFQGGKNMVVYSCGGGCGSWTTQLLMKNKPAPNK KGSGIFYKHGFTKAPTAPPLCDHCGSVTHLAGPMYAGRIHDPEFIQKVIDEANEASPE IYGTLPRVKGMLYTALEEFLPTPQEVEAQKDSGKLGKVVKKKVTEAELAAIDPYPFYF YPAQVAGLMNCQSPPEAHLKGALKGLGYRVTRSHCKAGSIKTDAPWSVIFHVFREWVR QKAPVKEANIKEGSLAWRLLRLGEKQETTEGAPTEPTKVDEAVSKITEVVFDEELGRE ENKQKLVRYQMNPKENWGPMSRATGK QC762_0023320 MAVTPTTRCLLMQFILSATSAEPSSLSSGSAHRAPVLLRIHLVS HKLLLILLAAQFLIEDHLGDLGNRLVHFPLQWLRVTRYPRPLRAPFKCASGGLWQFMR PATCAG QC762_122830 MSFLCRLMPRAFRRRTGSKMTAVHMPRNAATATQTTTAATSTPA NIINSDDLSQLNHDQIVFILPATRTKVPSGDGYLIYSLIEASPETIKIDNSDGVDEKQ QPFKLEVTHVSQLPKDPDLAQLLLPQHPTDGEGSQLPPPHLRSDTHIIVSTRSGIRLA VPFYEVVLRPLLDALGLEDGPKSERGYRYEVTITENEHTIRDFASTHLTKDRARKRTI VLLSGDGGVVDLLNGIGEAQEHHDTNEVPTVGILPLGTGNALFHSLHKLEYGRYPEGK GPSSLVLGLRTLFTGRPERLPTFRAEFSRGAKLIGAPKPIVKDGEVEDEVGKGLKEVD HLVGAIVASYGFHASLVWESDTPAYRVHGDKRFGMAAGELLKESHAYDADVEVRLKGQ EEFKPLVRRGKGEEGVKYDYVLATMVSNLEKTFTVSPDSKPFEGQLRLVHFGDVGGEK TMEIMQAAYRGGEHIKMEEVGYEEVEAVKVVIKEEDPRWRKVCIDGTIVEVEKGGWMK VRRERGERLKVLVA QC762_0023350 MSFPGPSCGSKPGQALKWTGFKKPPSLETEWRCFPVTNRGRTGT AAASPSPSTLPIFFRLVAQQDRHCIVASCLERSQGQKTGFMASRQGAEECRRD QC762_0023360 MVSAVVYPLSGTADLSRIEAPVTFKAYLLCGFAAFGGIFFGYDT GWMSGVLGMPYFITLYTGLQYNYETGEPVDVPKTEFGLPSSQKSLMTSILSCGTFFGA LIAGDVADFLGRRPTIIIGCLVFCVGCILEIISTNQEVLFVMGRLVSGVGVGFISAVI ILYMAEVAPRKVRGALVSGYQFCITLGILLANCVTYASSNRNDTGSYRIPVGVQFLWA IILGIGLFILPESPRYYVMKGKIDLAARSLSQVRGQPLDSDYIKDELAEIVANHEYEM QIIPQTSYIGSWIACFQGSLRKGNSNLRRTLLGSGMQMMQQLTGINFIFYFGTTFFQQ LGTIQNPFFISLVTTLVNVLSTPISFIAVEKLGRRVLLNWGGVGMVITQFIVAIVGVT EGRPEAQNDAAVKVMIAFICIYIFCFASTWGPVGWVIIGECFPLPIRSRGVGISTACN WFWNCIIAVITPYMVGNTPGSANLGPRVFFIWGSLCIGSTLFAYFLVPEMKGLTLEQI DTMMEETTPRKSRSWKPTSTFAAQMGRVRDEKGSANQQEFAPESEP QC762_122850 MQATYLPTPRHSFPSSEQFQKKLSAPTISLLATGHLTPTSNTHN DYNFRNYMAPVSNKRTKKMSNGKRDDSQEPLAARFAKRIKLSHDVPVTSSSVKLPAAS LRIPFPEKPAVIEERNGEIEFRVVNNDGSREHMIILTGLKCLFQKQLPKMPKDYIARL VYDRAHLSLAIIKRPLEVIGGITFREFRQRKFAEIVFCAVSSDQQVKGYGAHLMAHLK DYVKATSPVMHFLTYADNYATGYFQKQGFSKEITLDKAIWMGYIKDYEGGTLMLCSLV PRIRYLESGRMLLKQKETVQAKIRSLSKSHVVHQPPAQWIVQSNSGAALTPIDPLSIP AIRATGWSPDMDALARLPRRGPHFKEIRRFLYQIQNHKQAWPFLAPVNRDEVPDYYKI IANPMDLSTIEERLEHDAYATPKDFIADMKLIFSNCRKYNDATTVYAKCAAKLEKYMW GLVKEIPEWYELLEGQ QC762_122860 MTSANSTSATTILCTCKQTRFHISDRPNYRELDISGLNITIVPD TSSLSSTTTKKGKGKAGAEGTEILSNADLKLKAGARYALVGRNGAGKSTLLRAISEKL IPGIPEEVRVGILQQTGIPDDADDDEWKDGGPETVGQTVLEQVVDRATAKGEVEKDIK QLTKGVNDPDPYGTLRAVRKLKYERMQKKLFVLDKDASLRSGARGLQARKALVEFEKE VEKAEKMNDQPEEEISAEILQAEIQEAADMLAELELQVEPTRLADIESQAKKILTGLG FTDAYMARPANTLSGGWQMRSNLAVALLQETDILILDEPTNFLDLLGIIWLQKYLEGL EDIHPTPPTLILVSHDRDFTSTVCTDLMILKDRGLAYFHGDLPTYEAAQAERKLYLTK MKDAQDKQKAHIQDSIQKNMAAGRKNDDQNKLRQAKSRQKKLDDRWGMQVNAKGHKFK LNRDMAGYHESAREAIDIPKDDKSVSISLPLPPDLRFPGPLISLENVSFRYKIKGRPA HLVVPTLQDITLSVSMGDRIGIVGLNGAGKSTLIRLLVSDPTSSTSGSMSGAIQKHPR LKLGYYSQHAVTSLLSLGRATPSLTALSLLMSETAGVMEEPDVRGLLGSLGLPGRLAS NVPLTKLSGGQLVRCELARLLWSHPHCLVLDEPTTHLDYETVTALREALRYWPGAVVL VSHDRWFVKGAVENRKDEDVDSDGEANDDEEDEEEQKRRRLVYKLVAGKEGGKMTLLD KGGVSQFEEGVEKKVRKMMAT QC762_122870 MLAKSITALAALAFAVNVAAEQPYKPQLVKMSTRSLFSIGRRQD APGYQPEQAVCGEGNTCEEACGAGYTTCASTDNQVHCFNAQAAQTCCPDQSGNSCDAG YYCTADKAGETWCCPNSMDLEACAAAFEVTGGLVSQTPPATSTSTTSSEVISTAPTTT STSASSSIVFGGKNSTSAAITITSSGLASASASATGGASNSTVSLGTSPSPSAPAPSV SNIAEGAAGTFAAPVSALLLIAGVVALL QC762_122880 MSGLATKQQSLKLFEKLKSKQANKICFDCGQKNPTWTSVPFGIY LCLDCSAHHRNLGVHISFVRSTNLDQWQWDQLRIMKVGGNESATKFFQSNGGSAALNS KDPKTKYSSTAATKYKEELKKRAARDAKEFPEEVVLEEGGDAAEAPAEEEDDFFSSWD KPAIKKPTPPISRTATPPVVGRTASPLSSAAQNGKDISRTASPLAKTDGESKPAAGRI VTSSSLKKTTTGPRKANVLGAKKVATKLGAKKLGGDVVIDFDEAEKKAKEEAERIEKL GYDPEAEEQSSKAAAAKKDTGAAILSPTPVSSARSGGYGSASHTREKSASEMERLGMG MGRLGFGQIGAQKAAAAASTAKKNAGGFGSVGPIKGNVDDDDNDNYARKKFGTQKAIS SDEFFGKGMFNAEAQAEAKSRLGGFEGASAISSNAYFGREESDDMPGGGMDDYGDLES AAKDFVRRFGITAGDDLENLTHLLGEGAGRLQGAIRAYLGN QC762_122890 MMIKSHLTPVLRLRTLPSTSPVVNLRALQPRHYATHHQTSSSKS EPEVKRRSVTPFNDTGSVPWSSLSILEKGARASQQTFNFGLVILGLTLTSGVLYVLYT EVFSPSSRTAYFNRAVDRIKADPRLIGLLGDGKKIEAFGEETGNKWRRARPIASSEVT DRNGVQHMYINFNLKGPKGTGTAFVHLFKPVGGKQWEYRYFYVDVKGQQRIYLENAEA AAAVAAKERSKTDGIKFLGIRW QC762_122900 MFEVMEEPTDNRMAEEPVPQPNGDAVAVAAEVVTIVEAADKNAS DVAPVEVARPANSIQEHRPFSWLEPHPIFVIALVGPEEIPFGIQKDFLCSKSSYYRKF FDENADQESIENIVRLPETPTEVFAYCQNYLYTGQVFPSLDNLPSYDVLIGVWKLGHM LGIDGLCDATLDAMIECRRITEHIPATPLLVQVWKDTPEGSSIRKLLLSWAAEYMRSS ESRTEFARSLPQEVLSELVVAMSSLENSSPLDERAGSDPAALAPAAEKRRDTEGGQEG GRPAKKQRVSEAGANGSPPVVSQTASGRKTVTKGATASVPKASSKPGPKRRTSGAAIA SQQFSTNQKLNFCADLLARMLSGPGFWTRVVGPFKDPVDPQRDGVPDYFNVVKKPMDL TTMKNKMDNKEYNDEQEFLADMNQIFANCYEYWNETDPMWGAAEKLQKSFEDKFSQMN KWIAKMEGDEGH QC762_122910 MPKKRHQKQYSKPQSTAPASLSSSTAASRHNSHHDDQQQNRSVN ELLADLRRAGLRDGGSSQIRPAEAFVQPTVPPAIRQILQLPETPAPPPRRPVRVDATG RRLPPGPAPPRSWVSRPAGSGLYSDPRTLIEFGGAQNYAQRPLPGMILPAKGSLMDLV LKGFARTWDWQVEYCRYILYELPTRVRGALLAYIGLYSEKGLTLQDMRAILLPPPPVV YEDDEDSHYEQERQGEFLPPGVVNDDFSCLDLSWSLGRSLKIRELSDFLYPPQAAAVV STADPKDSWDAPDDEPTLEPSIPAALLPNLTRLSLALDPEHAHNVSWRHLLSFATHMP NLTHLSLAFWPEPSLTPNAKLATMVTAQGQVVQYGATGPYSHSLDNDWTEAIMVLNRL SKSLYRLEHLDLTGCGLWASALWSKSGHDMVDWVGAWGKVERIVMYAGYKLGEEAGSS EKARYEMVTENARRVERHVRGRRAEKGMGKRGIVVETDEEGKE QC762_0023460 MVCKLPVCFLPKGEPVDEDSQLGESRSGRLRSTEVAQHPSLSPN ASSRSGPDSHSMDRFLCHKTLHRVETDHGTTARLMR QC762_0023470 MVSTYRYLDLLRSDPSLGFGHPIALPIAGFNHPILSIRYGCPLS GYLVPSASLTWDERPPTTPLRLRQQACVSEYLGGYSDIGPRIFWLELTRSFRHVTIQS ACSLSHYMGSLRSEALSQARLQRRENRAPNSSRHPLRTLASGVTLHSSMVHRDRTLLT GYHVRAGHGSHFDETIGGCSPGHARGVRPSRPVRNDAFVRCLEDSPCGRRDAITLTSV SSSAPILL QC762_0023480 MPGGTQSKPLHQVLPEIEYQDRGSPLVPLSLSSLSLSQNSAEHG LLDLGLRRHRNPYRSHRLQGKLPSFSSPSTIRRASSGDASPILLCTTPLCHLGHRQQA PFSPSSPCESISSHDSRVNNDFLDIGTISDPALKARTACLHHQHDSREGVFETKKGAP IGCHKRHWLLEIRKAVWQKGKAQARDHRSGSSRSTLLRSSEPYPARSTRLSAPRRKRE EKKTFLSAARHCSPLEPRRLA QC762_122920 MGIPSSYLSLIPPPPVTPYSPEGGHYYSSAPPVFPGLDVRPRLG SNSTSSPSGMAHAPRSTTLPHPGSHLGAARDIYATGTPSFNRRQPDHHFIPRSPSFPS RRPHLSPTNSPASYASLKMDSGFGSKSQQNIPPLGSLTQHGHLSYADQNSTPIKVDIN GIIDKGFFLADNEWTCYRRNYFSCICSFSLSPVLPHAGIQFQPTGSTQAHTVFGFAMC ISAVVADNDNHSIELVQHTPKRDKGPIAKPEKVRLSAKPQQATHHPLALYGPDGGLAS SRPYDQGFGAPPQNSAPTEHTFERIQFKQATANNGKRRAAQQYYHLIVELWADVGQQQ GSDSYIKVAHKKSAKMIVRGRSPGHYQPERRGSTSSGPGGSGGGSVGGGFPPGLMGPG GEYSTGSSILQGGYPSYDPRSNPYGGTRHHHELTMEPMISADEVKAIAETKGYQYYPA TIYESEHDPRHHQHHPVELFTHSRHDASDSGTNSSMSTGFDPAKVKPEMEGLPSIFYP PQSYYANNRCSRFEGKPSSAGHYPTLIPPPSSSSAMNMT QC762_0023500 MTCMNRARRASNTLSGMPSAHTGLLALVIIGLFTNERVSFLGPV PPPPPEITPCADASLPMHCLELILRITPPNLSGRGSQPR QC762_122930 MQRTQSAVDFSSLLNPETTTERDQLSPQRQTQTQTQTQTPAVDV EMSVGLLRPNGPLPTGAQSADSTNELPRPYKCTMCDKAFHRLEHQTRHIRTHTGEKPH ACHHPGCSKRFSRSDELTRHSRIHNNPNSRRGNKGHQHAGLVHRLQPDVMPPPQPKTI RSAPPTAISSPNVSPPHYHSYNFSPQPTLSPYHRNALGSQSGPDIQMLARAVGQVERD KMAPYGSRHAHFYGNGIATSRNPLMAGPSLHAYHISRPTHSHDDDDHYHNYRHAKRSR PNSPNSTAPSSPTFSHNSLSPTPDHTPLATPAHSPRLRPFGTELAPFRNLSLHSQVPA LAPLEPQPEGQQFSQPPAQAPSRSGISLTDVINRPDGARKLPLPRMSVSDMLTPSDGY SLSGRNSTANSVAGDFL QC762_0023540 MASSSLILKKQLTFEWGDRLLRSPSRSHLRVEGMAEEQAIKFVS RGLDEASSMSHRISPHILPEPPHFVSSMLISARATPKIAGYTSHVAPGELVWD QC762_122940 MMDTIHGSSLDLSSIHRLPTVSAAQVLEDFEGTQNRHISTGLPV LDASNGIQKGSVTEIWGPPGVGKTAFGIQLTANCLREGGGVVWSGLGSLLWSGTYTDA DGFHRMSIERLHQVVDLNSEDGQIQPLDKFVHYSCPTLVHLIALLCRPTASCIPEWTS LIVVDSLSALVNHDVLKNTDLRQGPQTKHKDTRERRIQALHYILSNLQKLAATRDVAI VILTQCATKMQAERGATLVPAINASVWRQGIVSRIALFRDRLQNDFETSTALRFAFIQ KLNGVDKHADDAAALCGFHIEAAGLVPVEYDQASSPLRTMSTPAGVQKRKLGDTGFEI ADSEDEGDDDEDYGWDLEPDALPPMPSQWQGSEDILLTREPESNADLLDEGVQGESDD GKDPESTLVINDSEDDDDETENNDDDDGKDVDKHENVDDRVS QC762_122950 MDGTSNGLGKLLPKAIAAKRRRNKASSIYSSTSNDDIAPHREPD NSNAPVGETSSLRRTDSQLSEDNTDLISFESDTASPDTRPTAISVHPSQIGHLTTSSP LVQEKYKPESQTSDVLSSEISGLSTRSSTLPQDSSDSLESTPSLRKSRTHNLLHPDSD PPKRSTSGNRLRDVFRSKRGSEKSSSDEKTVPESSPAANPESEAKVSTGRNRALSRGP TLEPLKAPPRTPQTPPHGEPTPPVIVNTPPTPTDPQPPVIVATSSSPRRPANASPVGN MITQRRRAGSNAGPSKLSTITSAPLTPTPEAGPVSPNPAATFFSSMFSAVQNTANSLS STISTANLAPGTKSRAGTPSQETDSTQQQQQQQDGVEVESSSIPDLSSESKEPAVKTL GMGDLSLSQLGISETPSNAPSPVASKFADPDPRNRSDSAPDPHPAVTEIVDESHLSRP RSVYSANGDRTPPAGSVYEGRSGVHRSGSIRSALGHRRKRGSSIATHNTSGSAIGAAI SAANSSVANPNAAGSTPKLTGFAVATKKRNRDFHNLFKSVPDDDYLIEDYSCALQREI LAHGRLYISEGHLCFSSNILGWVTTLVMSFDEIVSVEKRSTALVFKNGLEISTLHAKH IFASFASRDTTYDLIIKIWKLGHPHLQSSLNGVRLEEPGGDRTEKVDVENVSVAGSQS ISGSDDESADGDDDDDDVYDEDEEEEDSQEASLPADATSEGPDKTATRKVSGPVLSEK ADDNAPTSGGDFPGPISHAPTECGDEGTHYEKILGDETIPAPLGKVYNLLFGPGSAAW MGKFLTVDQKCLDLQMEDKRGLTGEVKSRTFTYIKPLNASIGPKQTKCIVTEQLESID LDKSVNVLCSTQNPDVPSGNIFVVKTKYCLTWGENNGTRVQINCTIEWSGKSWLKGPI EKGANEGQTQYCKDLFASLRAAISSRPRSSTLGIAAGVRGKKRGRKAKSALASNQASD VESVAKVSVKESWGLLEPVRPFLGPVFDIIRPILTGNVVYGLLVGLLVASWFGFGTRQ GPVPYGRDIGFANYPQRIAAYEEMWSREESELWEWIEERAGLDRLNGGSMPAPRKRTV DPRTVEEKLREERMAERELREAIRVTEEHLQVLKSVVNRKERGEKATSD QC762_122960 MMESAALTKAHDHARAASIATQTSDTTVAVTEHAQAAGEFAKAA KGTTSIEALRMLSLLEQHHKRLSELLKLPLGLPSQNSIDSDIAEADEKDSTDHDDAKT TTSSKASAAAPGSAHATKPIPTLSHQRYPGRNLSSSIANNLASARGIRSKYPSQPLTP SVSNDQAPGSLEVHPRREGSTRGSKTPDSLDHSRKPGWVPPVIAEVASHEPNKVEQNT GSSTPSEEGFSRFYSTFGTLINRLSAPLAFAGLPLISEESSVTSDQTSPVRPSEPTPP RKRQWTAHPPTTTTATTEPDLKKIYSRAALRSLPRDPSAADSFYFVPTSGHTVSYASI LHHETKERRRLAASIHRDPNSLEDDDEDDFVDAQEIQIPPQLASRRPPSLLSSHSGKS RATNEKDHLKNVVEELQLENASLKDALDRVSKRLHAFELNSQSSHLALAQSLRLQRPG SPMSASSGGGYGHLPPGGGSGEEAALKKRNAELEEQLAELTKRMVAREKDYDRLEQTV EKYRDRWEKLKAGAKARREAQSGPSTAGAGTAGDRGEGAVNVSKR QC762_122970 MASNGVEDAFAVGSVLQAMLTMRSGDNDKKKQAADYLAKFQKSK AAWTTTISILQSSTEAEAQLFAATTLKGKITYDLATQVSEGDLPALRSQILLLLKKYA PGPKPVRVQLCVCLAILAIQMQTWKDVLPTVVSTLGNDVTSHACILDFLRVLPEEVTE GRKITLSEEELSQRTSELLGDNAEQVVQLLINYAQSSPAAATNPQLFDCISSWLREVP VGVVVSSPLMSAVLHGVANDNSLLAAADCLTVICRETKEVDDNRDTIALLLPRLLELR PRIQALVDEDDTEGFKAITRVFAEAGESWALLVARDPQHFRPIVDCLLECCARDKEKD VLHYTFNFWYELKQYLTLDHYMEARVQLVDVFAQLVDILLKQLEYPASDDPNNVDLFD GDREQEEKFREFRHHMGDTLKDSCEVMGVSACLTKVYDAIKLWQEKFGGLATPTSVPH WQSLEAPLFAMRAMGRMVDNGDSSVLPQIFPLLVQIPVSNEKLRFAAIMVFGRYTEWT AAHPEFLESQFQYIVSSFQADSQEILRAAAQSFKYFCTDCKTLLSPQVIQLQAFYDGI LDKLPMPSKEEVTEGVAVVLGVQKPEEIYRLLKLYCDPLINRLMVKANQATDEKSKVD LADHINLLTNFAQYVVPYIPSDQENPAVKYWQEVFPILSTILDNFITFIPICERVCRC WRNMVISYRTAITPLLGPLANKLAEGFAASKQGCFLWATSAVLREFSEDREHVEDGIT NDIYVFFEAQATNVLRTMSDIKPIDLPDVIEDFYRLLIDALLYYPTKLIPSPLFTPIF QAAISALSLEKQEPVSAALHYIRDLLTYGGPNPATSSDALGTAGAQLRQIVKQLLLEQ GGALIKQTMAGMMFTFPSDCFADGSGVLLHMFQLLPQETATWVESTIRMLPAGTVTPV EANRLLSKIKEKLSGSDSDRRQIRILMQDFTNTYRRRYVAPRDGLGQLEATQFHFSG QC762_122980 MTGRGGGGGRRVLLPPINFLFKLLQQRTPVQIWLYEQLSIRIIG IIRGFDEFMNLVIDDAVEVKQISKTNDTETRRNLGQILLKGDNVSLIQSYSG QC762_0023620 MPEGAVTDEFAMASLNAAKQQLRTAMKHKLQAMTPESVLSQSLV VFNKLKTFPPFVMAKRVSVFLSMPGGEIQTDAIVRHALASGKEVFVPYLHKNPATSPA LPVRVMDMVRLKDLADYEGLTPDRWGIPSINPATVHERQRVFGGPDAQHSQSTLLDLI LMPGVAFDIDPNNGAIRRLGHGKGFYDYFLSRHSAKSAELGQQESPVLLYGLALSEQF LSPSEGAVPVGPFDQPLDGLILGNGEIKSPPAAK QC762_0023630 MPKPPDGAVVRIDVKCNPRHENEVEQSALRVLGVRATKDSLSLV YGGWVDAWDAPSVWRQAFIICQVFKTHHIHDPHGKSWRCGWVFMKLRVGNLLCERTDS GVMAWSLCFIAVRSCCLAALRDAIANSSVTAPSGIEVNA QC762_123000 MSSSGGRQGPLAPAPADKPDAPDLQSIPLQTLVPGSAAEREGKG PAPSPASQFSIHSPSIHNHARESDQSQVTLLPADSHGSRSRFTTNTPTAADESDSDSD SDTYSEPVSASGFGYDDFDENEFHELENFSQQPAPMTQDVPPLRSTAGFQSPSYGSIT PRGSNESIPDPEVEGSAAHQRQESSGYGSRRSTRDFESRRRRTSSQARSLSVTMRKSS RQSLGSSTTVGGLEGRFGTTETSLLNDLTENHTATADEMDDDDSRSYIFESEIDEGDE NDPPDNSPYAQVRASVAPTDNTSLSINTPRMWALSILFSFLGSSTNLFFSLRYPSVAI TPVIALLLVHPLGLLWDYLLKRSGDPPDEYVDGFRSENASIYSSEYPSPHIIPWERRG VLDKIRLWLSQGRWNEKEHSCVYVSSNVSFGFAFATDVIVEQTQFYKQEASITYQILL TLSTQILGYTFAGLTRRFLVRPSGMIWPGTLMSAAMFTTLHKEENKEANGWRISRWKF FYAVWFGAFGFYFLPGLLMPALSYFNVITWFAPKNVVVANLFGVVSGLGLFPMTFDWA QIAYIGSPLLTPFWAAMNVVGGLVIVMWIIAPIAYYSNWLYSSYMPILSAAVFDNTGS VYDVGRVLTKDFLFDREAYSNYSRVFLPITYVLSYGVQFAGLAALITHTVCWHGKDIW RQWKRSVEEASAETKGTYEPVAGVHEDRPRRGSGRGRVPATEPARSSLSIDNLMTRED VHNRLMKRYKDAPMLWYLITFVSMTAVGIFIVEYYPIHLPWYGLLLALGICSVLFIPI GIIMAVTNQHSSIYLICQLVAGALFPGRPVANMVFVTYGYISSAQGIKFAADLKLGHY MKIPPRILFAVQMVATIVSSLTQIGVLNWMFVNVPGICTPQAINGFTCPIARVHFNGS ILWGVVGPSEFFGPNATYRPLVWAFAVGGILPIPLWLYARKRKDSIVRKINLPVLFGS LGWIPPATGLNFSVWAVVCYVFNYLIKNRAGAWWAKYTMTMSAALDSGLAFGIVVVFF GFIYPGWMKDFSWWGTEVYKQGCDWQACSYLEVPDGGRFGPDRW QC762_123010 MTMAHMKNPLATPDQIFHRSSLDSPPTELRDAIFFSAQCLTQAA GILLQLPQSVTAQANVLLARFWLIEPIMSHEFSDVSAATLYTVAKIGPCPCTPRDLSN VYAYLLSSSSTFLSPPGNNPPKNIPDDYYQSETSYQAFHSRILSLEHLILCSLSFDTT VSLPHPLAITYLQSMDFLYVPKEKITRRVVEYLNTALLSPQLLCLTTQPNGLAVAAIY NAAKDLGAKMPECEWWEVFDVDREDLGFLVVGMRSLEGWVGGMMGEEGVLGKKKRGGM ITRKEVRDILGGDRPPQEEEEDPEVVMARRMDEKMREIEADAA QC762_0023660 MPTLPEASIRPPDKTRFTKPPKKSTTPHPIWHPERPTMCCPVPA ERSPALGRAAAVMSSCSASSQERRRANP QC762_123020 MLSNPLHRFSPYHAIPANTLMSNGHVPGGHLHPGGLDGLAPGSH YALQQLQQHVGVHNPHLARAGSQQKHRQHPYGPSSRATGAAGPIRRRISRACDQCNQL RTKCDGQHPCAHCIEFGLGCEYIRERKKRGKASRKDLAQQAAQQAAAAASSNGQKSPS QGGENGQATENGSDTPSTTKQERQSSSDEKPGNDAEEAIRSQRTGSMDSLAEIAAHQA HMTSHPGAMDRDHLDSPSALDLNGYGSVHPAYERQMGGHMMNGPPHAAYGSAQSGMSS YPDLPYALQTQSPTGYSAGGPNGFRLGNSPLSAYPMGGEPTSPGWMNMSSPPPQFASH VPQNNYSHSPLRYPVLEPLVPHLGNMIPLSLACDLIDLYFASSSSAQMHPMSPYVLGF VFRKRSFLHPTKPRQCQPALLASMLWVAAQTSEAPFLTSVPSARGKICQKLLELTVSL LKPLIHTPSEEASPVSSPIVDGVALGGLGVALPGSISMDALTGESGAFGAAGSLDDVV TYIHLATVVSASEYKGASLRWWNAAWSLARELKLGRELPQNAPSTRQGGSTDSEEGEE RGEIGSLQGVITEEEREERRRIWWIVYIVDRHLALCYNRPLFLLDIECDGLYQPMDDT DYQNGIFHAYTDPNVLASDPESSQSVRGRGPCFECTGHSIYGYFLPLMTILGEIVDLH HARNHPRFGVGFRSSREWDDQTSEITRHLEIYERSLKRFEQRNLSLSAQAQAADEKAA EAAGVPTANDMPTDIGTPSVHSVHSVHTNSSRMTESDIQTRIVMAYGTHVMHVLHILL TGKWDPINLLDDNDLWISSQGFITATGHAVSAAEAISNILEFDPGLEFMPFFFGIYLL QGSFLLLLIADKLQLEASPSVVKACETIIRAHEACVVTLNTEYQRNFSRVMRSALAQV RGRVPEDLGEQHQRRRELLALYRWTGDGTGLAL QC762_123030 MAFTMHSHSGQFCPGHAVDSLESIILHAISLGYQTLGLTEHMPR TSVSDLYPEELLPTPQATLDSLFPRHEEYLSTAAALQQKYADKIHILIGFEGEWIRGE EYGSLIGELAKDARVDYFIGSLHHTAGIPIDYDKALYRIAVEACGGTEEGLYEKYFDE QLEMLSALKPKVVGHFDLIRLMSEDPGRELKSNQKVWGKVVRNLEKVKEFGGWLEMNT SGLRKGMAEGYPKREIAEEWIKMGGRFTFSDDSHGIGQVATNYLRGLDYLESLGVREV WTLERETHPGTVDGKKSGLREKSVAIDDFRASLWLP QC762_123035 MRGVIPFPLGLTLGTDVCMIPRVYSLITTNQGTPIIPTLARPFN VINNVDRFTKKLLTQNERERLEELPVYLNAMKVMSFRDGVGPVKHKNLLGRQRSERRG EIWKLAEWLAGRWAAKEAVIKAHAFRAGTSTGKVTFGGVEIMVEGEENLGRRVEETAE EEGEEAGWRVQRKDKGDYILENIDGLGPRAEFGRVTGPPVAVILGDEEVGVKGQVALL SISHDGDYATAVCLGFKPDAFNGNGNGNTKFEGKKKAR QC762_123040 MDRFHQINPFAKRDSHTTTSITTYKVLSLLTWLLSVVTTVYYDT HAPADGKYLGGSIWHQNYHHYSGFTQNAVITSIYFVVMFILQLIYTSHLFSSDATTVN AAASVGSHFILNNLLHFAWVMLFVRSHFVWSEIILIINFFNLSSLYFRHATYTKLIHA GAVSWPLAWTFVAIYWNGAIMVPHQHSLVARIFANVFIWSLLGYGLFFIFLYKDYTMG FALSVLSASLGVAQFFRQIIAFQWIFAFTIMSVLFVATVLVAVPAWTGREDFFGNRRE PVGDAERAPLLADN QC762_123050 MTVKVGQPVSRSKHQSRVSRRIHARMSERDNFVPPEREHAKRIQ DERWEQFKDLIVAKYRESTLDKTSKYMEKEFGFKASRRQYVHRLGKQKWNIGKYKGGQ PQVVDEPSLNTPLSRQNPASPDLSSVALHPPSPMTRPALDFSRYSSEQLAASHILSDG LLYLGDLNNAFAIKAELYRVITAGHGDFTDQEIRRQLLRRYVIDCICIIQTEADAKAA RQMLEQNDLLYERWADTDDNTWESVLFRILTSRTYDSENSESSIIQIVEIINKTTVEA DEAGHEKLQTLTPRKYRFDLLMYKIFSFALERYNEPTAVDEIPIDVGGLLKQFKNTQP AIKDSSVDPRLEWELVLKTLDFCVSELSNGEFHPLRLDNKTTRANRIFCTLLCALQKQ IMIREQTTTALDNIASDKAHAQFASVYTELLGIVVGLIVDEAPSLEFSRNPLPLKEWA LRGAQKVKSLAEQSNLDQEKTGLIDRFLDKANSMNVEIMLENLSRKQPEEVNIRELNL LRKELAQNLGVEILPDLEPRATVIVPLTASWELYDRSASQHSQPVLHLLHGHPAPRGP RSRSVSRSHSPSATSSSQSPATNGNATGQASDASAEGDSEDIILD QC762_123060 MDLTAFLVAIFFSACLQAVDGLLVPANKFIDLALPSYDYIVVGG GVSGLVVANRLTENKNVTVLVLEAGDLDSGPDLVTIPGLVGHGFIPSQNWNITTAPQE SLDNRTRDYGQGHVVGGGSILNGLVMTRGARYDYDAWLTLGNPGWSWHGMLRYFKKSE NFTACVAPEDSQNLHIRPHMKVHGQKGPLQVGYPRFFYNSSRNFLEGISELGIPLLPD INTGIAAGASVAPATINDRNQSRADSRRAYLDSVLSRPNLHLATQQTVTRVLFGTEAV DEDSERPAKGLKRAFGVEFATSFDSPRKRMACNREVILAAGAIISPSLLQVSGIGPAS VLDELGVPVQIDLPGVGQNLQDHAMVGAFYNYTRFGMFTANNLTGSILEEVESQYFAN RTGPLTHPLISTLAFASLRHLDTNWETLLSNIPSSEPESHLPPGPGQHPTILEGYARQ QHLLTSLLARSSVGALEVMADSIGTLTAAVQHPLSRGFVRALSADLLANGSVARNILL DPRYCSHPFDCDIIVRGLKLNNQLVKTKAMQQLVPQPAYPWDDLTSQNDTALLEAVHS KLQTEFHPAGSTSMMPLEFGGVVSPRLMVYGTSNLRVIDAGIIPLLPAAHIQAAVYAI AEKAADIIKQDSSTMSGSDPNNGDVTPPPNPFTNGPPGLGPGIAEGPGVAGRVNDDHG RPYQS QC762_123070 MSNSNNTSSTGETAESSSLSALVSTLAPVAVISGAYLAVFLILR RSKRRYYAPRTYLGSLRESERSPPLPNGLFNWIGSFWRIPDIYALQHQSLDAYLYIRY LQMALVLCFVGCIITWPVLFPINATGGGGQKELDILSYSNVNPDTHKNRYFAHVFVSW AYFGFVMYLIMRECIFYINLRQAFLLSPFYSERISSRTVLFTCVPDNYLNEAKLRQVF GQNAKNIWITARTDEVDDLVKERDKVAMKLEKAEIKLIKLANKARQKAIKNGANASEA DKQAITGDAESGSIAARWLSAKSRPTHRTGPLGLIGKKVDTINWCRAELERLIPEAEA VQAKYRSGAFKNIPGVFIEFTSQQAAEAAAQMLAHHQGLHMSNRVVGIRPSEVIWKSL AVPWWQLVIRRYIVLAFIAALIIFWAIPVAVVGAISNINYLATEYSWLSWLTDIPKVI LGVITGLLPSVALAILMSLVPIIMRLCAKLAGEPSISGVELFTQNAYFAFQVIQVFLV TTLSSSAPAVIEQIINAPNETPRILAQGLPKASNFYITYFIVQGLTIATSVLTQVVGF FIFTILYKFLANTPRALYQKWSNLSAISWGSTMPVYTNIVVIAITYSCIAPLMLGWAT IAMFLFYFAWRYNVFFVTDTQIDTRGLIYPKALKQLFVGVYLGEICMLGLFIAGTAPG PVILMVIFIIFTVLFHYSLNTALDPLLYNMPMSLLAEEESARLLDGEAGASHSNGNDK FVDEHDLNGDGIVESAEEQVAHSRAARKAAAAHSKGNFLTRFLKPWIYSDYATLRNLV PRHVSLPQYTPEIIENAYNPPSVTSQAPLLWIPADPAGVSKQEIAHTSKIIPITDEGC ILNEKGKLEWDEEGTRPPVWEEKILY QC762_123080 MGSAPAVYAHTAEHEDDPYGEQDGYIKATDFSLPYYSEFSRIYA QTVSQGLLRHVNCGYLSTEGIAPTLLALKQHAQSLCILIQQLNPTTGISEIGDGSLRR AGAGSSDPLTLKANLNDAFDFLSDLSTPYTNDDTNHQIPLTALLNEVRARDELKGVSY HCPFADAPKPRNPGENLKPYATHQNLVLHANACLERLDHEFSTTGGLLSILPTDQEGR ENLNHARNTLLGQWLTYTQHLVGRMHELERSYNNALDALAGEALIPSQHLSVVGPTGA SEGRSIVYPQDKYVLVNAGDDIFQYLHSILDKQETLLQAQDTINKSNGAVGEVAIVSS EGTELYTQGIVYVDIPSRFYRLAGQGRNTLFVIPAHNVHPATAHTRLLESQPTVVQAI APTDPARVTVLEKRYTERIAKAEQDAIDVHRLEAENEKMERELRTFIAEHKRLARVRD ELMSTVDAKDRVKINKLFKNQENIEQLKDQLKNARDQANKSKEEAQKARADVAAAKAE AERYKQTCFAWDEYVKSLSTQKPADDQEDTIADMSATLIGQDDSQLEKEKEGDGGIL QC762_123090 MAGPRRLGSRTRWTATALVFSTLASAQLPYIPTTILLPPPDKNG HPIGAAYIFTPTKDDSAVELLSLNLSSLTTTVLSTPPITGAFTPTIFANGTLGIFAGD CSSQSDGFLWTWSPDSASSTPPRWHPHPQPSLAPFHLGSTISFSLQLAPAVSPPTLYL YGGMCPFTNLSDPIPYDSQQSSAIYSNSMFRLPLSSSSTTSSQEGEYLSSPGPPIPNA GFTLTALQPSLSNRTTASGERALTQQNGWVLLGGHTQQAFINMSTAAVWSLPEETWSF IPIHGDKKVDSRSGHTTVLSEDGTKLVIYGGWVGDTGQRAEPEVVVVDMGLGGLGDWA WADGEGVKGSGEGEGRYGHGAAVLPGDVMVVYGGWMIGGDEMKKKRQNGDGEGLRFLD LKRMEWVDEYVLPNPSSSSVGSGQGGEGSEGSGSGDDGQGEGGDETKKTQIGLGVGLG VGFLVLFSVAGFIFWRRRQQQTRSRNDALRDLSQGINGSLPRGITSDDNDDEMLEREH GLMLPWTAASAREWYIGGGDPYSQGRKSLAYEGLRGGVRKTGGSLYMPPPPPPSAKNA RGLYQPTNKSTSYEFGPGGGAGRSNLISPIYEADEDDEGDLGQQSRHRNLGRASPEKP RDSSEDDDPFLTPTAATTPIGGLFPPPSISSRSGGSSPERKPPAPAPPPQPQDPEVQN WQNDVDVADAVLAARIGRSKSIAAKTPPRLYLGHQNSVTGTRSPTRGPDTPTLLIEER TGSNLSEASAFSFVPGAERQQLRVATAAGEAKPSSSGSGGSSSSAPTFSTARSSFPVL QSEGPGLLHGGRQAETYDDGQGGYEIYNYDGYKDEEDADYVYVPGSPSKSKPPQRRSW LGSIRRVFEKGTPESSATGGSREDLSSPGGQLEAGTGMDGGLVRRQGTLHRRKQNRQS WGGEETQDGEWDVERAVEQRLVQVMFTVPKERLRVVNAEIEQEGEVGEVVREGDREFD AGYYDTWDTDGPPAPTQQDKGKGKLPEIELLRPPEGEEAEERDRKRESVAGSITTTHT MGISPSTSLRQAEIKTEGLHTAEAVRYERLEVNPGVSLSPTTMTPERVMRPKKSRSRV LEMVESIETKSSRSSLRGGEEDEVTPKKG QC762_123100 MGQPLTALVWAFFGIVALLSSRSQATPSIPMEYCARYNTGLKFD PFVSDFQSMGRCRINCTDLNFAFAILLEKKCWCSSTVPNKADQNDISDCSFPCPGYPD DICGGKGPVYGYLEIAQFKPTATAPPAPFSTTRQPSKTASPDQVVTSEPGGAVETVTV GGVVKTVTAAFPQATGGTVPSSSSGLQAGAVAGIAVGIIGGLSVLGLFVWLLWKKRRQ EEQQGFISPMRGGSASGMGSMRSKAPQVTEQPLTWEAKRRSQLMPIDPRLDPFYVRDP NRSRDSVNSLQDNHDYSRRLDAPRVLRATNPDPDY QC762_123110 MEAATPPPATSPGPSNGVGGTPFASVDVARVIDHIAVLLQATLG ATRLELESPGSLLSGTRYQDTWQRCSRWALDTQVALTIQKDIVELEPIEEDLIEEDVS VISEGQNIDLVKEARKSRINSGADGPTHVYSLTSDMSSSATSTTVGFLVILKRAQPLD ASIPLTSQIQMLNLPGPAYTAVNAGDQGSITSPYEVLQLYLHNGLAPYFDASTKSRQQ AASTGRGRADADAKTGIPVTKKRWTELELSLSHLQQNVEIPEVSLPFHPVVQAALDQA REKQTKPTIDLIPAQFLTDSGFLNNLQATVNSWIKSIQVITKMTRDPNTGPANQEINF WLSMESALEGIESQLRSEGVTLTLEVLKHAKRFQATVSFTADTGLKEAMEKVQKYNQL MRDFPLDELLSATTLQKVEDSVGQIFAHLNKKLRICPYPIHRALPLVGAISADLDEAL HRLLPGLELVKLDYQEFSRVIKQADSIFRVWDDSIKEFTNVARDVTRRRNERFIPIKI NPRHGDLQARLKYVATFRANHEQLQRTIVNVLGPKTDVNGVSEGANGTVVVEEIGDVD AVEEVKQAWEGLKDVDLLDVTPEGTAKWARAENTYNERTSRVENSIIARLRDRLATAK NANEMFRVFSKFNALFVRPKIRGAIAEYQTQLIDNVKQAITALHERFKQQYGHSEAHA MAQLHDLPPVSGAIIWARQIERQLDTYMKKVEDVLGADWAMHAEGQRLQAEGDLFRKK LDTRPIFEAWLHDVQRKQITISGLLFNINRIRSAGNVLELAVNFDAQVIALFKETRNL VWLSFPVPHSVNNVAKEAKRVYPYAVSLMESVRTFAQTNRQINDMSEVSVLLSGYREG VYLLIAKGISLRWESFVNTYEVHFKNHAQSAIDSNYTKNGESKHVKFVKDFALAVSLL QTKTLQLANIHGSVEKALAELEKCPYEVKEFEGRLTAIQTAVDTLNLEQYVNLGFWVD RMNKQIKAILLARLQHAVQAWIEAFEDEYLEDRSDRKRTLEIVNAPKTDVPVIKKLIH EITMRNQVIYLDPPLEFARASWFSQLQEWIGVICNLKKIKATRYQMTISTTVEDEARF DDLPSECTDALLRVQTSVEKKIREIGAYVDKWLQFQSLWDLQSEHVYDVLGDQLSRWL QLLQEIRKTRQTFDTTEVSRSFGHITIDYDQVQTKVNAKYDQWQHDILMKFASRLGTR MREVHAEIEKARKDLEGQAMAANSTAEAVQFITIVQTCKRQVKLWAPEVETFRQGEST LVRQRYQFPNDWLHAEQVDGMWDMLNELLARKSKIVQDQTDALRAKIIAEDKVVIDKI NEVAVQWNEEKPVSGTIAPDVASATLSTFETRITKLQDDSAMVAKAKEALDLPATPDT SLGVILEEVQDFKSVWASLSTIWKNLNELREILWNSVQPRKIRSSIDNLIKMTKDMPS RMRQYAAFEHIQNILRQFMKVNPMLAELKSEAVRDRHWIKIYKQIKPGKRYSPVSMTL GDVWDLNLVATEVIVKDIIIQAQGEMALEEFLKQVRETWTGYGLELVNYQNKCRLIRG WDDLFAKCSENLNSLQAMRHSPYYKEFEEEATAWEDKLNRVHVLFDVWIDVQRQWVYL EGVFTGNADIKHLLPIESSRFQNINSEFSAVMKKVYKQPNVLDVLNIPNVQKSLERLA ELLNKIQKALGEYLEKERVSFPRFYFVGDEDLLEMIGNSNDTMRIAKHFKKMFAGLNG LTMEEEGVITGFTSKEGEVVRLKKEINLVKTPKINDWLALLENGMKATLAELLAEAVE DFTPIFNNGEINREALNKFMTAYPSQIVVLATQAVWTTAVEQALAEGGQSLPALFERE VQVLRLLADTVLGDLEVLLRKTCEQLITECVHQRDVIERLIKVNASSNTHYLWLLQMR YVYTPEGDFLQRLHIKMANAKLNYGFEYLGVPERLVRTPLTDRCFLTLTQALCQRLGG SPYGPAGTGKTESVKALGVQLGRFTLVFCCDDTFDFQAMGRIFLGICQVGAWGCFDEF NRLEERILSAVSQQIQNIQLGLKQGAEDDKAQIELVGRQLKVNTNTGIFITMNPGYAG RSNLPDNLKKLFRSVAMSKPDKELIAEVMLYSQGFSQAKQLSKHTVPFFDQCSQKLSK QAHYDFGLRALKSVLVSSGGLKRARLTQEGELGSEEVVEPEILVQSIRETIAPKLIKS DVEIMMGIEETCFPGVKYVPASLEKLRGAIQRLAAERQLVVNDTWMTKVLQLYQIQGI HHGVMMVGSAGSGKSSAWRLLLDALQQVENVEGVSHVIDSKVMSKEALYGNLDSTTRE WTDGLFTSILRKIVDNLRGEDTKRHWIVFDGDVDPEWVENLNSVLDDNKLLTLPNGER LNLPPNVRIMFEVENLKYATLATVSRCGMVWFSEDTVTPNMLVTNYIETLRSVAFEDL DEDAVATGQSSAKALAVQAQAADLLQAYLTTDNFINEALQQAEGFNHIMEFTTARVLN TMFSLLNKAVRDIIEYNSQHVDFPLEPEQVENYISKKLLLALVWALTGDCPLNDRKQF GDKVAGLASFGSPPLDNTNSLIDFDVSLPKAEWTPWQNQVPTIEVNTHSVTQTDVVIP TLDTVRHEDVLYSWLAEHKPLLLCGPPGSGKTMTLFSALRKLPNMEVVGLNFSSATTP DLLIKTFEQYCEYKKTLNGVMLSPTQIGRWLVIFCDEINLPAPDKYGTQRAISFLRQL VEHNGFWRTSDKSWVTLDRIQFVGACNPPTDAGRTPMGPRFLRHAPLIMVDYPGEVSL MQIYGTFNSAVLKIIPSLRGYAEPLTQAMVKLYLESQQRFTPKIQPHYVYSPRELTRW VRGVYEAIRPLETLSVEGLIRIWAHEALRLFQDRLVAEEERKWTDDAVRRIAMEYFPT IDEQKALGGPILFSNWLSKNYVPVDREQLRDFVKARLKTFCEEEVDVPLILFNDVLEH VLRIDRVFRQPQGHLILIGVSGSGKTTLSRFVAWMNGLKVFQIKVHGKYSAEDFDEDL REVLRRCGCKGEKMCFIMDESNVLDSGFLERMNTLLANAEVPGLFEGDDLAALMTACK EGAQRQGLLLDSQEELYKWFTGQIVKNLHVVFTMNPPEDGLSSKAATSPALFNRCVLN WFGDWSDQALFQVGHELTHSVDLDRSNWAAPDTIPVAYRSLNLPPSHREAVVNSMVYI HYSLQRFNSKLLKQQGKVTFLTPRHFLDFVAQYVKLYNEKREDLEEQQRHLNVGLEKL RDTVDKVRDLRVSLAEKKAQLEQKDAEANEKLQRMVADQREAEQRKNASLEIQVALGK QEAEVASRKKFVLEDLAKAEPAVEAAKASVGNIKKPQLTEVRSMSAPPPGVRLALDSV CTLIGHKVNDWKQIQAVVRRDDFIASIINFDNERQMTKALRMKMRNEFLANPEFTFEK VNRASKACGPLVQWVEAQVQYAEILDRVGPLREEVRQLEEQALQTKAEAKAVEQTIEN LEASIATYKVEYASLISETQAIKSEMSRVQFKVDRSVKLLDSLSSERTRWEEGSKSFE TQISTLVGDVLVAAAFLAYSGLYDQVFRKSMMEDWLHQLHLSGIQFKQHNPVTEYLST ADERLSWQANTLPVDDLCTENAIILQRFNRYPLIIDPSGRVTEFLQRECKDRRLTVTS FLDDSFTKQLESSLRFGNPILIQDAEHLDPVLNHVLNKEYQKTGGRVLIQLGKQQIDF SPAFKIYLSTRDPSATFAPDICSRTTFVNFTVTQSSLQTQSLAEVLKSERPDVDERRS NLIKLQGEFKVHLRQLEKRLLQALNESRGNILDDDNVIETLETLKTEAAEISTKMSNT EGVMAEVEEITLQYNIIARSCSAVFAVLEQLHYINHFYQFSLQYFLDIFHSVLHGNPH LEGEQNHNVRRDIIVKDLFVAAFKRTALGLLQKDRITLAMLLAQASPYKMDKGLLDVI LDEKVEGKDLSTDIGAKDEVFARAKRITALKDKIENVPEADWDKFLSEELAENFVPKI WDDSVAETDRALISLLLVKLFRLDRFVPTAERFVTLVFGNDLFDIVEDLKQTVDQVSA TRPISLVSSPGFDASYKVDSLVERMRVRCTNIAMGSNEGLASADKAISNAAQTGSWVL IKNVHLAPTWLQSLEKRMESLNPNPEFRLFLSMESSPKIPVNLLRASRVLMYEQPAGV RANMKDSMGSLSTRSVKSPVERTRLYLLLSFLHAVVQERLRYAPNLGWKGFWEFNDAD YECSAFVIDTWIDVAAQNRTNIAPTNIPWDMIRYLITETYGGKIDDEGDMNMLRQIVS TFLTPAAFDIGHKLVEGTPETGSEELVVPSGTSLQEFMGWIQRLPEREPPTYLGLPAN AEKLLLVGLGRSLIGNLKKVVDLLDEGEVLMEV QC762_123120 MSTTNSHPGLSYNVGNIRRAFPPPHPHNPPNKPSPSLPQHASPY QSQAYQPQPAPSSQYSVYPYTPPAVNSQQTVQNAARQPSQVPPTLPGPRQRGPPSRQM QPAPSYLQQAMNHQPMAQQPMTSQSINNQPMNPQQLSQQSLSHQSLSQPSLSQQPLSQ QTLGQPSLGSQSLTPQSISQQQQQQQQQQQPLSQPPLSQPPMAQSMTQSLSHQSLAQP VQSVQSVQPTQQNGNPIISHSQQSTPQPPPHPALPTSLPQIAQVQVPQVQKVQQVQPV QQQPTPPQPESAEPVQGNQDEEMEMGSQEEGEGDTSMEPKLIEGTPFVPRQPMGPMMS APPEGGSFPTLEAVHKHVLTYCTSVGYAIVIGRSKKTVPGLKKVLFVCDRAGKPPKRV SPELRKRKTTSRKCDCQFGFFAIEQRTQWTVRYRPDPAHLQHNHGPSESPLLHPAARK LDSKMVEDIKNLKESGVGVTQTLEILQQHNPHVPLLPRDIYNARAAINRHPEKVATGL AENRPTIYSKPHPSAEERIRADLRRELAKTKEDYEKMAEENRKEIEELKNKLREKDKI IEKFEQFIDICNQRVMVSLSSKDDASRAAGAATS QC762_123130 MLHEILLSLSGHPSPLLRAAATLSPNDLSPTLSLTPSEAALLQP LATLSTLHTTIASQSNLISSSHPSVICRSVASSILSQHLHSFQQFLLQIESDILTKDS KMVGGYNIVPLTQVVGLIEGTWRRRMEFLGEVTGFMVTGMGKQQQQQQGERCTGPRLM DVLRKELQTGYEDVEVLARELLSVAEGTWLREVGAWVVYGQLPQKSDGDFFIRRVDRR AVAGVGTGETGVTAQEEEEMKWEEEWVVEEYLLPGFVTPAAAASMLFIGRSLNQIRAK AVGDYSLRGKGHLSTQLERLSKLQHPLDAASFGRAISDIRRYLSRTTLQKLLPLSKVV ETLGLLRDFFLLRKGEFAMALTQQADEKIRSRWKRAENMSWEKRDKLGNVTVKEGEVA AVLSRTWAAMGNMRGEYDDAGDEEDEGVELARDLLRLTIAKRRAPSASGPAAVESGLV NIADTPFRNLLFSVPVVLTLKIPSPLDLFLTQADLQTYTAINSYLLSLRRAHIRLTDL WKITSLRRHHPAPPGPSRGSTRGGRETVLLLRQRQTARSDMLRSAWATASAAIFFLGE TEAYLQTEVVAGLSDGFHRWLTTGEDDYHPQSQTDLNKPAQPPAPPTSNTTTTTTTNN NNNNNNKNNNEEQEANDDDIWLNESNTSSPPPNASSFLGLHDPETLSHAHRLYLRTLV SLLLLSRPTFTDPLYELLVQIDQLVACVNRLHQVWQAADLEADVGVVDAFVDLAKEER DVQEEMEMVMREKGEYVPRRVGGLDRLLMKLDFGSWFGGGSGSREDYGIEGEIGVDVV GGEHSWS QC762_123140 MASGHNDPKLLYAINGVKAYHIANGKEQPLTPTGPQTLSLLMVP TSSVFADPSIDPETSNAEQDFYLHLHLPPELDLPLPATTQIYHQPPTSYLIPRWDLGP DSGAFTRIEFPSVQSRKGIQEDVDTFETILAQCTAFLERAPPPKIHGKSEKQWWEDTD QKVSSGGTGSKAAVATGEQLPAYNPADFKPGEAYARGSPSNTAHAPGQIVLVDEEDGS VIGELAENFQVVEHSSLKPGSKDPVEITLPADGGSNVQVAPISPELWDAELHPAYKNS FLVSNAHAASRLIITGSDMVAKLLQGQADNYTKRSEPAAKPMTFKPTTKEHIRRIGTF TGGAATLSAKTVGQIGKVAQNLGATLGGHGKKATTGHKGYGPDGKPLDTYKPGILNKS MMAFSTVMDGVEQAGRHLLASTSDAATTVVQHKWGPEAGEVSRSIGGGVKNVGLVYID VTGVSRRALIKSVAKGMVVGKTSKGESIVVGGGDGGAAVIDNDGGKSSVDTQSLSGMT VAEGKQPANGNGNGAIYK QC762_0023830 MLSEPSPEGLLTGSWLDASFPVPRWQMALHLQGFAYEQSSRRGL CDSALWNASPHSVPSNKKTATGHFFFFPIASFLGFPLQIAQAEEISNRRQRHQPNPRP FSDRSPNIPSTAAPVRYPYLRYKKKIPDLELSTSLSELGAASPDTDAPDPADTLFIAC WPLLCCSEFLSIWIRPSRCASHCRSRSRYRYRYLPYGVSVGRNHHTRATSTTHDPRPT AWPTQQAR QC762_123150 MMAGSPSSPGTADSRRGANAKRPAQRSSIACQSCRKSKIKCNNT GGDSPCDTCIRNGKECTYPEAPPVQPKRSEPPAGPKAEQGTERKRVRRMEDIVKMEGA VPAAMIAEDVLSMPYLNEAVWSQLFDIYRLHFATELPFLHLATLKEKLGSRFRAKPSD TSPEINLVLLGILTLTARFHQTLVSYVTVPKNASSASATPKPQPPGPDLKASVASEYY AEILTKALGGLRTSMTVASVERVQAFLMLGLYEWSQAVPRVGGMAAWMYVGVAIRMAQ ALGLGHGDGEPGKKFSMRPALARGPSIPHSQMIIAKEIRRRTMFSCLILDRLLGCGKD RVSTIRSEDLRIQLPCAEMSFDLSEDVFTGFLNPVPGIDKKRPISDSVLGRFVRLVDL WGEISRWSFAGGRFTETEAPWHSASKFCQLREKLEGFYADLPPNFQWSDSNYYKHENH QASSVYVSLHMLGAVCRIMLHREYIPFIPIRCDRPVGPLDEPVFTEGQEPAGFWDTSA EEIFRAARDIVDLVEICGDKLPMSALVLFSVWTAAFVGIYAVHFPHMDTKGHMLPSFS GDLEITKNGPTGTTFSTLKQMSRWLKLAETYCNYFREMACYYEKVKSDWDKHSGRPGN NEGKLISVRLGGGGLEEWQKQSRKIINNGEILGTGGPDDKQSSRDSTVEPNSHQQQQQ QQQQQQQQQQQHEEKPSRSTSFTPINSSNHHPQPYHHQSHDSNGKMEDNADNWRFHPH HPHQPSPSQSSTTIPSPEMPMVSFPTLPLGYSSNEIVSYVAEAQSMPWFHAPGGIDQF AHGTKESETLDNGNLFWGSIPEAILMGAGPGGQVQMGGGFA QC762_123155 MPPERSPRPSNAGITKVPAGPSTTGASGNTIVFALQRLTRRQER ELADRLAGTINVQHAPVREDHTRPQTLEEATYRNVALFLPIEYWPNQRNAESAAHRMR AALTSNQAFRFQNLGDPLRQHWYADEESGRTVQQMYKGWSDQFDEKLRQTHQNIRAVA NELGMTDEDSQNKVWKRMENVFGDAAKIKRLEKELASTKELVESYHTQYNFLDPKIES NARKIERLQTDVKAKDTLISKADAESDKYRVDYRLRMLLQHWGITNGDHLDPTRWQKY DNANRFAAEFQEYLDDVLNGIPTSSGNLLVQVRPPIGVKQLMRVASVHRTVHGVDLLA DCRVVALRLVSARHDEANTTEVGLLPKDLEGRSEGETFSQVYVPPPPPPTVSAVTTGM AQLTTSSQRAQLTEEQREERARAMKMEAQFLVEPGVTNEERTWQALFDGTVLQLYNLD AIACEHILVALTGRKSLTSRQLEERNILQSHVDFINKWTSIMEMVHLYAKKAQLRPGS WKLWGGHWFRIRNRMTEQCFGAHDTLAPGQSPWSRRTKRGLFHDYVGLFGQTCKLFNQ PALQTEESFKKELHRLDMERWNLYRYAIQTWTHGDARGGINDNGQYRGNPPKPTHPKK ANLWAEWNWIYETDQWKYAPRLDPKQPPGAPALWDPLIGTEPFKDFSPYGPVRLAIRF EEHNNPHANTWGIVNKKKHPPGWDLQKARLGVF QC762_123160 MASPASLSELSSRPKRTSQPQVDLQQDRPASNKDGSKSPREGDL TQSLQHLTISTTSSPARQPRAPRPSPLKPTSSYDYPSESSPRRPSSAMRSPLSNSSSV RSPSRAGTPALLRKASTNSLRSVNGVTPLRRASSASILSPTPSRSARSPLRSMSPEFP EKPILTPQAVANAHFKAELDALHGPDWNRPAETVVILQDACYGHRFSRPKTSKAQLST IVERPERVKACVLGVSAAYVRLGERHQDGDFPIAPEANPAQLPTIPFRIQKSDRRLPL TSQTVTNVHGTKWMEELKIMCETAESKLAVNGKELQRPDIDRGANTGAPQKLHEGDLY LCAETLEALEGALGGVCDAVDAVFRPQGPQRAFVAIRPPGHHCSGSYPSGFCWINNVH VGIMHAILSHGLTHAAIIDFDLHHGDGSQSIAWLHNARSAGLGKNAAWWKKTSIGYFS LHDINSYPCEMGDEDKVRNASICIDNAHGQSIWNVHLQPWKTEADFWALYESKYSILL DKTREYLRVQTEKLRAQGMNSRAAIFLSAGFDASEWESPGMQRHNVNVPTEFYARLTR DVVKIAAEEGTSVEGRVISVLEGGYSDRALCSGVLSHICGLAGDGPRAKQEVAPSGLG YEMGQKLGTVEAVKGNPPKEDRARQYDPSWWSVPELEALEAAISAPSVEIRKPRNFTP PTYSSPTQASAARAAVPPKNTRRSVSGFGVPNSHGHNYRAPTPPPPDVAWTTAALELS RLLIPSGRQTNSCTHEELGAEATRARRERQFALAQGAEDADPQTMPVDVPPPVIVERA PTRMSLRERKTRPTYLEDEDDDRKSRRKTVAGPAVLATEKKRPPPSRRLSTASTTVST AVSETVDLAPPLPTAPIRAGSRLEHVRSDSVASVRTDASGINVRKTRAPAKKEPVPRA PRVSKKHVGAPAVAAPELPKSGGEGGDEVDKLAKDMKKIKITVVSKAVRDARERERNA QAKLAATDTISVTVPTEPNENKPLLSVQPPENQTVPTTPSDTESTFISSPPASAAENY PLPALSSPGHYQPSESPASPLYPASETFSNSAMSSVTPTFSSPVRGVSPHRTTSTPTP MDMFVHYHPDGPTPESNVQQQQQPLQWLPPNVATPVSAMAPMKRGDLPVFSSTGAIPF SPGPPQTPVSSPPPPVAGRVGTPAVKNSPVRNLIKKMENR QC762_123170 MMVRKYLPVIALVQPGVVTAILEQYVEVVHTIDVQALHVNNFPP PNMTVQAMALQAGVTACSVANLVVTSCASAGALATTAPLGEMFDCLCCFSGTALYPAY SSCASYIYNSVEGATSTFSAMSVIWDGCLSVSPICSNRPTTQRTTTVDASTTRDVVTV GPSSTPRACASFANIVRSCSSKIPNFTAISDRELAECMCYDPFGSYTTVAEDYASTCA AWATTGRSTDYSIFAAFTTFCDDYPPGGATIRGSGGGGNDNGDIILTSAGSRSLGGNG NIVDLIPTASPTEPPPNDEESNATPTPPPSPSGPSGAMSLRDARYGLLSWIMLALSAY MLC QC762_123180 MAPDEFHLFPFLPAELRLQIWRLALSPRVVVLTQSFTSPTPPPT LLSVCHESRQESLRSLVLLPQINTYLHPGTDILYYPRPVSPLGYSTPSPPADICLELI AKVAVDYVSSEIRREWEVYNKYSFLKSFPNLKEGYLVINAQESSSSSSSSSGGGDRGN RAIELIDPRGDKEEIMGIMERVRESFCYELPAPSPVEEDGEGEKVEREEVEEEEKEKW VDGRIEYNGLELVPKAMVWGGCAGGVVGVCG QC762_123190 MWATARRMASTTRSASSAALTFELVGKCSTTRARASILTLPHGQ VNLPIFMPVATQASLKGLTPEQLEATGCRLCLNNTYHLGLKPGQEVLDAVGGAHKFQG WNHNLLTDSGGFQMVSLLKLANVTEEGVRFLSPHDGSPMLLTPEHSMSLQNSIGSDIM MQLDDVLVTTHPDKARMREAMERSVRWLDRCIAAHKYPERQNLFCIIQGGLDLEMRRE CCREMVARDTPGIAIGGLSGGEAKDDFCKVVATCTEQLPELKPRYVMGIGYPEDLVVS VALGADMFDCVWPTRTARFGNAITKHGVLNMKREMYAVDFGPIEEGCGCQCCRPVGQE GSLGITRAFIHHNAAKETVAAHLLTLHNVWYQLDLMRQAREAIIADQFPAFVKKFFVG LYPDGQSYPSWAVNALRGVGIELLER QC762_123200 MANGASIQLLELGNFCSSTRRLKERSPDLSRPRPRPRRQPGERF RANPATLTMVSRSVSPGGALLRASRMFSMPAPLPPPAAEAAQATFKSHSDTATSAYPT HQVITTLSHARKEGDWGLKRPLPLRSTTKSSTPMLRIKGIDTIEQITDYASGADHGLT LLKFQELGLPISTPSSFASSRHRTDAATRSVFEDDIDRTAIAPKDRAKLVDQRWRFSG PWLAGMSPGDFKKYLAERVRPRRAAFRMFLKAKIARDLNETARLKALDNAETEYDKVT VDSILEDDVTEYLRNVRNQNAVLYQLVGEFLDLAPLKQPTELTTEQMLHPPQHTYSTH DTNNPYAEHGPPKTHPSAGLSYIRTGAYMDNHPLYGPQKEHKPVEARVLRPRSQQSYS SAKLGVAGFVTETSEGDNAHNQKSGKSPLRHFDPDLKNGAKVYVQPETASVNSDGKLR IVLKDSVDAEAELVARELIGDGEGIFGQQRKEVEVVSQSTIRKSYSTKLSQGAQDYGL NSEN QC762_123210 MGRVRTKTVKKSAKVIIERYYPKLTLDFETNKRVCDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDFTQNSESGQL DVDTETKDLLKHLGFDAIPVNVIPVTQNPAVERGPRRFGGDRPRRD QC762_123220 MASTPNPPKSILKNPPPTPDAPSALELTGLTRAQAAQLLTLSKT ELKPPIPIETFELLSAAFPSSQPPSQSDISLLLSHLPNFSPSEYLDLVDERNCLNTCG YALCSKPRRNFPGKVKIRRSGVAKTEDLNKWCSDECALKGMYIHVQLEHPSYEWAGEK GEMKVKIRLREDEEPGVKEVEKAVEGLSLDGAGDERDKKGDEAHEKKKQAGKLAVERN GMGVDKVEVTIGEKEITQPPTAPTFTSAQGGESDAHLMVEGYKIGSKGKKPNNTGGEG EDDDDDDFIPSIRIESLNYGRP QC762_123230 MSFKVKALYEYNSGHEDDLIFSVGQIITVTDEEDADWYAGEYVD EAGNKQEGIFPRNFVEKYEPAAPPRPATRPQSKREHKPAAEAPIPAPESPKHEVAPEP EPEPLRSPSPEPTISSPPPAPAAVPVPIQSAQAPASPPAPAPAPVPVAAPAPPVPQPT EAPSAAKSPPAPKPAAVVSKPSAGPPPVSDKPTGNSFKDRLALFNKAAAAPPAPFKPS GLSSGGGSSFIKKPFVAPPPSRHAYIPPVTQAPVAKVYRRDEDPEVKEQEAETLENAG KAGLVGNTAPTSSRNEGEAADDEPKPMSLKERLALLQKQQMETAARHAEAAAKKEKPK KPVKKRLDSHDATAAEGEATAPVPPPLERRDTEDTTGRGSLDESHPPRIPHPGRRRSS RGVEPNDGNEADMSGAGETTEGLEELTEKEENDVRPKHVATAAKQDDDEQADKEDEEE EEEEEEDIDPEVRRKEELRARMAKMSGGMGMPGMGIPGLFGAPAPMMPKKKKAAPEKH IEEHEEPVSPTARAPPVPMPGIPLPGLSRPPPPPERKQSAAEEVEDDEDEAQLQTPHQ EAAPAQTPNEHSAPPPIPGGRSAPPPVPSLDSRPPPPPPTASAIKSPSEGSVSDDELS ERPNDESDTPKPDVAHATRAPPPPPPGAGGPPPLPPTSPRAAPRRSHDESPPTSPSAP AIPRRDSRAPPPIPGAAPPLPTQSRPPPPPPTAAPPLRRDSTADTRPPPIPGAPPRDE DSGDEEEITEYEGDYDTDIASSVPHKDALKAHQRESSFEDIGPRSPTSEAPPLPPTAA PRAGPPPIPSQPPPPPSAPAPSRKSVDAPRTAPPPPPPPKEAPPAHYDDDEYDPYNYS SSSQAAPAVPSFPMPSPHVRQNEETSAHETLQFSPPPPPPQNLRSPIPPPISSPPNRA PPPRKSLDVPRGSGGRRSVDVTRPSMESGFVANDIDLANQSGWWLQPNGLPSQLHGRK DIFFESEESTSSDPHQGGKTIVTRDIYVLFQDYSQTVITVRFNPQDPSDVQLDQRHEA PPRALRQDQLEESYERFGRAIGEAVHKLKDTVVGDGTPQGLIHELLRPHKDALHPVGT RAYGALVYSNLANASTSQNDEIRPGDIISIRNAKFQGKHGAMHAKYSVEVGKPDHVAV VGEWDGTKKKVRAWEQGRENKKVKLESFKLDDLRSGEVKIWRVMPRSWIGWEGGDNGG GATGGN QC762_123240 MLKSTNPTSLLLRIPKLASSVFAGPPSEKTGTASPSKESSSRMS TESVSASTDTSSSIPPPTTQPLLFPTHRKKNPSHQYHPQHHAEGAPRKHTPRMMAFPL GYKEAAHQWWTSLSSRQAEQNVLSFIPHVREATGPSVGARLLDLANTDPFGQRVWRSS MVQLSGKNRALNEFSIEREGEDVDNTLVMLHGYGAGLGFFYKNFEPLTRLPGWKLYAL DMLGMGNSSRPPFKVHAKTQKEKIAEAESWFIDALEEWRKIRKLEKFTLMGHSMGGYL AVSYALKYPGHLNKLILVSPVGIPEDPWAVNADMPEPETSTMAAEFTQDQESIVHQTP AGQNAEYVNAKASDKESVASQPNTSTTPPKRPLPGWLVWLWDANVSPFSIVRFAGPLG PRFVSGWTARRFNHLPSPEKQALHDYSYALFRQRGSGEYALPYLLAPGAYARSPVINR IQDVGRQVISPKTETTPEVQEHGFPIVFMYGENDWMDVAGGYAAQEKIKKRIEKELLE EGGAEKENGSVKVVVVRKAGHHLYVDNPEEFNEVVRRELKETMMVNQRRRN QC762_123250 MADTLDSIVKGAPLSSAAGLTSRNDLSKTSGVTAEPGPGGADEK SSSPTGDPLSHTPSSPSMIYLNLLILEASLRVQYLELRARRRHHTFFLSLLTIWTAGF GYALFLAPREDGSGVGGSVYWMVETTERMCFLGGVMTGLLVWLTGIWERGVRWPRRWF TVSNRGLRGFNCKLVVMKRPWWKEALSTIGWFLTYGVFSNNGSSYRFVDPTVLREVDR ELNLSKDSHPSVHVTNWDEEKGGHEEDLAPGGDYVKLLLLAKPFSPSFRENWELYRAE YWERENERRALLRVKLKERDLRLRREHGGWLWWLPWRKVVDKPSQHHPEKVAHHPHHP RHAAVLGEHKRTRSGSGTMRRGSMNLGTPGSRSTTPTLEVDDQYLGVARKASTSSTAS EKKRKKLGSASKTRPRVESRSVTPEITSPLAKESTPTKRV QC762_123260 MDMEREKSPIAEGVVRSAQTMRRAPEPPYIHIPVLPGRSGNPTL SIDPFSDLNNSSGAGDYTEEELRELFSHLDTERAIDPSLHWQYAHRRLAQPILNFLYL GPASSVKDHDFLREKGITLIFGVADARYKGILRSIQRAAEEVGIAADSVDVPHMQSMI GLFGQAAQKINAHLIAAARSDPTGQRKGKVLVVCETGNDRSAVFVTAYLMSMFGLDLV QAVHFVVLQRFCVSLTDDDKTVLQTYQDILKAKRDVTRSRGQPQDGSQGQENLRSMIK RRLSRATSSVQPQQGQGSTEDVFMEVDGDYSDDLERFRGGDEAGQRRDFAPFVSREV QC762_0023980 MPKNMCRQLFLLRKSKQPVALDTQNKSRLLNQSQRLGNGRGAVA AHVVGVQLAGHGDVTVAVEALDELLSLVAEVRLRGKLSLAGP QC762_0023970 MPSHISVEGEKPVYERITSQPENPFATLIPDQQIAIIPSFTLES GVTLRNVPVAYTTRGTLNPEGDNAMVICHALTGSADVSDWWGPLLGRAGRAFDVTRFF IVCMNSLGSPYGTASPVTAKDGDASKGNYGPEFPLTTIRDDVRLHKLLLDELGVKQVA AVIGGSLGGMFVLEWAYLGKEYVRCVVPIATSSRHSAWGISWGEAQRQSIYADPKYED GYYSYEDPPVTGLGAARMAALLTYRSRNSFEARFGRNTPDPSKRQAIRERPDPTTPSE AHFHIHNDGHKWKRSPPRTNSQSENIPLSPSSINGKSRADKAAEAEEAAPDPQFHGPA KESLTGGEVMPAQSTYFSAQSYLRYQGQKFVKRFDSNCYIAMTRKLDTHDVSRDRAPT VAEALALIQQPTLVLGIESDGLFTFAEQEELAAHIPDARLERIDSPEGHDAFLLQFEQ VNRYILGFLKEVLPDIMEKDVGDQGVEEEGVGVVTKSSTFGEAEVGDITAW QC762_123280 MMGPQRKRKDRVPPDSGSSRPSPHRPQDTSLGQHDRSFDGGNRN NRAGAGGGGGGNRNLRRENRRDSSQSHIAPPPSSSAPASISPTMARPSSASSQTPLPP LTTTVTPTPAPQSAPPSPILVDEDYTIVTDDCISRWSKGARQQIIEHGVQSREDEDLT EVASIFQELVWSVNTGRLRGADAGGVVREILGPEPSEEEREAGAFDPHTLFLDTVGSY SDTQTDSVRQQFQDFMMASGVSLSLMRVALDPSVLAPLELVRDTFFRMSIRTSTNLLY RQASYNLLREETEGFSKLVTEIFTTCSNEPASSEVVQDTFNKVMGLIGTFDLNPGRVL DITLDVFAAILVKQFRFFIKFLRFSSWWPRSQLTLPTDTYVGGLPLWAHPDHESPFNS EEEDAMAAAQRLQRDIAFWERARQVKLDAFFELGGRQLTAADEERLANGTAAKNAESE IEQEWIRITRTLPPCGNRDAAQMLGFKLRFYTSDARDPEDTLPANLLYLTALLIKVGF ISLTDIWNFIWPRDEEMEETRAEKMKELEDKERANRPGAAKNALMMAGALPDEGPSGQ PINSSRRDAASNKADTEMKGADSTEDKPKLPKPQDQKLHLLHCLLIIGALPEALFMIG RHDWVLEADPETVTLLHRILRHSIDVVYNQSRPTAAASTDCPPKAMPDMDQSGVTKGS IRVSTAPPKRPLKWPHVDKNDHDGSNYRFYWDEWADNVPVCQTVDDLFTLFDTLMNVV GVNIGLDADLMAKLSSIGTKSLADDQSPENVSRWLDILKRLLVPALSLGETNTSVADS VWNLLKQYPISIRYNIYAEWYQGSISRLEPIRKAFAKTRLETLSILKRLSLTNIPEMA KSLAKIAYPSPGIVCKVSLSQIESYSNFIEAFVECTKYFTDLGYDVLVWSVLSSLGGQ QRSRTQEDSVLLTSRWLQALSKFSGRVFQRYANVDSSPILRYVHNQLLQGNSTDLVIL KELVVSMGGVVSDLDFTDAQLHAMTGGELLRRETLINLGDKRAASTRSAERLMRALTS SKLAGQLLINIAQYRQNAIYAEEGGARIKYLATVVDDTHQILLQYLDLLKSNLDAVTF NNLVPDILQLMRDFGLEANLAFLIRRSSIRWEVKGSANSTELAKVAADEDGDVAMDAA DENGASGSDGAENGSPKTPENRVPESLAEALAPLIEEIPSVLPQQDWRYISPSFYVFF WSLHLGNLLFPQESYQVENSRLIKQAEEVMRDRTDMTRHGMNKKTQKRNEILDRQKLL LKEMNEGLTRFSKARVHLGRQVDSWFPAPITKADAASDAVLEECILPRLHLSPLDAEF CFRLVKFLHEFSTSNFKLMSLYDRLFNHNRLRAIIFTCTVREAEHVGRFLKLILGDLS KWHGDKNAYEKEALGLKEVSKTRQYLGFATAFDADGKPTEFVEHDAFKDLLFKWHKEL NTALRSCLNGMEWMHIRNAITVLKSVIDYFPAINFMADKFLEQLKTITEREAASKNAS ESEMGHRVDLSVTAQTAYSELQKRKSKWILVQAFRPGAKGDSTPTPGLRGSAAEFKPA GSRAHQAEVEDGEVTKDGAGKKKSDSRARDEKHILPKPPPPREPLRESNGPGQRVGPA NGPSGKPNSSRSNPTGPASSGGRPERHERHERPERPEPPKFSTLPPVGHGLPNKPDLP ARPEPAFPRGANDRFGATRHDRREPSARDARDFSRDSRDSRDSRELREARDSRDAHPA HPPLPSHPSHARDARDPRDPHGPREARDFRAPEVPRSERPRDFSSDRRATDAGPRDPA RASERDWSTRSELPPRWNEHGAPADRDARGPRDRAPHASGRHDPRGPREPPVGQSPQN SSASNASQDPPTHPDRARAIADADRPDIINPARAALINDSRDAPPRSGHRDQLRDRPG RTESPRRTDHSAANAAQPDNSRDERHGRHRHSDHHNTSREGRPDSLPSHPRQDRTQDH GSSHSRPDRNTDHTQPHGRQDRNIENAPSQPRQDRNIDNAPSQPRSDRNAERDDRTAG SRDASFGGPPRSDPDHGRLNQQDPNYGRLQPIQSVVDMPTGAPPSGPRGRGGRNTNRM GPANGVSMRPDTRPSVPESVRPASPERQVPTGPAAGRQRQQQRGNQFEKTNSPTVPTA PAAGVHPDRMRHITNQQAPSAPSPPPGPPTGPSGIHPDRLNQISGTPSGTASHSRPPI NTPDRPSMSAPNTGSRQAPPPLNTDFATPTGPAASNDRMRSGGRQLRNIQNMIDKTSM ENNRGPGLRMSRSRPNLAGSDAQILAGSSPVTTPVQERPEPFPRDSGRRDVNVDRAPA APVPIQVVGDSRSNGDDYGSSRNEHDRSRREHRSDRSNRPSRTSSRERNPDRDRDAKE PRDYNHRRSGVSSSGVPSAGRDDRDPNAPRRSGRESLPGGGGRDMPPAGPREPTHRGS NRSDGNAGPRSDAVQPGRNDGHGGGGQDYGSGRTGPPRGGMPQPRDSRGPPRQPSDQH GDGRNGGNDRKRRSEGLDSGNHQDKRPRRS QC762_123290 MPPPTTPKRQTRSSHSSTSQESRNRRSNDSYGSNSTAPTSLYTS PRPSGLKRSPASTGSSGTSRSYDYRNDVSPTTSLDSRSSVETYASTTASSEDIATLDD KSLDYEYNSIPPLPVYRRELVEPNVRPSTPQDFAKLFPSLNRLTIRHDEFTSDGNMNL RIDTVVTGRRRTAIQLFHLRMYDLAKREFSLRRYSRDSGREVCNSKRKYTEPGAPSRP QSRDHNERPTLKRSMSTAIKNLGGGKPAIRRAKSGMAALGRPGTGYSSSDADDEIFHD RSNLSRLSLDHHKAQRPQPTNTIKLEFSNYARVDVHRRGSKNNKRYEFEWWGHKYSWK RHFDKQLNSVSFHLIRDGNSSAPVAHIVPETRSPSQVLADENAGGWVPPCFMWIADET IVDAITDVADVIMSTGLMTLVDDCIKERWQTKKVHHIPVPLIHKTVSFENINPRAIMQ SIFGRRHSHSSDRPPSAPSSPLRFANPVPVY QC762_123300 MYGSGTGPQTGAVTPRSSASLRPLTVSHGSLETTFLIPTGLHYH ASELKTRFTASLPAPTDDLALDDEPSSIPELVARFMGFIANEVAEGEDDAQGSYEEVL KLILNEFERAFLRGNDVHALVANLEGIDAKKLEVIRSYFAARSATNRAMKSHQSALFR AADEDAARIYNIFGGQGNIEEYFDELRELHTTYPSFVGELISNAAELLQTLSSHPSAE KLYSKGLDITHWLHHPESTPDLDYMVSAPVSFPLIGLVQLAHYAVTCKVLGLHPGILR ERVTGSTGHSQGIVLAAITAACDSWESFEELSKSALTILFWIGARSQQAFPKTSMTPT LLQDAVDNGEGVPTPMLSVRDLPQSEVQKHIDLTNQYLPADQHISIALINSPRNVVVA GPPKSLCGLNAQLRKVKAATGIDQAKIPHTQRKVRFVNRFLPITAPFHSKYLEDATSL IDEDLKTVKIDASSLGSAVYDTNTGKDIRDSVKGNVVPALVRMITSEVVNWEKATVFP QATHILDFGPGGISGLGVLTSRSKDGTGVRVILAGTVSGTVPEVGYKSELFDRDEEHA VKYAVDWVKAYGPQLVKTSSGRTYVSTKMSRLLGLPPLLVAGMTPTTVPWDFVAATMN AGYQIELAGGGYYNAKTMTAAIHKIEQAIPAGRGITVNLIYVNPRAMGWQIPLLGRLR SEGVPIEGLTIGAGVPSIEVAQEYIETLGLKHISFKPGSSEAIQAVINIAKANPDFPV ILQWTGGRGGGHHSYEDFHAPILTMYGRIRRQENLILVAGSGFGGADDTYPYLTGEWS TKYGYPPMPYDGCMFGSRMMVAKEAHTSKAAKQAIVDAPGLDDAAWENTYKGAAGGVI TVMSEMGEPIHKLATRGVLFWAEMDQKIFSLPKEKRLVELKKQKSYIIKKLNEDFHKV WFGQKKDGTAVDLEDMTYGEVVRRMVALLYVEDEKRWIDPSYAKLTGDFIHRLEERFT TSAGQPSHLQSYADLDEPFAAVERILSHYPDAETQLINAQDVQHFLLLCKRRGQKPVT FVPTLDGDFEFFFKKDSLWQSEDLGAVIGKDVGRTCILQGPMAAKHATKVDEPIKEIL DNIHEGHIKGLTKDLYGGDASKIPTVEYFGGDLIDKPLPLDIDGLTIAVDASKHTYRL SSSPATELPDVESWINMLAGTERNWRYALLRSEVIVQGQKYQTNPMKKIFAPARGLFV EITNPDDPAKTVIVVKEQPRHNRYVEVIEVRLDGKDEIVVSLIKDTTALGKSVALPLR FKYRPEAGYAPIHEVMENRNNHIKEFYWRAWFGDEKFDLDAPVTSKFDGGKTVITSEA INDFVHAVGNTGEAFVDRPEKIMYAPMDFAIVVGWKAITKPIFPRTIDGDLLKLVHLS NAFRMMPGAEPLKKGDEVHTTAQINAVINQESGKMVEVCGTITRDGQAVMEVTSQFLY RGVYNDFENTFQRKVETPMQVHLASTKDVAVLRSKQWFVLDDASPDIELLGQTLIFRL HSLVRFKNRNIFSHVETRGQVLVELPTKEIIQVATVDYEAGESHGNPVIDYLQRNGSS IEQPINFENPIPLSGKTPLQLRAPASNETYARVSGDYNPIHVSRVFAAYANLPGTITH GMYSSAAVRSLVETWAAENKVGRVRSFHASLTGMVLPHDDLNVKLQHVGMVAGRKIIK VEASNKETEEKVLLGEAEIEQPVTAYVFTGQGSQEQGMGMDLYNSSPVAKDVWDRADN YLLDTYGFAISNIVKNNPKELTIHFGGPRGKAIRQNYMAMTFETVAADGSVRSERIFK EIDEKTTSYTYRSPTGLLSATQFTQPALTLMEKASFEDMKAKGLVPRDSTFAGHSLGE YSALAALADVMPIESLVSVVFYRGLTMQVAVERDEQGRSNYSMCAVNPSRISKTFNEE ALRFVVSNIAESTGWLLEIVNFNIANMQYVCAGDLRALDTLAGVTNYLKHMKIDIEQM RKDFQPEMVKEKLVEIIQACAKETEAKPKPLELERGFATIPLKGIDVPFHSTFLRSGV KPFRSFLLKKIKQTTIDPAKLIGKYIPNVTAKPFELTKEYFEDVYRLTNSPRIGHILA NWEKYSEDGAAALQGEA QC762_123305 MARHIRTQITPLSTGPLVVCTVWHSVLVARLMHPLNALYLAEPE IRPTREQRVPQRQPHLVHLSQRRPQCPTSAHHVSIPPSERPDVSRHPPTPANFSPPLP TQITALDSGAIGRSGEGPFAMHPFFRKAWLFLDPRLCVASRAFLQFGTYGRDLKHHLT DLVHHWAMPRVLTPSTPTYLRFIPLCPYVDPWLRVSPGFGGSKILHSASHGSTVRMPV EVHGEPAVPVWNLQLITTPRRRSAVSVGSTGTFGTASYKVTYWFNAILKAGPAPFSGI SAQPFELTGVRGSPGSVAEKVALCFSRRTHQVLARHEVRITYFVGPNVETLFAAQGLS VNGTPGDKLDCNSLPFGQSPRPTTTFHTIVCEPMSTEL QC762_123310 MRPEVEQELAHTLLVELLAYQFASPVRWIETQDVFLAEKIAERI VEIGPADTLGVMAKRTLASKYEAYDAAKSVQRQILCYNKDAKEIYYDVDPVEEEPEPV AAAPSSSSAPAAAAAAPAAVAAAPPPPSAGPAASVPDAPVPAVDIVKALVAQKLKKGA SDIPLGKAIKDLVGGKSTLQNEIVGDLGKEFGSTPEKPEDTPLDELGAALQATFDGNL GKTTQGLIARLISSKMPGGFNITTARKYLETRWGLGSGRQDGVLLLAITMEPAARLGS EADAKAFLDDVSQKYAASAGISLSTAAAAGPAGGSGGGMMMDPAAIEALTSDQKTFFK QQLELTARYLKVDIRAGDKAFQASQESSKVLQAQIDLWMAEHGDFYASGIEPVFTPLK ARVYDSSWNWARQDALSMYYDIIFGRLQAVDREIVGKSIRIMNRSNPALLEFMQYHID NCPTERGETYQLAKELGAMLIENCKEVLTADPVYKDVAVPTGPRTTVDARGNMKYEEV PRASCRKLEHYVQQMAEGGKISEYGSRTKVQNDLSKIYKLIKQQHKLPKTSQLEIKSL YSDIIRSLGMNESQIIPKENGKGASAAAGLVKKSKPKGKTETIPFLHLRKKTQHGWDY SKKLTSLYLDCLEEAAKDGVTFAGKYVLMTGAGAGSIGAEVLQGLITGGAKVVVTTSR FSREVTEYYQSMYARYGSRGSQLVVVPFNQGSVQDVNALVEYIYDPKTGLGWDLDFIV PFAAISEQGRQIDGIDSKSELAHRIMLTNLIRLLGNVKAQKAARGFETRPAQVILPLS PNHGTFGSDGLYSESKLGLETLFNRWHAEDWANYLTICGAIIGWTRGTGLMAGNNMVA EAVENFGVRTFSQQEMAFNLLGLMSPTVVDLCQNEPVFADLNGGLQFIPNLNEVMTKE RKSITETSEIRRAVTKETAVENKIVNGEDSEVLYKKKVIEPRANLKYDFPTLPDWKSE VAPLNDKLKGMVDLDRVVVITGFAEVGPWGNSRTRWEMEAYGEFSLEGCIEMAWMMGL IKNHNGPIKGQPYSGWVDGKTGEPVEDKDIKAKYEKYILEHSGIRLIEPELFDGYDPN KKQLLQEVVIEEDLDPFQTSKETAEEFKREHGDKVEVFEIPESGEYTVRMRKGASLWI PKALRFDRLVAGQIPTGWDAKRYGIPEDIISQVDPVSLYVLVSTAEALLSSGITDPFE FYKYVHVSEVGNCIGGGLGGSTALRQMHVERYKDKPVQNDILQESFINTIAAWVNMLL LSSSGPIKTPVGACATAVESVDIGYETIMEGKARICIVGGYDDFGEEGSYEFANMKAT SNSVDEMAHGRTPAEMSRPTTTTRNGFMEAQGAGLQVIMTAKLALDMGVPIWGILALT TTASDKIGRSVPAPGQGVLTTAREHSGKFPSPLLDIKYRRRQIERRTRQIQGDKEAEH QYLADEAEALKSEGRSRGEIEEYVADRARHIEKEAERQTREVLRSFGNNFWKQDPSIA PLRGALATWGLTIDDLDVASFHGTSTKANDKNESSVICQQLEHLGRTKGNAVMGIFQK YLTGHPKGAAGAWMLNGCLQVLNSGLVPGNRNADNVDAIMEKFDHIVYPSRTLQTDGV KAFSVTSFGFGQKGAQAIGIHPKYLYATLDQQTFNSYKTRVEARQKKAYTYFHNGLIN NALFVAKDKPPYTDEQLSQVLLNPDARVTEDKKTGQLIFPPNFMKLSEKTASATPASP QSGKAALEMMIGQAARALETANTQVGMDIEDIKSINTNSDTFLDRNFTEAEMKYCFSS STGRSPQKAFAGRWSAKEAVFKALRVQGQGAGAALKDIEIVSDSTGSPTVKLHGYAQE AAQKAGIRYVNVSITYTDDHAAAIATAQL QC762_123320 MGSIGFPTAAVSETRPPAKNKIFLGTFIHSKTLGELEYLHNAAV AVDSLGTIVAVSPDTDIAKAKSTLLPQLNWPSDDTDMVVAKAGQFFFPGFIDTHLHAS QYPNVGLFGSSTLLDWLNTYTFPLEASLSSLPKAQKVYSRVIRKTLSHGTTTAAYYAT IDVPATNLLADLCLSSGQRALIGRVCMDQLGPSYYLDESPAESLAKTKQCIDHVLSKD PKMELITPIITPRFAPACSAPLMKELGQLAKETDLPVQTHISENKNEIALVASLFPDA GDSYAEVYDTFGLLTPRTILAHAVHLTEKEADLVTERKSKVSHCPCSNSAITSGAARV RWLLDKGIEVGLGTDMSGGYSPSVLEAARHAVLVSRHICMDEGKSEERDKLSVEEVLY LATRGGAKVVGMEDKIGGLEVGMEWDAQLVGLHEVDEEEGEEGNVDVFGWESWEEKIA KWVYNGDDRNTKKVWVRGRLVHERK QC762_123330 MRATTTILFIASLASTAFGAATKMFDDENCQNEVDKKVFNGFST GDAPLTDNIKSIRTDSRVDTWFAYQRNDGEGCKGDLITRVNNGDCIKVSDLGIGCTRL CASGLGAGSCVATTIP QC762_123340 MSTQGLLAKGVPPQPLWLLYIKIAILVLSLVTLALGAWAVSIFG GYAGGYGGPTGAGGLVIFTAIWSFIVYGGAAAIEILAPHFFYRIGALVGYILHIIFWL SAWAWSASAASFWLSYTYGIGFYDSAWKREGQALGACAGLGALIWVLSIVHLVFFIRA SLADPEGSGPAPGTAGQAELGQVKPEQQYPAQQTYPVQQTQ QC762_123350 MFTSKSLTALAIGLGAIASQAKAEKSTFDSDLTWVSTDSSLPKV VIYNARGTILSASKYGRLDNINYGSGPPVTVDHMIGNVTEVLKVAQLAVVSFPVTGGS AGLNSSLYLNITQHANRHLCTEGSDIAGGIMFHGTNTLEETAFGVDLTLNCSKPFVAT GAMRPDTYISPDGRSNFFQAVATAVSPDARDRGGLIAFNDRITSIYYSTKSNANTPDT FRSIEQGNVGAFLGGQPYFYFSGPSYPTGRPHFDVTNTTELPAVVVLYGHLWIEGFDA SLMYAAVANGAKGIVHMGSGAASLSPSAREAAAELYKQGIPVVTVTRSVTGTGMPGIE KGPVFYASYLQGPQARIMLQLAINAGYTLDEIRNLFEAPLRSAIYAPAPNSEWFAEV QC762_123354 MVRRPGTHSTSSESSDWEDAQTRETGDWASKHYSDSDSEHWSWS DSDSERSVGSNSDVEAEAEAEAEAEAAVQERIEAMMNTGTVHSQLVERATEAETPSIE SKAQLNVGEVTSLPLVFPSAPVRSPTPERVQAQTQPAPSTASPPSPGSSVVREAALAT APVPTPVTTTASAPTPNLEPTPLSPKARAALALRFYTLRAQLEASLANELAALPPPRP PFRVMVERFDAYEKAYLAAEEADRLYRLNHGQSPKGLLARLLERFCGDGPLSQVAFER EVPSPATWDWLQAEIEYRPVLEERCRKEKEIRGRYEEMRVKARRQYLETLKKGGVWLK EMEKM QC762_123357 MEVELPLMKYARNIKNDKTFMPKGGYLGFYTSHSYSHTSETFSV SAIKGIDRAIWQGFLSLGYHVDLRPVLITERYEDDSYRYVMGKYFPAETYQNWQVDDE THLKRLIKSWGISRVKFKEVVWMNESHDEHKQRQLMYMVYGNEAPIHSDYSYCTVIVH VPEWDNQLGARAKLTSDPDNESECVSSLDFADTDREEAMAMDESEEEEEDESGSEYGG QIHACFLQPEIEGMSLENLWAYEVVKARLVN QC762_0024120 MLKHLCALGFLYTYVCLISCETDFHIANETRLLPRNEDDSPIKW ADWKALARELLQVHERDPDVVHPRFLRAELRLSRINTIHRFTRLPPFHPYVRGWHNYS SLFHDNLAWMATAAVFLAPVLTAIQVGLATERLQQDTTFQQASYGFTVFAILGPICTF GLVALGTLFNSSMTYRCSSEDGATAQCMKPRVKLVTRRPDYQKY QC762_123370 MLGFVIILLTTASLLHLFSDYSFSSSLVSFHILTMKAVVILAFA TLGLAGVVGKGHGGSGGGRGGPPGKGHGRPHPPKPTPKVCNPMDSCNREILDLGRKPV ALETRSADCAEYLKVTVTGAQRTWTETIYTTSGQIATTPAPAPVVTAAAKPVPAYADE CRRDDDYASACGCLSVNVTTTTVRAAPAYEYHFGPAENCDEIAVREIPECAHECFNTL TPAWGCTGIRDMECQCGVNFQPIAMAMGECVLERCTQQEYNAVYPAGLNACRCAAASA NLPQPTIIL QC762_123380 MAPTTGGAKTVVAHRNKKNSKSADATPKKPETKTLKRKRGQDEL EKLKAAIQELDPKSPNLTKFTDLPLCAATASGLRASHFEVLTDIQKAAIPLALKGHDI LGAAKTGSGKTLAFLVPVLEKLVQAQWTEYDGLGALIISPTRELAVQIFEVLRKIGRN HVFSAGLVIGGKSLKEEADRLGRMNILVCTPGRMLQHLDQTAGFDVNNLQMLVLDEAD RIMDMGFQSAVDALVEHLPKTRQTMLFSATQSKRVSDLARLSLKDPEYVSAHEEAPTA TPTNLQQSYIVTPLPEKLDTLWGFIRTNLKSKMIVFFSSGKQVRFVYESFKRMQPGIS LLHLHGRQKQVGRMEITRKFTSSKYACLFATDVVARGVDFPAVDWVVQVDCPEDADTY IHRVGRTARYESKGRAVLFLDPSEEKGFLSRLEQKKIPITKVNVREGKKTSIKGELQS QCFQSADLKYLGQKAFITYVRSIYVQKDKDVFKFSKMDLDGYAASLGLPGTPQVKFQK GAEEMRRANKQASRMAFDVGSDDDSSDYDAEGKPKKKEVKTKYQKMAERQNQDVLSSH YRKLVDEGAEEDDEDDGFLSVKRVLGDKELDETAEGINGKEIAGAKVVKIGDQEIIID SKRAEKKLMSKAKVAKMMGKGQKLIFDEEGNAQPLYVLQGLEDFQKEGDAKELRQKFV EAEGERVKEADVEDKELARKRRKEKRDRAKARERGEEVEKERGPITQLDSGDEMDEDP LALLRSLPIAGGGGEQSEEDEPPKKKTKKWFQNDSDVEDQPERKKKASSGKKVIEMGY EPDNLQDLEALAAGLLED QC762_123390 MTDALSSPTTSLHIALNLGQIPISRGIGSSKSLQHSANSPSLFT MPPRQHPGRGSNVPSPSPQQHGRAGTPSSVRSSRKPIDTTAVRIDMPVKYSTSYGSAM ATFPDRVRIMGGGNVRKAVHGVIDTVIKDNEAANARLLAKEKELASRTNTSSAHTQQP VQPLPPVQQPQTVRRSQVPGRTTLSQQISRSQSEESDQQGGDRENDGPSQQTLVDSQQ GATTSMNRGIISDNRSRSGSARSRSKSPPTQNRKRSHDSVSPELLDVTSPELDRLTAR VDALRQSNQAEAEERAEALKAQHAELEKQRRKEEREKKQAELLKRAIAIDKARLAALA GNNSAAGSQVANPHQQQPLTTSNPLPFPPNFSVHSPSFGAPIRIPGGEVPQPPGYSNA PETTDGASRSWQEETNVAVVDSAAHVRVGSPAVGHVAAKPQPGSLEDALGTMGQANRT ISVRGGMAGANPGGFTMANVSRRPGWRARAGVDRAPSISNPAVPAARVGTAGRSAVGG GGGPRRDPDSSDSSSSDEDDRAGNADHGGRYTGPRNNTHGNRAGRWPTEGGGVNRSTV AAATAWVGDVLGKGGDILSRIWWPAVKWFLAASFVVAICSFLLGAGGPIRGMMPSSPY TKGGSLPDMAVFTEQQYNDFKAFWEKRATATELALKSVQSTLPKVVRVTKDRDGNIIV AKEFWEAILDRMKHEPSILRLEKGKISDAHWDAVRSRIKSTGLDHSFEDWFEKNKHRI TSLLSGHPITKPVTSEADTYQDTVTSRQYVENLQQQIAISRKNFERDLEALRKELHGL IEEQKHKTGGLSKKEIQKLVKEIVGKELGSSPIRPGKRSPSAAIMDMLNRHVNWFSFG NGAQIDSSLTSPTYRIARPAMGTVAWFRAMSKKPQFLHDSFHATSLWTDSGHCWCAGI YAGKNKQKLPADIGISIAGLVIPKYVVVENINPGATTDPDSMPKDIEIWAKFENKAKN SQMQKWMNTQFPTAAANPRNAGHLREEFVQIGKFEYEYRHVDNGVFIHKLSDDLVTLD AVVDTVLIRAVTNNGSPDHTCFYRLRLYGQEVDEHTGKHIGSSSW QC762_123400 MAVGTVLVTGGTGYIGSFTTLALLENDYNVVIVDNLYNSSKVAV DRIELICGKRPLFYEVDVTNETALDEVFAKHPEIDSVIHFAALKAVGESGEIPLDYYR TNVGGSISLLRSMEKHNVTNIVFSSSATVYGDATRIPNMIPIPEHCPIEATNTYGRTK VMIEQVITDQIHAQRQKNQKAGKPFEQWNGALLRYFNPCGAHPSGIMGEDPQGVPYNL LPLLGKVATGEREKLLVFGDDYASKDGTAIRDYIHVLDLASGHLAALNYLREHKPGVR AWNLGSGRGSTVFEMIKAFSKVVGRDLPYEVVGRRQGDVLDLTANPARANEELHWKTQ LTLEDACADLWRWVENNPRGYRQDPPAELLDALKASKHKN QC762_123410 MAPIPKSMFGVVIPRPGGPDVLEYKTGLPVPSLGEGQILVRNEY VGVNYIDTYFRTGLYKPPSPSSNSNHPSTNGSNDTPSPYPLITGKEAAGTITASSHPS YPVGSRVVYLADNTYAQYTLLTFPLTQATILPASLTPETACAAYLQGLTALTFIREAA GITQQLGISEGPWTLVHAAAGGTGSMLVQILAVMGAKIIATAGGKQKCELAKKLGAGW VVDSSLPQEEVIRQVKEITNNKGVDVIFDGVGKATFGTVDLDVVARKGTIIVFGNASG AVEPVDLFRLMPKNIKIMRPSMPGYITTPEEKETFTSELFDLLVTGKIHANIHQVYPL KNVAQAHIDLESRKTTGKLLLKVE QC762_123420 MTMTSPFSHDGGRRARLQQIAAAWGIHPTIPPVTVQQRPPQRRT PQDDFQAEELLKRQRLSASADGNKSSQNASIKRAFSSNKKPSGTWDPNEIFEALDALV TNRGAPGVADALIAKLVNAGGNVNVSTIKNKTNLLTRRKSLENLERSRVLQRAVQNRH TDMVAVLVQYADPLTLDAALPLAIRSGDLEMLAMLLHQGANSTQTQDAQDAFRQLCIM GGHADLVGLILQSEGRPSSQWISMSMVDATRKGCFHTVMRLSRSTADGDYHKAEALKT AISQCRVDIALAILTGTKPPTQGGQGVLESFAQLVEHPSLGPNDRLPFTEALLCAGAS GEPIAMALAQASVNEYYDMVDLLVSYGASIEHQEAAIVRHALSTGRTSLAQLLLSERS TLSPAYASECLDSIPKTIAPEDRHAMLSLLLRKGASGPPLHEVLIDVVKANDLQSAQL LLTPQFPGGQPASSHNLGNWKHGVVAVRHAVASVDHRNGLALSIAVQMGQLQMVKQLL AGRPSTQTLDHIFPLVRALQPTIRYQIAEQFLTAGLSSPCVSVALQEAIEEQPPRRDE NFISMLLRFNADVNFNDGAGVLSAIAIRDLPLLERLLTNKPAPQTMAAAVMKAMEEPD REIRYRMLSLLVPSAGRGETSQALVHALAVKPVDMQLVRLLLEQGRADANFDHGASVT SAINDPEPSLLELVLQYGGPSPDTLFQGLAMLSEVPTNQVKATKVDSILRRTRDKNIL NAILVKEVQTLLKITPEKRQLGVISSLLAAGADVNSNKAAALSCAVKAADSAIVDLLF TVNPNATSLAAALPQSLNILDPMDRLTFTQKLIESGAPGAEANRALVYAINAHPNDLP LISLLATHADSSGGDALLTAIKKENPEIVQLVLDKSSTVYSQQVLQDGLVDALLVANK TKRVAICKALLVKGVKGQIVSDALLSAASDGDIELGRVLSDYGASADHRDGQAIVEAC GAGAKDVMEMLLRGQGGQVGEQTLIKGFLAASQVVDLKRREDVFRILLQKGVKGEVVD AQLVSAAKFGDDGEGLVRLLLQHGASVDYNAGEAIWNVTRGANMGCLKLMLGIDSGRE QEKKPNKVTLLRSLKASRKLSRDSRLQVVDWLFQAGMPPCEEVNIALNKVVKEDPDLR LVGLLLKNGASPLSNGCETLTDAAQMLLVDVLQLLLAGDIPQKDVSWTFKQAFTPQAS VTWFTEKGFLVAKMLLAKGAEGESLTLALMTAIDAYDAEKDELARRFASLLLQHKVDV SYEDGIVLRKAAQRADADLIRQVLQQNPDSRAVSIAFGYIFDHADLSEEDTLRLITLF TEYHDGEERLDVMFPPAVGTEPVLFRALNKFPRSAKIMETLLDAGYYHDQLTTMRVME GIDEEEQVNLLFWAISQPQKRVSNSVIELLVIRGAKVNFETKISKTTPLMLAIRAKRQ DVVKCLILAGAEVDVMDVTGNTPMTMATEMGGELGTSIMSNILAADPNASQNDGSVHN AARELNLQALKVLVDFGHDVDFPSTLHGGRSALGELCLNAASGGSLTASQEKQMQKCM SYLIREAGSDLTIQCEGKTVLLLALESLDPIATTSALLKTGVWKYINEPYNQFTDGTY TYSPSQYVQRVLPNIKTKAQLLELLKANRCKDVFYGNDPVQPQPEGAMNLPPELLRAE RERRALETRIQKEKQEHNAALTRQKEIADFQNQLFKTRAEIEDSRTRRMRQDELDFHQ TRQRQADQAFAKELQRRKAEREANVEAEQRLTEAGLTRARLIKEAELEMEERKQEKMI DYANQMSSVRVREREAIDRVDAASEARMTKRLQEHRRLVEGQNQLAGRLQNGVDGQGR RLGGYITGELD QC762_0024190 MMASLCRLLALLSTNMVKVFRIGGQLVFAQTSKLLDPRRTVYRL ELKQPLFLPLIQFFATCYPRIRTYYPGWFLPPIIIVKKRKVGWEVELDREKQVYDVLK PLQRTVIPYLYGEGVYDGSPALVLSFIRGKTIYELLREGRLPKDKDLEPLRNSLQDAL RALTVYGVEYTDMKTDNFLLTDYGRATVVDLEQAELNRANFWEGSTNNANVDYLMY QC762_123430 MNTARFRTLFLIMTRKTATSKEIAMWHVRPTDDERLAPSKLYTK HSFCSLQVLLFLFRNIQILINTRFSGFSSCLLYYFNIMAASHTDAGRSLAQGDSTTTL APSVPPTAISSAQKLNQLFDSPKEPKQITGSIEKTTATAAAAAVEDRAPDGGYGWVCT FCSFMIHANTWGVGSPWGIFLDRYISQGTFARVGRFEYAIIGGLAMAMALIVAPLANR CKIALGTRGTIVLGSVIASIGVHTSSTASKVWHLVLSYGVCYGLGMGIVYIPTLSVVG PWFSTHRSLAIGIATAGSGFGGLGYSLLAGKLIAMYGIPWTWRIMSYMMFFCNFFCGL LVRESPVTKSPVNNPVAAKSSSFGFHIFTRPQVILILIWGFMVELGYVSLFFSLPSHA TSLGLDLNQGSIVQAVLSLGIGIGRPCVGWVSDRQGRINTALLMTLFCGLISLTLWIY ARSYPPLLVFAFLAGISSGTFWSTANPIVTEVVGLRQSSATYSAVCLVMALPATFGEA IALQFVDESRTDDAKFLPSQVYVGCTYFVGAVALLMLRAWRVWQLQRNLAVVEDAEVA GVVPEEKRVYGWMMPRMWIKVVKV QC762_123440 MENTTFTTEAPRRRNAFKRFADKIAVEQEPGLTTAQLMLTNHDL KPVEPERRQWGPWNFVGFWIADSFNINTWMISGTMIVGGLSWWQSWICVWLGYAISGF FICLTGRIGAQYHIGFPVVARSSFGIWGSLWPVFNRAVMACIWYGVQSYIGGRCVYIM IRAIWLSWDRNTIPNTFPENSGTTTADYASFFIFWLCSLPAIWFPVHKIRHLFTVKSY VVPVAGIAFLVWAVVRAKGLGDIVRQPARLEGSELAWEFVKGVMSSIANFATLIVNDP DFSRFAGKPKDALWSQLFTIPIGFAVTSFIGIIVSSSSTVIYGGPPIWDPLVLLEKFI DDSGSGGRFGVFVIATAFALAQLGTNIAANSVSAGTDLTALLPRWLDIRRGGYIAAAV GLAMCPYTLLTDSNQFTTYLSAYSVFLSSIAGVMISDYYFVRRGYLDVKELYDARPTS PYRFTYGFHWRAYAAYIAGILINVVGFAGAVGTEVPIGATYVYNVNFFGGFGVSAFVY WGLCKLSPIPACSDKWMEVGDEIDDLRVAYDADNASGTGSQEYVPGKGEKDVGRVV QC762_123450 MLLTILLLLPILGCAAERLFFHRSGDCWDGADTISCRDIPASVC CQASDPWCGVVHCEGCPNGSTVAGYFQNSCQTKANGRCEITHPFSQEGLLGCCVDLGP YDTCAGQWYPSSSMDVATTSERICVQPNVMTYVDHDHGVKREIHIPQGELKRATQLLL ARDFGGLRAFENWAHQSSDE QC762_123455 MYLFDLHHQIHLLNLDNKWPLPPQIMPPHNDTKTASSYSNRHPT IPTPTPFTSTHPPTRKSPRAISPTSDDNLSALPPNLASLTLSSSAQNRTIILGLINFP PAAISAITNLANTSFPSIIDGKSSYIKLESKTIAWVVIKIGMRATLYSKKKFAEFTRQ WVGFLCGGLKELWYHGWGFVTVVQNPLEPPTVGRKVLAQKQNVDVQNLVFRSDGTRER ERYEWLGMSLHQLGEVLVVGGLPARVHKRIAKSFFPMGLGKRKRKWGVKGKYKGYQRL SFAGRKYLGPKGAEDETVVRLVEVVEDEGWELYASLGTWPGLVLFRKARAPGSRVPSR SLGSEERVVAMSEVD QC762_123460 MHPSLAVYRPDSGPDSSFPERHLDDPRDSPSEWPGQQDIAHFPP INLDFAEAGLSKIENFESNSTSLWPQGWMNQQRSLLHRQQISIHAGSIELPILHPSFR QTTSPVQAEWDLFRASSEQSPGYLQWNAPPRRSTTEEDSDSNQSQHDSVEEFCPPLST WNATHKARRRSAHRITKPTRLPVVPVVPQTIMSDSQPNESSPDLFDFTSTNIFCAQPS HDPMVLNHGNGATNFALPQGEEFTAEKVHSKRIAHKLSEKTRRNRLTLAIREIQKLLP SESDRDDMLLPDNELLIRPGVPSSKLDVVEMAIGFIRKLKEENVGMTKKLRELEQKSA QKCRCQKEEHGQEKTPPVEEPEDEAKE QC762_0024260 MRFTIDQKHGIPLVQMIWSSVTDKLPGESLGPAMASGTSTMPRN LCHCLTSAEPLLTTTFEDFVGRPCAAIEPSDQREIGKEDLGDGNGCKILQRNHLFPGK YTNHDPPNDRLCYGVRIGAAELCQNPGNTVTNQGIPVIDMAKISVPQDRVSIA QC762_123470 MAHNTTASRVKRFLGIRPEDELHDADFYDDGLYLESEPSAKEAL AHLVPTADGIKHYFRELFPFWGWIFHYNLTWLLGDLIAGITVGFVVVPQGMAYAGLAN LPPEFGLYTSFVGFFLYWAFATSKDITIGTVAVMSTIVGNIILDIRATQPELEAEVIA RALALISGVILLFIGLTRLGFIVEFIPLTAIGAFMTGSAISIAAGQVPTMMGISTVKT REETYKVIINTLKHLGDTRLDAAMGLSALFGLYFIRWFCGFMGQRSPTRSKMWFFIST LRMAFIVILYILVSWLVNRGVSDAKNAKFKILGNVPSGFQHVGAPEINTEILSAIAPH LPVTVIVLLIEHIAISKSFGRVNNYMINPSQELVAIGFSNVFGPFLGGYPATGSFSRT AIKAKAGVRTPLAGIFTAVIVLLALYALTSVFFYIPSASLAAIIIHAVGDLITPPREV YKYWQTSPLEVVIFFAGVFVSIFTSIENGIYVTIAASGAVLLWRIAKSPGSFLGRVQL QHASRDSILKKENVDQSALDGEKHSAYLDLGRRDQSNPQVPITSPYPGVFIYRFNEGL NYVNCARHLDKMTVYIYKHTRRTQLNKYDKIGDRPWNDPGPRRGQVVDTEEIASKPKL KAIILDFSAVNAIDVTAAQALVDLRTQFNKYTDPEVVEWYFAGVTNRWTKRALVAAGF GVDRGHGVERAGGAREDVVAVAGVDPDVTVGRKEKERGSGDVDLEAGHAGSGEKRDEI APVSSGESRPSGKRLAPIYGVNRPYFHIDVETAVASVVRNLERRRDTEDSSLEVF QC762_123480 MPFALQPIAQWTAIFGPAVFTGITLTHSTTLSLLATSPVLSPPT EEKLLAKQWHLIYRQGPSWVPPIINSAALSNVYLWYNHSQTRLQGGLYLLSAGILWGT LAVTFYYFETGINGACKWRLARLLKDDEGTGKIVIKGMKKGWIIPSVNGHTASEGSKK WGEETGMRELVMGWVRRNQWRWIAVGVAGGISGWASLGRFS QC762_123490 MIAPSSPITFPASSSPVKAKRPRPAEPPKQHKPRVLAGFLADDG SDEDDNDDTTQQPLPKRRMLDYDTQNSEVTAHDGNETQETTPPESQAPQESEEEAERY DRLFGTQVTQIFPSQPTQPLPDFLQDDEFVPAPYGMSTCSGKTIPIRERKPTESISYA TMVAARSRTKEGRAKKSYFGIDIHSLMDKAAQELAKPRKKTTAPIAPPVHPDEPLLSV EPQAQPKKQRRTLLWTEKYRARNFMDLVGDDLTNRQVLRWLKKWDPIVFPNTAKTRPS ARRQQQQQGQEEEERLHRKILVLHGPPGLGKTTLAHVCARQAGYDVLEINASDDRSKD VVKGRIRTTLGTETVRTLENKKAGETGKRDKIARPICVVVDEVDGVVSGSGAGGEVGF VRALIDLVTTDQKNSSGQGGGKKKKGDDFRQMRPLILICNDVYHPSLRPLRQSGLAEV IHVGKPTVEAVVTRLKAVFEKEGIPCDRDAARKLCEAAWGMTSGQDVRKGAESTAEGD LRGVMVVGEWVAGRLKATSKQATPSLTRQWIDQNVIQDLAHGGGGARGIGRGGVREIV NRIFQEGAGFPKQSLPVAAKKNALHEQPQAQLGISEQTKKYAMSRLREMIDTSGEVDR IMTEIFLEYPNRDFNDDSFLTKPDIAYEWMHFHDTCSSRIFSAQEWELAQYISQPVLA CHHLFASARRHQPAAHERKWGEDDPNEAPPLPFSGPRADYEAREAEKLNRAILQGIQD SLPPSLSRSFRSPEDIATDFLPYLVRLVSPDVKPVVIGGSDKTGSIASVRREGEKAMV RRAANVLAEVGIQLHKGKIEDGSGGLVGRTTWVYRMEPDLDTLATFETASASQFLFSQ APPTRYAVRQVLDQELAKTIAARETASRQARLRAGGGIIPHIDDNNKENTPDHLQKLE MLKQQDKIAVKKDFFGRIIVLKPVLKDSSGNGTKNTGADGEKKDRVWVTYHEGINNAV RKPISLEEFLRGF QC762_0024300 MPSTEVALSFGRFWHLSGETRARAWDEFMSLEGSDKSRLVGVDI MDRNLLGKKERFNPESNTWAWATRDELRANVLKMLRRPGTNYHPRVGWHVRQPSVLYH VSREARAHACRRFHTSLLHNGEVELQNAAVRFNPEVDNLSQNFKFVVKPKSPSTLASG IPTLRRPLIHGWSPNPDNQAFHSILPVVFTAPTPRKYPYGDSLCLELCGTKIIWSLKT TGGCS QC762_123500 MSSSLPSHNQPGESSSLLPSYTPTTESASRSQRQQTEQTGAMSE INTLLTGAAFGAALTASGVFQPSVIISQLNFTNFHMIQTFLTAAAGSAATVSIAQALQ PNHPNLVPRAASSLGLFGPYDGNILGGILLGSGMMLSGACPGTVLAQLGVGVKSGVYA FAGASLAGVVWSGFLKPLLTQCPTPPKAGSASPSPKATVHEVLGVSKGTLLLGLEAMF VGIVIAAAKFTEVGPEAKIPPYYGGMLIAGAQLLSLVVRGCLVGMSTSYEEVGGWMLG GKLVPEKYRNMLFSAGVIVGSYILSHGAPKFGEVTDVVVSPLSAAVGGFLMILGSRMA GGCTSGHGISGISLLSMSSFLTVGATFAAGGLMGLLIG QC762_123510 MASPIVHPIFEPVTGTWQYIIADPSTLAAAIIDPVLDFEPARNT VSTATADSLLDIVVQNNYKVGYLLETHTHADHLSASRYLQLKLAQSTNPKTGVGKRIV QVQTTFAQKYGVEQSELEDVFDFLWEDDQTFRIGDLEAKVVHLPGHTPDHVGYLIGDN IFCGDSLFLPDVGSARCDFPGGDAVQLYHSVQTLFSLPPHYKIYTGHDYPSGDRSDPV PYTTVAEQQERNKHLKIGTTQQEFVNWRQERDSGLGEPRLLHQSLQVNIRGGRLPRSG MLVLPLKGTREVGGVRL QC762_123520 MELLISLLSWQSIAVPAVAYCLTLAFYRLYLDPLAKFPGPKLAA ITRYYEAYYDVVKKGQYTFRIAEMHRQYGPIVRSSPYELHINDPAYFEKLYRHEGRWN KYDWSVDAQNALGAIIFTPDHHEHKARRAPLNAYFSKSRVVRHQDMIIRKLEKLCGRI GEFAKAGRVIDLGAAISAFQRDASTEYVLGKDYNSLDQPDFSVGMTRIMHGGGRMWHL TKHIRWYGPAMLSIPKEFLIKSADPDTSNFMRYARDSEEDTAQLLKAAASYNPDDDTP RTIVHEIYDSNLPPKDKTIKRVFADVVSVTGAGFETTASLLRLVIYNVFSNTDILTRL RAELTEAATRSSSPDGSIPLQTLEQLPYLTAVLMEGMRLSPAIASRSQRTAPDRDLIY DKYRIPAGTPVGMTVLLMHTDERLYPDPHRFQPERWVDPEARKKAEKTYAPFSRGTRI CLGMHLAWAEMYLLMAAIVQKFDFDFDGLTPKDHFKVVSDQFIVSTKGKAVLETRVSL RQR QC762_123530 MFALKLSTIAVWVVSASVVASQPRWSSDYVNVTLCQWREPRVAA IRDRLFLDGGNRWWRPGLSNGEHAIPGQDDNPLGITYTLNFSKPFDTKDNITALFVPI YALGGAAVNNIAPNFASGGLLYNDYQYFGYGGMTFRSAAYPEPLGTAVRGYRAFTSQV PDFTPGPVEDNLDGNVTRFVAYGGAASAPSENLAWYFSGLRSPTGGLIYDVERGGTNE TTLPSQVSDTLITLSLEKEQRPNWTNKTLPKDIPGRAGAELVWVPVGTKGILVALGGV VDPSFIKINGRSSDPDNNKEKSREFMSTIDIYDVAGDRWYRQKTSDGPEALSRGCAVV APAQDGTSFNIYYYGGYAALEQKSGYSDAVWVLSLPSFTWTKLTEGTGPGRAAHKCIM PYPDQMMVIGGHPPLDATNTVPCLQEPIRIYNLSSGTWVNRYDPAIWSNYTVPQGIRD TIGGSPTGDAELTKPNPSFVSTALASVFATRYDNTKITAYYPYAREAQVNNTNPIVPP VTSEEAQGGGTPSWLGPVVGVVVGLVVLTLIGVGVFLWRRRKYLGPDAETKSEARTEN TRTRVMNWIPRPGPGPGPASPPQEPEDVKPHFATGATEYYGGSVTDVDSVGAPTPFSI SEMMNTEIRRPVELPDNSGPAELYNNPASPSVNTPYTSYDESPAVGRGSINKGSSYPN NTHQVDHASNISSLGSTPPPPPQQPATIPEHYGTSFYRPDSDALGNPPVTTPTSGHPT NGTSSTLRNQVLSGISNLSDRDRSHLRQISDTTVSSITSGHQPGAGQHGQILSHSGFP ERLVESPGVVSPPTAGPMGESPDYLSARPLPGQAQQWQAQQAQAANSPLRKSVFTESK EDMTDPNGGSGPSRI QC762_123540 MAVRITARPSWRTNETTDELDSGAAVGLEDEQTYHVDTECPHHC KEKIYITVTLPASTVTLPASTVTLPATTVTEKTTQTETVYTTQTNEVSVSVPVSVTVI KGTTEYITTTQLSTVTTLVPVPTTIKVCNTKSPYLCSTKTTTELVPTTTTRTIKKTVP TTVTKVKVITKWKEVTTTKTKTSTYTTKKTIPITVIDSTTLYSTKTETLKTTLTTVET TSVPTTLTQKETQTQTQTVKTTETVPTTILQTSTVVSLVTLTTSFPVTVTDSTTLWST QTTVFTTTSLSTFTTVSTMTTVHAVTATVTQLTTTTVSVCAAPTNAGIYKRSALDVRS KKHPRALRTWGCEPGFVCNQPKPGGCNLWAEAPGLDFECHPDWCVPAPYIPRVVWKKN ETGYFPPVEGYFNLNPEDFGLSYGVFELQPVVVLKADGRLATSYTGDWASQATITERT RSTDVPNSGARFVKRQRPGSDVVPATCFNLCDSAYLEAQRVGRDPDLCAPGSVFLDIL DECKVCIAENTDDTKYEEIERVYLEPNFRPWLDYCDTLPGVPITTTTSGEPQVTDTAS VSTDTGLPPNTSTGFDDFTTSTTTTSSEETSTPTSTPTSTDETTSTTTDSSTETTLTE TTSTTSESTSSTETLSSETTSSETTSSETTSSPGTTETTNSPSTETTTESPTTETTEV PTSGGSESTLPGETSGGGDDGGVVPTDTPTTIAGTGGLTPTLPTGPVVTAAAGRMANV PSLGGLGNILAGILFAAMLL QC762_123550 MSSPLTVGVAGITGKFGRLIASKLLANPSTRVRGYGRNPSKLPS PLTTSARVQLFQGEAFDTEALKRFVTGCDVVICAYLGDDNLMIEGQKTLIDACEESGT VTRYVASDWSLDYTKLQLGELFPKDPMIKIKGYLDEKAAGGCRVKGVHVLIGGFMDAI LSQFYGIWHPETKSIRFWGEGTEVWEGTSYENAAEFTAAVVTDREAVGVKRFIGDRKT LNEIVELFEKVYGFKPALERQGSLEELKVLMHKTRAENPAGFFAYMRMFYQYYWLNGQ TLVGPETDNDKYREIKAESWEDFLRKRSPEELAQAMYALAQ QC762_123560 MPPRTVLITGATGKQDSAVIDALLSHPLSSSDPFTVLARKSATR TMGWTILGPVIFMDNPPPGLAGKVFMTLLRDTLGRDKPLQWIATRDIGFFAAEAFHNP EEWNKKARGLAGDELTFEEMNRVFERVTGKPVPTTWGLLGKGLKTELGSLVEWLALEV YRADLRKVKEVNPGMVGLEEWLRKSPFVKRK QC762_123570 MGSAAASMLMDDSEHPTDTAIPTSTTSLARLSCTSCRDRKQKCD RNLPVCRRCTSLRNDCVYPSSRKSGQGKRKQVRDLEAKLFQLEDQLRTIGHSADADRC NSPQTVRIAVSSNVVYSSPVASSADQSWLSEATIQEGRDVGEPRSHQRQQLADWLANS ELELTELDDAPLSRDLIEELTTLYFKNGYHCSPMIHPSRYLESLRSDVPSRQPPLFLQ LVIMATGASTDPSYASLAMSLYRRARKLAEQDEAKEPNAFMLAHAQCWVLMASFEAQS TLFSRASLSLGKSIRIAQILNLHQLDRCPQPPSLFQSHLEPPSSRNLDWIEMEERRRT WWVIYSADRLVFATSALPSIIDDRMVHTLLPKPEEAFTSGGEETPQEHTNTLHQALRE RSPPVSRLGARALAAHLFYRAVDLETTESRPEAQAEHNLESYWARQQDVGNDLLALLI SLPRDLRLPASIGCQDTIYIHVLIHTALMCLHKTAIRKAAEDGGRGTEASFVKAQGRS NLLAAAAQVIAIIRSIREEDLTEALKNPIQGYATYIASLVFLEDFALTRFEGSRANVV YLFDMLQRFSQTHPVARMLAGQLGHELERLEVGDTAMG QC762_0024390 MSTQAETKAKAEALVPKFKFEKLLNQDQAGRRTSLLGTIDSLPA LLILERAPFPSSPDYLASVPTTLQTLKNLGANDIYHWYLANSSSQNPSDETADLKINL IHPCTEKHIKKYSKQGVRLVSETPQIYLERVRPYMQAQRDASRLNWVFNIVEGRTEVE DVIYRTPFDAASLNEEGFLLLPDLNWDRQTLDALHLLGLVERRDIWSLRDLKKKHIPW LNHMKEKFIEATTKVYPSIEADQLKLYVHYQPTYYHFHIHIVHVQLEAGATQATGKAV GLDSIISQLETMGGGDEAGMDRATMSYTLGEASDLWVEVFEPLKRAGGKASQSQ QC762_0024400 MGMDEKYPVGNPGMPLIPPRRGLSPGRFLLAVAYLVVVGFIYCF FQQNPNHPLHSYTAHHHAAQQPSAPETVIKMVEPSVKRGLVPLEAHIMSKCPDAKDCL RDLVLPVMQKTLDKVNFTLSFIGRPTEHDDGVACKHGAEECLGNIIELCAQSLYPDPK TYLGFVMCLTKDYRHIPQRGLIEDCALEHAIDFDKLNECATKDDGAYGMGMLRSSVRR TSAAGVTKSCTVRLNEEIYCVRDNGQWKECPHGSSVNDLVIAIEKLYHRRA QC762_123600 MSPSRKLISSGSQFEARIGYSRAVVQGDMVFVSGCTGYDYKTGL ISPNVADQAEQTFQNIAAALAEAGAGMQDVVRVRYILPDRKDFESTWPVLQKWLGNVR PAATMIQAGLMEEAMKIEVEVTAKVDR QC762_123610 MAPHFPWRQKSKEKEKKAEEGGDGNAKVVLTPSAAPRVSVSSLS SDDNGKRIPVAPILDDEDEQFLERLVARTGFDAEEEEGPRPALPPRSKTPELTWDWDD KSETFQLFGASSASNPNDTALVLKDKNTELATIPKAPEQESPSGPEEIVIDAPDTKAD GKDNQNTKSKEKKPSRLSRMFTRSKKQGLSTPDNLAVPATEGTTKPESAEKEWADLSR LLDKLNLSSSGSISSDAKDLLVRPFVQILKDLANGVPTAVDDLVSLLDGRNDILTKTF EKLPSSLQKLVTQLPKKLTSSLAPEILAMAAEAQGLDKSKINADEGLKGAAKFFMPKN LSDLALTPALIKTMLKAILNALKTKFPMLAGTSALWSVAVFLLLFVLWYCHKRGREER EKKEKEEAEGEGEKKEGEADGEAVSGERVVEEVLQNERAGDGTVAGQGGNGELVVVVD APGKGVPK QC762_123620 MALMEKAYDPCPTKWTVHLPRKAINLVRCNHFCRAWLTSRNAKN RGEERALVTVVWKPAARHSISTAVSLSTHTQADRRQPRLSTNRIHAHSTYSASSMEID WEPPLPAGYNDLHRSFLQNFMAQGTLTLKQGKKLLADLKSKTTFEPVDPDTITLDQFT DAIRTAREAVEPLDYDIRYMRDQVRDERVWVFYNTQSDPASQMATVHSAEEVAYIKRL LDAMFETYNTPRMEVMAVDEGQALKVSRPSRQSMGNGHVNGANGEDAEGSQSATRGLK HSEVLALLSNLVAEGWLEKSRDGFYSLSTRALVELWSWLVATYNDEEEEWQHIKFCEA CKEIVTHGQRCNNVDCTIRLHDVCEGNFWRTRPDRKCPKCQTEWDGSQFVGERAITSR SAFQRSRAGRRGRRSEVAEDAGEEEAED QC762_0024440 MELLWRQVWTFGLGSNSVGIHSNLTCQPPVEEQSRNDKTDLQTA ADPPSHMRLLHPYVLPARPGLLAASDLRT QC762_123630 MAQLPSEVMGQCVAILLYSWFCLAVGLFLLWIVWAHDERTSYVI MLGSFMVLHTFTSIIQQIHTITWWNDIKTAQWQNVVANVGNPELNITGASTGLDLVLF YIRGLLCGRLPGAHTDGCTEYYCYNVESLLVVFWAVELANSIFQLRITKMYRFHASLI AKGTAAVIPAVFMVLLRFSKIQASTVGFLILSSGIMIACFLAGSLILLSILGKYIHSR VMVLSWDVRYGRSTNTGGGTSDGTNPTSSSQPKPPLPKRKNIYDRWLVLRFTVAFIAL SLFQLVVVMFQLRASQTNSVENVPAEPDLSAGRAVTDFVLYIPGVTAPVLAYLVFGTT RTFRDYAWSVLAPRWLQAKREARKQARKKPSVVIGARDLAIISQEQANKAGRDLESGL CHYEVGVTAGRDRANSNAGRERSFSNAGRDRAYSNAGRMRGNSIRLQNLNSSHNHIVG AMKDKDSDEDEWPIMKHESIQVTTEIDRSSGHSSQWKDMMDKDRRI QC762_123640 MLNKQNSPAMTSVAPVMSGHFIRRDQVIKKPAVIPQRAWTAEQV TRRRPDSVAENINDPTTTGWLQGRTFPPSPTPNTNPVTLPARVAAPQKSNSLNACCIV TFCFPYSPKSPGITNPLTPTQMIRTGT QC762_123650 MGSTLEIDKFLEPLAIDVQKLSGLAREMALTFGKLSAESSDMFL PTPISESILSPEVSAGQDGSDSGRYLAIDIGGTNLRVGFIELQQDLVVDDAGVPSTAR KLNRLLEHSWPIGESLKNENSESLFSWIGDRIAHVVRKGCDAFSLSPDQDLPLGVTFS FPMHQTSLSEAKLMAMGKGFAITSNLDLGGHLTTGYEKHKTADMPNIKIAAIANDSVS TLVSFIYQFPAKAHQKAAMGVIVGTGCNATIPLKLSTLHESKRPASINVLPGQDVATA KIAVNTEWSINGSAPPLRKFRLINKWDEELDRAGEIPGFQPLEYMTAGRYLGELGRIM FLDYCINVLKLSVSTLPSKLRQRFGLTTTFLSHYYHNSPKGSMADQLSNEFPAEHPEF EWTKTLEAVLHKIAVAIQKRAAGIIAASTIGLLMCAEEIPEPGAIWKKDITELVVGYT GGCIQHFQDYLADTQAYLDKILALEFDGKSPIRVVLHPCHDGGITGAGVLVPAALASM RT QC762_123660 MPVVKGGVWTNIEDEILKASVSKYGLNQWARVSSLLARKTPKQC KARWNEWLDPSIKKIEWSKEEDEKLLHLAKLMPTQWRTIAPIVGRTANQCLERYQKLL DEAEQREASSLGLTGPDGGEAQAPSADDVRRLRPGEVDPDPETKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQQEESRRLAALQKRRELKTAGINIKVTTRKKGQ MDYNADIPFEKKAVPGFYDTTEEIARNEWQRAHFDPKKQQVGNKRKGEGDEEGDRKRK KNEGPSQSMQAALKAGQMQKMREAEQSSKRRALVLPAPQVGEGELEEIVKMGMIGERA SMMARESDNDATRGLVGNYTTLNTSAPIRTPRAPAQEDHIANEIRNIRALTETQSSLL GGENTPLHEGAGSTGFESVAPRRQVIVTENPLATPFRSGANGVGATPARVGQTPHRTP RDSFALNANDDEMSMVGGTPNDARLRDLSLRHQLKERLAALPKPKETDWELELPDEQQ EPAVAQETEEDAAERDRRERQIREAREYLERKRRTQVMQKDLPRPVYVDWKATFAELS KSDESEVEKLVAKEAALLMAHDATKYPLPGAPPNVKPVDIARFEDDSLEEARLQILME MREKPKPEAVQAVYNRNNTNALLLGLGCYDDEDDSEEEQNIAIMRSALDTAIDALIAS AEKGNKLEKKLNLHLGGYKNRAEMLRKKIGEAHEALEKARAALSGFKVLQTSEEAAIQ RRLGALRAEVAFVSTREREAQEQYRKMRAELEELTLNGGRINGH QC762_123670 MADPSSPQPPRETTPSLISSIPQKRALPDDTHSPAVPSPLNPDG KSQKVQIQPPAEEGTTMGREKRTKKESLKKRESKGATGGDSSRASPAPKPKEQPGEMA PLRYKLAPPKITDFDLPRGPVFTSHHEVQDSEGRSIEFYETSDHVYNKKNFHYTHCIA DPTFPSMFYYRNTEPEPYGAHLNFEDAASHMYFDQQGTHVTSDKGFRMARANVAVREG RWYWECKVTRGILKEKNEGDPESHGHIRLGFARREASLDAPVGFDAYSYGIRDKSGQK IHMSRPKDFFPEGEDIKEGDVIGLEIQLPSERLHRKVVQGHYNPAVDLIAEDEGHPDG ISEYPNIIRDRIPIRFKAHIYFEKIDYHTTKELEELMNPSSGPNNSSEPPNPNHTSPA LRTLPNSYIKVYKNGKFMGTPFTDLLAFLPPASRMVNNQQAGGSGGAGVLGGRDVLDD GSVGYYPAVSVFRGGAVECNFGPNFWYPPPEFSQQQPQEEDVIVAEGDVSQKPEAGEN QPRALADRYDEQIVEDILYDIVDEVGFWSLGLGRGGSKDEKLAEGGTGLAMPPGGGRE EIKELVQDD QC762_123680 MDAPVEDSDIKLQKVSADLISEFDTRLPLFLFRRQRSGDSSQKV RKQVTAREADGLIAGCLDPFQELPQLLDPHLSKWISLLSSAFVSSLNLAATSSHSLSN DYDTANPEKEALLIPLTQAISKIIYTLCKIRGEKVVVRFLGNEVSWLEPLLSFTEQCQ KTKTWEERYVGLLWLSHLLFAPFDLATISSNNYEDADDEDEDEEKKTVVEGLDLQWEK LPGITVRVLPLAIRYLASPGKERDAAKALLVRLALRRDMQELGVLDAMVRWALKALRP KQEKTPYHYIGVLSFLAGVLTSSADTSDMDPYLVMVFHAVHGAAENSQESVMASALAR KAVIKVIRAVAVLFLRENKDLYEDIVGLGLVETTIGFLLDCLGDNDTPVRFAASKALS IIALRLDQYMASQVVEAVLDGLNKNVLKGRETPGKKDLSAVNPLEWHGLMLTLSHLLY RRSPPADQLAEIVQALITGLSFERRALSGGSSGTNVRDAACFGIWAMARRYTTKELLA VPTESMIIGAHAPGSSVLQITATGLVAAACLDPAGNIRRGSSAALQELIGRHPDTVTE GIWVVQTVDYHAVALRSRALQEVALGVTKLSPRYGEAILEALLGWRGVGDVDAASRRA VGASFGALTAELALTTPDPLKRLRQSVSLARGVLASLQARQVEERHGLLMSIAGVFDG FPKVVGGQADYQSESLISFVNSSADGVLEILEDCNAKTYRRPELVAEGASRLIISAAP ILQAVMSTSGVQNSPTAIVSGPELIEENAGEITQLVRALGAREGPVKGFVKLTRKYLA KWLLTQEPDLITPAADAALTMLIFSDDGEREEIVREWAGIVRHRPTSSRVATWGGHFS ALAMSYSIASTLQTVQIKNLICDSFVERWNTDPHTDVHVAVLQSLTRSNSKSGLLRDN TDIFLPLIAEGLDDYTTTAQGDVGSMVRFQAIRATKALWTEPPSGPLVEGLILRILRL AAEKLDRVRAEAQVALSLVLKPEFRAPFLQTTFSSTAYFTSLLSLPSKLLDSVSSSTL FSNPQEWLCPLLSGYVSSADTGNEELVIATRSALLSHCLASPDITLDIVTALISNLRT HQKNDRVLVPTLEVLRFLLDMSVVSPEGINWKTLCLLVQKAAYKTGNVRKLEACIGLY GGVVLQGEAEGREEAKKRLGALMIHPWPRVRSAVVDQLWRVYSSGSNGEEEKGGKLLG TDWGATNKGSIQQLVNELGLTGA QC762_123690 MDALKNLVSSVPDWLHKLDELNGQIEQRQNELAQLAEKDKEKSP NGRSSSAPKSIRNKGSTESLRPRDEPEAHPRESTPQPQLETRNENGDAPADAAAAGAA AAKAGTEQPPASPSDSQSPSAIQRQENKVRAAGQARARATLRKRQRTDSVISAEGGPP KYRTRSMIIVYYDSYVQIFFEELVKFVSASRNMMRKAKMAAKVAQIKRLAELDMPDDD DEDEKKDGARNDLTPAAGDGAIVAAPASPNADAEAIPALQYVSTRRLMGGGPSAMMAA RASMGRGYGRAGGRGNIILGPNGKPLQSDVYDELDKGLEFVQSMCEHAAHQFLRDGDC GEEVIKIQRRLRETKELADKEMDRVKKESPAPLQKDAEETRGRSYRPQSMRKDNLNAL KAAETNGLGSEMKLEVDDGAEEVEVVLPPRLMYRSTRAMKSQ QC762_123695 MLLLLPIVHAARVRACVRLRDQKKEAVSSGQRAVQCSIQEVGRV GEEGCWVHVVCVVLFETWMWVFEIHALSLSLSLFLSPKPIRLDPVFRMVVAAMGPEIE NPEKSSSSKVSKDVIHGISHNPPTYSLLQPKKKNVVTFSSLLQLRCYDNDAV QC762_123700 MVSTTWGGLAARALFYMSIHRLGHAATDAPYAENAELAAKVLQD WFKPQTGGWSTGGWWQNANILTVLTDWALLEGPNQHVNVTDIAATTFVNAQKENVQIG KRHRAGERMASSRKHKRTTESGYPKFINTFYDDEGWWALAWIRSYDLTKKIEYLSMAE SIFDDMRTGADNVCGGGILWNKTMRYKAAIANELYLTVAASLANRVQGSKDHYLQIAR EQWVWFKKSGLINKDNLINDGLNSTTCLNNNETTWSYNQGVILGGLVELSKAAGNDSY LSPAVDIAEAAIASLQDEDGILHEADHCDMRADCGEDGPQFKGIFIRNLHYLYKAVPN EKFAMTIKKNADSIWAKGRDPRTNRLGLSWVGKPEAGIGPTAKTHSSGMDALVAAMGA IAGSK QC762_123710 MLYSSESCHTLRPFDKQTALDHHLTCTFVTITPCVSNYITLISL LYNKSEPSSHRSSTSRLIHDTSDSIHQEPSPTGKLSNNTTSSIPCSLLAIDTGKMALS THCVHVFQMIKADNTLIEWTCHLCHSGPHWWIYECRYCKIHTCQSAREADENQPQTSG RIR QC762_123720 MDTNSDKSKLDEAGLSSVESTEPVNGYFTPQEKGKEQGQAEPAA NSTSPAVTPAGAPPPAPVSPVRTRTHRTSFHMRNLSSSSLASLTNRKSKQADPGTTLA EAHVDRTNLSMPPPSTAITHQALKAHLPAQGHLVRRDSSHSDAWSEDATSLRRVPTNS SEQPYSPRDFADSSSATAIEESETPRLLPSTQSETGLYRHNQLPEPAYRSARSSFSEA GASVSNRVSIGSIYSLASARGVISSAASANGSDNNSISGVPGHRSVSGIMATNKAAQP EATMSNVTVTTGSQGSHQGALQLAPREARGQTVGDIGKTTGQQSQASGDTQQKTGTTP TPRPQPTRSRSRAKRRFSGSTAASSHSPSGDRVVSHHHHRGEKEEPRPAPWGVIGVCA LDVKARSKPSRNILNRLIQNREFDVCVFGDKVILDESIENWPICDYLISFYSDGFPLD KAIAYVKARKPFCVNDVPMQKILWDRRLCLRLLDRINVPTPQRIEVNRDGGPQLLTPD VCKHIKDISGIVFEPTDPDPEAARAAAPRKVELLDGGDILSVDGTLIKKPFVEKPTSG EDHNIIIYFPSSAGGGARKLFRKIGNKSSEYVEGLSVPRCITHPEESFIYERFMQVDN AEDVKAYTVGPTYCHAETRKSPVVDGVVRRNTHGKEVRYVTGLSAEEKEIASKISTTF GQRVCGFDFLRAGGKSYVIDVNGWSFVKDNDDYYDHCANILKEIFIKEKLRKEGLTPP IPSPAISDVDPMAASMAVRAAYANKERELVAHVAAQQAQSRASMDKPAVAREVPGEVV SSQTITIKGDSKYGSIPASPLASQFSSSVADSVPSTAPSTVSAAPSVMQVDTPTTVTA VQDDQEPPPPPPPKPSWKLKGVVSVIRHADRTPKQKYKFTFHTAPFIELLKGHQEEVL LIGEPALASVLDAVDVAMREGVEDRNKLKALRNVLIKKGSWAGTKVQIKPMFRKKPED KEKKKDKKSDTSAEDDGKTENKNEIDTMKEEDHPLQTETEGEGDKADGDAYKTRRSPK RHDSLSGVTMSKFTAAEESLVLDKLQLIVKWGGEPTHSARYQAQELGESMRSDLGLMN REVLDEVHVFSSSERRVVTSAQIWAASFLNKKDVPEDFITIRKDLLDDSNAAKDEMDK VKKKLKGLLRKGNERPPQFAWPDNMPEPAEVQTRVVQLMNFHRKVMQHNYAKLYSGAV NSLNAINNPSSGDKGTADGNSTSGVSISSLASVGSLSQANAVTGIQARWCCGEDAELF KERWEKLFAEFCDGEKVDPSKISELYDTMKFDALHNRQFLEWVFAPPKNMLEDEYKTN FGKGPSPAASSSSSSSNGKTSTASATASSSVPAIASGKDSEDSVRTTPLPEETKTERS ASSLSEKIEKEGHKAVKRIFRRRSFLNGLRPSSNVDAELPERYFHLHRGNSQTKAKTD ARFEPLRELYQLAKVLFDFICPQEYGISDSEKLEIGLLTSLPLLKEIVQDLEDMQASE EAKSFIYFTKESHIYTLLNCILEGGLETKIKRATIPELDYLSQISFELYEMPANPPID AEGTPVFNYSIKITISPGCHVFDPLDVQLDSKHCIGCAPRRSLTAHADWMFVIETLRA KFHQVKLPKTFLAVNLSDAFTFQEKQHNGDENVATPGSTGGAEGGEKEGLEMKTVEHA AATTTGPLDNTAETTGDAAAASESTTITTAATTDEVETATPTNESVATVVATPKAGEI KEGQAEEAAEEGADASTPVPTPVAAAAASNMTATLETSDPKPGAAADDKL QC762_123730 MKPLSWFRFSIMASKNCHVRVLIRSGGACRPAVCLGICLRHPRP GLGSVFGGCGADRKCLGGVPSPLSHVWLKISGRAWFSEAAAVRKLPSPIFYSRQRPLA AYTVVFCDRKLLASCNATTMPHSEIDHPSTKRQKRDAIVKAQPSSKRSGSAIFAPFRT IGLVSPTSVPFATIPLGKTTFQITTSVGRALQTYDLKRGLNLVFVTRPQTPADITATC AWKEKVYAAWGNPSKGESQGLWAFQRGKKAAELQLPTGLDQPIKQIVIFGSWIIACCV TRIEVFKSATLEHYTTLFTAAAKRGDNEITGGVCNMPTFLNKIFVGRKDGWVEIWNVS TGKLVYTILPPAPNSGSVTCLQPSPALSLLAISYSGGSLVIQNVLTDKKVLQIQAGSE DAPVTCISFRTDGQGAGEDGRKDGVMATATGFSGDVTFWDLNKGGRSMGVLRSAHNPP SRNKAAGGGISKIEFLPGQPVIITSGLDNSLKSWIFDETPFSPVPRILHQRSGHAAPV SCLQFLPSDFDGAEAGNKWLMSGGHDRSLWGWSLRRDGQSTELSQGAIRKKAKKAGIL AGGSLIQGPSTTLEDLKAPEITCIASSLNRDGGIGAMPGKQVIWDKANSTKYSNAELS GMTGWESVVTAHKNDPWARTWFWGRKRAGRWAFKTGDGMNVSTVAISSCGTFAVVGSE GGSIDTYNLQSGRHKQRFPSRLTPAQLRQIKMMQLRALDKVNELQARSAQSFPPGTGK HTAAVTGLVVDSLNTTITSCSLDGKIKFWDFSTGNLIDEINWAPMTKIVTCRYHPGND LIAFACDDHSIRVVDIGTKQTIREFWGCRGDINDFCFSNDGRWIIAASQDCIIRIWDL PTSHLIDAFRTETPCTALAFSNTGEFLAGSCEGSLGVQLWTNRTLFKHVPTRQISDAE IGEAAGSLPTASGEGGEGLIDAAFEDDASEPADDGVTAPVIDQLSSDMMTLSLVPKSR WQTLLHIDLIKQRNKPKEAPKAPEKAPFFLPSVGGSNSLLPPIEDDKEGKEVVSRITQ LDATRQEQAFSSKLIACGEKGDYTEFIEHLKSLPPSAADLELRSLSMGNSEDDYENNE LLHFIKALTSRLIARRDYELTQAWMTVFLKLHYDLVMANDCLLRALDEWKEHQARERD RLDDLIGYCSGVVGFLRNPRT QC762_123740 MSSTGIDLIANPGGQDQEKGGLSSSLSNGGTDEKKRAPPSSPSL SGSQVEEHSMRRQLKSRHIQLIGIGGTIGTALYVQIGRGLLQGGPASLFLAFTIWCSF ILAVTLCMAEMVTYLPISSPFIRLAGRYVDEAFGFACGWNFFIFEAALVPFEIVACNV ILHYWKGSEIVPAGGIIAIIICLYGLINVLAVQWYGEVEFWAALGKVLLIIALLIFTV VVMCGGNPTGDRFGFRYWSDPGAFAELYHTGDLGRFLGFLQCLIQASFTIAGPDYVSM AAGEAENPRVVMPRAFNAVFYRLTTFFMLGSLAVGVLVPYTDEEMKIAFSTGAPGAAA SPYVIAMNRLNIRVLPDIVNAMVLTAAFSAGNSYVYCASRSLYGMALEGKAPKIFTKV TTKGVPLYAVLVVLGISLLSFLQVSNDAAVVLQWFVNLVTASQLINFACMCVTYLRFY YGMKAQGFKRDDLPYKAMLQPYAAWYALIGTSVMTFVGGYTVFLPGKWDVPTFLFSFT MIAVCPILYLGYKFVNKTKLHRSDEIDLVKNVDEIEEYQRTYVPSPPKNAFDRVLDRL FG QC762_0024580 MPFHSKSLVHALTTAVAAPGQQTGLGRLPSGLGVGQTQNGHDVL IQVGRHIASSREQTRRHPTFR QC762_123743 MCRGTKSRMSCGHFHVSFHERCHPWCNEPQVQFIGQKDERCGHC IAKTQVDSSRASRLQDAWDSYCNDAKQEVLVSLREKIISANREIRALKEEFPDLDGLS SELERVRKEQSETMRMIHAQMERAKEEGAMKELLLMQERKSSLQRKRDSGRCENRATG NQLLESLDGDIERLNEEQVMLMERSPELTRLIWLDRQLVQLESNETTLCSHDSLAKLD AITRSRDVYVADEIRLTEERLSNLKSIEGRLRHQAMQEKAEVKNARCEGRAAAQKESD SDLVQVEDTPVACPETLSLTTNTKRSLTTVVAPETLSSTTSRPSIPIPRYSLPVSSLH RPSSYISRQSIIEQNQPRILYRIFTLATDPAECSSRWSLRASQHYGE QC762_123748 MSSSKQQEKGSVSQLVAEKPAQAIPAKRTADDDEVEFISCCPVK KPRLNDQPAQNPHLNDQKPDPAVSRITKNSAQLHQASASHRHASDQPAPPSSVTRTTD TGPAPSQDPSPRMSPEQPSALIPVLERFAFPTDFPPISRGPRMSVAVSPKQMSQPMPS TSHPASLFTSSSALFNSTSSPCFEQVPCLDFGGIAMNNPAYETGRMLSPSDNNALITS STHMSSQMINQAAFGPNAIPFAMYSMGSLVPMRQQNMNLNMNSSLNPWMNPRPMQSQS PPVFRPHNPKQEQQPRHMLIPPGSQSTPGRPCCSTSVQSPIHNQSPCKPPGLHCTVVQ QEHMRWLQQAPLQPSSQPQTQISPVPPPPPPPPPPQLLKAPTAAPEKQPPNKTKFTIP LRKKPSPNLLIDIAETAEETFPYDEVAARHGVTPQKVFDTLSAIVLIPLLRCPTDKRR AGKLAHDRVKFYTQKKNTMGKEKGEVTRVKEVRQFLEENRQQGGG QC762_123750 MANQAGGANELLSAQKSSDSGLQIILHPLPILEISDFITRGYQR HYKGAVVGGLLGQQNGREITIEHSFSIKSVKKEEDGGVYELDEDWFRQRLDQMKLVHK SPQLDLVGWYALVPKSGPTVLHLPIHRQISTVNESAVLLGFHLEDMLSPAAGDPLPIT IYESNMEAEGEDKEMKDSENPTNMVLRFRKLPYATETGEAEMIGMQFIREGGANASAD DAPVETKNIAEQFEQKIAVTDGKGKRRAVMTSGSVSKNPVSPSKGKGKQKDEPSSSPP QAEPPNPDINLTRSESEYMAALQAKFNAIKMLKSRITLIITYLQRLPPTFTEGKQTTQ EASDAARASGGQYTIPSNNILRHIQSLVTNIDLVTPAEQAALRKEMLQETNDVKLISL ISDLLTSVAEVKEAGKKFSILESSKQTRGPRGGVGYGGPVGDKSNDYELTGSYSPIHS RGRAEFGTTGSVSQEFGGASDMLHNLSD QC762_123760 MAPSATGDSAPMHIPAMSKASTINASVLHGPRDLRLEQRHIQEP GIGELQVAIKTTGICGSDVSYYKKFANGDLCACQPLSLGHESSGTVVAIGPHVTGFNV GDRVALEVGIPCGQCGICRQGRYNLCKKMRFRSSAKSFPHFQGTLQDRINHPATWCHK LPENVSFDAAALLEPLSVALHAVNRATPTPGSSALVLGAGAVGLLTAAMARQSGCSTV TIADVDQGRVNFAIAKGFATHGYVVPKQLHTSSSCSSLYNSSNSGTSTPCEGVMTPAS TISFQSSLDTAKTLAAEMLAEANGSSPLIDDEGDGVDITFECTGKEVCMHTALYATKA GGKVIMVGMGTPIQTLPMSVAHLREIDILGIFRYANTYATGIKLLCARNRPTRGGYAL PNLDEMVTHRFKGLDNAKGAFELASRTVDDDGNLVLKVVIEAE QC762_123765 MVPLDFSSLPVLSPSTHQNSLTPLTQVRDRSWECTTRPTPPSAL QASSAPHRCKALHEHLSTCRGEPALGTRLPSDITVTADLPCPRWRSTWLLFSSFLLFF PRPQAVVGFAICRFTSSRLLLSEHPASGDK QC762_123770 MDYSASIQDDHAAEASPWGNSPSSSPRQDQTGFGSSIAGLTGDA PPSRYRFPSHSSNNGLHDDGGFGASDSDYKRPDTASTVSAAESHGVEPSMDEPGGVQH YTENHASGAGDHGPLSPGPQHQHQQQQQSQNPAAEGAPQGQDRPQQQQPRRSQAPQFK LQAKITGLERTGRKDPILRFDVHTNFPAFRTTQYRDVRRLHSEFIKLAEHLISANPEA IVPAVPPALTSAGAGTEEDEIRVKALLQRWFNYVCSSEVLARDPEMVLFVESDFGYSP MVKKKQPATGVRRKILKQFAPPPDDTPELQEARPIVKLFYLGAMDAGHKVDKLVKSRR GLGLAESDFGVKLGNMHVQELHPGLANAYRKLGKIVQTVGDFHAAQATAEATTIGDPF QYHSQDAFIVKETLTNRQILIREFLQAQEQTRSKLNAADRLKASSNVRREKVDEAIGA LHEARETETALYQKTTRVTQNLVHERRKWFARTAADLRLSIREYVIREIEAERRTLAL LESVRPDIRAIDSSGGLSRLGREAHPPVRRVSMATSQGPKGDAWSGVPRRHDSNMSRS VSGSFMAGSVSEEGEEGGEGGHDQGARTRALSGGGGSVAGNLPGLAEEDDEDRVDARN AASRLATSTF QC762_123780 MFTTVSSHACRHGQGKLLLRLLVLKNARLCNSNSSIGKGIRSYA TEHAHHEARIENIRNIGIIAHVDAGKTTTTERMLYHSGRTRHIGNVDHGNTTTDFLPM ERERGITIQSAAVTFQWPPKSVLPNGQESKTINLIDTPGHQDFRYEVDRCLPILDGAV CIMDGVKGVETHTERVWQSAHLSNIPRLLYVNKLDRDGASFKRAVQEAAARLKTWPLL CQIPWWQKDEFVGVIDVIHEVGLRFSKNSGAMTVVPKETLATHNPALKNEMEKARLQL IDKLSDQDEGIMEEYLERDINVSSGTIKKAIRRLIIDGEAKFTPVFAGSSLTNIGVQP LLEAVVDYLPNPSERPELRLITGSKTVTFSEFMETAPKKKGAPHQKPEPVLSVSHVFK VVDSGARGLMSWVRTYHGSLSRSSHMWNSNMNVFEKPQNIMHVSAKDYHDIPTLPTGH IGAMTGLKQARTGDTLLTFPGHQAQAPEAYRSVRIKAPETPPAVAFISIEPYTKTAGD KIVDALQRLSREDPSIRWHKDERSEQLILSGMGLLHLEIAQDRLLTHYKIEKETAIWG DIEVEYSECLFAPTRPHHHEYDRALRGVAGRAACTVLLEPLEACHHDTLLESSIERDG NVIHVSIPLPEDTDELPFDTETVRQQLFNGAIAGLSRGPRRSAPVRKCHVHITFDPEK DWSKNSTGGHITNAALFAVRAALKEAHENAQVGILEPFTNFTIHCPEEASHAIQHDIS SARGGQVMEVRKPEEDDYSGSVDGQGGERIDLTRVYVPPDPYEGVQSLRDEGKKMSGS VRMLHIMGKAPLKEMMKYDGHLRSMTGGRHTLTLDPGEFELVTGPREKALALEG QC762_123790 MAEQLRYDGQVVVVTGAGGGLGKAYATFFASRGASVVVNDLGGS FKGEGNDSKAADVVVNEIKAAGGKAVANYNSVEDGDKIIETAIKAFGRIDILINNAGI LRDVSFKNMKDVDWDLIMKVHVKGSYKCARAAWPHFRKQKFGRVINTASAAGLFGNFG QANYSAAKLAMVGFTETLAKEGAKYNIISNVIAPIAASRMTETVMPPDVLALMKPEWV VPLVAVLVHKNNTSESGSIFEVGGGHIAKLRWERSSGLLLKCDDTYTPGAILKKWNKV TDFSNPQYPSGPNDFLTLLEESQKLGPNEQGEKIDFTGRVALVTGGGAGIGRAYCLAF ARAGASVVVNDLVNPDDVVNEIKAMGGKAVGAKYSAEDGDAVVKVAIDTFGRIDIVIN NAGILRDKAFTNMDDNLWDPVMNVHARGTYKVTKAAWPYFLKQKYGRVVNTTSTSGIY GNFGQANYAAAKCAILGFSRALALEGAKYNIFVNTIAPNAGTAMTKTILPEELVQAFK PDYIAPLVLALSSDKVPNPTGGLYEVGSGWVGQTRWQRTAGHGFPVDVPLTPEEVVKN WNDIITFDNRADHPAKTQDSLEKIMANMENKSGSGKSAGGDNKYLQAQQEALKTESKG TEFNYTERDIMLYNLGIGAKRTDLRYVFEGAEDFQAIPTFGVIPPFDTEFPYSFDDLV PNFNPMMLLHGEQYLEVKKYPVPTAGKLISKGKLIEVVDKGNAAIVRQGITTTNAETG EEIFYNEMTVFLRGCGGFGGQKKPADRGAATAANKPPARTPDVVVEEKTTEEQAAIYR LSGDYNPLHIDPGFAKMGGFKVPILHGLCFFGIAGKAVYEKFGKFKNVKVRFAGTVNP GQTLVTEMWKEGNKVIFQTKVKETGKLAIGGAAAELL QC762_123800 MAQSFDVPLQSRIVDDKSPICIPFILSRIETYQKQHPNTSRPFI IGLNGVQGVGKTTLVRALAETLQSREGLPTLVVSIDDFYLTHADQLALAAANPDNQLV QYRGEPGTHDIPLLTSFLSSLTSPFPPEGIHVPSYDKSLFSGLGDRAPPSTWTHITSP PKIVILEGWLVGFRPLSPVALEAKYCSPTSKTLHRHLPAHLMFINSCLEKYQQIWDQF DAFVHVDAEDLGWVYEWRIQQEQGLRREKGVEGGMTDEQVRKFVDCYFPAYELYIDGI RNGVLGERKKACQLRLVVGRDRAVKESLVI QC762_123810 MRMRMMPTAKKKLGAIGLFGRTGGDSSPRAGAVGLPATSAPASA LSGKNHPLSNGHATNNAQQQQQPLPQLQPPHEILATGRQLDKHDTPGTATAASRSIPV FKGLSGHYSSVAPSTHHHGQPPPTSMSRSLPAQHFHPMQRTRTTASDTAACEPPRGQN AWEDSTVASMFNDTESRAASDRTHTRQASNARPFSSTDAAGGFPPPVGTYQRQHQALP PPPAPAQTSRRELQHHQPHQHQQQHGPTNENLPFVIADNGMLTVVAAPHHQSAVPVTS STAATIPPGSDKTDDAYHDDRSIYETPTKNSALRRTRLPYRDTRGLKNATYSPSGSQY SPRRAGSVSMSPERAVEAGEHLEQVRLEERRKRDRERQRERERELERQREREVEQERE LQHKRSTIFENLTPLEFDDDHNFNDTRAGVLATTSGVDPEELTEALQNTPRVATRKLP PPVLPLPLAVKKDLVATAAISAPLGRTNSSNRRIVKEPLKPATKSLKRRQSLDYNDAE LHRMSYSDLRNEAFDFDPQAAAVIEQQTAMKQQQPPPHPGGSIEQRMEYYKNQGSIDQ HQFFARISVDEWDEAGDWFLEQLGAVVQKFRKARRDKRQLITQFEDEISAREEAVRGK VEGIGRTLEDLKHEGQTMMQGKDADLEF QC762_0024690 MVDFVVPMGNRCGFHVTGMMDGSTCRLLLRHVVKLHDWYVEIMR SNQDMSAKVQVSVEYMPHHTMDQDLRIGVEDHTCLRLRALRDAPNWPV QC762_123820 MAPSLEAPDEEVRDVLANPLKKKPDLVAPEPEHCPGPESEQAGT ADSCAGCPNQQICASAPKGPDPDLPLITARLADVKHKILVLSGKGGVGKSTLTVQLAH AFATNPDTTVGVMDTDICGPSIPKMLGVETETIHTSNAGWEPVWAMDNLAVMSIQFLL PNRDDAIIWRGPKKNGLIKQFLKDVQWGELDFLLVDTPPGTSDEHLSVNTFLKESKIE GAVVVTTPQEVALLDVRKEIDFCRKAGIRILGIVENMSLFVCPGCKHESKIFLDHTGG GRGLAEELGIPFLGSVPLDPRIGIACDYGESFFDSFPDSSACKALKGVVKGLVEQIGL DPEVLLLEGDGSS QC762_123830 MSSSLYTFSDSTKQHLRKFRLSTSRSNDPQAVIYFIDKQTKEIR QDEDGTVYKSLDEIADDLPDHSPRFILLSYPLTLPSGRLSVPYVMIYYMPTTCNSELR MLYAGAKELMRNTSEVTKILDLETPEELEEVPEKLGA QC762_123840 MFSRLNQVARHLLRPMPNYGHTSAAASSVSKRSLSDYRYSSLDA SERQKKNIVTGACLIIGNEILNGKTKEANSHYLAKWCFSLGISLQRIDIIPDVEDDII EAVRRLSHNYDIVVTSGGIGPTHDDITYESIAKAFHLPLVLHGEAYSLMKKKTAEHKD PVRAAFNFDVPSKELDGKKKMVLLPHDSSRPVEDQAILACRDKYWVPVSVVNGNVYIL PGIPELFKHLLDGLTRHIKPRVQSNGKIRVTIKTLQPESQMADYLTGLAKRMAPKDID VGSYPKFQVENTVVLVGENRAELETVIPEILENLEGTLVSIEMPENPDEGETEVKAPG GEES QC762_123850 MASKFTREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCIVDDEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRESFEEIRTFQ QQILRVKDKDIFPMVVVGNKVDLASERKVPQEEGEALAREFRCKFLETSAKTNTNVEQ AFYEVVRAIRRYNREMQGGTASGSGLSHNSQGMGKIDVGEDDAQAGCCAKCILM QC762_123860 MAVAKPTNARVSQATDILLSPTKPTLGRYRAYIHARNRFFSAFH PKGRFVRIPTPSDNLLCGLYAIVISFQHQHPGLTPAPTLEHLLSVCRGCGFDNEGNLS GDQLSLVFSAWGDKTVFDDGGEPNRRRCQLGYLSRYNGPGWEDEAERGEDVPVMMGTR EVDTEEEKGDILRLWVWNDGGWAGGGMGHWEGIRRVGEEGDDV QC762_123870 MTSRYAQQTISSGSGCRNPNRCRRSDKASRTKKWWFASTAIPLI AATIGPFSNVLSIVSLVSPWRFTLPDNGLPFSDNHGSDDAAWGIPDPNWEIIPNIFSI IFGLLGHLFLLLNFTRRVRYIVALPLSIVFWLLSALILIVVEIAMAIYAPPVAPGEAY SQGFWHSVLASLMYVLSCAMLMVNMVGYFKGHYPQKFELDDDQRTLILQTMSFFFWLA GGAGVFCALEGFTYADSLYFSQVTILTVGFGDFAPKTDSGRGFLFAFQIIGVIFLGLV IGSLTRFAANISADKIIKRHRQHKRESTVGRTVTSEKELRERLGLPPVRPDSAAAEGG LAESASEYARRASIMQLGRLEIVGRAVTFDEGKAQNAVGSGEEVLRDRRKKRRQKLLL LEEEKDRFDVMRQIQEETKHWKQSWALGMALLVFFSFWTIGALVFMVTETRISQWRYF DSLYFCFVAILTIGYGDLAPKSNIGKPFFIVWSITAVPIVTVLVQQMSQTVVMAINRG TFTLADWTIMPKKGILKSFLTRHSTLAKLLNRKQVDTEKGKHPERPMRDERHFSDIDP ERSLGQQQDGSRVLEKEKYDDNELAKELSAAIKAVAHDLRSHPPKVYSYGEWERFTKL IRFTALSSLESDESVERDEQTEWDWIGEDSPLLADVTEAEWILDRLCESLGRWMRRQV QKHEQRKYWDEVFDVMEGRVGDRRKGEAATDTRRVGSRSYGHGRNEDWKGVKWEEPTG NMERPLTR QC762_123880 MIEIMSSTAPSPPPVPEKTPVRPYYAPLVPSPLNPKNCFQITPP TLPPGSPSPRTPPPKRPSLRRPASQTSPTQILLRQKAAAAFRSESLIKAYSSNNNNYI SLGSSRRHASSRNPFLNMTQHQNLTTADTIDILYSLDIGDDDDTNPTGDLGLITPGNN TSNKPLPPVPMPPPLFSSSSPGQDPNSDSPPEREDHRLQEKDDLDLLSSTPYHEDYDY DHRDKEEIDLENGCYFERERATLPSGRTASFDDSGWRGRSTLRKARRGGGSGAEHRSG VTRLVIHLGRGLVILGIVIWFVLLHGLLRTFGGARRPPPPDSSLGSASDLEA QC762_123890 MPPPAFSGASHTRDDVDSTWLTLRTGIDGIMTNLHSGLNLADYM KLYTTVHNFCTSQKAVSFNAGSLGAHRGAHLLGEDLYKLLNEYLAQHLTGLVEESKSH TEEALLAFYIREWNRYTNAAKYIHHIFGYLNRHWVKREMDEGKKSVYDVYTLHLVKWR DVLFHQVVHKVMDSVLKLVEKHRNGETIEYNQIKLVVDSFISLGLDENDSHKPTLNVY RFHFEKPFLEATKVFYTNESKQFLAENSVVEFMKKAEARLEEEENRVQLYLIGDIIIQ LKKACNQVLIEDHSNLLRDEFQVLLDNDREEDMARMYSLLSRIAGGLDPLRAKFENHV RKAGLAAVAKVASDADKLEPKVYVDALLEIHTQYQGLVKRAFNDEAEFTRSLDNACRE FVNRNEVCKSGSNKSPELLAKYTDILLRKSSTGVEDGALEETLTQIMTVFKYIEDKDV FQKFYSRMLARRLVHSNSSSDDAETSMISKLKEACGFEYTSKLQRMFQDMQISKDLNT GFKGHVQASIEGKNLDSTYSVLGTGFWPLTAPGTNFNPPEEIAQDCERFTRFYKHKHD GRKLTWLWQLCKGDIKANYVRNAKMPYTFSVSVYQMAILLLFNEKLQNTYEEIAQTTQ LNSESLDPALLVCLKAKVLTCDSGAKVGPGNTYSLNLDFKNKKYRVNLNVGMKSETKQ EEAETNKTIEEDRKLLLQSAIVRIMKARKKMKHQQLVSETINQIRSRFVPKVADIKKC IEILLDKEYLERLEDDELGYLA QC762_0024780 MLGDDNAVFLPSRPGPGRISTRHTEGLTCERSPLSANHQFAPAN RRHPSFIPGLPPDPERTCPPRQPCPAV QC762_123900 MASAGLPRSSSNVSEPRASLRSRSSVAASNTTRHHTPRSLPPWI DSYEARYGSPTEDQLRALDCPPPRAAHSHHNHSPSQPQRRISKDGYVYDFGGVLPTGE APRTSRARLRKFILRKDAAERGRKWDHLRSAEPVIVPRYSRATPNSPWRSYLQSSRYG HLPGEHAQIVDPEVLKELQPSFDNPIEPPRLLDSAGNRSARNKLLYKRAWRVILQHPL VPLAFRLTVLLTSIVALALSAKIFQIENGETETNTSERTQSIVAIVVDTVAVPYIGYM TWDEYTGKPLGLRPATQKISLVLMDLFFIIFKSASTTLAFEALVFHNSLDRQVSQYSQ ALAAFQTVGLISWSFTFTVNVFRLVQKLGGGEDERR QC762_123910 MRLYLLPISTRRTLLYCQKLDAPATQKQTWGDWLQGKAARTWSD WEQKEKGWQKKVVSYGNYALRRIPYEEWGLKSVPPLSQRRKQVELRGDEKVEVVYPKS LLPLGKVSKILEALATERESLHKQRLAWCFVGMPVTIPIGLLPVIPNLPFFYLVYRAW SHWRAYAGGKHIQFLLKNNLLTYTPSPVVDAVYAGQEQPLPSTPEPTTSPNAELLGNE KVPGPENPHPEGETMLLNQANGRKMTQALDLPQLEIELERAIWQVETAVQLSKEDIAE ENSRGGNEKKTQ QC762_123920 MSSSVPPTPTTAASARPHFTPLVCIVDFHHARGPEVEKWFGAEP EGFDPAVEYDWGLLPFMALSDGAHALTEDFSYFTLLKPAEDGGAGTSLFGISCTRQMD ASLLLNRPAEVTRSTVQKAVVVIADSPQYFGMLRERLSVVTKAWFAQREFTDVEILKR FQESLADEKERGMMNEDEDRDQYLGLSLRELVREFKWQTLVLLKCCLLQPKMLFFGTR CERLCLTQFSLVSLIPGLLRNLQDSAGPELNNYEQNLQQPTSLRTSDRNSLLAYMGLP LQIFPKGSLFGPYTPLQQLDILADFGTKSYIVGSTNSLLLQQKDRYSDILINLDEGTI NITSTSLKAALQLSTPDRRWIDFITQNVNDTWDEANPGRPKTMGYVGSEEFIRVQFEE YLLSLISSVKYHNHLAKHANNPRMLLPYIEGDPSTDFGSDFVEAWSKTENYRIWNSHT DSHLFDIVEPKHPCAGGLTIEDVQRRITQQVQDMHWDEKLAQGKEALGRNLAAGKEKA SNIFNKLYADMEAIREAQRKKAEEARIEQEKAASQQQAENRGGSPDSTASKTTQNSQA AAQSVGGKAGAFVNSWATWAGEKRRKAWGGGSSTPPTPTTASPPESSNGGGGGWTSGW VKNKNRQSQMSTRSATSVDGMLEKVTKTEARSPTHSRLPEEKQPLAAAGNRGSVAGSS ISGESLFDSPVTTRQSRPLSQESVILEDQEPGGIDGVVSSSVSEEKKSGPMNGNGPLV VKVAKDEGSDDEGIQEAQKTPLPSRVAADEAAKAQSAWER QC762_123930 MAEFVRAQIFGTTFEITSRYSDLQPVGMGAFGLVCSAKDQLTSA NVAIKKIMKPFSTPVLAKRTYRELKLLKHLKHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQIMRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVINTIASENTLRFVKSLPKRERQPLKNKFKNADPAAIDLL EHMLVFDPKKRITATEALSHEYLAPYHDPTDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNVEAGSVSMEGQFAGQ QC762_0024830 MEFEKIQIHILFSPSQGPACHIPAPSIHVPPLPSHLATTPRIPN PRTRRNRQQHSVLLAPRLTYLANSPAVLSSLDDFDRTRILVHNRFCPSK QC762_0024840 MLICNCLAGLADLGFRIEDVIEQEHDAALGNGGLGRLAACFLDS LASLNYPAWGYGLRYRYGIFKQEIIDGYQVEVPDYWLDFNPWEFPRHDVTVDIQFFGH VRKSTDENGRTVAHWEGGEIVKAVAYDVPIPGYATPSTNNLRLWSSTAASGEFDFQKF NNGDYESSVADQQRAETISAVLYPNDNLERGKELRLKQQYFWVAASLYDIVRRFKKSK RPWKEFPEQVAIQLNDTHPTLAVVELQRILLDLEGLEWDEAWNIVTNTFGYTNHTVLP EALEKWSVPLIQHLLPRHLQIIYDINLYFLQSVERRFPEERDLLGRVSIIEESQPKMV RMAHLAIVGSHKVNGVAELHSDLIKTTIFKDFVTIFGPDKFTNVTNGITPRRWLHQAN PRLSELISSKTGGKDFLTDLNELNKIELYVKDKAFRKEWADIKLANKERLAKHIKASA GVTVDPTALFDVQVKRIHEYKRQQLNIFGVIHRYLTLKAMSPEERKKQQPRVSIFGGK AAPGYWMAKQIIHLINSVGKVVNNDEDIGDLLKVVFLEDYNVSKAEIIIPASDISEHI STAGTEASGTSNMKFVLNGGLIIGTCDGANIEITREIGENNIFLFGNLAEDVEDLRHA HTYGTHEIDPDLNRVFQEIEKGTFGSTQDFAALISAVRDHGDYYLVSDDFHSYIETQA LVDDAYRNQEEWITKCITSVARMGFFSSDRCINEYAEGIWNIEPLRVEKEEGA QC762_0024850 MASESLPTRQRRPSMGAPIVDIQGSVGPAGISRPKHKRTFTGFG AGEIKSVEASIPEPQREAWLKHQVSGFKDKDGFESEVVRHVETTLARSMFNCDESAAY SACSLAFRDRLILEWNRTQQRQTFVDSKRLYYLSLEFLMGRALDNAMLNIGQKDTAKG EWALKGCGI QC762_123950 MVTMGSCMSTSGEESEQRKRSNKIDRDLEEDSKRLRRECKILLL GSGESGKSTIVKQMKIIHLKGYSHEELKNYRPTVFKNLLECAKSVASAMRQFDIEPVL DENKRHLDFLIDYSLDTNPQTIDAKVSVAIQSLWNDPAKEQLMERQTEFYLMDSAEYF FDEAARIAHKEYIPNEMDVLRARTKTTGIYETRFKMGQLSIHMFDVGGQRSERKKWIH CFENVTSIIFCVALSEYDQVLLEESSQNRMMESLLLFDSVVNSRWFMRTSIILFLNKV DIFKQKLGRSPLSNYFPDYSGGNDVNKAAKYLLWRFNQVNRAHLNLYPHLTQATDTSN IRLVFAAVKETILNNALKDSGIL QC762_123960 MSGSTGKWREEQVLIICPGSQTTLAQMGCNELTPPQHRFPTRMF PDPGVEGQFRPFHTYKRPKTTARVKGEGEDDFDWVEDVDSLEGAIYPIQAGHIVHMEA FLAFLEHVHQALTTTYHNTPIMLMASPQWTKADCEVISRYVFEKTKTPALCLLHSGLA TQYGLKWPNMTVVDVGFEKVDVTCVYEGRVVGHNDVGPGNVRDSEKADEERKRGISGG EFFTKRLMELLKEQGFDHEMAEQLKKSPVCEVLPYVAESKELMELPTEGGSATNSNGT ATSSAAPTEGPKIVTTVVGADDDLGIDGDEKVVNEEGVLDVASLVVSGQTREFLAKQE KLKAEKAKAGRKGKGQETDAAAAAKARLPNSKRTKTIFHYEELVTEDVPVAPPAAPAP SASAPAENGVSKDVEMADSGAAPAVSTDDAGTKPDEPAAKTGGEQAQPAADAAAPLAA AQPPAAAPATERISRRVRRDIEVGLERFLFADRKEIDRIVTTIYHTVQGIDEMYMRPS CWENLVFVGNGARIRGLRDNIMQTLQARHLISPSSATMFTSELPSNIGTPSGTGSQTP TGSFTGQLPTSSSVNPLLQAATTAASLGVPGGPQGQIVGADGQLQTSHHFHSQTPTNI KLATLPTYLAEWTKNGFEEAMFLGAQVAARLAFCIHNLDPAGLEAQRSMSFSRVDYNE LGPKGIRSHSMLI QC762_123970 MQRVSSFFPSWERPRQASISSTRTTASTPVTDAPASTPGALGKV FGWAGKIAVPAHRLSTSSAASKTPTRFDRETYWPTTLDKECDKAARILKSFCFDGFQF PEAQPIRTESPPTDPPSQSAQIYTTKKIPPRIIQNAVGIAIFSCMRSGLWMSGSGGAG LITARKADGTWSPPSGIILHTAELGFVIGVDIYDCVLVINSVQTLELFTRPRLMLGDD VSLAVGPLTAMEGPEPEIKWKEIGDTVLTYVKARGKHQAVALDGSLVTERTNENERFY SANVSVLDILAGNVPKTIPEMRPLFEVIKAAEGRTDFDKPLFEWLAQQPAPGDAVIEI PRMPPPTPSTLSFGIPDANDPDPFGVIGLEMAGIEIREAGSKLRPTSTQFEYHPSPTS PVFARFSRQSIETFVSRSNRGSCMSSRTQATAVTDAYTQTDVTSQAETSFSRANSDDG KDAMSDKLPTVVEPEEVDYTKVDTSFIERLRKRSSEVPPAQIAEFKEMEVIQEVKEEK LVEQARETQVVAEKETQTISRDANAPATSTGSLPEADDERDEDADDEDDEEDEEEPII SKGANVVTIAKRVPPPLPARSPARVSRGSKTEYGDVSSLRSPVRNSFLSISSHTEDVD TAGDVSIDEAISTSGQEQNSIKVDSRPNSPRHQKNSSSVSTAIAVQTNLEQRKSHESD QVPPVPQNIELPSSAEEESEREPRTPLTENEFLSATEHPQEETKAANGAKALRIISPS GSTEGSSVALS QC762_123980 MDLLPIELVRIVYQYCDLPTVTALRLASRKFADIGYDYLLLDHF AVVEWRDDVQRLHSIARHDRLLGKPRSLVFHLAKVDVGRAQETTSLHQWLRRREGHDF IQEGWSRYHKLEERATLVAPLHTRQSMLEATLKRLPNLEVVDINFTKNPYGIDLFDQV FSYHHCRKQDRLRASKDLDAILSALPHARLQSLSIDQVPLELFRHPDARQRWFDCAQT FAGLSRLDLVLDIPRQMGPASRSRAINGLGRVLQSANNVTHLRLAFHTYHAPAEKFEL SFRALFAGDFTYKRLTDLKLEGISCSEEDLKSFLLRHSPTLERLRLGGRGLAKPLELS IGGIHLHNGRWRSLFTGLKEKLTALQRFHLEGDIESGDAYTRTREVWDFLPVVSDDWN TVHQEDGFEGWYKKSASRCKENFDSLGLERFLISGGPWPMFIKYGNTSAYPSPYSSPG QSGSSSPVDSPSPTSSGTFSSFGSPAPSV QC762_123990 MGFPDLMGSSTAHRGARKSNKVPAANTPLEMTPAARTPKDAVSH ALPEYIAEEEHLRPLGETAPDLRELNACLDALAAVFPDIQIEVFRELLTNFDGESRLA LAADALLKNRAVWVKGRWRIDKDGPGGMAAKEHQQRPGQNAADINRGFERVPPTEVFR SHEYRAAVENLGLQEFKGLQRSTIKAVLAEHNYSYLEARETLVGLASKSWRFTISSLF RGRKVISAAVAAQHPLIIYKSTGQGSIVPTIKSTGSAELDRELYDALIVPLKTKQRAE REAKDHELAVAMNNAEAEETDNLLECVCCYTESVFEEFTSCSANGHMICFRCVQHTLT EAIFGQGWQRSIDPNTGTLRCPAAESTECAGCIPSHHLHRALMEDKTGPEKLHKLDQR LADNSLVATGLPIIHCPFCSYAEVDDIYHPETETQLRPRSVNIWNIILVVACLFTVPF LAPLALLMAFIIFLLFISHQTFGTSVMAELRAARQRQRRRRRGLRFMCQNPLCGRASC LLCNKAWKDVHVCNESSLVALRTQVEQAMSLAIKRVCPKCNTGFVKTSGCNKLTCPCG YKMCYVCRKDIGNGASTQDVGYQHFCQHFRPQGDGTKCKECTKCNLWEKEDEEAVLDA ARKEAERKWMEAEKRELSGPEQTYLTEGVAVNSTRMGAVVLGDLLKRGRWPTMGEVCD VMVEQFLNP QC762_124000 MDESAATEGLSSGPPLPSAPEPEARPTSPSLAATHPDAPSTASG STPLNPLPGISTFAPGTGTPQIQQPRPVAIAPAMPPRTSPASTHPGPGGNLPTCQNCG TSTTPLWRRDEHGAVLCNACGLFLKLHGRPRPSSLKTDVIKSRNRVKTMRPDLAKQKK AQQNQAPPAGADVNGADLANGNGARRPSQKSTNGHMDDTNSPISRTGTPNMYNPHMAP MYGNQDEQFQAQQLSGFQVASGPGGHASPLNGERTDMPQGHDENSQLRTRVSELEVIQ ELYRGRIQQLEQEQQQLQAQARQQPENGGSDSDAQLRAQMDALTDALNQARSQNETLT AQLEVATVQAETLSAAHAQLQNELEESHRRENMLKRRLDELEVELKEARDSRDHTFED GRAAKKPRVEEPEQPIVFEQQHDHQQEETLPQPVSIQIVPIPEPVVNPAAETALETAN ETLPESMEEDTKIEVTAS QC762_124010 MPSTHKKEKPWDTDDVDKWKVDPFTKEDSSGAFLEESSFMTLFP KYRERYLKDSWPLVTKSLEKYGIDAVLDLIEGSMTVKTTRKTYDPAAILNARDLIKLL ARSVPAPQAIKILEDGMACDIIKIRSMVRNKERFVKRRQRILGQNGTTLKALELLTQT YILVHGNTVSVMGPFKGLKEVRRVVEDTMQNVHPIYLIKELMIKRELAKDPALAQEDW SRYLPNFKKRTLSKRHKPHVVTDKSKKTYTPFPPAPEKSKVDLQIESGEYFLGKEAKQ RMAEQERAEKSKQKKEEKKREREKEYVPPEESASKSKKRKTSRD QC762_124020 MPQNQSLTIKPIEGAKPGKVYYPLHLNTSPVPTPGPGQVLVKVQ ASALNHRDLFIRQHLYPGISFSAPLLADGYGTVVSPSSSPLYNKPVLVTPSRGWISST DGPEPIPATETNREEKEWSVIGGSARYSDQGTAQNFIVVPEEEVFPAPGHLTSVEGAA LPLAGLTAWRALITKGEAERGQNVLVTGIGGGVALAALQFAVKKGCNVYVTSSSQEKI NKALEMGAKGGVLYTEATWEKTLLKQLPKTRPFIDVIVDGSGGDIVAKGIKMLKQGGK IVVYGMTVGPKMEWNMNAVLKNIELRGTSMGSRAEFGDMVKFVDENKIKPVVSRVVSG GLENIQDIDGLFEDLKGGKQFGKLVILVDREEEGARSPKL QC762_124030 MGTSQPILDIGSASSSPKRTTAHLLPCKIHHTGPVEPTQSFWDP QTSTSSTGIKEAYFRGRKLRGKPVALPEGYKGVVAISSLPDQNGKADVIDLEKNSHGR LEVQAEFDEVVVWGHESLADAAADGYVRSLEEWVGVAAAIHSLDNGDSK QC762_124040 MAPELSSNWKILQARLKAGSASEPAFSSSASASPSAPANNKKRK SASDDQDSKPKNKRTRTSSFPKKQPTNTTSATSSTEKMGAVSSQPVTSTAPLTNQPSI HFLRQNHGISHESLSEAYNLTISPTLLSSLPSHPNQGLSPTASLDRTKYLAIDCEMVG FGPGGVDSSLARVSITDFHGTQIYDSYVLQREKVTDWRTAVSGIAPKHMRDARPFSEV QAEVAELLKGRIVVGHDVKHDLKCLDLDHPMKMIRDTAKFSGFKKYGNGPKPALKALA KEILGLEIQTGQHSSIEDARVAMLLFRKHKPAFDMEHANRYPEDVKTKQRPNKAGKGK KSKRK QC762_124050 MSPSGEATAGRDEPRKKGIATADTLVAGCVAYVTKDGEPRRATI LGIRETKSGRQWYANFDSFNKRLDEWVPQARINFDKEVEWPNPEKDKPKDPKSKKTTA ATSKKSQPSKKNQKRVSKREQSVASEGQTPHPWTEYVENGQHKDKNQETEEKSMGSLE VGGTPGVLGPDEMEIDDDETPAGAAKKDSLGPFSRQQEIEKLRTSGSMTQNPAEVSRI RNISQVEFGRYVLFPWYFSPYPEVFSQEESIFICEFCLSYYADMKSFSRHRQKCTLQH PPGNEIYRDDFVSFFEIDGRRQRTWCRNLCLLSKMFLDHKTLYYDVDPFLFYVMTTRD ERGCHLIGYFSKEKESTDGYNVACILTLPQYQRKGYGRLLIQFSYELSKIEGKLGSPE KPLSDLGLLSYRQYWSENIIDLLLGFSERDEKCTIETIAQHLAMTATDVEHTLQALKM QVYHKGEHKIVLSDKLVEQRAKSRTKQKRLIDPERIQWKPPVFTASSRTWGW QC762_124060 MATPSASSDAGFMMSDGLSRTPRAGGRQQFPSSGRPLPSESLGA PSDDEGGGGGDGFADDQVPVRARPTDPANIPRVEDSIGRMVQDHFENFIEGFVETPTS SGQPTSSAVTTDRYYVAQIHGMRTYQLSTLYVDYKHLLSWSNGALADGIMNNYYRFLP FLTAALHNQIAKHEPQYFREHRQPTASSQHHGTGSSTLGGTGTQSEMSSKTANQQTDK LFAIAFYNLPLVSRVRSMRARNVGQLLSISGTVTRTSEVRPELALATFVCEGCRAVVP DVEQTFRYTEPTQCPNATCQNRTAWRLDIRQSTFVDWQKVRVQENSSEIPTGSMPRTM DVILRGEIVDRAKAGEKCIFTGALIVVPDVSQLGLPGLRKTAVRDDRGADAGGSGVGG LKALGVRDLTYRLAFLACMVTPDVSSLGASGEAQIVDMIGSLNGNVAVETAESLKEMQ DATLSSYTQAEVDDLRAMVHSDHIYSRLVQSVAPMVYGHEIVKKGILLQMLSGVSKST AEGMQLRGDINICIVGDPSTSKSQFLKYVCNFAPRAIYTSGKASSAAGLTAAVVKDEE TGEFTIEAGALMLADNGVCCIDEFDKMDIADQVAIHEAMEQQTISIAKAGIQATLNAR TSILAAANPVGGRYNRKTSLRANINMSAPIMSRFDLFFVILDECNEQVDRHLASHIVG IHQLRDEAVVPEFSTEQLQRYIRFARTYRPEFTDEAKEVLVQRYKDLRADDAQGGIGK NSYRITVRQLESMIRLSEAIAKANCVEDITPDFVNEAYNLLRQSIISVEHDDVEVDEE DEQPVEDGAALRAAADAASGSVPPEADGEGDTAMGDASAAAVHKEKQTVSYDKYISIV NLLVSKVAEEETSGNSEGIEGEELLQWYLEQKEEELTGEEDYEQELALAKKVLKKMVK DNILMAIRGEGMQEDTENGQAGPSAVAQKIVYVLHPNCAVEDV QC762_124070 MFFERWFAAGLAGMLAASGVHAQACTSNLLIDNFTKWTSGVNNL ESPNGDDGSMEFIAAGTGQVVFTPKDTTSYFYESFECQPAVTNGYGGLQFTVEGPAGA SFALELQTTSSCSANDGTYKSHYTIIENLTGSRQTINVPLDGFDNEPNYDAVVGWVWA VFSQSNVQWSIGNITWICGNVAQPTSPGSTSMIPLIGVNCLGI QC762_124080 MQPHEDAVQTPSPVQSPPLAASSIMRSISGSQKPRPGASSILFS PTTDPLSQSELSLPIRTSSTSRRPQSASLFRSTILNNTPPGSPRDASPASTVRSTRSP ARTMTGSIFAGTAGFKASLLDTGAADSPGDPLNLVLKSFVPHVAIHASEDVDDLVNAK GFEKGLWELLRPFGERIMGKVNVRDSNGVSRAWEDFSLRFTKFGDHSNLQIPDALAGL NGSEKQSGRAKESLTTDVEKVVERHLSFAEEAFRGTMEPQTPTRMGLDVEATSPYYAL YLRRLLSGMPLAPHESFAHPVACVMAISSRNPNPIEALHRLYTETREGEKRYPVWVDG EYLRYYILVHDEENSDISKSMALFEQMKRNFGLHCHLLRLRSSESAETDDDSIPLPRS DWMTAAEELADIEESETKEDFEDPTRHIFESDATAIRTFVREMATQSLIPTMERNISV WNDQVASKRKGISGRFMSLSKRWAFGGSSRSSGIASSSSNYDASGFYRPDSPEAIMRR LADFAFMLRDWKLAMSTYDLLRTDFQNDKAWKYHAAANEMAAFALLIMPQNMSSKTRI ETINQMLDNAFYSYLTRCNSLYGATRCIVLALELLRLRGGSGIDEAVRWGIKVLESRL MGKIGDALLKERMAICYASKSGAGSQAWGSRRRKSALWSVLGAEAWVAQEKYIQAQKC LNEARKMYSLLPGENGIQRFEVASDFLAMLNDQVKQGLQFDLLGPEESLVDVEEETFD HDDRRTRRTSMINPLGGATAAGMETASLQSQGDVSGPSKDGFG QC762_124090 MSQKSQSLLDYLVENEPSFRKARLPALYSSFAAQRTLNPDGYAA NLSAWRRALAKVAKSGLAPPPTSSSKPSLLVLNTDERLVSAFETKQYGRPLSLGLVIK EAVENKELVPLRQFLEQKESIYSRSWSVWGLAGWVLKTAGVTDFLKGSGDKVPKGQFV VVENVEGASKAFGEGIKDKEGRFERTFTRAHFAKVFNDQLVEGGRELSDTDMDVLLVF LARDKQMIDYDGKTVKIRDGEGEPEGLTDEDASIAQLKELLASLTHQTLLLSKRVEEL GAQAKEAVTKQNRVAALAALKSKKLAEQTLEKRYATVNQLEQVQTQLEQASDNVQIVK VMESSSDALKSLTAKVGGVEGVEEVVDRLREQMADADEVGKILAEASGTTVLDEGEID DELAEMERQEKEKERKKVEEKQQREAEEGAKEEQKEAEDLRKKLEAIGEVPGSAPVKD KETDEAEAMMGRLTLG QC762_124110 MEVEVSDSTDWLGTPLASLKSVEEALRCHVCKDFFTTPMLTSCS HTFCSLCIRRCLTADSKCPLCRKTVDLSKLRGNGALREAVEAFRGVRDSILKFARTPT PALPKSPKRKATEVDDSDDEFQESKRPRRSTRNRKAREPQASSQLTIEDSEGDLQDDN DGEDEYVPEPNDGLVACPICEQRMKEVLVDRHLDTSCPGEPQPQPKRTPAASRSIAST LRSPSKIPPAAAAKAPERLPTLQYSMVKDQALRKIMRELGLSTAGNRTMLEARHREWL TLWNANCDSANPKTRGALFQDLQVWERTIGTMAPTSSKAASTGAQIKDKDFDGGAWAA KHDSSFKDLIANARRSRQIAEQKAREAAAEEAAKQEASSSVPQPSVPKTSTPSTAESA PLPQTPVLPVQPPPHPSYSHPERWQHQPQPTHYQQP QC762_124120 MALRGAPRLQVGSAAWVEEERNSALDIAESEIEEFSFSARNELD WLNEHMAEIFSENQMNVAELFKTPGKLRGKTPMTTRKIRPLETRVPLSDVFSSTPKDA PNSFSSTQRQSPVRLPQFQVAEDKPEPTVSKSTSPARILAPPPVLAVAAPSSLFTDSG YHGSQSCDTVNLDYCDKDDDVDMVDSPQPDVETGDGEPNVPSGLAEQPSPTLTFAEHE FDAADENETHQPTTYASASGGFSSEMEQSSSPVVVRTKLAMMSPRVPSPKKTEPPARS SPVRTSPQRQAPTKPVSPQKSSSSPRKPSPPKSSPVKQTTSSLPRGFPKPTEHFSSER HEESEEDAEDARSTSEASSPIRPLVRKSSLSFASLPAREPLASKSGSRISRTSHLDLS RPSFYNRHTGGKSLGNTRQYSDDDNEDMDVDEELTAQLENTTRIAEHSKTYTQLLHDQ ISMLGKSQTAGPRPSKSLANLFVPPAGQTQANLGSVTEAKLKQSLAKPNAPATTPGAF PVDDDEDWIAAPSNVVTAAPAVVPYNPRPELRKSLSVEIMENVAGKSSVSDTEHDMPP SSPATAWKAQASPQWQHSTPGHSKSASVPAFPTLAQLEGGDGATLKKIVTATQPTLPS VEEDGRMPTPSKSPTRSAFRDNPLKQVKNKLSSLLSSAKNLNVRSAAISAEGKAMISP STVQLGIHPGPSVESFRSVDNVMYPDLTQQLSSTSRPLSPVRSNSTRRTRASTEREKI EAKEREKDSRAKEKEMKELRRAEKELEKLDKARKQEDEKARVFSKEQERLAAMEKQVA LQKEREQEQQQARAEQARAAHSQYRPQPQDLQTPAPSRKALPKALPKSTRTSPGRANR QADDQGLSDEGDVDMADATSTVTMAMPPSSIQRPTTASSVRTQGIKRPVKPTKETLSK TTRQAPTVMRVYPPSSQQSQFHPSNSVLASNLQETLGQPQQQPPMHVKNKTSQSSLNE KKSLPNLKTSASSAALKRKEQEEREAQRKRDAKAELERKRIAAQKQEQQRERIEKAKA TRAPPPAVRSQPNGPPDYSMADKAPVRPPSRLGSTMHQESRLVNQSLASGKAPMKRPH QQENEGFSKSKSQKTTQETIPIRVSEDFDQDIEMADSQQRTMKPPSVRPSAGFKDPSN KSMFSTGYANVPPPSASRDLFKATVTAQHHSTVKPALHNTAQFAKGAIPFAPNRPIGQ AANPHKTPARPGPGGIPKSVNRTATRSSPRFQNGEAIELPEIQTDDDDDDEDEHIMVA DWADSPALKQALLAQERMDPMQVFGPPAPLNMEEVFSKSKDRWHRFRARTSSANWSGT DRLTEDEVRKDNMARDKMRRDGGWSYELSKDISG QC762_124130 MVKPDFERDYYADLELPSMSEIEVVKKQFKKLALKYHPDRNIGN EELSKEKFVLIQTAHEVLTDPSQKAKYDAHRARLGRWSAAYGGASGVRGNPYMNTSQD INSKFGAPPQRRPPMPTRPTTTTSTGGASRYSQWQAKPKTKTESMREQSKSEAWERSR ASNPQTAYGATRPVPPRPRDAPPTPRSAAQERRQQAAFGGNSTRKTGFTPSSPTGDEP PVKNHHYNMYTASGSTSAAGAAPTGKPRPTSEYVDPLTQQFGDTFLDNRQSTPYATNV GEKFNPFEPLNVNRAKSMKDNARKNSAEAPPAPPNRQRSASVGSDGFRRSSNEKPPYH ETATNPRFPAQSKASARYSPRTAQPPDSAPPTTAGFGGAASSSTSSVNSSANATVNGG ASAQAKPGPKVFAVPDDDDDPTSPIQTARFARHSADNINTKFVAEDKGRFEFSAGSDF EASPSSPLDNAFTRARRRGRQSPLRQDSGTSYEAFANASPKPAAAMPQQEAAPKPSAF DAKKWEELSKVNIFNPTAAQRSSVSPTRPVKPLKKTRGGGVRLTAGSAGMAEEDDSSG EDRAQAGTGRANASGPKSPNAMDIDPPVSETTAQQPPAANEARPIPVEPTKPEWRAGH VGTTATAAPPLPPKVNGTQKMPKVNPTHAGSEDTDDFMRPNVFADFENVAPFSQKATG LNSFADLGQSLPFQSRPSTTIPIPQMKPTPLSCPPVPQAPKVPTTLAFGGVKLGSPAW NTYTKEFEAYMIAWSQWNGTMLTHFSTRQAQQNSAGFSWVGAMGDNGYQEYVNSLEQD KPLRQKWLAACENHELQFKEFQKMRQGLMRN QC762_124140 MVPMTCQYAHVNIWSPFSGETIVSFAPHLLPPLVVFCCQEAFLH SRHQTSDLAITFLPSVITLVGTAQFSCHWLHDLVKMAPTTSDKTISNSINTSPTPPLQ DVTNQTLDPERGASSSKKELINFLRGWPSPSLLSSSILHAASTALLSDPSLFVPALQY GPDPGYQPLREELAVWLEKQYSTSTKSEEICITGGASQGLACVLASFTDPSYTQTVWA SAPCYYLACPIFEDAGFGPGRIKAVPEDQQGGIDIDLLDTLLQKHEAQHHQPTHKGAE RKNAGPYRKHYHHVVYLVSTCSNPSGTTMTLEKRNRLVKVARRHDVLVVSDDVYDFLQ WPILPASPSSDLGPLLPRLSDIDLSLGPSEPPTSSGKWFGNVISNGSFSKLLGPGLRT GWVHGTPDFVVGLSQTGATRSGGAGSQFAAALLAEVMKAGELERHLQETVRPGLRRRH GLIMETIKQELEELGVTVAAQDGDRFGGYFVWLTLPGGLDARVVAQKARQEEELIVAE GEMFEVRGDEEGAKFRGNIRLSFSWEEEHKITEGVRRLGRVLRGLSGETR QC762_124150 MMATESPKQRSDEATITSDAQPSTKVDTNPENVDVNRTHINGAS TDDLANKHISDIIDDLVNSAEVSVSGGSDNEASKDGSKGKEDGAGHPRPSSTIKKPAP FKAISVNKTFLHQKGTAPPAQTKPLEKPTVTLSSSPTPSSTLSSSRPRLVAKTGSGLV AKSSGANGGKSGSAPDANAVWNKNRPAPPPEPKKYTDEELKKYGIHMASRLGPEDIKG ENNWADIEDDDEDWTPEAIVWADGTKTTIPHVDDHHAMPASASAPQAAQTASTGELAV RQASRESESSAKTKASTLVAPPATAPGPGPAPKTHVLGGGKGLVLKGAPEKPTLVAKP PPPPAAIKSPWATIPKVDKVSPIAAPEVSGPPVSRYPPRDPALSGDARLAPKEIAADD FSRAPWRDGPPHSNRELYNSQSGRYEPVSDRRGTVRSDVPPGRQPAVLHRPAHYDQQG PFEPSGPYPGARDIEQPGPYGRRRGSSNVSGGSGALHRLKTLEHPMPPPELINARRGS MTVGSDGPASPRNFSPSGGPRHPQGWAPRASPAMTHAIPYHQAPSVASVQDAAPAAIQ QSPLVPAASLVGVTEQDIELQKKLMRERRELALKRRQEQEAREEAERKERIRAKLEAM GPAPESKSAKMAAAKDHPPAPAPAQPREPVTQKPTETHEPKPKEDVDPGAAPEPERKP EALPNGSPVQTLATLDSIDAQPHTHAHPWPSTGKPVERYQATPTWGPPQAGPAKNVWG APNNNRTLGNGTFVSDLGTTQLPHQLSSKSGPGPIAPPTSVRGAMAPTTRLPPIGPPR PGPRSEQAHGGNASEPRQTASSAWTNSASTIGLQDELFAKMLGDSASERERRLKESGH GLNDQPPIKDTWRPTKLDADGRRIEAAPKQTVKIGSEHAWGAAAEVKATASQQEPSAS SNGPAEYGHLTQSTINTRDISTTSILGVPTAPQHARGSRFFPPSRDVRLESSMEVSRP KSPSPPPPDMAGHPAFDGDVAHPHVSLPRPQPVVRLPPSASSDRRSATAVGQGKSHVP SFAWAKEAAYKESEHPPAGSNAGNRRPSFNKPESAWQARFDNLLGGRKAHSVNPRSPG LRFGDEPVHMASEPWPSSPFSHPSSPLSGKDGSVTTKGMAEDCFEEQEMGSLPPVRIP NTVSEAAWQPSPTPKPLPKKLYAVPSSADSITFPVPMSGAGTIWCVSFPGTERKEIVV PYGRNRSNPRRGGPRGGRNTTAPHYRQGGKGRDTPSSSVDQGSSSTGANPSHSRGSRG SYRGRDNWSRNTPAPIQT QC762_124160 MSFVTRRALSTLIPPKVASPKAIGANPDAVRMQRVVNFYSKLPR GSAPEVKPTGLLGRYQAKYFGKNPSAAPIVHAILLVLITGYAQNYYFHLRHHKNNAH QC762_124170 MASLRPSTAAAAARLLRTATFSPRSSILPASRRFESSTPSSSST AVAPKQAKAEDLAPVQRNAPDYDVPVDQATSMFTPVPKTIQDGSEENLTLPAGLISGA PLELQARTVRIYQPSKPATQSGTAKGSRWRMDWDVLGKGHRWENPLMGWQSSADSMQG THLTFKSKEDAIAFAEKQGYEYFVQEPNTRAFTPKAYANNFTYSPGKLKIVRTK QC762_124180 MSNWQAFQQQGHLDPDFAFREHIFAQFRQAAQRQSQAAPMTSST ATATPKYAFEARVGDTKTPKNDINALILDYLTMEGYPGAAANFSKEANLAPQQADPSI KTRQEIQHAIHSGDIETAITALNALDSDILDKNPELHFSLLRLQLVELIRQCYGGDIT PALDFATQQVAPRASINEQFRVDLERAMSLLFFDHDSNLSPELKDLLSSDLRRKTATK VNEAVLVRQDQRREAAIRALVRMRAWAESSARSSKIKDLPPDIDIGLNGENAVEFQGL TETNGHEPMITT QC762_124190 MPSTIPVAPGTPQLEDLVMNLKTNGTAGTSSVDGTIAKRAHYAP PWADVSIIGIAGSSGSGKSTLSQAIVSKLNLPWVVILSIDSFYKSLDEEASRKAFRCE YDFDAPDALDFDVLVDRLRDLKAGKRADIPVYSFEKHARMEQTTSIYSPHVLILEGIF ALHDPRVLELLDMKIFCEADADTCLSRRILRDQRERGRDVEGIIKQWFSFVKPNFERY VDPQRKVADIIVPRGVENQVAMTMVTQFIQQKLLEKSTHHRAALTRLEIGALSEPLTS KVHIMNQTSQMRGMNTIIHNIDTSSEDFIFYFDRLAALLVEQALNNVFFTSKTITTPQ NLPYRGLAPAGEVSAVVVLRGGAALEAGLHRVIPDCKTGRVLIQSNIRTGEPELHYQV LPKDIAEHSAVLLLDAQMSSGGSALMAVQVLIDHGVKEERIVLVTYSAGRMGLHRLTK VFPDISVVVGNLCTDAEERWVERRYFRC QC762_124200 MLSRRLSRDTGLRTVHSLPFRARISAYPTRIVSPSQRRIVSNLP SSRTTIPGTAPDFKTFFTTPPLTLTHLRQLSITTSKNAPKSTPKALKYAYRFAAWFGS SVLFVSLGVIGFFVYDATTYVDHASNKSDLTISQLALNPRRGGPKNLPILEVFIDDED DEDRKRQKDKPRLVILGGGWGGVALLKELNPEDWHVTVVSPTNYFLFTPMLPSATVGT LGLRSLVEPIRRIIHGVRGRFLRARAEDVDFSARLVEVSQVDCHGVEQRFYVPYDKLV VAVGSVTNAHGVKGLEHCHFLKDIRDAREIRNRIIRNLELACLPTTSDEDRKRLLSFV VSGGGPTGVEFAAELYDLLNEDLIQLFPRLLRNEISVHLIQSRDHILNTYDETLSTYA EERFARDQVEVLVNSRVSEVKEDSIVFTQKQKDGTVITKELPMGFCLWSTGVSQADLC KTLSGKLGKAQNNRHALETDTHLRLNGTPLGDVYAIGDCATVQNNVADHIISFLRSIA WKHGVTDPEKLSLHFSDWRNVAEQVKKRFPQAVGHLKRLDKLFEEYDRDRSGTLDFGE LRELLKTIDSKLTSLPATAQRAHQQGSYLAHKFNKLARAAPGLRANEISDGDVDAAVY KAFEYKHLGSLAYIGNSAVFDLGNDGWRFAGGLWAVYAWRSIYFAQSVSFRTRVLMAM DWGKRALFGRDLMSY QC762_124210 MPATTLPPWAIELKNPPPYKPKSSIPDPPGYPSSQPSNSKKDKK TAPKRDPPSPEEMDTLKLKKAWEVALAPIKSLPMTAIMMYMSGNSLQIFSIMMVVMAF KNPIMGILGTNQAFERFETETNKGKVLQVKLVYVVMQIVALALGVWKVNGMGLLPTTR SDWLAWEAQREPVEFAVPGL QC762_124220 MASAQGGDSKLFARGKVAELRLELNSGSKKDKNFTTKKIALKKI VANMTMSNNDMVALFPDIIGCMGIQNLEIKKMCFLFLVNYARMRPEVAVKAIPVLEHD MEDPNPLVRALALRTMSYIHVREFVEATVPIVKQLLRDSDPYVRKTAAYTVAKLYDHD RHMVEKSDLIDRLNGLLRDDNPTVVASALAGLMDIWERSDAIKLTIDYSNASKMVAIL PDCSEWGQTYILEALMSYVPQEAGEATLLAERIAPRLSHSNSAVVLTCIRVILYLMNY IADQKQISALCRKLSPPLVTLLAKGPEVQYLALRNALLILQRRPEVLRNDIRVFFCKY NDPIYVKVTKLELIFMLANEKNIDEVLTELREYATEIDVHFVRKAVRAIGKLAIKIEP AARRCINLLLELVSTKVTYIVQEATVVIRNIFRKYPNQYESIIGTLCEHLDSLDEPEA KAAMVWVIGQYASRIENSDVLLEDFLDSFAEEPVEVQLALLTATVKLFIQRPTKGQDL VPKVLKWATEETDNPDLRDRAYMYWRLLSTDMEAAKRVVMGEKPAITAESEKLDPQTL EEMCLNVGTLATVYLKPVQTVFRNARPKKLHDSPCLQRQEVAVPGLRQPGDDGNKSLS GFGFGSDKAVQRQGNMSAAVSDADAYFAQQHTRQQDGFGDQGHGGGYVVSQFDAQVPV YSAQGQPNNADLLL QC762_124230 MGKSKVVTAVFRALQLILSITVLALTLTFLKGQVYGDPPTTTKF TIFVAAFTIVVAVANLLGAIWWTWLEDIVPTIALMALDGIAALLFIAAGIAWSIGLKD THGCSLGDDDGRGLYFTGLINGGLIDVRGSQPLAGYLREEDLKSPDLAFSRLQGLCHK AVANQSLMFVVGVALCGSLIGLRFWSYKRGGQKTTYV QC762_124240 MRPTLFFGTRLGVSANRFSKQSLVTPARLTISYQTVASHSPLQH THSTLFTNYHNHPFPFRALNLPGKKFNNHLFFLRTTALRFSTTVSSSLPSPPHPSTVN PTTATMNGSNLTPSVRTKRKEPPHALDARHPKHHRANGDLDTSGGENTPDRPDDSFIE PEYEEPDDKLAALLPVGPDTAEWQETIQRVVRNVVSIRFCQTCSFDTDPALTSEATGF VVDAERGYILTNRHVVGSGPFWGYCVFDNHEEVDAYPVYRDPVHDFGILKFDPKAIKY MPVEALQLRPDLAKVGIEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGYSDFNTCY YQASAAASGGSSGSPVVDIDGYAVALQAGGRSDGASTDYFLPLDRPLRALKCLQEGNP ITRGDIQTQFVLKPFDECRRLGLTPEWEAQVREKFPKETNMLVAEIILPAGPSHKKIE EGDVLIKVNGEMLTQFIRLDDILDSSVGGTVKLLVLRGGDEVEVEVEVGDLHKITPDR FVSVAGGSFHELSYQQARLYGVACKGVYVCEAGGSWRFESSECGWLIQTVDHKKTPDL DTFIDVLKGIPDKSRVVVTYKHLRDLHTLNTTIIFVDRHWAKKMKLAVRNDKTGLWDF TNLADPLPPIPPVPTKARFIQLEHTSHPAVADLVRSFVHVQCTLPVKLDGFPKNRKWG MGLVVDAEKGLVVISRAIVPYDLCDISITIADSIVVEGKVVFLHPLQNYAIIQYDPKL VEAPVLSAKLGNEQISQGASTYFIGYNRIGRIVHAATTVTESFAVTIPANSGAPRYRA VNFDAITVDTSLSGQCGSGVLVAQDGTVQALWLTYLGERSHSTHRDEEYHLGLATPTL LPVLKQIQDGIVPKLRMLPVELRAVQMLQARLMGVSEEWIEKVSVANTAHHQLFMVTK CTCERVEEKEAPALLEGDIILTLNGSMITRISDLDVMYANEFLDAVIVRERQELKLKL PTVAADDVETHRAISFCGAIIHRPHHTVRQQISELFSEVYVSARTRGSPAYQYGLAPT NFITHVNNKPTPDLESFLAAVVRIPDNTYFRMRAVTFDSVPWMVTMKKNEHYFPTVEL IKDPEEETGWRRVTYEGGGKVVQGEGEDGVVPVPGDAADMDVDVDV QC762_124250 MGIRFGSNPQRPVSQLSSTDPIAATVKGGACPCVRACHQPGDAR CFSQATPGRSSALGGRHEQAARSIRPEPRIGCWPGHPRLTPRAWYFMRHVQGFLSYQS RTPAEILKTRTPLCVSGRVLWARLAVDENTKKKLLASNDWFDRQQPQPQSKMPVLKAP SGNTDAPSQYKQPSRKGKKAWRKNVDVTDITKGLDQLNTQKIIGGVIAEKDSADLFVL DVKGDASITKKFPKIKKGLKSDEILAARSAVPAVPMRKRPAHDKTTDGVLPVKRQRKE YVSGKELSRLKRVADGQHDSTVDIVDAAFDPWADEPQPEPEKAVELAFLPEKEKPKKP KTLEQQSILLSANGKPIPAVYVPKGGASYNPDFTEYRERLIEESEKAVEAEKKRLAEL EAERIKLEAAARSAAEAEAAEARADLSEWEDDSAWEGFESAGEELSVKAKRPRRKTQA ERNRIKRRKEEERRQKHEAAMKAKNAQAERIKQIALEVAEKERQLALEKAEFSDAEEI GDDEKLRRKQFGRYRLPEKDLELVLPDELQDSLRLLKPEGNLLKDRYRSMLVRGKMEA RRKIPYKRLAKTKITEKWTYKDFQLPA QC762_124260 MAQTGAGGSYNNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNM YQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAK SFQNTRKWIDDVRAERGNDVIIVLVGNKTDLNDKREVTTAQGEEEARKNNLMFVETSA KAGHNVKNLFKKIAQALPGMEGADGSAAASAQASSQMIDVKSTTTPQQDGCGC QC762_124270 MRVDNCFFCGRPAWPSKGITFMRNDGKSFRFCRSKCHKNFKMKR NPRKLKWTKAYRKNAGKEMTVDSTLQFAARRNVPVRYDRELFAKTLKAMERISEIKAR RERIFYKKRMAGKRAREVAAARKLVADNEHLLPRLRGSEKRRLAELAAERGVDVEELE REELAAKASGKKSKAFGGEVKRLRVRIDGGVEEITESFGGVVGEDDDEDDGFEDEDDE MDTD QC762_124280 MPVRIPAATRTEVFCMGAGGLSAFAPFYMIIPGAEERVARQTTK WAPKWERNITMFKSPVERGVQRISPPVARTVQKVEHKLHLEQAAQKTGRGISKSFDKM GMKHT QC762_124290 MISSRSSCRLRLPRPRSFLGFMSLQTGTELVSLALLFNKATGIY GLLTLFTGFQMSILQFTAYFLSIAVIAVLAFCLPHIRKGTPFQNLLLAWVYAVDTIVS GLYTTAFATGWYMQLKEMQATVASGAPEEEEFQMVESRGKTTTPVRDDGIDTAFSMVL IIGFTLIRIYFSLVIMAYARAVILRFVDERVPESDEDDESGSAPNPFAEGAPLGEGLE GKIGRYMISVGKSYWLGGRKEDEEWAKDVHSKFRSSRR QC762_124300 MAGALTTIRTPEEAVARIAYLSSDVVISVQPSLASDSEFSSHLK QLAKQKEQSLVAKTSDAVPEIQSVRHNNDPLLSVFTPIRSGQLVSVTTTSSILLPSVA HLYKLANLPVVIHVALGPKSFPDYSAITSIRNSGWTFLQSWSLQEAQDIALTAHALAI RSGKGVIHFFDPSSGAVAESIEGASADVVRAVLNLDNVRRFQSAPISGSGIYADDGRV AVVSEQPEPLALSGGVTANQAGEGEAETPLLTSQSSVKSSQQSETSTPPSVSTATTIE PAAPLVSSEDIYKYVTGIWAQLNQLVGRQYNAFEWTGSQSAENTIFLFGSDVALFSDA IAKAQSGDSFANAGIIAPRLYRPWLGAKLIDALPKSVKRVAVLEQVSRKTTKWGPVLI DVLTSVKSAVGGVETIVGYQLGYITKESVKQALSGVFQNLTLEKPVQNLEVGEREIPQ ETSKYDLSKPKLEVSYTKILDQLFGSRAFVANSLDSDNAGVSRTISATPEYGFGSLLA RKERRQKFVAEVKEAASNGRFLTEVPKRALAKWVASADDAKKSEEAAEEVVSKLTLEN STPSKALLQHKAFFRKQSLWLVGSDAWAYDLGNSGVHQVLASGENVNLLIIDSTPYSE RAAADANRRKKDIGLYAMNFGNAYVASTAVYSSYTQVLQAMDEADKFNGPSIVLAYLP YFGEHESPLTVLTETKKAVDLGYWPLYRWNPENEKKGEPNFSLDSERIKKELKAFLDR DNQLTQMMRKEPQFGAVLDQDFGTEIRAQQKRKAKDAYNQLLEGLFGAPLTILFGSDG GNAQSLAKRLGTRGRARGLKTTVMAMEDYLVEDLPTEENIVFITSTAGQGEFPVNGKP LWDAIKDSTELDLASVKYSVFSLGDSHYWPRKEDKVYYNKPGKDLDRVLANFGGSRLA PLGLGDDQDPDGYQTGYSEWEPKLWEALGVSKVDGLPDEPPPITNEDIKIASNFLRGT IAEELLDTSTGAISASNQQLTKFHGTYMQDDRDVRDERKAQGLEPAYSFMIRCRLPGG VSTPKQWIQMDDIANELGNETMKLTTRQTFQFHGVVKAKLKPAMQAINRALMDTLAAC GDVNRNVMCSPLPSQSAYHREVYYWSKKISEHLLPSTTAYHEIWLTDYDGKKTQVAGD AVQDFEPLYGPTYLPRKFKISIAIPPHNDVDVYAHDIGLIAIKGNDGHLLGFNLLVGG GMGTTHNNKKTYPQTGRMLGFVKADQTHIACEKVMLVQRDHGDRKNRKHARLKYTVDD LTVEVFRSKVEELWGQPFLPAKPFHFDSNVDTFGWLKDETGMNHFTMFIENGRIEDTA DFQMKTGLREIAKVHKGEFRLTPNQHLILSNVADEDLDTLKKLLAQYKLDNLHFSALR LSSSACVAFPTCGLAMAESERYLPVLISKLEACLEENGLRQDSIVMRMTGCPNGCARP WLAEVAFVGKAYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILEIMRGLLSRYAKEREQ GERFGDWTIRAGIIKATTDGRNFHEGVAEEEEEAEE QC762_0025210 MDNRLGRPNSPPGGRVPVPPEYMLPAYDDVHHESSTTGMGAAHG HGSNVRLLTDMEDPSDYQESDRHERPGRRRQPYEPSIDSRSSILDPPLRMHPPTESYV SYDQSSMNESNWGPSRASSPEYSPPRGHPPPHSHRFEPADINGAPRPGTPSTVYGGSP RRPLPRAPMYNSPTRGMQSTTSFHDDATVNIPLASHHDDPFGPESDVTDNRRHHHTHS SYSADQMTLNEDEYYDEDGDVREKADRYVPAPAEGRQERRGVRAPQMSKREVQLINGE LVLECKIPTILYSFLPRRDEIEFTHMRYTAVTCDPDDFVERGYKLRQNIGRTARETEL FICITMYNEDEYGFTRTMHAVMKNISHFCSRNKSRTWGENGWQKIVVCVVSDGREKIH PRTLDALAAMGVYQHGIAKNYVNQKTCLSPDTMVRTTNGDKPIRDIAVGDQLFDHEDR PVQCLAAEAIQTSRMMKVTYTGYNSVSKSSFTCTPDHIMTLVAYGVKPFRHSNSNRVT WWTRCDRTELGADAVEIKWDAAMHDLYTEYREQLGRRPTDAEVRKYLKAITSTRVVPD SEDGDSQRVEEIYQSPVLIKLLAKLKAMDVDVDGEDESVVLMLLQQHMEDYLEHMIPE PEDIPDEDNDDLIIDLDANRKRKIGTISTVSSRVSDKTFGQSPSIKSSPVNSQQQSQN EASQSTVSTYASVLTEDDHREMFAPVRNKLAVRDPKCKCQNLSCKGLRRVSTVFETSE QADLALELLSGDHYRVVDPCAVQDMEVFTMTLEEYQATCTAPMTQHKSKLRLYRSPLR FQPYQGPVSPVPIDPFWFGFWLGNGTKNNSEITSTDPEVEVYTQALVDRLNRDSISPE KAPLKVSKYRIDIGTKHVYKGEEIKATKVAHRLRVMSTVSNLDKTHWNPVHDGLRELG LLGDKSGGIPDCYMNADENTRLAVLAGLIESDGWLSHGLNCYGFGQYTYEHKKLVEDA RKLALSCGIQCNPIFERKNGKDRPEPGWFFYMGRGVEKFQPHLLLPRKRMTIPFTTSK NRDVRPFDVEDAGDGEFRLIEVSGELFQLADRTVVHNCTAHVYEYTTQVSLDSDLKFK GAEKGIVPCQMIFCLKEKNAKKLNSHRWFFNAFGKALNPNVCILLDVGTKPGSNSLYH LWKAFDTDSNVAGACGEIKAMKGKYGVNLLNPLVASQNFEYKMSNILDKPLESVFGYI TVLPGALSAYRYHALQNDETGHGPLSQYFKGETLHGQHADVFTANMYLAEDRILCWEL VAKRGERWVLKYVKGCTGETDVPDTVPEFVSQRRRWLNGAFFAAVYSLVHFKQIWLTD HTIARKVLLHIEFLYQLLQLLFTYFSLANFYLTFYFIAGGLADPHVTPFDADGKAALY IFTILRYICVLLISTQFILSLGNRPQGSRKMYLASMIIYAVIMIYTLFASIWIVVHQL SPKKEDKDKPELEMGNNVFTNMIVSTASTIGLYFVMSFMYLDPWHMFTSFVPYLILLP SYICTLQIYAFCNTHDVTWGTKGDNVMKTDLGGAVGKGSGSTVELEMPSEQLDIDSGY DEALRNLRDRIEVPEKPPSDAQLQEDYYKSVRTYMVVSWLVANATLAMAVSEIYGSSG IGDNFYLRFILWSVAALAAFRALGSTTFAIINLINMVVEGRVKAKFAVPNWARGLIEK MRDGARDIGEGVANSVRR QC762_124330 MEDLSHLWERQITALRDSFSADSSVGAPTSGSPSLQRGDGGRDY DTHESEHGWDDKINSVVDALDELALQSPTGKTNTTATQSPRTPWFPNGDAYTETVPLR SSKIQALPVLPWPTDPPTPSPSLWPPQQNQKDHQEQLLCPHYTARQLPLSPSTLSSRT AVPGSTMVTPATTLHSPLPFIQSVPPSSVSALCLSWAPPLARPGPDGQLFSPSLPTNT EAVRTCFKKRGWRVQCRLIPNDYSTSAVETVVDKFLAQSQNQTVQHEKRNRSRSEVTS RGGELLIVYYHGFGATEEDGRLRLSSDEGSHFYWDDVRDPIMQHPGDVLLIFDCTAPF PLSSSKSNRSQQSHTGEEAKPPQEIRLFMGPGVLSRKGTKQVLGVCVPSSLASGRSGF GAEPLNIWFKLSKEKQKPEMAEKPRARPRSLLLPGFDLNDLEPSDSAEPQGDQGAAQP ELEQTSSPWKLDDTMTKALCRILDRDRNRVKTEVGMNEILSVQRLCSLVREDIRQTST LPSTIGSEQREAIREVERGLAGRVFVTQLGGGQIQDIYLPCLGA QC762_124340 MRVPPPPFVKRLTSPPIINIKSGATFFRRHPSSLPPPNQHAHLQ PPKNDNPPLFKGISFQVPSHLSQSPQHNESSWVVVGPSRSGKTTFLQMLRGELHCSPI SARTWPWLTQHNLTPQTAIKYVGFNGKGISEVTTSAYLSARYESLREDTDFSLKEYLL GQTQFNLGHIPGEHVISQHLFDKVVKDLSLVELLDTPVAFLSNGQSRRAAIAKALMAE PEVLLLDEPFVGLDPGASRALSVMLKTLAERASPRVVISCRPQDPLPGWIQRVIYLEG AGEVAIACQLGIEGAEAAFVKEAGGGSQVEIITDADEGSLGEPLVDMNGCKVRYGNKI ALGNWDGGLHWTVRRGQRWGVFGPNGSGKTTIVALLCSDHPQTYSLPIKLFGRNRMPE PGSGERPLTFWDIQSRIGHSSPEIHKHMPRGLNVQQVLESAWADTFKGVPKLDEDVKQ KVKATLRWFEKELNPRYSKDKDGPRDDVAWAQDYLFGGLSFSAQRVLLFLRAIIKHPD IVVLDEAFSGMDEYVRDKCMLFLACGEEKAYAADRTVVEHPAPEKIKVKGLGEDQALI CISHVKEEVPDCVKEWLCLPEPNMGLPARFGQLRKPLRTDEDTWMHTIWGLNRRN QC762_124350 MQSLRIVPESNPSSSFTHQPSRAHVAPSAPGLHDTLRAGVGANP LTTPATQAISAHPLEARLKQWEATREQLKMETLRRTYGIAEPVRRGMELKITREGTWK PLCLGGNSTPSIHEEILTGRDGIIEWEDVFTGEENGANISVHEEIERKVKM QC762_124360 MADIKPDTSTAQPRPSNEEPTASPQQQQQEPEQTGETVPSKTPE SAEPVVSPSEVVTSSPAEPKGKNTEEEASPLSQPPLGKTALTAAPARDDDSLSIEPTA ALPPAAISDSVSPSSPVCTITLLLPTGARHPYKIDEKYLSKRGVDIPEYLEGGGVKDP FSISVYKLKELILREWRDEWEGRPASPTSIRLIHFGKLLDDKEPLKKYQLSKDAPNVV HMSVRPAEMMEEDEGAKGGKASSREGRTREGGGGCCVIL QC762_124370 MDIAQPDDEENQNHTTPHFTWRAVLAGLIIGFFINLSNTYYGLR IGAASQMSIVSALLGFVTFQFLQRYLRISLNPSENSLLLSVSTSVGCMPVAAGFINVI PALEFLLSSEEKGPLLLSAKSLIVWSIGLCSFGLLFAAVFRDHFVVQEKLPWPGAKRA ANLINSLYHQNPQPSMGHQSARDEANRQPDNEEDTDHSSFAREEQALLTPQPTIDGFR WDRGMQSLFRGTLLSWGLGMIVYFVPVLREIPVFGRTASKNWLWSVDLSPGFFGQGMM TGPTVPLHTMMGAVAGWGTLSPYAKKQGWAPGNVDDWENGARGWIIWVALASLLADAF VKLGWFTWREVVHFSDDTAIMDGENENEINTGHNYPSSIIGRRRLIFYFLVSAIICAV SVHATFGSVVPWYYTGLALTLALPTAVVGIRSIAETDHNPECALVSHLVFGTFLSSSN PNAILIDLFSAAISQAGASQSGDISHNFRIGQLVGSSPKVQLHGHIIGSILGALISCG AYRLYASQHSIPGPLFRIPAAFLFINTARVAIGHGLPEGVAPFAIGAAVFSGMSTIVK MRYANRWWQTFIPTGVSFAIGIYNTPSFTITRIMGAVFYWACANFGREKGRKNNDLLV LASGLVLGESVASLTGLVSTMMFKRFGGH QC762_124380 MISANLFLAVTALLSSLTAAVDQAVACAQANNKIHPRDINEADL TTVIYQTQTATLTLTVEETPPCDESPATTNSHSDAGEVTLSPSYSTFDTSETLTVTST ISSGTATLTTTVSNASTYNVPGPSTSATWSTSTTSASEPDVATPSIDTAVTPFVPAPS STTVTADGVSDASSPTESSTTDDSTGTPSSTDSVAPTVSAAAMPIMLSNDLMVALVAA AMVIAA QC762_124390 MSRNIHQKYPSAPRIKLVNKKPRRPSVTSSESSLNLSDDEGYSG VEDVSESDNELDEDDVVAAEEEHLITNMARNRLLKTPRPLDEENDADEEDEDEEDELE EEEAIDDEDANDDEDDDDDSVSWQGLSPPKEFEDAMWPESELPDVTPVKRHVRFAGID SDSDNTESDLSESDDGKQFFPDIFVDQNALDPRFRREIENDDGSDTDGSYWDFNGSTQ DVFVETSDVEFDNGGLHGTPMPTPRVIPPLNILPTPLTAFSTESPELDGYETDGDTTE EDIPEPVVRKKQVRRPVSVETSDSETPRPNRHVRGKPRLARFDLDGDSDKPVGVINPK TGKMMIFTRQKADRLELAPESYDLNFAQDDLSACSPMMANSALIMLGVMDANTLGDYF ATQPFGPSEAFFPCSDAFTGEETDESEYYPALEDEGEDALRIEDFIEFREDNESDQEN EDEDNAGDWSNDPNSSPTWPKTSASMSTTNTDYYVKDVHPLLTHFEMNSNAVGAFRRN QVNQQLINSEVATQESLAFSGPYHIGTLRGIKSGSMETVTTPITPVRRQKRNSMVGLD GPLDYSPGSPLNHVSQKRKAPDTVADDNLHKRHRSISDMEILQL QC762_124400 MATSRPPQNLFQVYLRLRPHNNSASTSGERFLSVEEPDENSDAP RHITLNPPNDRRRAIEKFAFTQVFEEDASQLDVFHCTGVANLVEGVLAPYGGEGTDAL LATLGVTGSGKSHTILGSKSQRGMIQLALDVIFRSLSDNLLDCSSYPPLEQSITNSDP SEALIFSAHNFLDSVYADAHATFKSSSSRAPTPMLSESVGPSSNQHRRMNRSVAFPQQ PDISALSVSCDPSSEYAVVVSMYEVYNDRIFDLLTPPAKSAATKEYRRRPLLFKPTET SPDRKIVAGLRKVICGDLQQALLVLEAGLHERRVTGTSSNSVSSRSHGFFCVEVKKRT RASRKHGDLPWGGSTLTIVDLAGSERARDAKTAGATLAEAGKINESLMYLGQCLQMQS DATTKDKPNLVPFRQCKLTELLFSNCFPSASSFSSARHRNPQKAVMIVTADPHGDYNA TSQILRYSALAREVTVPRVPSITQTTLSAPAPPASPPPLGQLGSPPVHHRSFFAPPGS SSSNQPQHGGLLSSPNIQRAFSPLSGSSPSGDAHRSTMEAAALEIARLSEELEYLRQA LESERSAREEAEAHLLSMEDRMIELEQAIREDCTNEFEQRLEIEMARWKTTMQIEMER GEEHWGRKIEVFERSLAVAPLSLPSTQPQSDYEEVDGEDNDKENILMEDMEQENERLK RENEILRREVAGMSPSKRRPLGERSGLGVAGEESRGGSPRPTRKDREKDREVTLRQKL ENLRMDDSSSESQRPPSAQQQSRHSSPKKMKRLQTKRWNEIDDDDMF QC762_124410 MLSPVLLIDSQNRHMCPVHNSPSPGHCQHLLKKTLLVKMSSHLP PNYTPALLHRYLSHFNRDPSQLNVIDHDAVGYATVEERAARAKKYNEVASDYYDLVSP LYEQGWGQRFHYTPIFPGKSIADSMTAYEHEFARIARLKPGMKVLDLGCGIGGPVRTI TKAIGCKIIGITNSAWHVERGTQLTKLAGLEGKVTLVQGNFVKLPFEDESFDAAYSVE SLCYAPHPAEVYREIKRILKPGAPFTFHDFAMTKKFDENNTEHAKIRNWVEFGNGIVK MPWVPDMRRCVLTARFELLAEEDMADRSNGAPWYYGPAGDVPWAWRVPGWDDFFRVVK MSPLFLFIAKSIYRVLILFGFAPPETLTLMDTMWYCCRSVAISGKMGIFTPMYVFTCR KPITANKRSGSAEQKQEQEKVELKRA QC762_124420 MCSQSDIPETNVDVLIIGAGPAGLMAAASFAHLTAIPSASPLSV RIIDKRSTKIFSGQADGLQCRSLEIFDSLGFADRAWKEANHMIEICMWNPDATGIIQR SDRIPDTIVGLSRFQQIVLQQGRIERFFLDNIQKYSGGAIKVERAILPTSLQIGEERV KVTVRKLTEEEATPPQATTGSKSDMTDGLFRSNLVGDDYEDKNITDAKGGEEEVINAK FVIGCDGARSWTRRALGPEFELQGEATDFIWGVMDIIPLTDFPDIRMRCAIHSANDGS LMVIPRENRLVRLYIQLKEVTPDASARADRSKITPELIFGAAQKILRPYKIDYEYCDW WTAYQIGQRISPSFSHPSNKVFLAGDAVHTHSPKAGQGMNVSMQDSFNLAWKVGLIAK GICHPRILDTYQSERRRVAQDLIEFDHKFSRLFSGRPAKDVMDAEGVSMAEFKDAFLK GNLFASGLSVDYGPSSLVVKSGDPGELGDRSVRSRNLEGVRRITVEEFEVKQSLAKGL PVGMRFNSFKVLNQACARPWHFQERLKADGRFRIVLFAGDILDQAQKQRVEVFCDKLS SSNGFMRRATPEGAKIDSVIEVLTIHSAKRTETELLRDFPDILHPFDPHTGWDYNKVF VDDESYHEGFGNAYKNYGVDKEKGCVVAVRPDQYVGWVGELEDFEQLQEYFEGIFLV QC762_124430 MKKFTTRFLPKPIAKMADIIGTIVTLLETVQSAVTLYQRIDGLP TQMTQLGKRIERLSPFLSRLESFIKKRPAAASSSLYPGQKQDLRQLLDAINGHIKKAT DLFERYEKGILSRSHDLEFRARWVSQIWFSLVENSPEKVQAILDDIEYDRGVLSDYLA LMAVDKQPDIPSPNLITSPAPKKIIINSPANKHIANSPKKNNLSPSSAPAKRPSPSPS PIPRQDLKVLFVDPYHAERTAIAESLLALFRELTPLYRSNTPWRISTVSSAGFFVKNS SDLVPVISSLNYSYPSWKKDFKPGGPGDVPKPEALKAIFDNKWADYPFKNAIKSQIST RPSVGLTKDVFKKYDYIIVFTKRDHDNMVKLKEAVTAMAKERQRARVLHLGVYLGGEI VYPTLAKGAGEFIEQTNRHEWNKKVAQIKTALKEFLRQEMRWQMPELPGPEKNDGKQI KDTGEKDANGVKNKKTESGKDKVNAAGKAKGKES QC762_124440 MGAGTTLSAAVGAGGTAEFSEPSHQTPRLPPTCGTDDASTTATE DLDLLESIKEVLDADSRPTFVLDLDPDDPLPITPIDSTGSPTARAKVLLPVFCNVALR LYEQLYDGLIGLDAQGLPPEQEPQDASFDEFKRWATGVTAHDDSKDVFPLSFLYGDLL WTGSTVRKRWRLISGNRLWRAAEVASPVGDLSSGAPAEVATGGFVAEHSLKAASQKAP PSERPTDTSLPVSGTTIAVRYSKQKSGRPPPKSFFSTRQTPAGSSDDTTKSSASITLA APEKSVADWTCPEPRGVLSAHLQYARTVNWAITPLGPMEKWSPEFKQTANLCMNNPHP AALFWGSELTMLYNEAYATEVAGNKHPSLMGTGFSGPFSELWDYLRPIFAECARTGIS VRKEDDYLPIDRHGLLEETFFSWSFTPMYGGTDRILGFYNAPFETTKQVINRRRMHTI NKIGERTAQAKAVKQFWKFVLEGLQDNERDVPFALLYSVGDGEGEDNDHSSMSSGSTI SLKTCHLEGSIGVPDGHMAAPTHLDLKRSREGFVPSFREAMRTREPTLLHTRDGTLPE VLLEGINWRGFGDPCREAVIFPVRPTNGDAVLAFLVLGVNPRRPYNDEYKAFTSMLNR QLATSLASVILFEDETRRSRDAAEAAALEKEQLTQQLNLQASRYRRMTELSPLGMFLI SPEGVLREANDRFFEMTGHTRDNNSQYEMSWLDIMVEESANTMREGWERLVKDHLPWS GELKLRKPRINPALETNEPMDSWVLFSAHAELSHDGTVRSVMGSITDISHLKWAQGLQ NRRLQEAEETRRQQNEFIDITSHEMRNPLTAILQCADDILSALGAGDNQYQGTEATYR GIGNASSVTSQTIQSCIDAAQTIALCVQHQKSIVDDILTISKLDSNLLLLTPVPCQPK LLLRRAVKMFEPELQAKKIEVSFDIRETSLTGLSVDWVAMDPSRVLQVLINLLTNAIK FTAPAKDKRLITVALDASLNPPDARLIPGFQYVPVSFKAAAGGYVDSKTSALSPEDEM PDATVPVPSSELYLYFHVQDSGCGLTHEEKQILFQRFKQASPRTHAQYGGSGLGLFIS KRLAELHGGQIGVASEAGVGSVFGFFVKVRRAAPSPVSREEEDAFLAAVGPMERHLHP VGERNTSLLSSEAVKQEGKGYSGVSSAEFSSGASLVGSMAPEAAPARPQPFSRIASDV SSTFDPKQLDILVVEDNLINQRVLVRQLKQYGCNTVGVANDGLEALAFLEKTHFCRTS EENPGQDLSVILMDLEMPNMDGLTCVREIRKWQQEGKVTKGKHVPVIAVTANVRDEQV ATARKSGMDDVVSKPFRIKDLMKKIEVLLGQDLAGPQAQPEHA QC762_124450 MALGGLPLPGPRAYPIVGNVLQIDTSSTLKSLDKFTDQYGEIYR LVLPWGTTAIVTTAALVHEVSDETRFKKPIIADLEQLRNGVPAGMFTTPTEEPVWGIA HRVLTPAFGPVPIQEMFPEMHELAAQLVMKWARHGPEQSIAVSEDFTRLALDTIALCS MNFRFNSYYHDELHPFITAMANFLTESGNRSLKGNFLSSLFFWSSNKYFADIKTLRDI AQSVLDARRANPNGRKDLLSAMLDGVDRKTGEKLDDGAIIDNLITFLIAGHETTSGML SFAFVMLLKNPETLKKARQEVDEVIGRGPITAEHMKKLPYITAILRETLRLCPTIPSY GVQALEDTVIGGKWAIAKGQVVLLYLARSHRDKAVYGETADEFIPERMLDENFDRLSK EHPGFWKPFGNGQRGCIGRAFAWQEAMVIMAMLLQNFDFKMSDPSYELKIKETLTTKP EGFEMKAKLRHGLTPTELERQLNGSLLEKSTLSKHPHAQATEKGTQLKQLNIFYGSNT GTCEALAQRLAMDAPSHGYNATIIDALDAAANQLPKDNATPVAFITASYEGEPPDNAT DFVTWIKDLPDTSSLKGTSYAVFGCGHRDWANTFHKVPRLVYNTLEQKGATPICDLGL TDVSQGEMFTDFEQWEDDVFWPAIKSKYGSAVGGGRQQALEVQFSTPRASTLRQDVEE AVVVEEKTLTKGNGVPKKHLEVQLPEGMTYRAGDYLAVLPVNPKESIDRVMRKFGLAW DSHITVAGGAEGKKTTLPTGVPVPVHEVLGSYVELSQPVTKRGVQTLSNFTSAAADRA ALSALSTNPELYTSTIITPRLSLLDILDRYPSISLPFGTFLSLIPPMRVRQYSISSSP LASPSKATLTYTLLSGQSLANPANLFAGVATSYLSALRQGDRLLVSVRQSHSSFHLPS NVETPVVMIAAGAGIAPFRGFIQERAALASQGNKLGKALLFFGCRHPDWDDLYCDELE KWEADGIVKVTRAYSRQNDREYVGDSVLNYKDEVKQLWDNGARVYVCGSRAVGDGVRS ALGRVVLGDEAKEGEIAKWFEGVRNVRYAVDVFD QC762_124460 MCYQLLERYSSCGCYYYRHQVDKCCAHGRAGHIVQQRTILVGHT CADHTSHFEDYGKYGSATPAQKSVKLGYSKQVEESDETGLDQSSQPSIAIPICIVASS LNPESVAKRPGISDDFAWIETPVHYDWEDSDAESRVLDPDSIISVPSTVSSVDPDATE TIFHRLLHFQDLQFLWSHTVQLSGSRLKSNHNIARFLKLYAIDLLNLALNTEDRPEKR VKLDTANFVRRSRRDIARRITEAHCKTWTDDSAAANNDIIEDQEIGENIGARRDYDQG DSGPEDEPFDLVTAVAEVFLFETDPVLHLQSNVKAFVNRQCPKVVQQSFWEFMTTKTS NMVSQLRQKPLEDGKTRITWTCKCGRNLYDDFTEIVPGAVKQLEAELRYQNTKQPELE YDNLDAQNTPRSHPNSFARVLFQMWTGTTRIFRKFQYPLLPEHKSSRGNMSLELGNPC TQSSQQAPYTTHLFLLLCVPFLRFATRLAQPEVCQINSDQELFQLLRRQYLIARSGKR WLWGQLRRVQAIDFVKLELYSTQELVDICHSPSLPQDTDPYVYNPQPAEHIPPVGANH LMHLFSHPSHAEPLPILFRRVPKKVDNPLKPCPINGVGLGWSLYLQEGMNWPAMLLYG CIGFGLSLFTAVVWATLVSQGDVQGGFAIGGFMVAFLGFAGGVMAHMNGGNGL QC762_124480 MPRSSQDLKEASTCPEVRVVHVFRRAFNFSPTMRSQLLSLTVWL LAHKVVGAALGKAPEFATVVTPRTVLANSYDFIIAGGGISGLTVADRLSEDPSVKVLV IEAGIFDQDEDNILIPGQFFTPFPFQYMYLPLPSVPQTALNNRSFNVPAGKVVGGGSV LNAMVYVRPGVEELDAWELLGAKGWKWNDLLPYYKKSENFTAPDPAYAAAANFSFDPS VHGTTGPVQASFPSFHFEGSGIWFEAAISSGLRAGGDPHAGDGSGVVYVPSVTSGTTR TRSHARLNHFTRVQPRSNYHILAGHTVAKVLFDNTKKVTGVEYLPTGGGERLTAQATK EVLLAGGAVHTPQILQLSGIGPKKVLNKFNIPIVVELPGRALYDATRQGPYQLTRGLS TNLALPPLCNATSDCKSIVSAARRTNPFRYLPDDTHATVKAGYALQREIILRQLEGPK TPVSMIHWDTANSVRMYFFKPLSRGTVQINSTNPLEWPLIDFRTNVDPIDEDLIVASF LKNRHIMSQPSMAALNPLEAAPFSNDITDKNILKQILRGVTEPSSAHQCCTAAMMPRL MGGVVNSKMKVYGARGLRVIDTSYWPIVLTAAPTATTYASGEKIADAIKAEYGLQSL QC762_124487 MWNSKLREEAADEECEDWHIDLTFDPITEEDKKVKRIFEEAAGE EYVRFAHDPVYPLARRPFQFGMTGIHGNVANAESDKLLEYWETLNKTTKQVASRFTES MESVDMEPTHQQPDHPSSEETASNFEAGPKRWLRRVKERTQRFISI QC762_124490 MAVENTTWRNNVWLVWFYIVFPITVLIDLQEVIYPSSLLVPADA PLHFAYKAKQDYIAKFNDPIVQWSPETASGHDSWMGLFIHLEFFFLLPVMIYAMYKMG IRQKGTSGPDELLFFVYALYNALTTAVCIHDTFYWDKTIWADESQLWTLRSAYYAPFV FIPLLGAFDMGSRILSRFKAADAAAESKKAE QC762_124500 MILRPWLRLPLLALTASFFGHVAIASSASHHELSLPSYHYGAPI RVECMNRSSETGEHVSLPDSPTHEIQWIPFPICNETSSPLEFRYGIEEEKNCTIPMIS DPFFHLLEFYVHADAPLACRLPARPPPHIEVVGQRQPEQEYIPLVFALAGTLQLSHMH ISTHMNVLLHSTPKQHVHPHDSGVLDSATAYSTSPLTHMEGGDTVRLVIGDPLPFKFS VRWFPTPALPKTEGRVEWQGMGGHIYASTVFYSLLSFGAGVVVAGAYFFGVIMPKRLK GRTLGGATPLGYGVGVGNGWGYSGAGQKRAID QC762_124510 MQFSTIALLALSCVAPVLGARSPGCGKTPTIRNGQYTTTVNGKQ REYIVRLPDNYDRNFAYRLVFTFHALGGNAQQIANGGGGTLAWYGLPPLMTGNNSAIF ISPNGLNQGWANTGGEDITFVDNMVRTIENDLCVEQSLRFATGFSYGGAMSYSWACSR PDQVRAISVLSSAVLSGCNGGTQPVAYYHQHGTRDSVLSISMGRQMRDRFVQNNGCTP LNPEPQPNGGRSTKTEYRNCLPGKPVTWVIFDGDHNPSQQDQGSNTPFAPGNTWEFWR QFQADGSSNPSPEPTSNPGNPQTTLITTSRAPEPTTGPAPGPTAPRWGQCGGQGWNGP TVCVSGTTCTKLNDYYHQCL QC762_124520 MSAFLARNTETLLDIAADVIQLLKSSNATVGVAESLTAGGVMVA LTSVPGASAAFLGGVVSYATPLKQTLLNVDATLIAKEGVIHEEVASQMAEGARRITTH DDAPPTTWGVGTTGVAGPDKQDGKAVGTVYIGIASPTGSKAFGPFNFPGTRERIREAT VMEALARLREELMKARQA QC762_124530 MAPSASPSHTPGPHTVVSPPSNQRRASTPKVRTGCITCKNRHVK CDERKPTCSRCEKARMECHGYLATTDQKAARKSSSKSATIQGGPRPLQMIRPARVTPF CPEKDIIYHDFFRYTLVNDLAGYLHADFWSRVVLCEGIRDSCVNHAIFAIGALSQALF VEARLDRSLARQSSGSPPSPPNSHVRWHHIYNPHYQAAIRHQNQAISLCLQRIRDDGN TMSSRNLLVITLLLVGYELLQGDVEAADGLMTSGIRLLRDSITSLRDAARRNTGPTNY VNREDEDTQDMEYILPFLSGTSNLRPSPPPHYTIPSSSLECNDLPVFGKTSAVKCTFL WGNFHTRCLLFISQAMQRTFAGQPLPTNERHSLWAEQAQLWNSSRQWQQVLSDYKAAV SPEDVRTRKIMLLLLLQCYTDLICLAWCLDPAGTALDNCEPDFGQLLNIALEFSEDQE PMTTNGFILSGGNVTGPLILIATKCRTSRTLRWQALEAFRKMSWRTGAWDAKVFVSVA GLVSLEEAARDEGGRIDPINRWLCTGIHSDVDSMKVMGEYTRASSDEKGDPIKKYLVL NVDGRRFLAEGEGTMDPSLTKGDPDLGCVRNTHGQLAGQITNHGLGADMGSSINGALS PASAVKGSDFDSPLPVPTEYNSNWDGLSSREDTLV QC762_124540 MTQGNGDPKMSSSIPNPPIGTRSRRPNGALEDFLDNNPDLELGG SKGFITERAHHQKIFGIHNLKKGVNAIGSVEFTAIRGPHGTIPIRILYPESAEDWRDR GEAGALIYCHGGGYTVGSVDEFENGLRLVAERSGCQVYCFDYRPAPEFRYPVQLDEYD AVIDWVQSEEGRNRGVRADRVAGGGDSSGGNLTAAVCLRRRDKGKKPLCAQILVYPTA RLPFDTLAAKENSSGYYLHTNGIFSFAAHYMPRPSKANGPSPLDAYISPGYQPAKDLA NQPKAAVITNGFDPLRDVGIEYASKLKEAGVDVKWVHHEDLIHGWLQMTPWCHAAGDA TRELGKLVGAIVYGYEKE QC762_124550 MRHPTRDGLAWDDNGLGPVARWTRQPDIEAVERVCRRALNINHT VDCNVHLHANGEFSKLYAVDSIRGKHIIRISLPVDPGNKTLGEVFTLRLVHRMTDIPV PKVIAWDETVNNELGFEWLLMEMMPGRLAYYRWRKMTDTQKEILTKRVAEFHTQLLRC GNLGQGFRSIGTLGTGPNADYNTAVTPSPGPIVDSVFFSGPRSSYPVARGPFESSHDW LRAYLDLIITEHTNALAKAKTDDDKEHAEMVLRLARKLLRMVHKIFPSLVFPPEHTVL WHDDLSLKNLLVDDNGRITGAIGWECVSTMPRWMACQMPAFLRGASRKQKPSRDSYTN LAPRPSASSTSDEDHLDNEGKTELYWIHLMEYDQTRLREVYQSRMTQLLGPEWEKDVD EARLKVDFLGAVSRCGTQFYPVRVEQWVDAIDRKEFISLMQVLRTGIKKEKRPATPSG NAAPSKVHYERPASSTPSRVQQEIQRHERMHLAGSGNPTASVIFRTGSPTKTAAMTGS WTPSRPSSVNSHRPTTSWRGSVSGVSGAHLETPQSPVSVHVRRRSSDGISLTSLELPN PKSPVNVHIRRNSGSTCVSTTSSIVSGTTNSSSKLG QC762_124560 MEHGIFPDRFGKFSPSFFSQAQRRREQPQHQSTGASGHPDDSTD RTTAKFFQHTSAPRISSDAVIAASLKRQYPSLQLTTVPVDNVDILAYASNVPDDVASF HQVEPESSVFPADLKWNYYLPPARRLDGSKGGIATDLHFGKFLYRYKGAEFIVYLAEC RDGSSYYPPIVNYYILSSPPEVHLVESLLMTAGHSAFELKDEIWVFDGGWWQKSRELF DSVQKSSWDNVILDEDMKKALIDDHTSFFASRGQYERLKVPWKRGLIYWGPPGNGKTI SIKAMMKTLSEREEKVPSLYVRNFVSYGGPEYAISMIFAKARQYAPCYLIFEDLDSLV TDGVRSYFLNEIDGLKSNDGIFIVGSTNHLERLDPGLSKRPSRFDRKYFFPDPNLEQR VAYCKFWQGKLKSNKDLEFPDSLCKAIAEITDGFSFAYIQEAFVAALLVIARDSETLD LGSALEVGSEDDWVSVDVPDSNGGGDDTDLEKLILWVEIKKQIEILRQGMGEERQRRV RFQ QC762_124570 MGLGTERRLLDNNDGGLSDDPYLSDSDDPEASAILFDADYDHDD TVAPLMLRRLVPRGGQGGGGPSRDDGGDNSGGHGQSASFLPRPDPAMPVYITIHRIRR LVIAAVNDNYTLEELRAPRLDRLVVRPLVDRLYNPNDPAIVYSLLANRVQFLRPRAHE LLQQTVNESRATLCELLAIRILRRFHEDHTGRSGLLLLAHILVSGFDPCDAAPEVIRA RRPQWAVQERGGHERKLTGLELAIISESKLFIGSPACQRVVDAVYRGIVVYTPLSFVD ILPDHYKHHPVSLYEPRRAAILNHHRLIVPRIRNGIELLHFIVLLVLYMLTVTHYGFG KHLAGLHVNTYETLFNVFAAGWVLEQFAAIVEHGWEVHAQNLWSFLDITFVFIYGAYG LVRTVELLISSDAFYAMPVLCTAAPVLLTRIAFTLMPDNIVFIALHAMMRDFTRLTFI AIWCFAGFVMGLLLLARTTGDEKLEGSVTWATITKWLLWIWFGLDGTGIERASDFHII LGPTLIITFAFLGNTLFLTILVAILTNTFSKIISNEAAEIQFRRAVLTFQGVKSDAIF SYPPPFNLLALLIMLPLKWFVGPVVFHHVNVAVIRAVNAPLLLLIGLWERRRIWGGRR GRNSRWRKWKYFAGLNPHGDIQNIFSAEPPPEVMDALEKMDVLSDIVVIDRELASARS PRPSSASGSGSGTPLSSISVRRRKMSDSSSLRRFEGADR QC762_124580 MGRFSSIAISALLLSPVLGQTESPAAVPVKKNPKRGLVSTPNEF FPRDDFIWSDPSSPLSWYWNFAPDVTEAYANFSQSEFEFVPSMWGAYTPNGTDTYFLG NLTEKFSKRKPSHVLTFNLPDQSFEYGGSEMTPEIAARTWVNNILPLREEHGIKVGFP TVSDPRGGWVDPFLKNCSKMNDGHGCEFDFVPLHSFGGFGTLKDNIGKWQSKFPEKPL WVTEYGFHDQNEAETQKFFNESLKYLEEHQAVARYSWFGAFRKTKSNVGEEMAMLDQR GNLTDIGNWYLDRAAALRTPEGVLAAACTLENPCGGSGAAGRASYVGVAGIVIGLFQL GVTFWM QC762_124590 MSVYVVTGTNRGIGLEFIRQLSQDHTNIVVACVRSPTSDVGNLQ SVIEESKNHNAIILDCDISSAESINRFVETLGKQKILADQKVDFLIHNAALNLKPEMN SLNLEPDVVHQMITANVLGPALLTSALLTAKLLSPKVRIFHISSGLGSMAVSLDHKPR QSAGYSISKAALNMLAVHQAEDIKSHLPGAVVALVDPGWVKTDMGGKNAIYEPSESVG NMLKILHDLKESNNGEYFHHSGRNVPW QC762_124600 MFTMGQFYETIPESLVKWILAQKVFWVATAPLSPEGHVNISPKG GPYFGILDTKTFWYLDLSGSGNETISHLYEKNNGRITVMFNAFEGPPRIVRLFGHGTV LENGTDSFNTFVKKHEVRLLPGARSIILVHVHQVGSSCGFSVPYFEFKDWRTTLNEFF ARKADRFDKGDSKESIEKYWALKNSESVDGLPGLDIARKTAKEDGIVPLTKMVGAGAT HGGQRTRKQLSFGVLHLALVAVIASFATVFLQPCLASF QC762_124610 MKSFLLGLAGKPSPEPEPARTAQAPALKSGTDLADSITEGIKKL STSDRQNDSPNEPAASNSQDEAAKTRTASPDDREDWSSGDETVVEQTVAHPKQDMIDH IMKSLCTSLDTKITELTQFASLAKTEKAFSVTDLPAAPKKGKTPTADKPREQQEGKET LKEEKKSTEEEEVPKPMKLSDLSKSKKPTSTSAAPPPPPAPAPGAEPEQDFASLMLTA KSQPSAASPSFPATSFGALQLASMPFMASVMTNFPQIEPSSTQRPSQSFSRSIRPTQT PAPYIAPAPSLPLSPAPSPPAGDHPSPPSSAKRNAKRSLDDELQGPTAADLQPHFGHE EVYSRRISGISAPFGFGRPSPRAPTVSSQMPQSRQLHTAPQPRAPNFPPGPPAASRSI PSVDSFFNAPQLPRDSFIPEQELSPKTVGDVATHATARRHLSEAEVEPPEDHDGRRKK ARRATSDSPAGLMRSGNKFACPYFKRNPRKYQKWTSCPGPGWEEVHRVKTHLYRRHRL PIQCPRCWDTFEEDTILQNHLQQDPPCTMQQNRIPHEGFTKDQEKKLRSRKKAQPNMT DEDKWVEIYMILFPDDDPDSVPTPCL QC762_124620 MALSSILSLVLLASAGKAKQTTATGFPSAKQQCPHHPAGRLETA ALLPVCPLPGHIGVLSDESSNAIAWDYPPKCISPPAKDNTTVPRIDCLFTSTTFRNGH GISLVSSTLTTSHIVGVGSFDDEAPPLGVQRRENLGPAYEIVPVEGKGLGVVAKRKIK RGEIVMSDYPSLLIGTGFLGTAQPHHRRRMLKQAINQLPDKLRSKVRGLSRGAEKYEV DAILGPNANTVMIGEQDGEQMHVGLFAEAARINHGCRPNVHSRFSERRLTMEIMAHVA IEPGEEILMSYVPITTVRDERRKYLKDHWGFDCKCQLCTGTKNEIEESEFYRRRQKSL KESIESARAEGFFKDAIVMSGEWHEFSEWDMVPPLAPEYHDSLANLHYANGDLFNATR YARMAYDGWVRFGSVDDEKLEHSRTVLAKIEKEFEKSLKFEKD QC762_124630 MYKELMHRANLSQHLTTWKSVQSRLEDFSMWSVTAIITATLFAQ VAIGQPPNDSHFSDVDIDTVASGILGSRGPSTKPTSRCKAFPGETAWPSVSDWASLNR TLGGVLLNPSPPASVCYPTSASFNTTACNFLFNGSSRSTFWFDDPVTVQGTWPQGLTC PLVRNPQANATCTRGGYPVYVVNATQPKHVQSAVNFARENNVRLIIKNTGHDFLARNI GAGSLSIWTHNLRGFEFISDYKQPGGRYRGPAAWVGAGLQVYDAFRYALAHNITLPAA SCLTIGSYGGWISGGGHSPLSSKYGLGVDQVLELKVVTADGKYVTANPTKNEDLFFAL RGGGGSTYGVITSAIVKAHPAINLTITSFNFNLGNTPSLSPSSNPTITNSTAFWLGFN AIFAFAIPVVDAGGYLWTNGLPSGPGFAMQVQVQMPGLSPGEALAFTQPLLDELNGLG IPVANITVRTQVYSSQSSTGAGGAPGAGGYFASRLFPRAAYVDPVLFSKAMNASRVLV EAGYTFHGLNMAPTLEAAGHPYPAGVNPVWRESVMHADIFGFSKLNLGTATDQQVIAA QLALTQLMEPLKEATPGGGSYLNEGDPHEPNWQQSFYGDNYSKLVRVKKTRDPWGVFW APTTPGSEEWKIEGEGGLLWRQNGRLCRV QC762_124640 MATFQKLAILCLGASTVFAAPSDRHNGKKTPKVSPIKQISLGPR PYWLVDQMDEGPLKKKLASCSEKRMKPSDWSISHRGGGTLQFPEHTYDSIIAGTRMGA GIQECDVTFTKDLQLVCRHAQCDLHTTTNVVSLPELNAKCTTPFQPASGDRPAKAKCC TSDFTLAEIKTLCAKMDASDPKATTPEEYLGGTASWRTDLYAKTCSEVPTLKEFISLV DDLGLKFTPELKAPEVPMPFNGGNYTQAAYAQHMIDEFKAAGIKPERVWPQSFVYDDV LYWLKAEPKWGKQAVLLDESGDEPGTFPSAVARLKEYKKAGVRIVAPPLPYLVTVDKK GKIVPSSYAIEAKKQKLDIITWSLERSGWLGDGSGGGYYYASVANVTNGEGDVYNLLH VLAQDVGVIGVFSDWSATVTYYANCFGL QC762_124650 MDTIDKNENPALAFEGWTEHASRDRISTDTKVHQLLSAAYPGHH VTRTQTSSCDLLGFADAGYATKTPDRPRGYDAIRKFVAPKLRYEKGNDKLEDEVRFGA WKYDWESHQFLVYELSFRDYLLSRVIRFLYVITPPSVDGAVDIDGHHLKTDELLLVAG KWTKEMHDEIWVFDNQQWMKDKELYRSVLGASWDDVILDPSIKSSLAQDVESFFNNQS LYKTLRVPWKRGVILHGVPGNGKTVSIKAIINSLAARNPPVPAMYVKSLDGCSHPKVA MQQIFSKSRIVAPCLLIFEDLDSLVEDKTRSYFLNEVDGLDSNEGILMIGSTNHLEGI DAAITKRPSRFDRKYHFKVPEHALRMAYCHHWREKVLDSPAFAFPVELCSVIADLTDG FSFAYIKELFISSLLMLAGGTRDIEAGGDNISGAALDSSSDENEDSDSSNKPGRVMPA VTIPKELEGDPLLAVILAEAKLLWEQMENEETDAVKRKKAATVCAPRLPDFVFGLRDD QC762_124660 MSLVYLLLQCFLFATATSAHLLFPFTRERIIARSIDSKTATVPV SASGHVFIVNVTVGTPPQPLSLLLSPSSPHTWLPNADEAMPCSQGFNLLSGGFHPTDV LSGSACKWGAFTKSKSSTFHGAETVNYQFDAAYTSTFTVRGSNFTDTLKMGDVELDNF PMGLVNSATNNQWIGMLGLGNDGTTTYPRRSTKYYPNFVDRLVSSGKIVTQAYSIWLN SADGASGSLLLGAIDKSRFKGELIRLNTARGYDIFPSAFAVLLNSIKMLDDSKEALKF DEIRLLFSLSPAESFSILPRELADPIIAASGATWNTTIERATIPCDAGSKNTKLNLRL QLEGPDGPVLNVPLADLIVPQEVTNWEIAVATNPQSLNRNTCLFGIQKSNSGQFNIGS ALLRRTYMVFDAVNKEIALAPVKPGTSATKPTIVPFDKAGARIPSSRLYCAEGSECVS ESSIAPDSEEGVETVVEEDEPNSNWKKIVIGVVVPIGVLAIALPIIYVIIMRRKRQAK AREEALSRQRETDHTDGEDSFKEDEYGVKVTVSVSSKVSVAKAPPSPQFFLGVPGGFP SIPEDRQSQYSGDALLGPDSRSGSRNGSEKEVSKC QC762_0025570 MAYTNPNPLNVFSGPDSLSNYFDPEQNPPLPLVELPAALNPFRK DGVRIYAKMLTALPAQNVKSLPALEMLKTSPKARTAKRIVEASSGSTVLSMGVIGRAL WGHEGVEAWVTNKKTRESLRVLRFFGVGISLYGGLAQQEPSDPKGIMARLRRKAREEE EVCYLGQYDNDANWQSHYNHTAPQLALQMPSLSVLCSTIGTGGCITGTGRCLKSHLSS DIKVVGVCNVFGDPTPGPRHFPGFESSPFPWRETIDEFVSVKSEDSFRMSMRLSRYGI ICGPSSGEALHGLLEWLRENGTEGLKRDERGEVNCVFLCADLPYQYMNLYYQKLGEEE FPGIRNQCLLEVDQDPYDERWFLTPEQAVDMLVGEGAGKYDLEMLCMVPSSCACTTTR TSRTSRQEQQPVEGMFGDCSPGAVSDISESASTIFSSASPSSSVYSVATTTSVESQLP SMVKVIDVRPRAEFVKSHLRNAVNIPLSATKEDFYGDPQAVYERWKEMNAAFKEAGVL DHRDEDDERQTLVVCLDGDSGKMAASMLRGASKKIGKKREVFCTDGGWGVLEGWLRRR GYGDDVWNGVD QC762_0025580 MPPLHKREPRPRGSAATQAICDETNEEVICVIPAASPPASPAKS TSQSSNQSSSKPRKVQQSRKVQQLPSPSPSETDDKSDGVSGFIPRPRTLHKTFSQNSD TIMIGSMV QC762_101010 MVSFKSLLLAATAVTSALGRPFDFLDQDNGNSTDALERRQITPN SEGTHNGYFYSWWSDGGGQATYTMLEGSRYQVSWRNTGNFVGGKGWNPGNGRTINYGG SFNPQGNGYLAVYGWTRNPLVEYYVIESYGTYNPSSGAQYKGSVQTDGGTYNIYVSTR YNQPSIDGTRTFQQYWSVRTSKRVGGSVNMQNHFNAWARYGMNLGQHYYQIVATEGYQ SSGNSDIYVQTH QC762_101000 MSGPPPGIDISSGGGPPPGVNTGRGGYVDPNWPFPGGPNDAPVI IYGYTPSFALALLATILFCLYFVAHLFQVVRYRTVYFCTFPIGLAFEIVGYIARCLSA KVNPYNLIFFILQYFFIVTAPVFLAAGIYAILSALIHRLGREYSLLPPKWILWFFITS DVVATITQITGAALIGVKQKKREDPTAANNILLGGLAYQVFAIGLFIILSGVFIFRAR RQIKQAGLTLFIAAFSTATLLIYLRTVFRLAETAEGLGGDLSTKEVYFGTLEFLPVVL AVPLLNVWHPGRCVGRSIASDSKEEAGIVELESRG QC762_100980 MSSPNDPFKIIIVGGGIAGLTLANMLEKFEIDYILLEARDNIAP AVGASIGLFPNGLLILDQIGCYEPVRAVAQGDSVKYAHMRRPDGSSVSCTEDLFAHLK KRHGYEMLFFDRQWLLQVLFDQLRHKERIVLSSRVEAIEQSGREISVTTKAGKKHTGS IVVGADGVHSTVRHEMRRLASLSNNPTSFPSEDEEQTVPCHYQCSFGIAKHVENWPEK NQSFTSGEGYSFLVASGPDNRVYWFMFKRLPEVKYGKDIPRYTKQDEEDFVQQHAHVR ITEDLTFGRIFENRISSTLTPLHEIVFKKWFWERMILMGDSVHKPNPIGGMGGNGAIE TAAEFVNSLLRARDSRPNGLCGLTTAEIEAISKRTQDARYERAKYIVKLSHDTQALFA SEKPLLTKIVLNLVVPLSGLEHHLGQMGSRLLASAKLNFLPVPTRPRAVPYDHELPAK PIRGWPLAMTKAVFCVGMGLGLGYPFQPLSVLNSQLQRAYSLSQTISPLLTYTLEGHR AGNKGTLLSLPSLFVAGIQTRRVGMATIAPLHGIIAALQSFKAPPGRWVGVYVARALM PALSLGYVIPTLFALSPTWGASQGVVSSGFAIGAPLLVPVLTTALSRWWESKSTAHAE DFERYKKDDVSALKTVYKLAVVSQAAAHIMFVAHAYFCLKTTPLQLISLPFSLSGAVG FLGETLYSILDLRFLGYVTGWQVAKVSLASILSQVVLGPGASMAGVWWWREQVIAGYL KGN QC762_100970 MKLTFALIAAIAAPALAAVGGRCSNNWGGDCICLDSSVCRNRWG GTPYTGSPGNWPCPNDPDNIMACVVKPCPGQGSGTQCLWRNACRSPNGNPVCPGGNDF ICCNHQW QC762_100960 MGLFQPRVRPTCRAEIDQETTAHHDTDSVTEIESETETLARTSS DSGIDARSDCCPTPIHDDDKIKPPPPSYASFISSKEKGVVISTIPLTSSECSSSSSLD SWDTEKEKSSPAGPSEGWRRGAGFWRCFTAVCIPILLSAFEGSVVSTALPTISSSLNL GSNSSWVATSFLLASIVFQPLYGQLADICGRRPLMMAAVVIFGIGSAISGWADSSAVL IFGRVVQGMGSGGIDLFAELILCDIIPLQKRGHYVAIKAAVYALGTTVGPLLGGVFAT MNWRWCFGINIPICLIALILMWFWLSLNNGNPSRTWRELRFKVSQVDFLGITVLTASV IMMLFGFTSAAVSSWTSPPILAFVGTGLLGIVAFAFWERSDRCSHPIMAPHVFSNRTT IAAFAITVIHGFITYGFQFYLPPFFQVILGSSPTQSGILILPCTLTIVILAALGGPLL AKFGRYRLMHRVGFVLMAAGLFLCVVYQQSTSTALWILFSFLVGIGSGIIVSTTLPTV LVQLTDKENAAATGSWAFLRGLGSLLGVAVPNAAFNAQFAASIKTIDDANAQDQLSNG QAYEHASASWISAFGDPGVQDQIRAVFASSLKLVWVIFLAMALLGAAVTFLERHVALR KELDSKFGLLEKTKAISAGEKV QC762_0025640 MSGLVQRLQDALQQTIPAAQTAVPHLVSRASRGTHRGLPRRTLQ VVPLAQRTVAQPSILSAPSWRASRASESTGLAEAPRARARNMRRRETIVTRCRMKGGV LRLPNHESCFGT QC762_0025650 MVSLRLMFLALALGASAKPVDSDALEARQDGADKIDGCATVRCA KGTTCKVLLGSPLCVPLDARETKCGTAVCAAGMVCCNASCNLCTRPDMMCTQQVCQSP DTKPPPVVEEPPVKDRICGTKICPVGQECCNSSCGYCRAPGQGCTKEFCAPTRVQCGK VVCTNGNVCCNSSCGICTPPGGFCTQQFCAS QC762_0025660 MDRIPRFHAHHPDKDTISRLLFSKISAINPTADKNRFRVLIPGL RGYPRSPGAYVTHAWAPVQAHLEVDLEKDLPDEVSCGFEELRRDILSFSNGDERDHGK MGVYVDVVMTYGIRGEYYTELQHRDQCGAAFERELVGIAWCA QC762_100940 MDPSAGQRLDWVSMNTGCFAASNAVARTSLALSKFVREVRESRS ELDSISSELHSLDGVLQLLRDDAASFPPFLAEQTPSALDCCLTLINELEGCISVLNRP GVSRADKKARWMASRDHINRLHWTLGRYKDALGLAVDFVDASKAQNDNDASSEDVDEL AEVAARIISTSCEPPPEMRPNRALTTLQQYFDTLREYANLSAPPSARKKPESQPKPPP QAAEAPDSAIEMSCDESSSFLTKKQSLKAPPRPSRPDAMDGTFFDEMDEFSGELHEMP PNMMPVRDVRNRVPPPPPRSTSRLGSSSSAIPNFSHNQHPQHTRQAPSISDTDSNYYG SLSNAGSSVASRASGQSSNMSLNHKDSMSRIRSFSPPALHPVSEHILAQHGHPPPQGS QQGYYTSSHDHSSQSGDSNSFHGSESRRSSGMNQSVHSLSRYSVFENPRAEIPMPPPV PALPPMSSSGMTRPPTPSSSNFMFSPPQSPYMPTGNRDRDSTSTSGLSGKRSSSRLGT AFSRLGLRSTKHSQPATPTHSHNPSTSTPSLAESLTSTNVFGVPVAQSMKVAKGVAST SHEGGSAGGKSARDYPLCILRCVYYIRDMGLAECPHVFGQDGDSYRVSDLREIFNTPQ TGYGKVLDWRDFTVYDAADLILVYLNELPKPLVPDSLAKRWIKLSKQSNVAGSMALRI DQGIDFWEEALMGIKGPERSLFKLLLTLWGDIADQAERNEMTAERLAGRVMRPLLHFV PVSAMGKAAAKQAQYETDLLLGIAFMIRKRSEYNAELKKNGGKPAKPKGLPPGF QC762_100930 MPADFEKVEYWRKRFAKEESFEWLTSSGAFFSILEPLLTPLSTG STVLHLGSGTSDLHVYFRRHFPQLQVINMDYEPLALERGKAMESNEFGNVEMGYQVQD VTLPLELDLGQRGKVRIVIDKSTVDAVSCGGDENVIKMAEAIHDMLDKEEGVWISLSF SDSRFEMESKRVQELFEVSVLTKVATGPKRLESDPDIWHWCWLLRPRATTT QC762_100920 MSHSRRELRISRPTMSPPLAATASSSSPTPSPPSQSSSRKRPSP GTPDSDSSHSSKRRKRESMEDVNARYERFGVRPPPPLTNQYRFRLFVDRINRRQWEFL PNIANQKLSYNGESISLHDLVHIFKEEFGQGSTSKLHIAAMVGGDFHPNPPAGKLKLF RPDPVAARICVRNLTPERGRAGGMRSPERTEYARHMVISFDKGKISSIYDMREEPPRI SRRKLAAAKSRRVLPVPGLRPPPPPVSIDLKTFYEDYIDAINSGVEHMHQEVRPFCKT GGVTHNGVHLSVDKYINLMRDAMDHVPDLKFQVHTCAVDEGRQMIAARLEFVGTPVKS WMGASPTGDEVEWSEHVFYWLEHGKISDVISIVDWETYREGLGQ QC762_100910 MATVPQVRRVAVIGVGPAGAIATDALAKEQAFDMVRVFDRRPII GGTWVYTPHLPAAIPSLPLLLAGKADVAVPVPEQFPTETPKSEQVNSHQLRFSDSAQH EHLHSNIHPDIMGYTQEPLPWTLSGRSVQRYGLDAPFRHREVIRTWIEDIFTRGGSDK HLSLHTTVERAEKVNGEWVLTLRKDGQGDKDYWWQERFDGLIVASGHYNVPWIPETEG LIEFDKRWPGRIQHSKHFRNGEKYKGKKVIVVGGSVSAHEIVHEILPFAQKLLISSIR GDPIPSFGWTPFIHPHIVIKKQISSLCPTTGTVFFTDGSKVKDVDHIIFATGYTFSFP FLPVVQERVEKAYRRLPGVYQHTFDILDPTLTFLGGGFTFKVYERQAVAVARHLAGRA QPLPPVADQQRWEAKRAAAKKGGKDYYSIAPDYKDFFEFLRKIAGDPKEGTTGRMLPT FCDEWLTVWKGMVAHKLRGFEKERERAEEVKQEPLRAGL QC762_100900 MPPGRPNTLKRASDSTGSDQGDGASTKVKLPRLERGPEDFSSVV KSKLQSYTRTGQACDRCKVRKIRCDALPEGCSHCTNQNLECYVTDRVTGRTERRGYLQ QLEREKGAMLAHIRSLEKLLENNGVEVRPWQFPGYNNTYPEGMVFDAVGNPLKDSWSQ VGLVWVKGGRQKPPLERSSSTRYPLIEARPANNHLGVSSDKEPLSSIKGTTLSILGTT LDITASFDGPDMDEPPAGTTFGTPLYNKSVQAFFQTSLNIHPAPPNVELPSRHDAFTY AEWYFLTLSPFLPILHKPSFLQLLRRIYDEPNFKPSVPELVITHMVFATIYFQYGVRN REKPEQYVQLNDLSNKHYHWCLSKFYDLATTQSVTAVQALAMMMSHTRNFPKPGCALT LASFAFMKAIELNLHRAIKIPGGGTTLENETRKRVWWAILALYSTINGRLGRPMPLSI QEFDVEFPIAIPDEFLGEEGVLDESKVGHCNYQVGLMGFKVTPLLIEMFSKLYGARRD PSTYVDVVRELEDGMRNMLDNLPEELNVETTKPEAKVFALYTQAFCLEFTLCLRHPSV CMTDDPKFCAENTRICEETVKKLLKVVSTLHTLKSLDTTWYQLAVYVAAIFTQLVAQW ERRFETTVLEVASTREDMATWLAIIGEIGRLLGTGNRLATEVGVIIERTLGWIEQDMA RKDASSQDIQPHSLKSQQDPSHGLSAVASGGTQPDQQPAPINGNGYYDSGPVPSSTTP YPALTYADQGAVQQNGGAATFDSADGASYLYAAASAATAASVSPNGSTPVEPTNPLIA FASQATQHVAGQSTDDWRPQAQASAAAQMMAHNAANTWQDWTAAIAGAAGNNSQERFS ASALLTLGSGRPGDVQLGHVVEGVPTQADAMGVGNVANAHSGAQWPLLLFHDGTGVPG GGGGGGA QC762_100890 MSKRPASSETSDLSTTSKRPKPPSNITMSLERIPLEHIPPNYRV YGALVRDVSNAPFLQTQLISRNPEFEYAFIDASTIVSRAHILAAVWNAVYSSVEGNLR TPNVHSEVVASLNINNNIADGYRRWGITSDTTKDLVVVKILSSPEPLPQAEQENEAEE VLSHLTSQIEGRLVRLTDQEIAEVTDWQKVRKYYKLNGVPVLDQTKDEAVKRKKMESM AIMGMALRGL QC762_100880 MASLPRPLPGMLLPWSMSKRRSIAAINSSGQAGFPVRCGRHNII LIPSRESSSTSTRSLHYSSTQSSPSSNLTLSGVPSPSLLPNHQHHHQPQGAGMGPPKP APLSVLPLATVLRSWMTATISSSPFFLPPSLAIMSMLAHTTNPVLNPDSNPLLRALLK KTFYAQFCAGEQPAEVARTIKGLKDVGFEGVILGYAKEVVTPHGQEGTGSLPKHVAEE TKVDMEEIDSWAQGTLMTVLLANRGDYVALKFTGAGVLALQCLRQGADPSPQLKKAIE SICVLAQSRSVRLLFDAEQAAVQGGIDKWTVEFMERYNRTQPVVYGTYQAYLKSTPET LSQHLQEAKKKGFVLGVKLVRGAYLGSDPRQLIHDTKEDTDKAYDGIAEALLKRDWQV GPLKGEGQFPDVSMVVATHNRDSVVRAKRILQHESKTNDVAFAQLQGMADEVSCELVA FNQQEATEKQEKAKAYKYLVWGSTGECMKYLLRRAYENRDAVQRTVGSRDAMRAEVLR RLKSLFGLA QC762_100870 MMTGHESKDIFTMATHPASYPAAQIPAPPQQDDVPVGYQKPSKE EIEAFYISSRLSMPPMIRLPLATMLSFGTGFLVGAGHGSKIASLQFRAEHAHKLPSTA TGWYLYHKSKNYIAARGGLREGLKMGTKIGVWTTAVFSIEHMFDEYRGTADLFNTVTS MVTCAGVFSLWNRYSLPLAARTTKTALVVGTLYGGIQDILAAKRGRRLQYVEYIKRKI RTLKQKA QC762_100860 MQPPRSAAVIDDSPTALTIILKHGITSVFLFASPSWTFEKLSAN LLEVLRDRYPEGVPKSTEKESTITPIPTEDADVRVVFGTPRDADDYTRGFKKFDVSPE DTLGKKGLKKVSTLAFALLEPDEDEDEPVKFEVAFPINDVEA QC762_100850 MEPNLLAPRANEWSQIPTSLLLAELSRRDDADRDQRPACGSGQN SHGYDTPLHVFALFLILTISTLACAFPLFSQRVTKPGKRQKNILFVCQHFGTGVLMAT AFVHLLPTAFVSLTDPCLPHVFSKGYRPLAGLIAMIAAFVVVVIESILSSRGAGHSHS HSWDDEDSEEGHEEAKHTRTHGHAGHSRIPDIAMDDLEEAGEHRGLVSGASPLPGGTP LMQAKSRQSRDSFERERESLELELSLDELNGSGQGGSTRLLATSKPLPALPSHAHSGH HHQGPPNTEEQQRMMLQCVLLEAGILFHSVFIGMALSVATGPSFAVFLLAISFHQSFE GLALGTRIAALHFPKSSPHPWLMVLAFGLTTPIGQAIGLFVHRFYDPMSQTGLLMVGF MNAISAGLLLFAGLVQLLAEDFLSEKSYKTLRGRKRVNAFLAVAGGASLMSLVGAFA QC762_100840 MHLPSLVAFFAAPLLAASSPVTPSSEIEPRQVYGVTSKYCSTAS GNVPSLCFLQYYTSATAPTYRIALPSDATNNAAYDTILQIISPVAQTWVGFAWGGGMT NNPLTVAWPNGNSGQVSVSSRWSAGRTTPGLYSGATLRTLSTARNSTHWTVEVVCTGC SRWNSQQNGGRLETQSGSASTFAWAISRTAVPQPSNPSGSFPVHNNQGMFSNALDFGK NARATFTQYVQNKRS QC762_100830 MGLSQKILRKIVRNEAMATDPAEIYGWRVYLLACSACFGAMSFG WDSSVIGGVIVLPPFVRDFGLGDRNSVATANLAANIVSTLQAGCFLGALIASPLTDRF GRKWCLIATSLVIIIGVIMQAAASGKLAAMYVGRFVAGVGVGAASAVNPIYVSENSPR AIRGLLTGLYQLFIVTGGMIAFWINYSVSIHFPDWPIMYIFPLAIQALPAALLCGCML LCHESPRWLARQDRWEDCKRILSNIRNLPPTHPYVQEEFQEIVDQLEQDRRLIGDATY WKLQKEMWTIPGNRKRVLISITLMICQQMTGTNAINTYAPTIFQNLGLTGTSTSLFST GIYGIVKVVSCICFLLFMADSLGRRRSLLWTSIAQGLAMFYIGLYVRISPPKAGEEVP PAGYFALVSIFLFAAFFQFGWGPACWILASEIPAARLRSLNVSYAAATQWLFNFVVAR AVPTMLVTVGSDGYGTYLIFGSFCFSMFVFVWFFIPETKGVSLEAMDQLFGVTEAPEK ASVDGDDVQETSEKDTNVQQKEII QC762_100820 MLSRPTYTVLGSDPTNRKCRINCYAFQQDAIVTFQGWQYAAFCS PLPDVAEPLYVHLARRRLLEPPHDNPGGWEVLALTDYPQTVDDGHNTVQLGISPGDGT IHLSYDHHCDVLRYRYSCRDLALKPTEFTWISSHFTTTLDYLPGLPASHKPFHYVTYP RFCAADSDLLFTLRDGKAGLGNDHLYIYSSSSGRCSYLGQHLTGIQSNPYIHGLSYRS GRLHLTWVYRGFVHYDGWDDLADAKHKQQAGPNGAENNHDLCYAYSDGLGKTWKNGQG KEIASRDLGISTIDNNSEGIVVFRIPKRSGLTNQESQVVDLDGGVHVLNRSSLPVGSN NRSGVEAVHWRHYYKAPGDDGIWSEFLLRPVYGSTRGRLAVSKTGDVIIILPDAENGR VYIEKATKSSGYTAHEEVWSGEGLRGEPLVDLPRLENDNVLSLMVLADTKPSNDIDPG TRNVVVLDFEL QC762_100810 MLGASFATTITNLPTLPVLAPPPPSPLLYLHIPMNNPPDQENAA EQQLVRVSFQQRQVERSNTIPTFARHIHCFRLQHPLQGTPPSGKKPKRARLTDQAKEK VLAVRRQGACLRCRMLKIECSLENPCQACLTSALKGTERKVLSWSYCIRTRFVDVDIF QVQSGPQKMRTETMILKMGALLGRIAQPAEFASLDEPSFNQKITRWLLDEAYTVPELQ GGSMVAGMCANLLGLSFASEGGSKKEKEMEELTHDFRRFLMTASMRYSNFESKLAGMI TKQEAWTAGRMSGSRTLLALDKLLTPQYLGKLSRESCQVLFLVVLGAVLGVGYSSKSM GQEMELQSEAGMQVEDWEFQQSPTLWLAMRDHLCQMLAHYLIYLGGLLGIKMDTEMER RVIEWAGKGWGRITPTLLGETDGSQAKGYVWGHGILTPPSEEMQGIERSSRGKMADSN PVYWDKSTPRAERPFEPPPPLVAVPFDSEISQFQSESLYSWDQNPTSYLEMDMPSAKP ESYDRQSHPVHYSKDLGNQMGFPLRANTEPCYKTPSFEQTRGVKKKRTMWIVRTVDTG PEYGRINVYAKLRGHGRNGRADFSSLETLTGFV QC762_100800 MAIIKSLVFACLLAASTALPPVAVGNTVQKGTASLTQVHNPKFV RNGPLELERTLRKYGAPVPHDLKAAVKRVRRARNQKRAESEGADDDDDDDDENDRGSV QNSPKSYDVEYLMPVSLGTPAQQLNLDLDTGSSDFWVFSTLLKESMINGQTLYDPNAS TTADKMEGYSWKITYGDQSYSSGDVYVDTVTIGDLTVSTQAVEAAREVSEEFTADSDN DGLVGLGFGIINTVMPYKQKTFFEKAMPGLDSPVFTADLKSGAPGRYNFGYIDDGAYT GNITYVPVNSSDGFWSWVSPGFQVGTTGSFNSTRIHGIADTGTTLLLLPEGVCEAFYG RIASAKYDASQGGYTFECNDSTTPDFYFGTGNGQMIRIPGSYLAYSKIDTSSLRCFGG MQSDSGVGFTIWGDIALKAAFIVFDQGSERLGWAEKSLY QC762_0025830 MYMLLHDEGEPVRQPIPAFYFAISLIFTRVFPRVTQNRRWQKCG AVTGHGSTLAKNNTEGSSFNYTRWSKSIEKSSSAKTASLDDRDIDSMHTDV QC762_100790 MADEEKRTLETSTASGTIRPFSEGQPPIEGPNELKTELTVGNSE KDHGSDGAEEEEEDLWKPLKMEADIPYEENPLTIRAVVVGCILGSLVAASNLYLGLKT GFTFSANMFGAIFGYGILKFMEKSGGQIPIIGGLFGPQENSIVQAVATGAGGTGGIFV AAIPAMYRLGVMPEGHSPMDDIGAIFTITLVCSFVGLFYVTPLRKFFIVQVARELKLM FPTPTAVALTIRSMHAGAAGSLDAMKKLKCLMICFVGALIHRVVSYYAIGILYDWHFF TWIHIWSGYTSWALNIESWGWYFEWTPAFIGSGILIGLNPAISMFAGAVIAWGLIGPL LVHYGECIGIQLSEDPQWDSYYSFASLRNLGTVTPSPRYWLLWPGVMVMVCSSMAELF VQYKVIWSGVSTIWNQGCGGINGMLVKRGKSSNFFAKHGAPKVRSESMVEDPFPPEQQ VKNWMWLVGLLVTVVISMIIFHFQWEMNPGLTLLAILLAFLFSFLAIQIGAVTDTTPL TAAAKASQLVFGAATSGGGFSIKHAQKLNLVAGGIASGGADVAAALVGDFRTGFLIGT SPIKQWVGQCIGSFVSVWLAPGLFVLFTSAYPCIWKGEAEGENCAFDVPSVSAWAAVA EAVTDPNVKIPFSSGMFAIAMGILSVAQVVFRHFYLVGEREKYRKWLPNWGAIALSWV IPAPVFANAALLGAIIAALWRKYNMRTWDIYGYAVAAGFIAGEGLGGVVGAVLTLAGV DGAVKGTQIACPLNSC QC762_100780 MRYSILFTAATAAVLSAAAPASSENDQEISPRQVQCAPLPSPFP TWQQLPLQSSLPDPFLPLKYTTTDNAAGSSTFARDVMTGNAPNRIKSRAEWYQCRQPE ILQLLQEYQFGYYPDPTQEKVEATRSGTTLQITVTGPQNKRGSFRATIQLPSGASSSR PAPVVINIGGMQNQPYLQAGIAVAQFDYTTVAPDSNSKTGAFWSIYNGRDIGVLTAWA WGFHRTLDAIQLTVPEIDPYRVGVTGCSRLGKAALVAGLFDKRITVTMPMSSGVQGLG PYRYFTLSGQGENLENSKQGAGWWSNSKLGSFMNHHENLPFDAHTIAAAIAPRALIID QGTGDQFVNSKGTATVIFPAAKVVYDWLGAGDKIGINVRSGGHCDMSGFNGILPFVQK IFFNTTTTRNFHSLGTYNTPLATSYPWATAVPQA QC762_100770 MADVVLTTQEDGTKPDQFATPVELTPAELAALPHDDAGPKLVAC VWTLTCVAGAFLALRLYCRMLKRQSLWWDDYFLIGAILCITAESSLMTYMTTMGYGKH IWDFPMENMIHLLLPMNISGTLSVTAAVWSKTSFGITLLHLTDGWIKKVTWFCIISMN IAMGLSALFPWVSCTPIQKVWDMFVEGTCWAPHILVRYNIFSGSYSAFMDLTLAMLPW KFLWGLQMRNSEKIGVGIAMSMGIFAGITAIIKTSMVNVMLSEDFADAIDLWIWGNAE VSASIIAASIPMLRVLVRDAKTSRQYHSGYVKETGASGNRSRMITISSRPAPTSSDLE LHRLGDDDQSDRGILVQNGEKVNPGKNGIAQVTEFTVQYEDEKAAQKV QC762_100760 MTRFCSFPKPTAILRHVLRVLIPVSIALTIYLYLYPIFGTCAFP LPPSSLSETRSPFLETAKAHWVPAFFANATATATATATAINSSIEEPPAPALPSKKAP FRLLTLGDPQLEGSTSIPIEYLGVFPHAKSLVRNFKLSRLGIKQALHDVVDILLEDTF NFFESVRKIIDLFGNDFYLAHIYRSVHWWTRPTHVTVLGDLVGSQWLNEAEFTKRANR YWNRVFRGAEKVPNSLQAYPAEEYDLSGYLSRFDNDTTWARRIINVAGNHDIGYAGDI TTNLTARFEKAFGKINYELRFELPLPEGFDSKTLYDPDTNTESTRLIPELRLVVLNDM NLDTPALSTQLQDDTYTFINNVINTAAAVEFEGHYTVILTHIPLYKPTGVCVDQPFFE FHSHDGTLKEQNQLSVAASKGFLEGILGMSASSSAPGGGKGRRGIILNGHDHAGCDTY HYVNQTAEGGEVEWQVKRWRQAVREKTAGKEAEGVPGVREITVRSMMGDFGGNAGLLS IWFDEESWQWKADYDTCPLGTQHLWWLVHILDLIAVVGLVVYIACGALKVVGLDVDGL FWGSSKASAKMPAATTQTEKARAQPKSG QC762_100750 MHISRTVLTTIAIAATGSALPASSCGNGNCPHNGGNTRISSGND QGAQQGQQGQQGRFDKTRQNGNGGLSTRSHDLMFAAGHGADSGNQMGGSNTGNNGLYD KSGDYLGKKELNHESLQLSTTSGGSNIPFTKEQQYQPRSEVNSGLHKNNNGQQQQQQQ QAQENGQLMTTLDKIRELLFGPQQQQNLERQQEGKGKTQVRRSEVQGQQQQGPMGSGA LGNGGRFESNAYPQNSLEQERQRLRNGQLEQPLGNNVNKDEGLTQGQNQRINLDNGNQ GQQSTNGQLQSAIKGGEHQNPAMKEQDVPTIVISQHEKVQPRGQGQSSSKGSSANTNN EGDSESTKFVQPAQMKAYNQEDTPMQQKLEKGISSSQLLNKGENNNQGHLSGRAEHQN TNSGMTNGQDAKAEKVLGSSDQGQQWGQIQDELKKCLYVAGQQGDINMLRDCFNNDAD KMSGSLQGQQGQQGQQQQRFDSNNSGQNQGQQGQQQQRFDSNNSGQNQGQQGQQQQRF DSNNSGQNQGQLQGNKIQA QC762_100740 MMLARAAARPVAGARAAAFAASSALPRQTLPAVWARGMAKDNKS RFNAPKQSPSQKAAAEQQQQPKQPDAPPSPPPPPPPPSSAAETEAKSTTLQEQEPEEP IPDLSKLPDLTQGIPSTLEYERAGATDKSTIGDEAASEAEAGGAGSGGDGGGSGGRKR PKGELPASAYVSSSERKRKWWTYFALASAGLGAVGGTVYLGREWSEEELAKNPSVGNG WSLGLWWKRAVSRMTETVTYYQEPSFEKLLPDPDPSFERPYTLCISLEDMLIHSEWSR EHGWRVAKRPGVDYFLHYLSQYYEIVLFTTVPWGTGEPLVRKLDPYRFIMWPLFREAT KYKDGEIIKDLSYLNRDLSKVIIIDTEAKHVRAQPENAIILPKWKGNPKDKDLVDLVP FLEFIHTMQYDDVRKVLKSFEGKNIPVEFARREALARAEHQRRLGANKVKASGGGIGW LSSHLGLKPSNMSLMVTEGEENPTEAFAKGKMLQDIARERGMRNYLALEEEIRKNGEK WLKEEQELQEKMQKEAMKSMKSSMFSWFVKDEETSASSSTDSTSGPKTA QC762_100730 MARRTTAKGPRARAIASSSLASSSARPTPVPSSDATPVSSTYPS TYASEAEHDLDDDMLKKMHGLTISDTPGDSITAAVVKKAEPKKPFRFLDLPPELRITI YGYYFADVDRVLDLDPANYKRIHKKLGLMRTCKTIYYEATHMFYSSRTFRLFPTHPGR YFKTKKPLLARLKPRQRNCLTSLELRLGPGWSKPPRGWVVNPALGLADCVNVRKLTVF VECDPSDGIFNGFRRHDGYYEGFSTSLLSSVLDEMPFIDCLTFDAWSSVKKSGAMMRA LLELAYARGLSVRWGPERGWADFEDEVEAVPEGHVANNAMLSQAPLGIGVAVVA QC762_100720 MAASSIRNSLKSHYPWAAFPLIISAPMRVMSGPALALAVSEAGG LGFIGPGIKPESILTDLTEAASLCSQRASDATRLSVQDEGVLPVGIGFQLWNGDLGSA KEAAEKFIPAAIWLFAPKDGQKDVDDWTEGLRGVTKGRSQIWLQVGTVGEAVEAAESK RGRPDVLVVQGQEAGGHGRTSDGASLGTLVPEIKDTLEERGAGDIPLFAAGGIADGRG VAAALCLGASGVVMGTRFLCSNEARIKKGYQDAVLEAKDGGKNTVRTHLYNHLRGTFG WPEEKWAPRTIVNRSWEEHTQGGISFDQLKEKHDQSVKEDGDKAWGRQTGRTATYAGS GVGLVRSVDPAGEIAEKTREEAKKILRGLQSGLQV QC762_0025920 MCELAAILRPIFEISDGKRVGRQDHQEHQEPKTWIGWLRAPNPV EHQASTATTTWRPSRTNVKPQSLSFLAAVAGNPH QC762_100710 MGVDEKATVDPGSFPPPTEDESGLVLSVDWTKEEEARAKRKLDL IIMPLLTLGFFCLQLDRGNISNAITDSFFEDVGITQNEFNVGQQMLSLGIVLFEIPSN MILYRVGPGKWLTLQLFLFGTVSTFQAFQNSYGSFIATRLLLGITESGFIPGGLWTLS TWYTRAETAKRVMFFYFGNQFGQASSKLLAYGILHMGGVGGKAGWFWLFVLMGGFTIL CGFVLGFCLPDSFRNPCPAFLPGISLFTKRELHILQTRVLLDEPAKGKKKKSIKIDAF KRAFSNWRLWSHVIITLCNNGPQRAFDTYSPTIISGFGYKKLQANAMASVGLFLQIPT SWAFSWVSDHYDVRGETVIAGMSCHLFGYVLNRIFTDHPRLQGARYFGVVWTQTFGTF SHPLNIAWMSLTCEDSEVRALAMAMVIMGANTAGIYGAQIFRSEDSPFYRRGFTVACC VLAVGLLLAVVRFVDDKIHKRKHNTVQLAHGGGETSDDGSNGEKGISALERAAGVTVP VDDDVKRALKN QC762_100700 MLLPSESAKPTMLGSEFGTTPDTKPEVRLNAVGIWWIVFGAVWT ALLACGMGYLYTKRKSPTVRIRGLPLTFAGLILLHLYWITVQIGYAIGPLAPEMAQFW IMSIWYPFGIALFQAGNSQFLHVAKAQSRFARPPSQMSSRFDEKKQQPRTLSRWQKIK AMEYQTKMATLVTVGMSCQFLVVMIIFLISRKFHSDFGIPGTEVYGSTPGEIAMKQGR GWEWWPSLFWQFLWAWVFAPIILWRSRKIRDTHGWQLQTIACCVAGLHAAPMWLVALY VPEMAPVNMYFIPPQWIAVSIFIMEIFTVFVPCYQVHKDEALAKETWSIIKKWETKGK FGSDKSVSTADSTVPGTPLSPTSTKVGRESSLNFGDPWKQSSVMESGGGDLAINSIPD DRILTMDALVHVLSKNPEPLRQFSARRDFSGENIAFLTAVSEWKASLHPAFTSNRFEA PDDVVRQAYTKALKIYYEFVSPQDAEFPLNLAFEQSRQLGGLFDRAIRDLLGDSRANV DPVTPFMSAGVHDWRMPESRGSESGIMISVHVETDKMPAVPGIAIVPSSDGKGEEEGI ALSVSTNSMMNTFQDVYQGDIPELFNASVFDAAEKSIKELVLTNTWPKYVRERRNSES SSASGTSSSNNTSDTDGTLKSKKSSSSSLWRFFNKR QC762_0025960 MRKRSPKRKRKREVPEFAEAPWPTIIGQGLMWVHDARCCDIPRK SIGCRTRQSRYGDRRRLHLAEL QC762_0025950 MTTTCTYSSPMTRLDNRRTNVVFSADFLRYSSTTRHMWHSTETR VGARVSAGFQKILLNQARGTDIGTYASPRLPSVKKLTQRVTARELGLLRVVPLKDIAN VVQKLDIALLGVGLESRDEGMGHGTRGLRVDGGIGRGVVALEGTLCETEYAGGHGRHV ATGIRTHRRQQARAGFLGQVGLLEKTFGVVDIGKIKYRARVARVENGRQPDTFDQGLH NVEVDLVVHNMAVLLEVDRVNNLIVSILLVAIMVLGLTAVA QC762_100690 MSYGGYPGQGYGPSGGGGGGYQQSPPPQGYGQYPPAQHGYGQPP PQHHQQGYGQPPPQHGGYQQGGYQQGGYQQGGYQQQHGGYQPPQQQQYYQPPQHPPPP HLDSYGYPTAGGGYGGHRGQSTRAGPPPPSGHQEFGHGAPAGYTFQYSNCTGKRRALL IGINYFGQEGELRGCINDTKNLSQYLIENHGYKREDMVILTDDQTNPVMQPTKQNIIN AMGWLVANAQPNDALFLHFSGHGGQTEDHDGDEEDGHDEVIYPVDFKENGHIVDDEIH FHVVKPLVEGVRLTAIFDSCHSGSVLDLPYVYNTKGLLKEPNLAKEAGAGLLSAVGAY ARGDMASVATSIFGLAKSAFKGNDAYEHTKRTKTSPADVIMWSGSKDDQTSADATINS QATGAMSHAFISALKANPQQSYVELLNNIRDILERDYSQKPQLSCSHPLDTSLLFVM QC762_100680 MSFFKKLAKDLEQDFKSLGLGSDKKEEKPPTPSGGNYPPPQNQG YGSPSPNPHYPPPQHQQHQSYSSPPPPQHEQQNRDFAPPQNVGPRPPPPYQPPTDKPP IPSGWVPRWDDRYQRWYSEADAEEATGRTQWEAPAYDAAGHGSDGTRAHGSGGYGGHQ TYASPAGYGGGGYAPPAGYGGGGYQPQGYGAPPYAQGEHKEKKGSNAMAIAGGVAAGA IGGALLANALNDSDSDSDHGGNSGGYAPAAAAAAAAAPAAGPTYVTNNYYYGDESPAP PPAAYDEPAGYGDVPPGHIPTHNAYGEEIDSSDRESLKEAREAYEEALEDAASSSASS SDLEELEEARQEYQEEYEEAYYDED QC762_100670 MGVHAHEHCLKMARREDGTPWWSAFPEPSSSPVKITWSEVARLL QDRDQSQPREFLLVDTRRTDCIGGIIQGSLNIPAHSFYLMRAMLYDLCKQAGIKRIVF YCGSSNGRGPRCAAWMQDYINSVSDGSGSDYESDSGCSAEMTTQVMVGGIRGWVKAYG GKMMEGYDEKTWEHPKPDEETC QC762_100675 MAPAQPELKKYLDKRLFVQLNGSRKVIGVLRGYDVFLNIVMDDA VEEKDGGEKVKLGMVVIRGNSVVMLEALERIGGDDRGGHRG QC762_100660 MSTFASKRKARVIQTFDDDVDDLSLSKSNGTDEQKASEPTAPAR IKFRSKPAKSSALRKSVHVADEDSGTARALSTATTTGDDEDADSGAPVVVRPALGRAG STKQKKRPTAASRLSFGGAEEAGAEEPSAGEKPFTPKKTLGQRALENNALRRSASLQN LAGTLPMRFGGGDEDRPKYSKEYLEELQSSTPATPANVQIVDDVDAMDLDPSELDGAL VVQAASSTDLVSQGPAAAAHVLSAAEIRERKDRRARLARESVAADFVSLDSGSDSEFP QQSSSRVVIPSQKKKKSDTRLIREDEDLGEGYDDYVQDDPLALGKKAERDAARRHRAE IAELINAAESDAESDDSEAERRAAYEAAQRRAGMDGLHKPEEDEENIAGDAVPRMKPL PKLNEVLKRMNEIVQGLEHEFKQKQVVVQSLEKEREGIEKREKEVQEILNQAGAKYQA VVAGAANGGGGGVSPVVPGDVAKLVQESISGSAVGQSPLRPLPPGLAGDMPMERGLES FGTPTRKPQDDDEMMD QC762_100650 MRLLAGLTAAALSGLAAAASQQTADVYILTANQQSSSETPSIPK EVARHILLQRASRQPYGSDLRDIPSSTDTETAVSHIARFGTGPEPLFSQSDKTNAPQL VVILEGVTYQQSHDLKDALSQAGHPAAFRVSDAPSAAANKNLMTLFRQLGSAPSQPCD LAHALNPANEECWSGVSSVVNYDLQNTSSTYSALLASLPQINKLVAASDLEVTLLVLP DSTRSSKINSWSTLAGNPTNNRRRDSGERVITDSTVVWPAPSTPTRSAKVNIQKKAIP ACFLSLDACKEATNDCSGHGTCVNKFGTGNATSSDARACFACKCMATVVRRGDEPGTS GKKTVHWGGNMCQKKDVSVPFWLITGFTITIVGAVTFAIGLLFSVGQEQLPGVIGAGV SRGASK QC762_100640 MSRLFRPSSVLRFPKVLTKVPTGQVIKIERVRLRHRRRLRLVTF AIKTVLIYQFFEICVAGPLVKVLENQELMESMPEEEEAVDIFIPFPLTTERHEPQPYS GKDPEWREFVRLSKDKERLDQIRKDTVQMVCDAAEKNPALTWKVGKDMKVRRYWMDID FPYRAPPVYTRKGLLITDEVIAIAETEVESATVKLLERVLWPKPMALSTWALGKALVG RQFSSVAQFFGFEGDSSTHPPDRPVSAGPLPLPTNHSSDVQKALERIRAQATKRPEDV NDPRSISPTPNTPPGPSRDKPISIPNRPPAEHNGNPEKFVGQEKVQSLVGLKPWEEFM KTYTKVWKPIRPDPPRGCFAVSGLVEIETSRGYLVIDVLSWYNPKTRSHDRKSMWMSV RRMQYKQQAPMRP QC762_100630 MEAPLSPKRPPSAIPSDSDSRKELGRAVTADTSKATRLQTEQVP TLRASNESTRKIKTNTRVTIPPAYGASHNSPIGNTQEKKSVDYSAGQAVEIALSEAGC DEPVSEKTDSQQELYHAFARREKRLMVWIVSFAGLFSPLSSNIYFPALGAISSHVQTE ITMILLTVTVYMAVQGVAPSVWGPLSDTRGRRVTFICTFGVYLIANLGLAFSDDFASL MAFRAIQAAGSAATISVGAGVIGDITTAKERGGYMGSFGGIRMLGQSIGPVIGGIITE FFGFHAIFWFLVILGFICLVVIVLFLPETLRHIAGNGTIPLRGIHRPVVTRHISKHWK RPDAVSSEEGNITTLTPKFTLGSILSPLRFLFEKDVFVTLLFGAFVYTIWSVVTSSTT ALFQPRYRLSNLKVGLIFLPNGAACVSGSYFAGKILDRDYRHVESAYRASNGIPLETP LNRKHLSDLPLSRARLRSSWYLIILFVFAVAGYGFSLSSPLLASRPGIALPLVLQFVI AITATAIFTQNSALMVDLYPGASASATAVNNLVRCSLGAVGVAGVQFIIDKIGVEAAF LVFAVVTIALTPLMCLQWKYGEVWRAERIARLARREQKQVTGAQV QC762_100620 MSTPRRPTARTQDTPVTNPPVPPPPELVPDEPYVPSRLRSNKVL RGLLNAFILALYGYGVHQFATWYSEYDYWLYWFIVLATWRYARFILNCIGWVLYKPAP VPKNPTYTPNRHVTVILPTIDPTGVDFQECISTCAQNEPSQIIVITAGDELLVKTEKA VEKYIKLYPKTNFIVDRALIASKRAQVAVAVPLVSTAITVMLDDHVFWKKDFLKALLA PFEDPEIGLVGTNKKVRRLPNLSVWRRFWNMLGATYLYRHNFEVRSANYWDGGAFVIS ARTCALRTRIVQDPEYLAGYVDERFFFGLCGPLNPDDDNYNTRFAVRKGWRIKFQYTD DAEIETTVGVADPVHKKFLGQCTRWARTTWRSNPCSLFTDGSVWAFQPYCVYAVYLTS FTNFALFTDAALVALYNWSKQATSQGIWALIIWILFVKFVKVFEYYKRHPSDWWTFPF YVAFAYYHSLIKLWALLTFWDHAWTGRNLGAIKTQANPAGTEAGATAGPAAVAARLQR SMSVSSMTSSLAQEKEGLRSGTAWISNLGSTARLHKSQTIFCGSQVGLLDNLKIVGSH MEKSRDAQVRILGQQDIVFNEVQRLIAEADSIEKQYETMNDNETRNQEALIRLRGQML RLEQRHGELIRIAGLTATSPALTPAAAKAFKVASRHHTGGGRASPPTEDPESIERPSF FTGVRGVHFSRSTVGGASDDGFGPGRTGADGSGSNESTPRPIVPDNFKDSFPPDTKKS TGDTDSLIANLNIPTIGTQHFVPPSEKKGGQPAAAKGFSPPTQAEKPIDEFSPVPQPR NSPSGFTPKSDLESTTPGEDSAATDSKKSSGSDSTRSPAQTPTRPPGGRRVSKEQNKS NT QC762_100618 MEPSAIHGRDVVGNMVAPSIPFNTLMGFIWSGTCLSLVTILLRL AFRIKLLRRLRLDDYLVIASFVFYLGSTILWAVLARTLYVVAQGWNTTPTDPAAIVEL FNNAGTLLHAILATYWLTWTSLWLVKIAFMVFFYPLGRHAPLQRYLWWMVLVCIVAAY CVTVGLTTDDCLTASGLDIAQKCIGEAKFNRQYFTSRVHLATDISTDLLSQFHVPPFC QTVN QC762_100615 MGSQGKPSSKFESLPLSTSGPLECALKGTVLLTHPYFNKGSAFT HEERRDFELAGLLPPSIQTLEQQVQRAYEQYSAHPDDLSKNTFLTSMKEQNEVLYFRL LHDHLPEMFSVVYTPTEGDAIQNYSRIFRRPDGVFLNINDADRVHHDLSLWGTPDDID YIVVTDGEEILGIGDQGCGGILISIAKLALTTLCAGVHPNRVLPVVLDCGTNNQDLLD DPLYLGLRHKRVTGKRYDDFVDTFVKSARELYPKAYIHFEDFGFDNARRLLEKYRPEI PCFNDDVQGTGCVTLAAIFSGLHVTKQNLEDLRMVVFGAGTAGVGIADQVRDAIATER GISKEDAAKQIWLIDKQGLLTTGMESLSETQKPFARSEDEWSGKDRDLLAVVREVKPN ALIGTSTVPKAFTEEIVREMASHVERPIILPLSNPTRLHEAIPANLLKWTDGKALVAT GSPFKPIKGPWGQDGQEVEIEVAECNNSVVFPGIGLGSVLCRARLVTDKMLVAAVGGV AELSPALKDDTEPLLPGVEVVRDVSARVARKVIQAALEEGVATEQGIPENEDDLDEWI EEQMWKPVYRPLKFVEKEGATREAKGEMRVVGSLATRD QC762_100610 MAKLSRGAPGGKLKMTLGLPVGAIMNCADNSGARNLYIISVKGI GARLNRLPAGGVGDMVMATVKKGKPELRKKVHPAVIVRQAKPWKRFDGVFLYFEDNAG VIVNPKGEMKGSAITGPVGKEAAELWPRIASNSGVVM QC762_100600 MLFLALILAASAVAAIASQSKTATNNTTIDNGPFPTDLNGSNFT YPHPFQLFHFGSQGLPLGMAFIDLPPIVAPTANTKPQHVRYTRKKPNPKPKIALLLHG KNFCSITWSTTAATLQKAGYRVIIPDQIGFCKSSKPGTLYQYSLHQLALNTYSLLSAL DLTDPSNNGITVVGHSLGGMLATRFSLLYPDLVSSLVLVNPIGLEPYLELGVPYPDLS VTLKTEQTSNYMSIKGYEQSTYYLGAWAPEYNVWAMMLAQIYAGTEAQNFVEGQARVV DMVLTQPVFYEFPRVRSKTLLMVGTKDTTAIGKQWSPPDVKEKLGRYELIGKETANRM PNCTLVEFEDLGHAPQIQAPDRFHAALLQWLRT QC762_100590 MASGNMPDENRAYQIEAPCIVFFVLAPIFVGVRLWARIKLRGWS GLGLDDWTIVVSTIFATVVSALMVASCAHGFGQHIANLTKPNRLMTLKLFYVAQAFYK LTINLTKASILLLYLRIFPKQWFRKTCLVLLAVILLYMVGTTASSIWQCNPIPRAWDK SIAGTCISITANWYANAAFSITTDLVILGLPMHSIYTSHLPTSQKLALMGVFALGLFT TITSILRMTTLNFSSTSPDITFDIDSSIWTMIEQNLAIICACLPVCRLPLSYILPSYF STSTSPSYSSSMPAIKMKPRIHIGGSSSSTQEFNGHISDAEGGYEKRRYGQYGIEKGV IETSVGVVMPPATPVTAGRPDTSGSRRTKAEEWVQQQRREHENLGRQSFHGSVLSAGR SGHSTGEEGDRQSEGAIRMVRNYGVLSDGREVEPHAQVR QC762_100580 MQAAGAQTRSELDNGVIFVEVESLHEGPRCLHTSTSDIWSLTDP MAHLGVAPIGLFPIASLQRLIGPLVNCKCLLALRAHHDKTHKSRNKCRPATFRLLLSD MNSQEEHHPKPKMRLLNVTTREVEEFFEPSIPPYAIFSHTWGPEEVTFQDLESLSAFR KPRPATPPPSSLPSLLGSSHGYITAARPELPLEKTEVMKLMMLANMLTALRGHRDASR FQRQSAYFSPLPPSPEIDDDLQSHRSFSSSTTMTPSSSPPLALPPPSPPMKPPQPQIH PVQQKAGYAKLSYACTQASKDGHSYIWIDTVCIDRRSSSELSEAINSMFGWYQKAAVC YAYLDDVHFDSYTSGYRTWKDDFSASKWFTRGWTLQELVAPKKLVFYAHGWRLLGTKS SLVKTVEKITGIEEVVLLEPKLVHNSSIAQRMAWAAGRETTRAEDVAYCLLGLFGVNL PIIYGEGYDKAFLRLQEEIIRRTDDQSIFAWGALGKEDKSSKRTRTTPELDELDFEAL SGTMPVLARSPADFKGMEKVVVSPPSTEPVSDYAMTNKGLHVKFNLVSASNSATQTQQ LYFGVLNCHSEDDPSRRLAVLLSQTATSNVMVRTRSRMPTMVSVSDLEKAERRDIYIP NTAANRPQAAKAIEEILVLKYPDLVAPGYEVIDIQSKGHAQYNKEFGTLRVGALESRV LYQLAVVTFWNKHLKCGFVLRVIVDGGTKRAWVDQVQPQAIPQPGEVAEDGQDMVKTA KDIWVDPGRVEVIATGGRRSVLVDVTNPEKYEEAEGQEGKGFMLKPTAEIKAFETVTF VEKWERDYMRTVNAKMVRKNKGVLELSMSSLLWQAAQTSDQAE QC762_100570 MRASRASRSEPTTAVAPPSPTQRLVKHASFSFPALQDQNQGHSL SVSAYTMSRWHQETCMSPDVVVTGGTHIYCMICNQAPDIDKLVADPGHQNPTAPLIPP DEPYGAYNLSWPPGIPYRRTGQHITREEPVDRNEAENSNSSEGLVSNIQVRTAYKKAL GPDEFRLICLPSTDDVNTPIHLTLETYGDVRYPEYETVSYTWGGEDEDGTLCKPVFVG PYWDVLLQTKNCWEMLRFLRPRRGYRLVWVDAICINQLDSLERATQVAKMRSVYKNSR RTVVYLGPEISPITTHAHPVRLSAREAVTLSRGGETELIGLGGKVNLGQLLLCRYFSR IWLFSLLGLVPNNNLTPDYGISRMHAQIGVAAHSLFHLGSFENFYIAPTQQRERPDNY PSWVPYRARTGGAARVSWTSQEPERVLQEFYEALKRHFDKSYSGRHAIYQPEEDNEST LWTAEATIDVDTAALTLKATHLATCTDVPVEVPFTKENHFRSRTEREPEWKAFVVRSN EMEMYLLSNRSSALDKVVEPFDHIYWLHRDYTKEETPGFLILRPIKEQEGKRFRLVGF CSQVLFVEVFVAGRKTVPNRQPNRARSIAIHYLSSSNLQRGLKRAVDDAVAKFGCLEE LFPGVVTPQGQLWSYLALMKLASWPSEPFTFFSEYLSHLDSRYDGVVTKEMRRLEDDQ HEVEILTITVPSADISKFVLSWGYLRPPFVKFQQLQSREDQDVPWDESRVVVVETLQE DDVLRLRAELSAKDLADLRRSGKRDSSGPGEQQISNVISPEAQTVWKYFQELEKARWA TGGMGIQEMVGLVQSGQDTSSMACPEWPADIVDAFQAQGNTLQITIV QC762_100560 MTTHKAKAADDDIDDLFKDIGADSATTKAPKTKLPAKGKPDPAT DDFDPLAELETQLGEEKAPRPHTPRIRENVQKASPALKRTSTNTPPPRADTARKSAES TGSYHATPSATSSDEVEKKLEQPQATATASSGGGGWWGGLLSTATAAMKTAEAAVKEI QQNEEARKWADQVRGNVGALRGLGDELRHRALPSLTTILHTLAPPISSHERLLIHITH DLVGYPSLDPLIYAVFNRVMAQVEGGDLLVIQRGQESGAASNAQHSTAGWRDGPWWRV TDAPGRDLGIVGGLVEGSKLCRANAEGYATDYFSSRGGIETARQRALEPVSESNPVRS SDIFLSVQAVSVKGDKGLFAGTAGEEKAKQDSMAQEEEENHDQVVFAIYIFDPVHDIR YSAVSQGVPAKWIKWLDVAPSAGESDEDEFEEQFGRVPDDIRDIIESGGVDPREWVAE WLEEALSLSVGVVAQRYVARRMGVGEGAGIMKGKQKVESVMAEGGGEAARAGLI QC762_100550 MDRGRGGGRGRATKKQRAGLPPSHHPYPESLHTPGPSFVSPDVS LLSKVPQSAPQRGNKSRPVNQTPHRASSPMSMPTTATTSAHHSFRAFAPKTDKPGRSN TFHSSFTFESPTNHGMAPEAPMGRKRAKTFETATTASFEGEDEAHSKGGHSLRKRTRI DYAQVLDDEIGLAAARNGAELPPKMTAAAPTVARPRKRKGAHSQDDTDDEADDVSSTT KRRRADKSPAAPRAASRRRNTGKKLPTGMSAYIDQPSDNDAVQDTILVGVSMDADEES EQSSYRESDSAPSSPEGTNPARRQDQQPETSKQRDPVAAINQPAMTAPENGTGLNLPA AEVNGQVNSNGIESAMDLSLDHVPETAPNSAQPRGPVQLEQSRQEQHHEEQHHNAPSF IELNGTHLKQQQQQQQHQTEKLGPVSLFPTPATKSLQPATENSVALSQLSESIAPTST DNTALRQSFTAAESPVSEAIPQELMPVQKPKPQESPAVESAPGQFDGAVELESRSPRE VDPPEAQSLSTATIASRPVLRAPKPVGPARLPRLERIYEAETPFASALNLEPYEDEDV ILPGPYTEWVYAEEAPPTPTPMPTPTPTPMPMDNITSEVTWDVTRPLRRKDFDKLHRI ESKRRKEQGLPPITFRDFNNECARLHQAAIKAQCRAQVTSTPQHQTAPGVIVSPSRKT LPDSETLEPQLPTAAPSPAAEDDGQLDAQFDGIDDQQEADDDQEPDENEAPIPNRQSK RAMDPIEVTKDYPRQYLFPKLRDPAEFAALLENPEELDTETLVNSTAAAVEALHSYQQ EYQELKRIIDDEENSKRRQANDKTIANWENRQKDDEPLPWRRHYDEPIKGPPPFELRG ARAPKPYVDDPVLEYQREQDRIMAQAYGFKHNNHVSLIGRQNPEEQRWEAPETRLRER KRTEKAAELAEDNVVEGKRTRRPRQIADQSKEASRAGTPALPAPPTRRPRKTATAAVT NGDVTESTDPAPIPESVTESPRKQRAAAAAARARQIADEESASSVPPQDSSQGDEDYN ATQPAKVRKREAVDAPSSARTSEPVKPVAKSKRFSKAPTSAPMPPAPETIMPSFYGHP SAASAQPESRPSTASSEQSDRTAETTESTYSLRDKRKRNFVLENDPELEARPQRRKVP QKSLNNNPEPNVKPTATKKPRQPRQTPLSRPPPEPTPAPPVEQAPPQFPIAPQQAAPP PPPSGGLKAPTLIYSSPPVPQPIPVLAPAKPSGQPPGPFLHTFNSAPAFQHGIPPPPA APPAIKKPITRIKLTNTTGLVKGRPIGKGSRGGGKPGGSTLNAEGKLPTLTELQAEAP EKSYSEMSKSEKMSYSMRRRWASGEMQGAVEKRRTTLANKKAEKATGRPGEDSAPGSA NHSGASTPQPQPQMLPQPPQVQALPPVQHQIPAHQPPMGMMMGSGPLALPGGPPPPPA PHGPPVLVQPRTLQPPPAMQQPQPWGPPSIMPGQAGQMVFSFPPPPHQFHGHPGHPGH SGPMN QC762_100540 MNKLKSFFRHKESPLPPPPSLSQEITLLPDSETWQGNLPCVHGP NDGCNKHNPARNPILFDSSLLPSGSKTKPSSNQPPTDPFPSSYAFHEIWSTLSKDPSL GCLTTLPPIHKSLPLSISFYHLYELLRKHRTNTLNPSEDLGTLACAATTGSNPSLSWV LTPLITAQKTLYLEFALAFILQTDLGGWTEATTHGHAVVKNGLIGSGYRDFKPCLHTH IKFTSVKGEGKNHVRYSAVNFTTTDSEGAEKKHEWKSEEEEKKIEGLFCGKCYTETVM RIRLIGDKTLVSVQVYKDLGEGLHPGDGKWLSLVRPDVAIQRDKSDFMRIPKAFETVL EDDQDN QC762_100548 MADATFDKTSLEAKCTALSDAATAALATISLAGTEHSEDPDNQI GKDLPVKLSPARAFAARLAIFREHATQLAVCAQGADVVLPQLGIELDKAMAEAQRVFA GLKSDKEGDGSAIEFLSSLSRLFVFGTQLLTMNDKQEQKVKLESEDGRAIFEAASAAS RAVIDELSPN QC762_100543 MKTTTASTLVVAALAGLASAQQLPKFGLPNCASCISNMLALHMH LGCQQPDDIYCLCSKPDFNYGIHDCAVESCENIEQAEWVISEGQDICGRARPVATTAS KTLEGVEVIFTSSGRILETSTAVIGTVTPTPSSSSGVVTGKVNPVTSSLASLSTLLES LASTSASMEGKQQEPSATVTEVSGTPVGTATGTVTSSSQSAAGAAITAGLSLGLVGGL AGFLL QC762_100530 MSTANPMRYPTLQFCRRTFGTLLLFLAATPTSGDSSFLASAVPQ NLNDFYQGLKDRVASCQHSLAGGFYSIDDGTNTTSYCTNDPHRPSIIYLSGGNGTLSN MDVDCDGVQGGSQDDGRCSFGRSPDYQDATAFRDLIQSFKVGISDLNTYVHPYVVFGN SGSKPGWRTFDPTDYGVRPLSVMAVVCPGNKLVYGIWGDTNGDDGDNPMVGEVSIALA TACWGKNMTGDSGYDGMDVLYVAFTGEDAVPGKDGADWAAKDYDSFERSIQPLGEKLV QRMRGVAVGRKAISLWAVAGGALAGGLWLAS QC762_100520 MASDCRDVRWRCFSGRRPARRPLQSFRHLSPRESRYLVTATLRL PTLCSLISPHNKMSSLLQIIDLHDPVEFDELLHQRDLCGWDKMASDIEAWRTAMDAGT RAMFWIVPPSLAHLPLPDRCAGHIALVSEMSPPNEELARPDKSILYISSLFIRPEHRG GLGRKAVQALESWAKIPPYGSPHCEAITLTALDRRYTEDDSEEWGGVWARFGQQAPKK GSSTEDWYARMGYVKWKSQPMFDEQFLDGTKIKLVAAFLRKTLRE QC762_100500 MHFSVAGIALWALAATQGVEAHYRYSKLIVNGRVTNDWEYVREN SNFIMPTKQFLQPSDDFRCNSGSFANAGRTKVHKVNPGDSIGFRLWNGGKILHPGPTT IHMSRAPGDVRQYRGDGDWFKVQETLICRAPGRYLADTDWCSWDQPDQTFTLPRDTPP GQYLVRVEHIALHGAQSGDTEFYFTCAQIEVGGNGNGRPGPLVKIPGLYNSNDPALRF WIYGVPSYPYTRVGQHAVWTGGQYGGGGGGGSPAPAPSPAPGNGGSTVPLWGQCGGEG YTGPTRCAEGTCKVSNQWYSQCVN QC762_100493 MAPTIAGSGPRSESSIHASGAMIHDLRLLRLKESSSRYRSVSQG DRLEIWTGKTGARLHCSKSLWWSEGMRRNIIWETSVEKLVRVYNEVKRLYAEDPNLRG KIILTESNGFTTKEAGVLVYRTFQQRWQDLVMAPGRDVMTTGEEDDGVYVSSGNSFAA PTIAGLVAYWRGLPGIKNGWDEELKKPANVKKCVMYMHQPLFLGNRVGGLDESKLGAN KHEPKGRDLVPSVTPSPFRRAQQLSPAPRNLQDAVPSAPVSIAARPPRRTHRPPCHPT TMIPRILPTPSIHSREPRQSERLKPPR QC762_0026220 MPPTTLATPTTIYIDPYTTEVEVGQMQNGTFSAITTTLTVAVAS IVTSVIPVANYNYTGEESEGAPLWIISNIDIPPAPVVLTKPDGAITFSGEKANSFTPV PSVHTVTFTSS QC762_0026230 MSRQLAPLAENNYVLHRNALDYRADNTGTNNTKEAINAAIMDGN RCGEDCGSTTVRSALMYFPPGTYKICTPTIQYYFTQFVGDPNNRPIIKGCETFTGIAL MDVNPYIPGSGINWYSSQNQFFRQIRNFVFDL QC762_100470 MRLCGAIQLSCFAGLFPASIQAIKETYDYVIVGGGTAGLTVGDR LSESGKHSVLVIEYGYLEPDGQRPGTLYNITSAPVAGLNNRTFPVSIGCVVGGSSAVN GMIFQRGNAKDYDVWGELGGGNSRVRWDWVDMLKYFKKSIQMTAPKPETAAFDLRYDT KYWGRNLTTNHTIFATFGNVINPKTHAFYEAAKRLSGMKVSPDAGSGQLGLQYYQTST NPYTGERSYSRTGHWDRLNRANYDLLTATRVNKIVFDHHRAAGVQIYPRGESSKKTTI RARKEVILAAGAIHTPQILQLSGIGPADLLKRAGIPVEVDLPGVGYNFQDHTFIPAVS FSWLTSPPIPEHLNITVVDDGLGRTSLGLSVELPVVSPGSFKRIASKYESRDPATYLP KGTDRTIIRGYRKQQQIYAREMRAKDFSFLRATFSGDPSFVPIIIHPVSRGTVLIDPA AGSDIEVEPIVNYRAASNPIDVDVAVEEIKFLRRFMTTGELSRYNATEVVPGPGYESD EALGAWVRANTIPSVYHPVGTAAKMPREWGGVVGEDLMVYGVRGLSVVDASMMPTIVA GTTSMTVYAVAEKAADLIKLRAGTMV QC762_100460 MGGRRLKRPCCAGCKSGLLGTRLSTHVHLFPIPAVQWARGKAET DPCFGWRHVPASRGRQSNFSYRTTRGPLKTTCCCHHHHSPPPPSPDHDHRADVNMSTN AADPVVGVADSNMSFFRKHTPFGSLSGGRPPLNNIAITPFLQTLFTIPTVVSPSPQTA GLVLDGDDKTNPTSPVETSPETPASSVPSDTGAADKQPSPTVAVFTPFSALVPDNAWL DESLNAPVSPDSILALPNTLTGVGAAVVELPAPVEPCDGFPTATVAAVAAAAPTSPTV KLLSVDPTWMDDALAAPVTPAALLALPQRTLILQVDALEESPVAPVAPVENQKAVAAT EEKDAESNAPVAIPTPVPEQPTVVLDQLAAGSTMAPVVLIVDKTWMDEAFNSPVTPCA LLALPEKNVSAADSSAPPPTLPVEEVQIAVPFMKAFNAPVTPLALLALPEKNRNSRPC PRQPRRHKQALREAPDLVHVQGGKLDAGSARS QC762_100450 MMTFSMVDCEPAASSLLSCAELSRELEGESDWTITLMPRWVRSR VRGTCDSLAQHIQHSENTHDLSTDKTLEEAAHQLSPPKFDGEYKRQKREAFVKKRIAV NNPFSNTGPVTATRTSSFKVANDSVPTVVDTTLNSAISTATGEAIVDTRVPGFKQDNV TRQVNETRCKSQVPISNPGNACNDAILPAETVEPRPIDVETPSNTIATEEQSAMTQSH WQPPSQINQVPIEHMLRKFGRVVDDVIYGIRRNGKVFWYYTDEPAYVPLTVREFIDFE AVYPAEYFPYHNDYYLAQMYGDNACLGGDYLMPLFLDNREWNAEKSMILWAEWTRYFY AMATNDDMRQQARELARHEASMVWGPEFLNCSFTPLRYHEGHDEQTTYDHSQAETQYE NAVGLEAGTQEMLAILHGTPASSPSKHKQQRTNATIEIAQTEAPQRAQQILETPSPPG SCLKERSKSDSSGTGSAKSVRFSDEVLLLSLVNRGNCGSLKNEQAKYTKEKLVESEPG RVDCEESVEDSDHVEPPRTGLMSPAVVHRSCHQETKTPRDKAQNQGSVKEQRTDDSAA LMGGHEVAQRFGGAKAVRTMSQKTSNFTFTKSDQRVIDTPNGRTGNQVTPFEKGPYSP TSSTATQKSEKVPENTAIVCDKTEQPLAAKLNAPADSTKGSDKTKAVWSKLVATSPES SQASSRSSDASPRRWRTFRKPPTSPGFPTRSRTEEMSSPVPAPKKQSVDLAEKIEGSP ASAVPAAKTPTVVWAEKVQEPAASPVQATPGPVRPHTRQSPLSPPPRGQGGRTLIETL NTDMSWTQLQPNPVPRAPVNPRAPAPSPATARAGQRQENPGAGRRGGQGGQARRGGGK AGDVRGGSGEGRRGADGGRDSRGGGETAAGRSRGDGPGAKREMSRWRKLRNESSWR QC762_100445 MQCESCHASDKQPAIAHLQQFGPFRVPSTRSRIYAFPIGSLPPH PEDRCGGDGWGRCDKIKGFTMNYKHTVPFSSHFSNSLLIFQAWHFAVHFGAGPGMRLY MPQGEHTSNLVMPRGVFGYDVDDRGA QC762_100440 MVQFTKIVSALALTIAAVHAAPDLSQRQALPDPAGEKNIGNGAG GQFIGGQCNGAADCASGCCATLPRGGETIGVCSGVGAQTQAGKQGCGFEGGNAGGNGG GNNGGGNGGGNNGGNNNNNNQGGGNAGAVGGTIMPSTLVPDPAGAGNVGNGAGRQFIT GECTSDADCASGCCALVNDGVRPAFGSCSGVGANTQNGKQGCGFPQGGGQL QC762_100430 MAPTVTWRAGTAPRNPIQANGILAACQTAAQDAEFADFLTMEIW TGRHTSRSDRATHATTRLKTVIQTANGQHQVAHIYLDENYTYTGHALYPNVKHD QC762_100420 MRVLAALQSYQRRLRSLSAKAMVNILEYNIGVAAEDLNRYCPGG FHPIHLHDKLHDGRYEIVHKLGFGAFSTVWLARDNQEQRNVTVKVVTADKSDETSREL SVLQALKERGDVNHPGHNHVSHLVESFHIQGPNGRHLCAVQDLLGPTTSSVTDRCPNY RLEGHLARSISRQLLLAVDYLHSAGVAHGDIHMGNVLFRLPELEVASPEVIIKDLGPP QTGKIARKDGGPLEPGMPEYLVEPAEFNPKIYPHLREVRLIDFGESFFLSDPPSEIST PMSLHPPELVFQRGLSRAVDIWNLGSTTYELITGRTPFEADFDDKDLIPQFQKVIGDL PEQWIQDALASGVLKEPPNYSTAEYFLSLEEEIRRSYNDGYERDTLQFGEAELETLGC YLRKLLVVDPDHRATTSELLNDPWVSQKEFKSQAT QC762_100410 MSKRSSIFGRLGLRGRPNGDDAPPPAYDGLSTSSTSPQPQLQQG QTPATEEEADLTAAFESLNLSDAGSQPTADTCLAHLKLLLAIQTLKEDVGYTDGLFGL WDSLAGPIIVDEEKRRLAGAEHDKTLATLSRIREKRWALFVARASDRYEAWWKGIPGQ RILSERDMSEPGRDQNAYSNFPTDSNLKFGWTENILPPLDVLMVWHTHMLNPRAFLED AMLVGLRSFWSNGMPWALVNRVIDPETFSYRVSDQCKANWTKKTGHAWDVADDSPRKT LLCPRCNTSLEVLWTACGRPENHRPNPNTEIDLIGEGYGDGNLNSDCPQCGITIRKEL LSVARFVKDVTALMGHSNKPMHGTILDTRSGIPELPDQTSVALKLRVPRTFPNAMLKS GCDSIRTDIMTLLHNPLIPDPTMEDVRRKIEYVLSRPSNVKEISGFKAGSASKHALRP GSRTAIRKMMNTYWQNFSPFGLDLSGAVMRQGIFVEKMVKLDWLHSPSARQTMERLIV KYNRFLAIMAENPDNVVVPTLDVDLAWHTHQLSPGMYYQHTVSLTGKFVDHDDKIEEG VLSAQFEWTSQKYQEKYGEVYSECTCWYCEAIRSSHVSGLSGALGVSKQERVADNFHS SGRAALCPPDKSAHISAHNAVMPTEDYRQTVRKRLVAVHQKRLDAAYAKAKARAEKKG RKIPPREAYVYDHWGHPVTQTGPYGHPMWWTAGMYFAWAPGAMMAGGCGGGGGDWGGC AAPGVGDGGFTGNCGGNGGCDGGWEAVVAPVGSWLWRGRLRWRWW QC762_100400 MSPPLAMIFLGVEEIVLAHQSSVLTKWKLQTQEFDTICWNHPDN RSDYRPNSFPHTIIPTQAPSQAAFLVTSDEVFVAVGYRSHPVLILSIFEFRLVSICAT KFDSKGVNDLIFNPDPEFRTLMAAFEDGSLCL QC762_100390 MESEAFVRGDEGAVFQLDRAVLDVLPNVAEIVNVSHHGESAWAK ALRVDTLTTNGNKESYFMKVSVGTHGREALGTSAIFAITPDFCPLPIAWGSLKTQEDS HFYLCKFYDFTDGVPEPVSFCEKLARLYSSGSSPEGKFGFHCTTYNGDLPQDSTWHNS WEQSFANGLRNVLQVRKDRAGPHDELDSLLPDLFDKVIPRLLPPLETSGRTIIPSLVH GDLWCGNASIVNEETEEGIVYDPAAFWAHYEYELGNWRPARNQFTRLYFDEYHSHVPK AEPAEDYDSRNALYALRFDLHAAALFSTQEEYVQMAIDEIQRLVEKFHDGITKGTE QC762_100380 MYPDKSTKQGFSSSRSFRRSGTGSDLQPLNSAQTAALIVFGVLI GLITTGIIIWCCCCRGPGWQRRSSSRSRVKIIRMSGPRGEKGEKGSPGPMGMQGLPGL PAPAVFLPLPASAAPVPIRPLGPRGMLPGALPGINTGAGGTTKQSSRECSPERKHNPL HIHPPHPHSRPQPSIPPTQYSLPPHLQKFAQPLTPAAPSVSQLPSQPLPPSNTQIRPL PLPSQPPLRIQPLRIPPPTLPHPQHRYYQPRPPIAKIINDHAAGVTRPLPLALPIGRH GMVNLALKYQVHSEKGASSQPVSLRGLESGYQSAYCESSAESDDGGEMGYESMENFGR VEEDRRGRWARMGV QC762_100370 MSTKPTIFIIGGTGAQGIPVIIGLVQDGAYAVRVLTRDPNSRRA KHLLTLSPDVSLIPGTFTSEADLRAGFQGCWGAFINIDGFATGEAMETFWTYRCYELA IECGIKFYVHGNLDYGYKLGGYDARFRCGHYDGKGRMGEWILSQNASNKKRMKAALFT TGPYIEMALAPFTPMAPRVEVDEASGEQVVTWRVPLGEKGGVPHVSLDDCAYYVRWLF DNPERADGMNLAVAVDHIHYSEVASAFEKVTGHKAKHVDVDLEMYFSTGHWGMTASLP TGYTVDSTSPALMNLKDNFTGFWRLWQGSGSNQGVVKRDYALLDEIHPNRIKSVEEFF RREDDKAKADGRGSLWEAVANPRPILKIHQDGSLRSAQKGRM QC762_100360 MDPVSSFFVSSSLSLAQRLQLSNMVYYGVVSKGCQRCRQRKIKC DQRKPECTRCHKSGLPCPGYRDLNEVIFRDESSRIIRISRKGERKQTGPALSPSAANP LPASLTSPADEVGANFFFAKYVFHQDPFSTSSGYQDWLATAYRHHDGRFAPLRAAVEA AGLAGLSNINPSPQLSVQSRQQYRHALTAVKEALSNPSQAADDATLMAVILLGLYEMI NFDTWDRYHSWTTHIQGATALLDLRGQEQFTRERGGQLYVQIRPQILLACMKQRVAVP PALVTATYNFQSSGIREQLHQRETRNPASICEISFRVVNLRAAVKHGTLSQEAILQSA LDIDADLKTWREGLPFSWEYCTSDDSTGFNGKRHQYGSLSMAKVWNNWRTLCILVGRI VVQNKVCAVERKATTLRWIHELMADVCISASSVGEDGFALSLVQPLHIIAIQSLAPLD TRRFAVEKLRSIGVLTGVRIAVLLANDIARRLKEQSFEGG QC762_0026370 MPHQEAEQTFRSYGKEDSEHYTKHRPNYHPSLYKAILNHHTYTG GKLDTLLDVGCGPGSHAVRTLAPHFAHAIGIDPGEGMITAAKNLLTTDPIFTKTSESI DFQVGSAEQLEKITPKNSVDLITASHAAHWFDMPLFWKSAANVLKPGGSVAIWASGEI RINPNIPAGRAIQQTIDLFWDRHFKDFVVPGNEMIKHSYADLLLPWTLPEPIDDFEKD TFYRREWSPSESFFDVENSEVSLNKWEKIMSTGTPVTRWREAHPDDAGTERDPLRKCR REIERLLHEAGVEKGKETVKGYTKGVLLMVKRK QC762_0026380 MNTHLQAMIVDIKNMKRLGTYFLFTINKTPLVYPLTVSFPFSTP ASCSSLSISLLHFLNGSLSVPASSG QC762_100340 MSDSLQVVVVASPFAGLAPLYEVDPDADALVIVPPQTEPFAPWE EVTTPTQQKTTSTTAAPPASRPALRIKVSSKHLSFASKIFKSKLKYAGGQKSKQSDGR IHLQLAPEKQFDSKAVAIVLNALHGKGSKVPKEVDLDTLGQIALFVDKFQLFDAVEVY GERWISRLEHTIPDAYNRDLIVWLYISYVFRNAEVLRGVTKTAIVGSDGPIKTLGLPI RDKLIKHIDEQRQLLVSSAIEIVTSTLEKLVAGKAGCNKYHCDSFLLGELVKTLTKNK LVWPRPERPFAGISFLFVVSAVEGVFTSPSHSRGSAVCGDLWNVCNGVAAKPNGNGYV NGNGRGGRGPLTPEASPEPVLRNGGGYFDTHECDARKSVARLDELDALEDAVRGLDLE GALGYRNY QC762_100330 MAPLLAKRLDSIIPLETPNLHPRRYFNNGRVNPLAIGLGVGIAV PLIVGLTILYWRQELKKKKRKEERKAEEEILNMRLRGQAARLGAGEMSGPRVSARIGP VSPASGVSTRPGVKSEGETGSGGNRPRNLGLLDITTPLPRRGASPVQSSEMNGNASSS GVAASSPETTAGSEPAIPEPPPAYSKYKPGLLDV QC762_100320 MSRTTTALVAPELNGKFELREVYLDALQADEVLVEIQASGLCHT DLSCAVGILPCRPNAVLGHEGGGVVVQTGSAVSHVQPGDKVLLSFTHCETCAACTSGH PAYCHTFNDRNFGGARPDGSSAMLTKPGGEPIYSTFFGQSSFARHSLVHRSSVVRVPA ETDLALYAPLGCGMQTGAGAVLNSLNVKEGSTVAVFGVGSVGMAAVMAAAKIRKARIV IAVDLQQSRLDLAKELGATHGVLGNAKDVVQQIRDICQSNGCDYAVDATGVPFVIKTM IDSLGTLGRASTVGAPGPGNNVAVDIMDHLTYGKEYVGCCEGDSLPKEFVPYLIEQHQ KGNFPLDRFIKYYDFKDYEKAIEDSKTGSAIKAVLKW QC762_100310 MAVILNILPVIVTVVLLAKLLTIGRRPKNLPPGPPTIPILGNLH LMPTRDAHLQFEKWAREYGPVYSLILGTKVMIVLSSDKAVKELLDKKSNMYSHRQEMY LGQTLCSGDLRILMMGYTPRWRMCRKMVHTLLNISAAKSYVPYQMLENKQMLFDILNT PERAMYHVRRYTNSLTTTMVFGWRSETYDDPKMMQLFDGFGEFAELNQTGAAGLMDFF PIIRYLPDFLLPARVKAKELHKKEKALYLGHWLKAKQDTLNGTITRCFSEDLVEAQKT EGFDDDQAAYISGTLLEAGSDTTSSTLYAFIQAMVLYPEVQKRAQEIIDRVIGDKRLP TMDDEQDLQYIRQIMKEALRWMPTTIMGAVPHAVTKDDYYDGYLIPANAGVVNNVWAI HMDPARHPEPRKFNPDRYENDFQSLGDAAANPDYTKRDQFTFGAGRRICPGIHVAERS LFLGISRILWAFDIKPYVDAQGNTILPDQEKLTQGFVCQPEEFKCTITPRSEARKQIV INEWKQAQDECIDPVTKQWKVNPLGPARSRKA QC762_100300 MSAMADTSGLHRCSVCFKTYKRREHLQRHRGTHTSERPHRCILC NAAFQRTDVLKRHLQTCDGAANSSSGRRRACDRCVRQKKACNSGQPCLNCEKRGVECT YGGSAGAGASNGASNSAAPLPAPPVSAPGHPSILGETSSAPPLPPIMPQLPPVPAPIH HHHHHQTFDDASSAIVSTHGGSMDDGTASTFDDVPYDDLDALIHQAVTTFPVLDGHHS MPDGWLDIDFSHQPNPGGHDGLTEQDHQAEVFQRELSPSSTTSEYRGYSFGFLYDFTS RTGLVSSFECATLAQRHQIVAAFHNSYLERQHPEFLGAVPPLFMPLDDPTAAALTASG VSGSNTLSSWSLWLHNPIVIKLQQVVLLIKNVVTVKPNNSTVTLTWSAALEQQCLQFF SPSRFARFIELYWSVWHPNVNILHRPTFDPTTCKSILLAAMALIDPADNEDAKVWFNC VEEMVFTDDDFCRDIEPSTEVTSPTSVLASRRKLQALQASYIVCLYQNWEGTDAGKRR IRRHRFSTVVSVARDLGIDTARHPDYSRQFKHDFNWMEFVVREELIRTFLWIFLVDTA FVIFNNLPHRMVIKEMKMHMASPEVCFQAASAEACLAEIHRWMPPSSPFGGMLLRDAI EQLCIGPMSPEMHRHFSHLGPVNLFATVSAIHYMIFQHQNLFGVEGQLIPIRNALDNW ITIWERCFDMSTSCWPHGLLQDHNLPPEMLWKRIGFVRFSAEYWLLGSLLTNRLSATI SKPMARHPHMGASPPEQYGDQGAVTKPARVEPILNKYDQTSMRQVNDLITDFQKFNVE QC762_0026440 MAGPMMLKLFLLIPPTLLPTIVSATFTSRELKVYPVAVIETIKG TFPLHSRYFNFCLRLEFSTKDILG QC762_100295 MDSQRVLSLWDQAALRGYMSVALCFPTSLTAKPPGHDIVGRIRQ SLRRLALERAEFAGNLTVQHTNVVLQQTKSDFIPLEVLHTPSDASSDTLFKMSYDELA ARGFPAKAFVHPDFTLNIPLEEGGELVPVSKFRVFFLIEGGFILFVNLHHSFADGSNL ATFLELFGKATVAEDKNDPIGAYQQPANVWLSLPFEKCGKDFSSLLAKCPEYALLDES TGPTKPILSTLPNAPETNEVGKTFIMDLDKITNLFDKSLKISAFFGFSAIAWSHIARA RLSGVEPVQQWAFRNQVPAFWNPADWSNKFKKLFAEGEYADKKYIDAIQGYYGNSVTL PITRGPIKVLDLLSACCWNTHASGRDSLTKIATAIRAANKAVNEDFILTRTALFHNTP DIRKLGMNLDSRGPQHLSVNTWGFLGTEAKFFFPGLRNAVTGGDGVRAEAVCRVQGAW AKAPHCLVLPHRPAINPKKEWEVLITLPERSMETLLADSTFMSVVKKVVE QC762_100290 MADQVRAKITAAAAKNKELLAILQQTDHAIPSLEQQRRLVKDLE GEVRASDARVAAVDRKRKKEYHEHEKYRDSVLKRFAYKATGKREKFEQRAAKEEQEYF EALQEEHRETEINKDVKIQLQEARRVAADLERDVARHNDVQKQLDELYGRIFGGPTPG YPEEDEQEREANARTQAYQATKGKSEAERQALKILGEGQLRMKRALGFMEEALMHSRR DMFGGGTFTDMMERNALSQAEREVMSANMLVMQAQRMSPRVTNLPQVNIDQGNLMMDV FFDNIFTDMAFHDKIKASRESVLRAAMAMDGQVAAARQRVAQFEGQLRVEEQSMREAR EKLQKVRERVFETVAASTPPPAYEP QC762_100280 MPRVTRRSTKRKAEEEVADKTAVTSTNQQSLPNTRFGQASEVIT RYFGIQGVPRRFTKPSSDNGSTDSIHSCPPSSEDEYEDEVAIVEDMQSEPAPQLQEGT ESSTSLVTEWLSTTPEPDKDDTRSIPSPWKTRKTTSETSTLSASKEHQPCSPLNSQDL HHQSDSMDIDPPRSPQQTSLSFKPIEPPSTDFEFQVQYNTISGNKLKTRSLSPYVSGS PLGGESELVQRPHSIPPERTTPNLVLETVLGCSDLFNRGDASASQADISESLVDDQLH LPILPGEQIPITEHQISAWNPSSSRSSSPLSSPPDSPTMQLLDMINFPVSATPSALES ADSATLPTTEACSEPRTSPVYETSVQALDQAIVVPLSAQPAPIPDHSYLLRPSVQSAP APVRHAVPLSKMDKVPRVASQVPKKRALVVGSREERDILVRLYVQEAGELTTIKTKLS YLGNRIGQVKGVKETERMLRILGQAEDDGELTLRSIHRKRAEEIRQRDAKVEGQNAKQ VKSGEERSGSLSTSNIKNIVGDGAVAAKVGAADSTYTDISMTDVGDKVDVDSGANLEQ PAVTKGKTAAKEEHIEDMIHVCMGLFTSRQDLARTTKAAGANAGNETAGNPLESWALH TCAKCRLWAMEEEGI QC762_100278 MMAPVTKSGWLLLLQAATTLISGVSARCNSCKASDELLVLLRSE DVFSEALPFCSSVLGLPLETAEVTATEEVVITVTQATTVTQVISDISSVTVTVSAPVA PTSQAIAVTEYKKHRRDTALASLPTWLPSGEDAYPDTRISSACHCLDRSSAIPLSTTT ITHINPDNAVTVTEPATSTVISTLVSTVTATETLAPPAPSSTTISVAIQVLRKSTGQS VGWISNAASPNIATNQNSARRFTITIPDDQSTSSSGIRIQPVGGEATRALGFRTVGGT TRIETYYGSTDYVPLTSANEPYVCDAQFCHATDIWTLDTETGSIGWNWVIPDGSVAPV VLYRVGGWMYPVGNLAAFMSSTSSAPSDTKYEITLRYVEIVETAPAAP QC762_100275 MYPTVSKSLPLSLLALASVSLADDNAESVSTLSFKNAFVNSGIV PEVIPALDPAVSFYATYKTEGDSNHSELLIPGSSLTVNEISTLPIEFSVENLNNATNI TAQTRYLIYLLDADAPARSNPTARNLRHWLAGNFTLNGQNSSVLSTAQRLARPPNSGA PFTNFTAPKPDANSGVHRYIMALYTQPARFNTAGFESVGMEREVANWNLSRWRTQLGL GPAIGATYFVIDTGANGGNGTSAPQGLNNQGGNGGNNNNAGGQGGDTDTNAAAGIKAS SVYVLGLTALAAVFGGLIMV QC762_100270 MDTIDAATVPEDTLRAIIWTCFGTAFVLVALRTAVRARTSLPTV DDYCIFFALTCLLSLCVLETIQLPSLYYIAGVLAGTAPIVGIIEETERYLLFQFPIVI LYWSTLWSVKAAFLTLYWKIFRDLPVYRRVWYLLALFCLLAYGGCLVTLTVSCGGDVK NFFGFATCAKPEHVWASNFSVYFSTTIDVFTDLCIMAMPLRLIYNVKVTLRQKVGLVA VFGLGFVMIAFAIIRAKQVLVEKMFVNLTLLMIWSTLAASISVIVGTLPALKVLITVH SRNSANRSNQHSAGGSKLTGKQSISKSVRMGSISKEKKSMQGSLDAMESQEEILVQHD VVSFSLFSSKPFGLVDIS QC762_100260 MLRLNFLILWAAAASLFASALPISDVSEEEINTPTPEPKKTFWK SFNPNTDFRNRNFNTISRIYNLTVYPNQVPILTFGGAAFVPKGLFAQNVVGRVDPVGN FTGFEHSIEYFFALSPLPQANPSSAAITSYKIVEFSSECRDIAASVVYLYTSVVNPGS PDHGKPLPPLKQVAFWKFNKEGEVEKYDAWIPNLNSWVTRTTMASLGNPEFQLESIRQ ICYGTQARCYGPNQQWDSIEDCVVGLAKKNYGTYDEAWGDNVVCRTIHLVLTQTRPDV HCPHVGPTGGGKCVDVEYPENYFSDKWLYGEETGETFVCK QC762_100250 MGLVGMTCPFQKSRFYVRLTRYVLQAKAPHGINHRGAPHGRMGD RHGHRSGDGIRLKEGGALDWDDDTTEEDGDERGKGKVQRRKRSSYDCLKSVVARPSEI DLLVGPHDQVLGSSIKIQFLPNFELDAFTSPPVLSSSSQETYFKMVSFKSLLTATLAT GAAAVPFNATEVFESLQARAGTPSSTGNHNGYYYSFWTDNGGTVNYQNGNGGSYSVNW QNCGNFVGGKGWNPGNSNRVINYSGQFNPQGNGYLAIYGWTRNPLIEYYIIESFGTYD PSSGATRLGTFNTDGGTYTIAKSTRYNQPSIEGTKTFDQFWSVRTSKRVGGSVNVGNH FKAWADKGLRLGSHDYQIVATEGYQSSGSASITVS QC762_100240 MASPLPQTTMSNHPSWRLLPVTAPDIPTLLVSATFTKDSYHFRL TDLANVWVENMERKPIIKRGLVEDTSIDPSDGPDQIRRMLELLRAAFDHDDPEHSDTS LTLARDDDNDSLVLHVTCVLPQPLKPFKWPMELKKCPQSNLATELVLPMVQAHEAKLR EIDQLISALCEKDGIITRLVDKLEATGTGLEHVFSSLSGKRRVTRAAAEAKVKGLAPF SEAEFRNSTSELRSVAQPSDVSTLLEDVFGTTGLTYQSDLDLEASATLSDWWTRIGKG KHVALVGKPSKKKAPTPSPPPATEPKVKEEDVDDFQVQVTPSAVRKRDTRARPQLETT NDDETSSGEDEDQPVVPSARKEHATSPSKTAKSRIGVLGGRKAPSTSPVTRQTTPRKA ASKKAPGSYNDDSETASDDDEKDQGHSPSSPPKQLPNRGGLGRIGGKAKPDPPSPEPK ETTSPAQVDDRPSPAPKRHKLGIIGRHTPNPDAGASAASDDGRGRSKSKTPAKDQHRE TSQERAERKRAELQRELEARAAAGPAKKKRKF QC762_100230 MTLYAPFRTGDAESSLSNIPTPPELPVMDPELYHNNNNNNNNTP SSSFTVPSSIASVKRDPSISQSPPHMSPLTSVEAMYTASPQLVTRTNSTTPDPAHADG QLVVGMGIRNGECQRAFMPSSVPRQGASSSMWSACMGGSQTGSNDFDNYALHSSRPGQ VTDGLTPPSSRSWTPPEHIRSVSWDHYPRQQLVPSYPQGPELTSFPSDGLPYNLPLQS YDGAEGSFLQRSQTEPCPRQYQNEVSTPESLRALSPCSTTLCLKADYDEGLPSPTPAA QQQPLPGTMVDLLGGGGGPHTPPPIGAGNGSQIDSSAPSPTSAAAAVAATVVNPGGGG GASDSGLTGNNTSNSSSNNNKNEEPYAKLIYRAFMSKPNHAMTLQEIYQWFRDNTDKG KDDTKGWQNSIRHNLSMNMAFTKRERKCSIASQSDPSTADDKQPEEETKPVVLSSSSS PATAATSADQKKSTEWYLEPWAIHEGVQSTTRYRKGNQSRRSGALSSSSLRYDDFSRR SSSGSHRGSNKPGVYNGRIRTASSSSLSSASRHAQTNMRNHAQNIAHAMAQAQVAAMA VGYYPPPPPQTSPYAGSHSGFYPAGPLVQVDMPAMGVDQQQQLDYHHQAHQDGYYLHS QRSAASTRAPSDEPVTPEPVNVGLAYGQGTAPDGLLLPDLRTTSASNGDYHGGLMQHH HVYDTHHHNGWGGASTVIMDDGEQHHHMGVVGYHQY QC762_100220 MDPGRQAMINGWKSPLQGDRDARDRRVAGGGRDGDRERDRDITG PRFDSSNRISKTHRPPPSKSTGANSAAAASGRYLTQDQQSEQFVADEDKFVLNQAKKK ADIRVREHRAKPIDHLAFNLRFIDTDRDIFDDHDADAEISILGPEAVLESLGEAQLRE LDEDIRSYHTLECNKKNKEYWTALLALCADRRQKLKPQGPEGRAVTSVASDVDRILSP KSLAQLEALEKQIRAKLQSNEPIDTDYWEQLLKSLLVYKAKATLKSICEEVKAARVGL LKTRDLEKARELEASDGYAGAAPALGSAGSSSKPASRPSAAVMASASASASAGSSSAP PPGTARFAVVGTEDFSQATKALYDREVAKGISEGEEIFTAEEEVPGVSKPLWADKYRP RKPRYFNRVQMGYEWNKYNQTHYDHDHPPPKVVQGYKFNIFYPDLIDKTKAPTFKIIR EHGRRKGESLAPAGSEDTCLIRFIAGPPYEDIAFRIVDREWDYSAKKERGFKSSFDKG ILQLHFQFKKPVRGRVARAMGDIGL QC762_100210 MYHNQGKIMRKLLSKHATDSRESTASATVTSSPSNNYRPIAPQN VSYKTGAPLSCLDQSPDGRSAVLASHHVLKLIKLDGGLRVQEEVDLRAILTSQPAHRN NVPTGAVADQLSIQDVKWGSVINREVLFTACTSGIIFQYDVNRAKATRVGAPLEFIQM REDSRQVNSLDLNPHHSSWLLSGSQDGLLRCFDVRTPVNTRTWPTYRALQSFKSHADG VRHVQWSPKDGFLFACGTEQGMVLKWDMRKPSSPILRINAHEKACTSIAWHQDGTHLV SAGLDSKCNIWDMSKTDKRQKPKYVISTPAPVGSLAWRPGQWSATVQGKRASQLAVSY DESGMKRFGMNSVHIWDLARPTMPYKEIQRFDYAPNSILWHDQYLLWTAGRDGFHQCD VSFAPKVMDRQTMSAFAFSSQGEVAMCLDERPMPTRPRPNIVHHDNASTHGETSYSSS PTTPRFSVSRSDSEDDAVGSFLGPSQPGNGSRKRRASMRSANNLSTTPPVGASMGETL SLEDTIEVTGTYQPNQAMAIGTYPGPTNAEVDVYLSLNYLQAIHLELPYKPGGPSLPE RMTTILDHFAKAAARVRLSRLSHTWMNLLFLIKLLLERRAQYHLEFRMDKYQNSTIKK KDAARHRSLGKLRAELPSFGIGGEASPRKMASLASFDRVLHPRSLLSEELESTSNQPT PLARPVPDTQVIQIDDNGRKKLTPIIEPESFTLPPAAHSRTLEPRPRLDSVPLSVTSH DSEATNASTEGYDFYDAEALNFREPGSPSRVRKPPVLRHDSDDSFTRLFSVSDESREA TGLVRTSDSDTSSQVMREAIVAAIRRDQPSASGSGSEDGEYESRIRGKQIAARDSPGR HPERQLLQRTETDMTSYTAFTDEHHAITQTTTDSFESRFPSQTTDGGFGVDSPVRQSG IESPGQPQGLLSVEADLSPEDDLSPHIVETDYLHWPGDPSYPHLLWSSNGSFGTCENL IQPEQQIAATLAYEVRKTARDAAAIVLLFKPLLAPDVLDSFQAAAILKQHHQRLMKLQ AFTEAALMRKMCMKGWPGGVLSNWGENHPNVISPAQRGVQVGLLCSSCRKPREIDRFK GSNETIWMCERCKASMAPCAVCQQRHIAPTPGSRGNTKEGDEEQPVLATWWICPGCGH GGHSSCLQLWHEGFENECSSTDPDILAEFQSDGYCPMDGCGHTCLPGKGRLETAAART EEVGRAAAREATRNATSMKASADTESIVGGLQGHHHPHPPHQYRTTGSGKILDDQHHH YPHYPSHGVSISNDEHSVPQSRAVESVRESLASMGISSHSHGGSSSTRHSTPGASILS SSPGSRSSMIAAADRASGGHGGGDGRERRKSVKFVAQEDTEH QC762_0026570 MAFFRGIFRQAYLSRYTVIMSGIPVFFIGASGHIGAAVLQALHA AHPELPIRALVRQQEDVDHLDSLYQGSVASVLGTLEDVGIVAEEAARAQLVINCAPDF ALPLPTLLPSLSSNPHPQTFLLQTSGAARIWPPPSGLTPHPKIWSDLTDLSSLPTDTT HAAQDITVSTYPGINTAIISPTFVIGKSPSVRHKHPIIFPDLMHVTRQQGQAFVVEQG KNLTTFVDTEELAGLYVLLVGDALRCIRGEKQVDKNIWGEKGYFFAGGWEVSMREFIV DWLLPVLEGNETSKTWLRNQEGKGVKELGLGEVVGSILGRFEGQEGEAWSRHIAEGFG TSMRIRGDRGRRYLGWEPTGRVKLEEAVEAVVRYFEEREKSITEL QC762_100205 MDRGAFCRFMLDARDFLNEAQSAAVPMHVEEDLLRGIVAAGVFS SGGDDHDIAFRFAHCFAFSAFFDIHRLFSATPDVFEFLDQEVKILSMDFNTAYDVRQD FEALFYQQEDKQARS QC762_100200 MHAAIRMKPAVSRTVALGIRPYASRAKGARQGAKSAASSLNRTS DQQAQHMEFTSNRSIFGPSTHTTGTTANRHFPSSAASPIDERARSFYSTVIYHGQTPN SDYEFDESQVFGSEVKVNPTQSEANVAADRGDIDPLPQGMHHTILMGAGEAGGRPTEA EEDVHADLYMDDPLRGRKY QC762_100190 MSATVLIGQAPTNLGPLTTTFTPPPECTVAVGAGRGGFLGDLFG GGDDKSIAYLGQACSRNRAVDDTACWPATSEGAESKKAPLNGWGFYSPGLHCPVGYAT ACAATGGSGGGSGWPVQFRLLAGETAVGCCPSGYGCANINGQTCTLVVTSSTIPTVTC DGSKSQNFGFQTVPDPEASITAFSMFAPMIQINWQSSDRPATTTSSTGTGSTASSTRP TTSNPLASASGTKVIDTEDESAEETLVLDDNSQATGTGRVPTRTLGAPGLASDAADAS SGEDSEGLSSNVKVGLGVVGASVVLLALVIGLFWCWRKRKNAAEEQELDRLYGQKTAT GSTSDLTRSDEIPGWCRGQRLAPPTQKEPFFRESLREMRMPAEPYQHGGSPYFRDPGY RV QC762_100180 MSAESTRPLLLPQNRKLRHLRGISLRNLAFTRPRGRTIDDAALN KSPAKLESLRNSPQIHHALSSEQLRPGPGRRRSTNLVGASPVTRQKRIEDTFDSKLAD AFFSLHVEGEEEPVYISEVAERATNFNFSLFELSELDSTITQTPRVTIKIWTNRHDTW SLLLEDDVDLRALNWLGTMQNVHFPPNSLVFHMVDGIYSLELSNKYPPPKKMPSTPTS SYNALMRLATLDNSIQDALATRESLTRQINDLLAKETPNQVPAAQDSLALAEKYLAVQ KRTVEASKTRNQELRASVEARRSAIAEGRALQERAETDVNNATEKLAHSKTLLARTKE QIHGQRRRICEDLDRIWRINSISTPGTPPLTFTICDLPLPNTIYDDALLKGTGSDTLS AALGYVAQLTDNLQCYLGVPLPYPIRPYGSRSTIRDEISQLPDTQRDFPLYVPRGGSS AQYRFDYGWFLLNKDIETLCVSQGLKVVDIRHTLPNLKYLLYVCSAGTDEIPDRKRGG VRGLWAGRYRNLTVAGMTADDEASSFGGSRRGSDASSVVGGIGQRRGEDLRGKIIGGS TGNGGVAGFEEAEVKMTLRTKGMRESVAQ QC762_100175 MFPHHTSVFFYHPHHQQTQPTCSLPTLKMKLTTLLTTAPLALLT TLTTAAPAPAPAPAAIEARHSTSARFSKFTATCTSTSCSYAATLTLLPENITVNFSHT TSGSTIPANSGHWTSSSDPLVFLRWNKTPFNEYRIVVSDVHVIGTSVILDFFSPAADW VAQPNPTSYVGSQTFEAV QC762_100170 MIDTATTLPPNPSPDSPPPPSSSSSSPPPPPPPPPGAPVVDFAG LAHDSLVVNIIASASICWFIAALFVALRFYTRGVLIKVIGGSDWSILVALIFAGATCG GVIEQATHGAGQHVWDLDPNDTPSAIAWGRAAWYGILFYLITLCFSKISILLLYIHLF TFKWARLAGQILLGIVIITHLFMALATFTACIPLNSYWDFTVEKKYCHAQSVWWSNTG MHMVTDFLIFLLPMPVVWSIRLPRRQKLALSGVFGFGFLVCFISILRLMQLIRVQTDL DFTYAAAELSYLTAVEVNGAIVCACVMTLKPFIAKFFPGLLSSRASGSSNGQSGAGDN NQYYNYAEGRINGRGPPTIGSRPSKGVRNDLGSLASPTDLQYGFQGLGSSGEESENFQ EKGGSRRNSKHWLGVVDGGAMGVRGNHDRYVEIRDGTDSGWAVDVERGKSLSSSPVSP RSPVSRSRPGEDARMDYMLRTGRLSPGAAVPDDKIRVDTRVTITKE QC762_100160 MSPKTLRIGVLLELVQLSDIMGIDLFGNLSASYLNQVLPLDPKF GVFTPHAMNIEFFYIASSLEPATTTPPNASLPNSIGGFRFLPNVTYDDCPRDLDIILI GGPLPTHRPEAADRFMKEAWGKTRVWMTTCIGSLWLASTGLLEGKKVTTNKEFLPVAR AGFPGTEWVYQRWVVDEKPYEGGDGKGELWTAGGAGAGIDMIARYCLDNFDKEFVNIM ALEGLEFNPGGQAGQFYPVKEGERRVIV QC762_100150 MHFFCCLFASSCDDIPSHQPRSTENLDAMAILPSIPGLTVTVEV ADRPTKEYDDPDADSMQIEMQREEFDHHATPDLPYVIKYIEAKPGAFYHYRVSIQPRR FHYVSDHVGFTVVNDGRETGMTHLTFNDRVEKLGLSLVERTVGSTVSRTRGGSYINRY FCFSALNVVESDQFTTDQVKKQTARAKETGVLKVHLYHMDVSEGYKPQIISGSGTENE TTVTMAEKALKGRAVDCVTSSVVRPRADHPGLYPTDNYHDPKKRPFAVFEFRYRSKEG LIKEGIIPRPSVSGDIKEMSETEVRRKLAELLEKQKFGPDTKPARLKREADRMEVDDW APDPAFETRYKTRRLSHGQMEIDLTED QC762_100145 MLINMLWDKGLNRHLPFTFGNLDVGTKKTKKYGTFIVELFNHIG TIESRKKNRFEGPTAISSLPSETIPQQAATKALDGKALNCRATFQPVLSRLRTSITLR DGAMMDPWERPFARYEFPYRLKDGLIKKGIIPEPSLEEQVAQMSDEKRKEALLKALKR EKEQEEEIETLATSRQSSERGTRVTTIPRMTHADARRTNTRGPGECPAQRF QC762_0026680 MLSCLTSSAAGRSQDTSHRVTRAFQKSTERQGFTRLLSLSALRS DLDLSTFPEPSSVSCGPSDRPAHHPPSGRQLPSHVNMSFVKKEEGGHIKAEPGGKFDM SKIPMAPPKATSKALMKPKDEGKPNFFALPEYKPPAGRGRRKGRYRGGNHITHSVGSF RIKTVYNRDRDEVKMSVSSINAFTPSALRRARAEADWASVERTIFEKLRRAHFNIQPV PDDFGKLGVCMSHSLIFHAPIGFGSMDDSNSVLINSDVSFRVRPMNNLPQPTPMQYQV NDFKRGSAPVIKTEPSSAAPSTSSAASQELKSIFSLQGPVPAFSLFGSTLPGAR QC762_100140 MAPKFPPSRCPCGQCRANKGSWKRIGSGRDVRDTMTSTTIGRLG VRLAIAAILTCRCTKQTGHRCKQVFAVFLLSSFTKSPMFLLLRACRLSQGIASLSTLH LHSHYCRPALNFTIMPASRYPKRKPSANGAQSTNGTLNGTNGFIKPDPDQPPPEKKRK TVLAEPPSEPSLSSDPLRGPHPFHQDAEKHGIVLRKYYPHEMSTARARAYNNNELPRP IELLKAAQADTAEHRANTPVAGAVVHWFKMDLRTTDNTALFCASQKAQAAGVPLIALY ILSPQDFEAHLTAPVRVDFMLRTLEILKKDLAALDIPLWVETVKKRKEIPSRIVELMS EWGASHLFANMEYEVDELRRDARMARLLAKRGLVMDVLHDTCIVPPGRLTSGSGGQYA VYTPWFRTWVRHVHENLDLLELVESPTKNPESTRQKLAHLFECPIPSAPENKTLSKED RERFRALWPAGEHEAMKRLSKFADQAIGKYQQNRNIPSNPGTSSLSVHFASGTLSART AVRTARGRNNTKKLDGGNEGIQTWISEVAWRDFYKHVLVQWPYICMNKPFKPEYANIE WSYNMEHFDAWKEGRTGFPIVDAAMRQLRSMGWVHNRCRMIVASFLCKDLLLDWRMGE KYFMEHLVDGDFASNNGGWGFSASVGVDPQPYFRIFNPLLQSEKFDPDGEYIRKWVPE LKEVKGKAIHDPYGRGAAALAIKAGYPKHIVEHKGARERTLAAYKKGIDSGL QC762_100130 MRAQPAVHFLFESCGGVDSGLCTQAHRPRHPPNHSKTDRNSRLL KFCQSCPLRHRKANPNPSFRSTHHQVAAMENDKGEIVDLYVPRKCSATGRIIKAKDHG SCQITIAKVDENGRAIQGENIIYALSGFVRAMGESDDALNRLAQRDGLLKNVWSAQR QC762_100115 MNTKLHFIFFFPTLVAILSTFSISRRTLPRTGRILPRGLKMFSS PPRGMVRDEHLVVISSSPEFPSLCDLVPTTKPTTLHSGKNGATIPGDASTTFTSATTM WRAAQHPDAEDVSGPEVGIPMPALNDTALDKSKPKSKPRAPTKTRTKKDPPLLVAGDS VILLKSSGVDVSVSKNRAKQPKSVQETTQTTIAKGKVTKPATKEKVTKKKVETVSRHF AKEPSTSKPPTTNSTDAVVPVEEDGPVILEPALQRRFDWTPPRESLPRQLIPVADSPV ERSTWSVESPEANVFKALHDKFGRTSDDVQSVGPGSETSSLDVLGKRKLIEMVQTAAA SISNINANGKALAESPIKSKAVKKKPRTITELATAAYRNQEEPSKQDSLLGYLDTSDA QTGSSSTVLRGKGKLGKKPAKPRPSKKKPEPKKPILLSPESALRQVAQQDFVFGTSSQ LAIEDDPDLLRALHEAMRLSNDTTDDPFAIPSPVTSDLAVRRKPARLLWGASARDEDG ALLDMEILDLTESPPSAHSQSRANDEESLDDSVQMMEQSLPPPPTKAPEPMREASPPT PGADEQRHTGNSIFDTTDSSAETGSEAHAPPKFHIANAVVEQIPEPNDADWDDYIDFD LPPSNQEHHEFLLIQSSSPQLAHSPITPNLQPASPSSKPTADPSILLQSGPMAPSRPK YELFTDAQLARDISKFGFKPIKKRSAMIALLHQCWTSRVQSATGGAATSVLTISTTTS QAASKSSTAAAAISPRGRPRKNSGTAAPSADYSSLKVPELKKLLKERSLKQSGNKPNL IARLQDYDMQRKTSAGLASPRGRPRKETASSPKKTKPREKSPAIRATSPRRSRSPATT PRRSKPQGRDGVIEIPDSDADSDLDDPILSSPPSAARNARPADEDMFSSPPRVDLSIN EDAEMSLIASPTTEQVSVFAYITKAITSAPSSKDPTDPSWYEKILMYDPIILEDLALW LNAGQLDKAGYDGEVSPADVKQWCESKSVCCLWRVSLRGLERKRL QC762_100120 MPGNNGFMPSILNDSLPMMLLVCQREWAGNKRGRSKPRLIAPSF RRSPHHGDRKPFDDENSVSEGEPGRFFSPIWSLLLFWFVLNMSSLHFVVISRSSGFAH SFRLSDTTRSLSLTTVHSVLNASKRSFVTTSRNQDQGPLFEKTKTMDPKLYTKSAAPS STKWPAPSPSASILVISPTNKVLLLKRVKTASSFASAHVFPGGNVDEFHDGVTAQDEH LDNIVYRNAAIRETFEETGILLSKSPVEVSDEVRDKGRKDVYNRQITFGDWVKNHGGV PDTDSLIPFTRWITPPPAKKRFTTQMYLYFLPVSSANSFSEKPSKIHTPTPEGEQEKE HTSAEWEYPLTWLSKAQSGEIMLYPPQFYLLNLLSPFLNNSNTDYATQRKGVRQFLEK VPTSSGEYDSFDGKLKPKVSNTHLIGWKDKVISPSVMFAPGQLYRDETVLSLESPGTE LGAQKGNTRGGDAERVVVVEFERKSGPRGIKIVDRGEVGRLWEEKERKGARI QC762_100110 MKFLALSLLATSAAAIDLHLEFAGGCSTSGGGYVCTNWNPNSCC SVNAGTFFNSGSFRAIPAAWNIQARGHAPPRCGTIRQQEDSRGRTYVCLGNGPFGGLG YGFNNRKMIRGATVEEEEETETECVQPNVMYLADGTQYNYTAIVEAKLDTVELNEIAK AGASAADIPESYEAFKIVQ QC762_0026740 MITYCLISDQFITKFAYEEYQTPTALGQSLMVVPRASAVVPAQT NAEPVAIHANHHNMVKYTSREDSGYKTVLRHLKDMANDAIKQIPQRWEAEKRSNEGRQ S QC762_100090 MRRRCLPIQFGGRLSPSRHAHVIVPGGSCALALTGRDSVHPLPS PASRSSRPRVSPRPTKFEPIPIFPTYHPKMPLKGYGIWKGTATKWDGTAKPGHGHITF SDTTSTRLDAAVNIESKSSDSRLVYWVIRDLQPVSATFTHSLQALPRGFHPQKGTLKT GSLGLDFLRLNLFHPQDGILLSHNTPGTSHNILDYLNPILNQAVVQKADIYLFGEPYN DKTGIHDIHMNQGNSGQWKKDNGIFQDGGIILAFPDGHWEGIFLAFAVQTYKTDEQGM PVGDTFAKLLGGGKQPGEGDEEEPEPIVGDGIKIEAALVNPHGPDQQPSRGDGETVYL LNRSVTTVDLEGWRIENGSGQSHVLNGVSLAVQSKKGVAVPGVALGNKGGVISLKDNG GKLVHQVKYSRDQAQREGALVYFLQK QC762_100085 MNPGGDENYNYAPHHDPDCDPEYDLFYNMYPLVLVDPCDTLGVA PANAEPIDPTPADLLFYRHFPIDGLVPLLPDETHLLSSQAGQVQEKPHHSDQRQYLGL DIHYQQALVNGEQLEQDPPYSQYVGQQTVGQEKRLRLSPLPDDQQPPLPDDQQPVPKD QNAPHTTKNQETVTMANNKAKVTCEGCGKALGARSMYNHKKICRKLNSEDELDLPKCT LPVLGGSPENICNTLHRSKTALRDHQQTRHHYFAPGEASYDKRISIDSSYLTRLSKTV LMTRVRLEGEQLREHVDELTNDKVIENIAKRVRAGMELTKEELAERLILIRDERRALD LEILT QC762_100080 MAPFKVVVHPLTSEPTDSSHSPSLTAYESEPFSSPNALVFIHGL TAGPHTTNLTHLQAALPSEYSIWELRMRSSHSGWGYSSLDNDVQDLTRLVRYLREDLK NKRIVLMGASTGCQGALEYNNHSSQPPRVDGYILISPVSDREAANALWSSEALAESLT VAKELIDQGKECATMPKEHVPFFATPVTAARWWSFAATGGVEDYFASDISDEVLADKF GRVDKPLLILPAEKDELVPASVDKQLLLERWSTAAPKGVVSELSGFIPDADHVVSSSE AQKWLAERVAKFLSSI QC762_0026780 MQNSPVSFCHPPDLGTCLRFPAPWKRCSNTSESLTYSKKSGAEH LRALTITNDATASGKSMMTLQYADMLPAQKEVDVIFWIPEGNVADVRSQTFGTMAVHL CLLGAKPGDFKTNGSLFLNLVIKYGLPFNLLRMY QC762_0026790 MSVIEPTCIPKELFDPVCLVERHPLLSIHMDEKCLLASLENLVA CTLIDNDEDLQCFCVGNMVAQNILKGLLTTSEKHTALRNAAILLSIAFPECPRDRRLY PDHQDGCAKYLEHALTLRWLLTREYSNNKDMNVVTMEDLFRLISLVEQ QC762_0026800 MHRKFQSESAIQGKSTLANPDDAEEEDDILLDDARMSYMEDYIA RRDIGVQRNALLAEHMRGIFKAVQVMRELRKTEGGWAHKHLREFRSEVERFYNVRGLD LVGPVG QC762_100070 MRFLATVAALTGTLVAALPSPLDEIRTRELVESHRQTMETLEAR GWKSGAGATANELLDGGPCPKVIFIYARGSTEGGNLGSLGSPTGVALDAAFGEANVWV QGVGGAYSAGLLDNLLPEGTTTAAINEMKSLLIRANSLCPRAKIVAGGYSQGAALAGA AISQSSAAIREQIKGVVLYGWTKNKQNNGKIPNYPADRLRVYCESGDLVCNGSLIVLP AHGTYADEAADEAPKFLISRINAS QC762_0026820 MQRQNWSLSTMEYLHRAKFVMDDWRARREVSGQLVPQPGSDEYF AEYLEVVRRTMDHHGFNVDAPLVVSNPLPVDPALESSVDTASKPVNTAHEQVGTAPEP VESAPEPVNPPAASASTTQAPAIQAAGDTDGDEESFQPGSQRTKCPRANCTTLIGSEK SLQTHITNQHTPKPGSSCWICPKTFRNDSTLRQHYENHHKLDGDEVRTAMEASKDLAS IDPQWLQPRFEVQDLVPSLRQETLRLNRLKLETTSRYRQMDEQSMNSCLESFRHGKMF EGETGLPSLEGMGQRGLINELIKIRVETRAWKRDLEELKRVEALYSVSW QC762_100060 MSGQAIAELNIRLSLRAGRTCTTCALALASPSMCLSGTSLSSTV RYVNTLPASVQASIKDRAKEDSIYFGYMRHEIQLPQGKELAAMTLQEVAWEVAINQMQ AYGFHARLCCKNLLRPF QC762_100058 MKEEEGSQNPNNLPVIPPPSNNDNHGAPPTAAASLRALTASVER LSGHVEILSSQIATLSSSIVTMTANMDKMSATMEKTTDNLWTLNATIDKVHGSLDKML KTVQEPGRIGTVQGNGNGQPQLTQQQQATQQQKARQASQLRTRQQQYAQQQLSQQQLS QQQFAQQQFAQQQFAQQQRAPMNFAAEPLQQPSIHTQQPQGFATTPTFDFWNGTQIAT AFGQQNQNTAFGDGLAGIAPMRSHARHVSGPLPFPLMTQDQTGAAGTDDVFNGFAHPQ IFGMPEDVAKKRRREDY QC762_0026850 MSNVQCGFMRWSYVQNTVAQEKDKTKPSCKKSKSELTKKKLGKQ HIPNDLGKRADNRDAKKDRLSCRPEFCRIEVTLSDPGMPHSTPKTTLAHHGTGTGHIK AEEVDDSRSLDMPPLVDVDAPSSSP QC762_100050 MFNVDVPDNTKSPENIASNVILASVLAPVFAIIFVVLRLYTARS ILRVSHKDDWLILIAVILSVLYSATLIASTPESVLSSSQQHAWEYHDWSER QC762_100045 MSRWLTTRLKRRVGMGDVESTIYENEARCNESSFGLLTFELIIS DKVLRINIAVLFADPGYLPPGKQSIAIPPRAVLSPLPLFNHPNPTNRPPFTMKPSTIT ALLLPCVLSLSLPRQSHKTVRWMPLGDSITDYGCWRAWIYLRLQNDPDLYDSVDLVGS ERAGEICDDSEFDRDHEGHPGFPAIQMANEGRVSEWLAMNPADVVTMHLGTVDIVRGK PRTEDLLRAYSTLVEQMRGRNPNMRIIVAQIIPLGLSAEKNEQVKDLNKAIPAWAASQ NTTSSPIWVVDLFTGFDAEVDLYDGIHASSSGIQKIADGFYPALLTAIRYVQMSRGGA EFSVL QC762_100040 MDCLPGGRYPGSAKRTAILMRSTLSEMINSKAPHLDTLPARCAF RRLGVNKSRVWRQPGNTLLPTRQPIPPGVKALDENGLPGRHILDVIQLVRLIGQYTIC LTLDFIPLKRVECFLGRPDFLASVVVIPVVTLGVNHEIFRGSGAVVDHGEGKVGSGVA DGSPDVDELVALLEKGIGFFLGSHMSPDSGLGSFGCLINVDLLDRLAGGAGVVPADGV VKDLDALDLLAAVLESFSQEGFHLSVVFGADGLVVLEIFWGGGGLGAVDCEAGYVDVG TKGANFGVDV QC762_100030 MEDRTVVVYGEKDWKKDEIIETRIDLSYPVSPTDSDLSSLPSPL KEKADLEAQTTPEEESLRKRLGFIRYTALNVYRRLFTLAFIGNIIPLIIYLVRGAEPL DLVNASAINLAVCGLCRQPLVLNLLYLIFGSVPRSAPTTIKRLACRIFHLGGVHSGTG VASLIWYIGFAVTYTYQFNPATANPISIAVLALIYSVFALLLSIIVVAHPTIRRKHHD VFELVHRFANWGILALFWVVISLLGTQEPSLEKFLLNLPAFWILILLTAATIHPWLLL RKVTVTPEPLSPHAIRLHFDHTTVKFGQGIQVAKHPLKDWHSFACFPDRFDNVKDTAL ENTKFSCIVSRVGDWTKDTIVAQPTKLWKRGVPTYGFGYVFRMFSRIIVVTTGSGIGP CLSFLADENRPSMRVLWQTRSPLKTYGQRTLDLVSRMDQNPVIIDTSEKGKREEMLPQ VLRLIKEFDAEAVCVISNPAVTKEVVFGLEMRGVLAYGPIFDS QC762_100020 MGILKYLAVAGLVASTSSSSLPDVCTTAFVESSLPAPDFFPGLE LIAGSVTAQPVTNFTAPPDSTHPGATGRDFCNVTFAYRHVGRPTDRVNLWLYLPSPAQ FKKRFLATGGGGLSMTLGARGLATGLSYGAVSITTDAGFGGFDSDLSRVILYGNGAMN YDALIAYSYRAMHEMTVLGKELTRKFYNASNSTKIYSYFHGCSEGGREGMSQVQKYGT TFDGISVGSPAFRHPIFHYHGPLSQRKLNYFPSVCELSRITADAIAFCDPLDGKTDGV VARTDLCFARFNASSSIGNPYNCSAVPQLGQPPIAGTVSAKAAAVAAETWRGMYDSNN KLIHPFFAPSVEFGDSVTILNPATNQLEPFPNPLSPAVVNLLIKEVYSPTLPLDEVSP DIVREWVNDSMAKYLSGIYTLWPDLTPFKSNGGKMIFWHGEADAAIPAVSSTMYQDKV RKTMYPGLGVQQGYAEMSKWNKLFLVPGGGHCDPGTVDPLGVFKPNSAAWPTEVLKSL MEWVEEGKDFERLEGIVQGGEKKGEKEGICGFPLRPVWAGNNGSDGKGRGKGKGKGKG QQQSEDEEELQCVFDQQSFEFFTPKLDSFLVDAY QC762_100010 MDTGPDVRSSLSRPGNSQRPKLDKNSLDFALEREMGHSHMIQLV EQLTQQANEKLEIALHDSPTQNDSETFTKVFLKSLEGRLRCHIGSSIQRALRSVPGIL TTPLPWPTHKAGINQSELDESFPTGFTHSDGARPRYQIGNLTQDALGESLRYSIGKAY ESVASETARQTVNSTIETKETQPVQVSNDTKPHEFEPFVENTWNYASRSHDEKEC QC762_101040 MPRQTTQLPLRPAGPQAAKKPCVFFTQGRCRNGSTCSFFHDPVF VKVACAGSTSTADQDDKAPTSKITCHFYLKGACLKGDTCSFAHPESHRPPPVVDTKES LTDDTVGPEKDEPEDRPDDWMRQLGGAVIQFGHGAAVLKASLQSDFSAVRISQLPETS TLGQIFQALRQLGFAVSPDEIRFVPSPDPTHRIADVRVEDPAFARRLMSAVEDCQRSL GNDIKVIQINAPMPGGSGMHRVECKKVLCSWYRPFKTVWLNFTSHGLAETIHQKYTSG RYKVLNMTVTSHAPKKSKGWTTVMLTEVPAEATEDDVTKSIPANMSPRNVETGTPSFR YNADVANAFVKSKLMEVGPLEWWEDAVFGGKRAKVKARFQDDGDAAKAASMLNGWELP FHKKGKLTVQAIYSARFKVQERIYQAIKPIIKEQSPMWQAKKVYLAAYEPAKFSSSRA LKFEGEDNKAVAEAKRTLEQILEGRLATDKGKPIWSPAFTVNGEVFQKIKELEQLFGI VVIRNKKLLRVYLFGSEDKCKEAEPELAEIAQQDSSTANIIKLDASQFAWALRGGFKS IAEILGRKATLNVVSEPKQIVVTGSTEDIKLAMDMVKAQDEFTESSTAKPSMTEDCSI CWMEPENPLTTPCNHTYCTDCFESLCTSATSCNKTNFILQCEGSSSKCQQPLSLNFLQ DHLSSQLFEDMLQASFQSYVSHRPDALRHCPTPDCRQIYRAATGTFTCPNCLTPVCTT CFESHQGMTCADHKFVSSGGDIALKEAKQRLGIKDCPKCKTAMEKTDGCDHMTCGGCG AHICWNCLKTFGTGADCYAHMNRAHGGIGIDVRF QC762_0026940 MHRDLKPDNILYKKSTATGSPPTFVISDSGLATSVTNIRDVGGT LVCLGPEATRDGIMTKPPTSTPLVSCFWRKKLETNVKLESQREKCRKYQDRAPLRNPF LANFEPRHGRVQSLSDFRVLQPSVEGILYEDLKKRSAAAMARLELLREYEPKLLPRDK MAVRNKR QC762_0026950 MAKPRNANEALFYLVPQDAESRKIVEETPSYQGQYKGIVSLQVK AGSKSNFAGRILSIGRLKQLSDIVLYLRGLPDQQCSFQLHPSGELMLQDATTGCHTCL RCTDTRGNTIDKYRLQGDPRRRMLYQWRGAYRSSYGLHR QC762_101045 MTWDNLVSKKGSESVLKRQEERQKRFNEILQELPDVLENAPTLA TTVYTGNWKREREYEDLQQELKEVTKRNLDIHPYKNKLLSNSQDFLSEAGTALSQLAW DDIEHPSKSEPNHAEFLSHLPPHTAVNGFGELGAYMSQVKYVISLGGSPLPDLKYGII IVPAGDESLLFTGYRDHADMPSEFRAEIHAKSKVVRKQVFDEEMKMCGSYVPRMGRGI EDFRLPPMDEPEKLFYAERVRQRRARHRELYGTRRSSDIGSQPSGDACAEHFLDEYSA PSPRSYSSRMYIHRTKPHHLRAQKLLMACDGCLRCSYNKRNQEIHRGRRCTIPISCQN LRSFFGNWPTATYRKSWTRRRNMDQVERTAALQFFGSRGGHACR QC762_101050 MHSLLFLAFLPLGVLSSPRTTLGNLDFPDPSVTFDPATSKWYAF ATSGNTNNVQVAWSPSFPSFTSADARWTLLNKIDLLPTPGDWVNDTLPLIWAPDVHFI PQTKTYVMYYSGRLSGSPYHCIGVAVSKTSILGPYTPHPHPFACPDHDGGAIDSSGFF DAETNRRYVIYKVDGSAKGKGGPCGNGDKPGFPTPFVLQEVDISDGFTPVGPATTVLD RIPELDGPLIEAPNLVKTKRGRYVLFYSSHCYNTVDYDVRYAVAENIKGPWVRMGELI GRATQDYGFVAPGGASAVQGGEGGMVFHADCEAGRCMYETSWGVGEKGEVVLSDA QC762_101060 MCNPPRHQSTASTSSSPGIGPGVGTGTITAAVRALIPAHPVCTE ALAVAKSILPASILYHSLRVYFYATAFMRLFEDLLPPDAHHAPSIRSTMASEYDNPTP SCTPRAAPHVLFVACILHDIGTASTYNDVPERFEVVSADVAENLLRAHGIPEKEVRDA WLAMSLHTSPGIAERLGGTVRALRLGVRADFGSYPPPPPQLIDTWADVIRWQLPRLEI EKELGDTVCEQGIQNPQKAPGGSWPGDLVRAKRDNPDWEGVNRGF QC762_101070 MLTIPGGASGSISGSNLCVHVFLFQQYLINNPYTMNLLESDEIL KRNDKITSFVLVNPDRVDDDDVDIFVVKSPGPPPFTHHNETKASSLDEPAEKSQDANL GSKPSATIRSMTQLCDTCYNALNYFGYHANLHKTKGEEAMEGLPAACLLHNGAKTLQD GEDGRCHLCVFLMANLRVKRLAMESIDESNIEMCWQSGPAPKRLHFALTHRGHPRAAK NYWNILKLQIWPFSEFDKALFGMTEGKGMERHPTTESEQTRDNALEWLKRCQTNEDGK HNQCNSAASRDWLPTRLLDVKSAIETSMVKLVTPLDTPRDFEQEREYITLSHCWGKWG ASQLPVLTTGNIAERLREGVSISLLPKTFADAIKAASWFKVRWLWIDSLCILQDSKED WQRESIMMYDVYKNALLNISADDSPDGRFGCFRNRDPLAVLPMNISFNGQESWKFWLT PDTHAVFDSITKSSLAKRGWVFQERQLSRRVLHFTSHELMWECCAEAPYFASETFPGG TPFKSVFNGNPKFQTRTKLNVAPDTSPELYKAWNTIYKEYSGKIFSHVQDRLVALSGL AQEFEVALPDDTYLAGIWRSTIPQSLLWQSSGDSSPVGSTGSYIAPTWSWLSIAGPVS PSALDYSGSTYSLVDIVDATTTPVFPAKPTASLKDASITMRCFMRPVEVRPDYEKKPW YMLAMGGGKTHKLSIKEEDGTEAFCVSNFHSDAFDFSFDIEWDQDMENGPDVVAGYFV PLIMRKPTEYESLCIRGLLVEPVGDGPKATYKRIGLLTVYGSHCQRVKYMATHSDKNE AEQKWDQLLKCLRATHESSEKLKDDKEEDSSECESSAEKEDSEERVLTEKLESLKVGE SDEDRAQIDLGSIDATERLYALDGVVGSELQSMFEKLSLKEIKLI QC762_101080 MQAPFCRDCFTGTLRGDITPVGTEQTVHNVPSYVSLPPEGARSL GTVVIITDALGWKLRNTRVLADAYARRVPCTVVVPDFHKGTSLTEKFLILADALSTAN IFTKIWTYVRVVPELVRFLLYNRYAVAAPRVNAFFHSLRQELGPSGKIGVAGFCWGGL YTIRLTHMSPPLVNCAFTAHPSLISVPADIDKVKDDTPLSIANGDDDQYLRRAKMETV VKMLQDRERHEVVVYEGAKHGFAVRGDIGDPKQKERGQGSEDQAVGWFRRWMA QC762_101090 MAMFKGGPGAWDPVHPGISKIYQYRPLTPKCQEHDYTIRLLHLL PARDSDASLRCWLIEKGYRDYWGIPVYHDYHAVSYTWGDPVFPEALEVLPNSFSSPTE SLGVIRITQNLHSALKHLRRRDVPVVLWVDAVCINQSDVTERNSQVSNMPNIYKKASS TIVWLGDESAVDDARLCMSFFENLGRLSSTSQDQQHSTSWRKRFEINQLVGDFLDENK AEIAFFLERPWFRRRWIVQEVVLAKSVAIHCGRWKIDWDTFHLAMFELFESDQGIFTQ DHRTTMRTMTGVRNGGRTITAVRNIGVAAKKQLPLDTLVEFASFLCADPRDRLYALYG VIKRWSPRQVTMQLSQISNIDYSLPTETVFTNFAAELMQINTHDLQLVASRYWIVTHV LQLATAFGQRDMPGDHFGIKIPSWVVDWTGDLCFEPLQHSRANGVAFEALRGNDVVQF LPNKEHPSHLVMVGIPFDMVTATISLDIAPMLLTNSVHKARVSLNKFLSEVARHVHDD SYKPTSEHLVTALAISLVANWDHTPSNSYFAQDPRFIQDFLAQLRNQQYHLPEMLHKW PAYVELMAITMRGRSLFLTAKGYMGIAATAVKTSDVVSLLDGQSVPFILRPERAAKYS VYHGERGAVEAPDCFDYQAMRYSYDMAMLASDPGAYNSFSLISDAYVHGLMKGESNGI MKKHGDDLALKIISIS QC762_101093 MREPYMTQILEETKRYEFRKYRMADTVKRAWFYRVTPISAITHV GEIAPSVTRRGDSVTVRKDNLENERLVLDIPAWKWGQYADEILTVYELETPITLHKLE EKDGFAGPPRGMVYLPDMIKQDVDWENQKKVGSLWAQRTWN QC762_101100 MADPLSIGASVLAFIGLADRIIRLSKFCIDGLKDAPSDIRMIHG EVSSLRAIIDILAESKAPSFLENNAALLNCHRCLSDLETLLPTDAGVGLPRRRLTIAE LAWPLKQSKARKTLVELSQHKATLLLIVSGDVLHELRAIKTTLHEIQNNVTESERRSI QTWLEQTNPSRLHNAAISKHEPETCAWLTRSDEWKLWTSSTSPYRMLWIYGIPGAGKT VLASFAIEKIRLLCEGATKYVYAYYYCHYYNAQDEAIPLLRWVVAQVSRQLGWAPVEL KRLHDRGCEPTVPELQHILELALARLERLFIIVDAVDESMPRDEIIRLLATISLDARF YKVRILATSRHYGDIERFFSAISSSISMKNPYVDSDIQRHIRARFQSSMRLRRWQNSF QAIEEALVSGANGMFRWVDCQLHSIERLGDKTKLASVLQDLPRDLTESYIRIFEAIPE VDQPFIKRILLWVYGDSRTQWDGRGINGKLLLEAVSFDLYGIKDNAFDWAYLQDLCGC LIAVRNELLNPGVTDGDSFDDGASCWVTLAHYTVWEFLSSYHILSTPVSAFATSTEVA ERQYAVGILRNALAARPEDPGTHWRRDREAYCLVVGCMFLGSPWLQTSEDLDLFYQFM DPQNPHYRRFGPIQARALRGEEDFSSHCFFILAIPSQFRVPKQAFKRENNAEVVLNAH LLKNWLYVGAGRSLALPAIGRLSQDEVWELGKHQVAGHFIRLNKSGEVQEIGFDERVW DITSPPTRRLRAASLDPRAGSPALVSSNESNKKRKLDSGSMTRG QC762_101110 MSITHPQTYPHPDYEAAHQQTYERAPRHPITPIPLPPGVGQTDF DSAISEFLSIAGEESVFVKEGLSDYIDPYDVHEHDPSQRKLPSAAVCPESTDQLSSVL RVANKYKIPLWHFSRGKNLGYGGPAPRVNGSVALDLHRLDKIIEVNDEYHYAVVEPGV TFIQLYEYCVEHKKKVWPSTPSLGWGSVIGNTVDRGMGFGMNYAHHQCVAGIEVMLPD GDVVRTGQWGISSSPSAFLSKFTFGPSLEGLFFQSNLGVVTKMSLWLTPQPQAYMCCS FSMPLFTDLEVMVDVFGEMKRNGTVQSCVWFTSLIETLCIMGRREDYWTGEGPVPDWR LEELRQETGFGHWYARWGLYGPKRIVEAQFEEIKSVLARRAPTGTIAGNLYAHPGEDG RLDATMVPDQDGQMFVGIPSLWSLPLINWPISKEKKDGKAAHGDYAPIIPSNGKLLME WMEVSKPICEANGVELMADFFMHERHVVLMNMFTWDQTDKTQKEKMERLYYGLYEEAK KRGYGMYRGHVNHMDLIAHLNDFNNHAYNRFVEKIKDAIDPNGILGPGKQGVWPNRFR HLRETQEKRFD QC762_101120 MSLATSQCVYSQIQRYSLRRQKPRGLEEQVAIFVATRIFDVGLT TAAAVAAAAAGANAMGVPLTSDMLRHAAIGGAIKAAAMAVAGLIMLAPQNTPLIVLMT LLGTSIGSNALAVVAVANRIFGTDQAPNQLIIAAVVASIPLSFCFVYYYGAFRVPITF TSIAFDVLGAYTFVRMAENLGHPICPPRPALVAGAVFGAVFSGAITLLGCCVIGKSRT IPISDFSGNGHASGSALTTCCGNRVYVNVQSTEYARGQGVVGSRNTFTNGTIYNSAHG IGVYWDPGSVHGGLTFNTHSTRDCTTSTGTSNMTRIVMA QC762_101125 MEQSNPAEEQCLIDPNPDVVGVGIRASLYVLALSYHIFSYVFNS AELSGAIESSLGVTGLALFLTAVITTATQSLGLFHALCVFHLLGIVGLSAHPRGRYPG GVVRRVVFMAFYVVVMTGSLAYLIYVFATAPTFGDQAECNNTTVYVLFGVNIPATSPG LRWTLVAVLSLLLLGFGCWLLFVGCIAVDAMFGRKVPHDVFGAQDVNLGNNKSKPPLY QLISYLAGTIYLIVMLELTIQRNALAPGLEEWSFGQILAMTMLIGPLIELASLLLGKI DGVHDHDLVLASRR QC762_101130 MTYFSDPPPSIVPSHMLASPGFGFDSFNNDSDVELPQLPAPQAL VPAHVSTGGQVGIQGNLSSNVIPIGSHKSGIVLYNDANLLGDGQQQLLYYSKNRADRD RNKQSRSEHRGGYSRTFSSDRATAYAIKTARYPVLYEMARTPAVARKALETWKRQPSQ PSIPEGSNTSTGGQEQAGPSTAPVIRDARSIATALPPQHMAFSKPVLEKTRRGTRYVI TCELEKAYPNFHIQCEYIYLNQQERVNHSWTDTRISLANISTKENLWVVAMEQIGYNE AFIKGLVGDEEYAQLESVDGPVKGHDKYSGPSFVAKVAKKITIPEGYDLGNIWCETAG FYRMKIFVPSKEYRM QC762_101140 MANTTAVEELDIVIVGAGLTGINAAYRLQTQLPNHSYAILEARD SLGGTWDFWKYPGIRSDSTMALYGFPWRPWPYEESMAGAKAIKSYIAECAASEGIDRK IRYHHRVKAANWSSEEQKWTLQLEITCEDGVTEEKQIKAWWLLACSGYYSYDKVLPPT IPGIDKFQGQVIHPQFWDENLDYADKRIIVIGSGATAITLLPSLAEKAKQVTMLQRSP SYVLALPRKDKTVKTLSKWMPRSWAVTINWFQRMFFETVFVQFVLNFPNAGRRFVISA MKSQLPKGFAIEKHFNPRYNPFEQRLCFCPGADFFKALHKPGVSIVTDVIDTVTIDGI IVKTGGEKIEADIIVTATGLHMEVLSSTAVTVDGKPVNETMGERYVWNGCMIEGVPNA GLLTGYTAASWTPGVDVRTRNLIKVIKHQDKTGASSAAPHIPESKRASMPAGPMMTLT STYARAAMKRMPLVAGIGPWKAGTNWVQDVWAMLFGSVKDGMKYSSGAKDKAI QC762_0027090 MSTDKTIVLVTGANSGIGLETIIALSKSSPNYHLLLGARSLDKG NAALAQIQSIHNNQLLSSITPIQIDVTSLPTIESTKTYLASTFGRLDVLIQNAGVIVT HPCHTLKNLRTTFETNVFGAKVVTDELTPLLQKSTNARVIYVSSEQGSITLRLDPEYP YKDVPGTEYKMSKAALNMLAACHRYDFRKWGGKVTSFNPGWCVTNLTGETGRKMRIEG GARSAEDPAKALVAILEGKRDKEAWEDSGILDLDAGVHPW QC762_0027100 MDQYRCQLWQLPRCLYRVQYPASRTTHDSSGLKAQDTTSVYKKW GSDDEFMQAIRNLFTWACKDSTPFISFFSDEEHAINWGCKLRDWDKCSSRDDWTLLTI YTQFLKSTYVYKLSTLIDYTGVRIPVPAEKSHKRGAYICLHGIPTFAIVCVRNGSNVR AARVVSYLPSSCQ QC762_101160 MKFAVISLVLWAGIALAVDPGVLSVENLTQDLLRVESVREIKNI QRTYAQLAQHGRWKGMASLFAENGILRWGKGAGDILSTSDASSVTGRPAIENWLQQEA GDMDGINPGSLHVFMSDMPVITLADDGNTAKGRWTALRKLGNGKGATRIEGGIFENEY VSSGGKWKISLLRYYPLYAGTYEKGWKNLGLNGSLPVISYHYTLDQAGVTLLHAGRTA SRSKEGTGTLNRGSTPAASLSVDELEYRVAHLNEEDEVRNLVHGMGYYVDRRMWPDVI SLFTSNGTITVQNNTSPAGPAGIQSVLNRMGPEGLSHGILNEHPIFGTVVNVSPDHKT AVARGLEIGLIGDDNAQTGQWQFCVFHHSLVKDLDTGTWKIQDLRYHRLLFADYAAGW GDGGILPASSLDPPPVLPPYYHSTENRRPVQWRPFYKRYPAWEEPEEETRDRLANIHR LLLRSSAYDESENTSGSYGFYIDDIRCSDFAKLHSDRGHKLSPGIGWYYTPDAISLSC ASRYYRQNSTTYNPSMSSLRSSVPFHWRLQPVILVSRDGRSATLRTRNLQTGTSRTQG SNGWMGGMYHDQLVLENGKRKLWSITIDEFYWNSRNWTAGWANVVPHVSNATTPRRWM SRRQSNDLPPDVSLRHPALLERETGFNGGPPPTVSWPGVQKMWWAYRNLVTGAMPSDS SYWGPPGCVPCRGAKPEWALTANGYQEPPSGPTIVTADWLEGSGVDIEVTVKGGPEES AKGGWVQLVLDFNGEITKFGEEGPVGEDGKVVLRVRGPGAFGTTVPIQVFYFGNENLK PGKGIMRDRE QC762_101165 MVVPRSLFTLLASASLALAGVAPRQDDASPTSTDPECAIEKFEA LASSIAPEITPPAKLGEYMETAAEIVSAIRTISPSDLGLGSDEEADMLCGLEYGPYAS IRPTDSAMLKVMTSWEAQRSSARDKWSSTVHSLATHCGTINGHAAGYLMSMVASNYEE CRTARSIWKELTTVNQNAAAATGATKTHGNSGGQDETATQTGDLTANTSTSTSTAGAW KGMETAGPMVAVAGIIAAVVGL QC762_101175 MIPQTILTIAALAGTTLAQTVTPPPHPTATSSPAQASEDSLYSL CTSQLNAYQRLRPSPARQVEAFFDNAPELQDPRNRYWDHDRIDSQCKRVWDARNTMGA TAAPSLASQFSAFTSSWNSWVANIKEEALEWATKCNEFGDSPSGFDGEPNKRWGANFY ALIVTDEAGCKTAMSDWLGVAARTRATDPTASETILATTTGEEAEETTTGGNTPASID QVSTTTSSAGVVQMTGWGGVMMGVVAVGGAVAGGLL QC762_101180 MGHFTLLFLLSFSLSVLATHDPVLLPRQHFLIPVQTSDTVTIPT ETNVEAIACASTFSSLSGSFPTEPPRVEAFYISALLDEGFLSVHPRITELISSAEMYM RVISSTTITDPNKFSKPCSVVMELQTVIRDAVPTGVTSDELVAYDKELTSWIKEHNSV VTEFAGQCGGVDESQAAHALAFAITGMEECKTAFAVAYGVINPATMTDWTSTAGGARA WETGLVKEVAAVVGGVAVMGWFL QC762_101190 MTSDAANIAVRERRRQSAPADLERRNIMKALTAAEHSRRQFILN YAASKDTSLLMPGKKSDVVKLSEQWVVGWLHQNNLNPVSSEFFKYTVDTHLWSTYVGN AVEFPFSFMLPVDDSTATSPVSRRESDSTQVRSLSEVDSLEKAQTKESTQSEKQTSSQ RKADTRQLPVLSAQTAAKQWSSMRKRQSPTVREVRAGEEDKKRSFSLTSIDDLKMTKA SPTKVPLFTRLGRSWSRRMSST QC762_101191 MPSNTTPLAVPWRFFLARTRLLGPKTTRIPLHPVPCGWTSGIVP ATGDTSPSRLSPNFVPPMAPKGHRSTTT QC762_0027170 MVIQPPTSTRLFRPDSPNHDANLEVFDGRVQEHWVKKETPGGGL RQVSQFDVCRVGDEVTIGFRTQSSKRMCRYRAKILRDTGLWTSIDAKDEVVCAEREEK EKVERFGAIVGRELLLTRFWYRQPYYEVRIEVDGMMIAYTWSARSSLSLHGDEQTLNS GITLALALANLKLLGTGTNESKKVIDSTISIALALALSLTNNDKLEELVELSVTVAVA VASEDLAEEQLQDVIDVIDIKLVVGVIDPHDTVDSAAANQVEKSAKVQVVVAGAEVEA IPSDSDEPQEIVDVGIASSNKLEQILKTDVAVILALASVVVIVVVLLSPCRLGAVDDN NRRGCSGCLGDGGDDLLDLLGCGRSLGYYHLCLLNSCGLGDGLGGGLGDHHSLGCDSN NNSTILRSRDSLNCLTEQKSGCQNGVSPGQCDGHFV QC762_0027180 MAITLSWRHAVLASTLLFGQAVQAVPAPQDGAVVVTVTSEAVVV TETAAETVAETTAVEETEVVVTETPTATEEIEEIISTITEATATATPVVIVNGTEPAR GKKNNNNNNNRGKGKNNSNIRLQDLLQLIGGGNANINDLLRLIGIGGNGLNLGAGNNN LNLGGLLNLIGGGRVNRVVRVDDSDDELDIDDVDDILELLLGQVLRGNGNGNGNAQLN QLLQLVTGQLQGQGQNVNRGQLNQLLGLLVGQAQGKGKGNANGAINDLLRLVGAGAQQ LQVGKGKGKGNAAVLLARQAPEGKGKGKGKGKGKGKNNGNDTDSDSDTDGIDSDTDGE DSDSDSDNGRGRIGKRSMRNGQRFYRL QC762_0027190 MEPAGHNKLKTRHWFFLLEEPCCITIGNGFVEVPKILAPNPKGA LRSPQGQPRTQWLPLNATSSD QC762_101200 MLWVDAICIDQGNIAEKNTQVSLMSTIYSHAAVLVWLGTGNQST DQVMDLRQELAVRDVAIPAAQHYKDMSDSLQQMKIHLASRSLFDVIMGMSNILFVLVP ENVDPSRVNPA QC762_101210 MSYHNNNNNNQGSNVQGEAASYYAAAAQQTQQHYQGSNQQHGDQ QERGFLGAVGGGIAGGFGGNKIGGKTGHSKLSTVLGAVAGAVAGHKLQDGVEDWKDKK DEEKEKKKKEEEDRIRREEEEKRKREEDEKRRKEDEDRRRRDDEDRKRRDEEDRRRRE EEDRRRASQPQQQQQHHHSNQPRDHGVSHGGNFSGSAKDIRLDAHGEFMLHCECRRLD GSYQPTSISLNKIIENSNGNFRWTSGGANINSCGNKPSSVTVQPGDTLRDIAQRHGTN WQELAKINCLQNPDLIHPGQVIKLPGGGSQGGQAGGNFGSSARNVRLEDCGKRLVAEL RRGDGCWVSSSLNLDERIGNANGTLQFK QC762_0027220 MATSLRVAVIQAEPIYLDLTATIQKSCRLIAEAATGGAKLVAFP ECWAPGYPAWIWARPVDAELHTRYIYNALPVESQAMDLIKATAKEHSIAVVIGFAERS PTNSVYISQAIISPQGHVLVHRRKIKPTHMERTIFGDGSGNDLSNVAEIDFGPDHGKV KVGCLACWEHTQPLLKYHTISQGETIHVAMWPPVIPMPGVGYPGLWSMTAEGVQNLSQ TYAIESTAYVLHSTAVCNQESINLLRTQQGIVCSEPGGGHSCVIGPDGRRLTEPIDDG ADTAEGIVYADLDLTKCVTTRGFLDIVGHYSRPDLLWLGVDKRQKDPVVPGGSGQ QC762_0027230 MLESSIPGHLRCTRTQAARLPNPDFQPPYPSYSVRFPKDTSQLV VAIIGAQYKTVADADGAATSTLSSFLTSSTHAPSFFEWASVTDNRDHYNISALAYWPS KTAYETWTEKSGFQAWWQALKPEECQHGWFLEIFFPTVHRLETLFNTKDTPEGYAHMK VAMSNEIQEHAYWGSMRDRLPVSQTDALVGTPATSQDFNTVQLAGTSPRRIKVAGKKN LAVIRSGQDWLDTTPVERELYLETMHPVLIEGMNFLRDHGDEVGCYSCRFMEVINPET KAADRDRTFGLAYFDNLASLEHWCKEHPTHLAIFGGFHQYAKKLGHNVTLRVFHEVLV LEPEQQFFEYIACHDGTGMSGTVSRS QC762_101220 MPLVGRGCKRDTSDDDKSKGPTVWYHNGSLVGFFSSVRILDKTG AIIVILVNSVPENDAADWVGQLLLEAWLDDCSEKNDYVSLAEQSAAAYDDMWARWPDL DVLNVFHFGTDDKGHIETLRWENDPDVPGGETFVKKSSETLHHKVRTGL QC762_101230 MAPSHSEVSNRPKNENDHLETGQQIAHGSAGDQNLSPKVARADK QAPAPEHEHGAGIPGMNASGGSSQGLSTGPNAGQGKGPLKP QC762_101240 MPVVQSAYDPKEMKFRYLGNTGLQVSLFSLGGWLTYGGTQKGEI VKKILQTAWDHGIQTFDTAETYANGESEVEMGQALKELAWPRDEYVLTTKVFFGTGRK EPNTRGLSRKHIVEGLKSSLARLQQPYVDVVFAHRPDPTVPMLEIVEAFTQVIRNLNL AYYWGTSEWSAAQITDAIRLAEKHNLIAPVVEQPQYNAFHRERFEVEYADLYKHHGYG TTIWSPLASGILTGKYNDGIPEDSRFATNKAFFENTVKELKSEAGQAKIEKVRKLTKI AERLGGSVTQLALAWAASNPNVSTVILGATKIEQLEDNIGALKLLEKLTPDVLEEIEG VLDNKPPGPNTFGRQRKL QC762_101250 MDDPADTCWSWPHWKFGLRRDDLFTKLHDQYNTVPLPLLDPVAF HHDVAEISNEASSADEFHSLLRQRKQQRMRELNECFESAAFEIIANPSLIGEDQWQHA VQLFRTKSFDSLVRYFACYLPPDHPWYKGSSSSSEVDSSVDSLAPSQGSLFDDDDGGL VPMTDEPFEFSTDLDDSILPPSPRSMTMCSDSSVDSPIDHHRDYETPSRTLSYSESEP DCCDLAGSISHTHHDEPSPRSESADMESPAASTVAGTSAAERGGVEAEATSFTDRVRK AVQPFVVDIDNADMATPKAEGQVFFDRKTTATTLSHRRHRSLSPLRSHPLVDHEVDDL LHRDPRSAAQCMGTRWKRDCSPVQRKRKGPAGSLTRIQKPSSDALRPKPRGRRFCES QC762_101260 MITPKALAAAAAADSRGFSQASEQKTQPFSQSEIQARLARSRME EDRSMSSTVPLDNLVVPQDRDIRILMRPLARGRSVSPGNHENCEWLALHAEVTDGGDD TNHSVLAVTQTHRDIKFSVRVPGQRRNDELWCELYFVPHSNELVLLNRSEVPFKLYRV SQQVPGSPREHFELKPNFTRALAPGTWRIKIDDADILDFRVLEKRAAKARLLSSSSAS DLSTIDGQLVTVTRKRSFDDDDDDEPATPEKNEKRMRPSEPEDKNEDGVIMFLPATTN PLVFPLPGTGKEISTSDGHPLLDLESDDVVEIPGVKLPRGGEIDEYTIAKRDQIATSS LSTVFTADHSDVPDGVIVVKVLKTRTNALPNNEAAIAKNVIRQADIWLRELQYQENLE HKSIVRLYGGDARFLSLYMEHVDARDLTAKGTWRVASTDMFNGDRSDASRILRDIASA LHYIHSKGLVHNDIKPGNILYSRDRGAVLCDLGLSTKARDPVAAGTPWYVPPEFIGLR QRGPASDVWALGVTMLYVLGKITWPDVRANQRHPRHLYWIIAKLNSRDRGPQKEAVSR MREWLGEVTSARNSLDTHDKLERLVHGMLSPNPKERATIKDIAGHFLAEQVTER QC762_101270 MALTTALALLASAQVATAHFGIEYPTWRDNTLGSASTSNYSQWE YPCAGVPGDLGNVTDWPLDGGSLVLDLHHEWTYIFVNLGLGENVANFNYSLTDPFLNS TGNGTLCIPKVTLPANLPIQDGSLASIQVVTLGEKGQSLYNCADIRFRQNATVLSGDA CKTSEGVSAAAIEIGGTKTAGSTVVGVNVGVLASVAALTGLFVFGLSV QC762_101290 MADQQQVILFDLPSQPPCKAWSLNPWKTRLLLNFKNIPYKTEWL EYPDIAPRLSPHLPPNEEGSAYTIPTVILPSGKYVTDSKVIAEKLQALYPTPHIDLAS PYQAKIEELMPQLMKSFRPVYLPLIPKSLLNEKSRPYWYDTRGKLLGMEVDDFGRENG GEKTWGKVEPVVKAVTALMKENPNGPFFEGKQVVYADLVWGAFLIFLKRMDQGVFDEM LKRSGDKAVHEALLKGLEKWTDRDDQ QC762_101295 MGVSDLAEIESGGSTRYPRDEEDLTYKKPVANLAAKEKAEKYNR YYYATGPISCGGRSKPTPVYHPPNWVYAPGDTPRPSLGKETIPWTSSSSSNHDQEIDV IPQIDTVNEAVAAVEPTTNKAVHTKTNEADDHINSDQDVTSPFDGMDVDSLVADTITP SSRRAKALKKTRGAGSSPKIPSSSLFPPILFF QC762_101300 MATTTETINTHKKTLPLSGEYWAQFEVPLSLRIPRAPGPNQTEM PSKRFSIPRFSLQPRDSSSSLSDATSKPTQKKEKMRHDNLPPLVIPRRNSSHQALLLQ LQVISVPGAITLKKTSSDQSIQKRQPQRPHQPPYQHYQHERVYHKPATDPSSQRVSSA QCPVIRPVPIPIPDLPPVPPVPLSNYPPNSSSTTRRLSQVIKRARSRSKSRDRRKSTK PAEPIPETPFLASAPYGTGNKLNPGAPFLNDAPIVVEPETPFLALRLERNMNGPSFED DDFLAVMSKGGKTPPDSAMTMGSFLSQYKYPPVTNQQRLVQSPIGYGEEEDARAVLPS QSPKKGSRDSEWAQKEKALKRSSAVCGGVRSLNGKDGMSPRVAGNGFSAGGGRPVSLP PWSKILPTGPRKSGEVNMAEMYARMDPESGHEARGQGRERQLVEVNEEKAERMDSFNS VIYSKTCVAHDLDGREEWRRSRVVSQKEPEQELVDEFGEREKDALKELLEYMDSILGP TDNPPMRSGARCIGGDWSKEQSWWRDVRRSLQ QC762_101310 MPNLVSVDVSVTDDRVSLVFSHKTVAAAYASYLKAEDARQQQRA SSFSPHHSPQLNNHNPYRTLHNNPLSGYHRAPQFSPTTKEVTFFLPSFITWFITCRLP DDEDAVTFSFIDADEQVATKWAESMLLFELVPPNPYDDVKQLHVRRLWNKAKLINLLE DLQQHQQQARPGSGGPWQQGGPVQGLNAGFHAGAGYAGYAASGGKSSSTVTSPRSSVR GSPTGPGGQGGYSRMNHGPNNGQVNGLGVGHAGQKRARDVWW QC762_0027340 MMTAPNASSLTFIDELDEQVEPNLTGAPHVPCTGQPNSPSWLSS PALLVFLPTLRVSSTLNLRTGCVVLSLQRATYIRVSMLQMLHVQAPTPLRLTKWPAQH SPFFHGLRKFVTLWIRNLNLWALRREQRPPRRFILCRASGSEQIF QC762_101320 MKAVTYAALLLGALSTALGQTTADPGPSPTESMGCVPHNDHWDC EGPRVTDAVVTTGTATGAAPVVTTGAAHHDHDSDGDDHDHDHDHTDDEDDHTDAPGTG SIKPSPTESYGCEAHGDHWHCDGHRTASSTLIAVTPTNTGADSEAATTTTSTSTAGAA QITGLSLAAGVAAIVAMAL QC762_101330 MFQSLPAIRASLLYGLLAAPSLSLVSANPSPIDARHVVTDPARV VAQATVTAVSECHAHGTNYYCQAGVTEFRIVGSETAASYTDCHPHGAKTYCVGPKSDE VEIVLAAATAPAVTASPTATGSSSLTAVSACHLHGSELLCMHGATEYKVHTTVTATAT QDLPAQFTGCHAHGAETYCNGPTGEEVEITLATAEEDHDDHGHEDSEELDCHFHAGVE HCVGKGGEKVANTCERTQRDYNIKLRVGLLFVMLATSSIGVFTPILISSFVSPNHIVF TILRQFGTGVIISTAFVHLYTHAVLMFQNECLGKLQYEATASAILMAGIFLSFLIEYL GVRFVQWHQAKQQAHKAVSSDGEQQGPAPGKTDMVNITVLEAGVIFHSLLIGLTVVVA GDSFFGTLFAVIVFHQMFEGIALGTRIAALGHPSAATAHSGVHGHGHGPGHAHYHPEP KPAAEIAPAGEIVAHAPKEGHNHEHGHDHLALPDAHKTAKSGSVSSSENSTTAGELTP HVSMFKKLMLALAFALVTPIGMGIGIGVLHTFNGNDPSTIIAIGTLDAFSAGILVWVG VVEMWAHDWMLGGEMTNSGPLKTALGLISMVVGLAVMSLLGKWA QC762_101340 MPDGASIAGRSLVSADSPNTDVGSITSAQDGYDPQQILALARHP PPGASVYSPSAIPSNALNPRSCVTCRRRKVRCDKHMPCSNCCRAQIPCIFPAPGRAPR RPRPKDPNAPAKQPSSERELELMRRLRKLEGIVEELSGQIEVEAARSAGNSPEGTTAY NSGQDHYTPGGRPTAPAYSTSHAAVTANVTTPDIPKAPTRTISGTAISEPDRLGKLSP DVHKQFGRLMLNERGGTRYVSSGLWSSITDELDEIRRESEHFHDESEDSDDEATPESS EHVKPSVLSHQSWIMGYSSSEVDLRPLHPLPSQIPFIWQVFQENVDPILKVLHVPTMN KLIRDLRRNLDTLTPSTEALMFSIYYASITSLDEEEVRRNFDAEKDVLLQKYRFALEQ ALGKANLLTTPDLVVAQAFLLFLVLVRRHDDTRFAWTLTGLLIRISQALGLHRDGTHF DNITPFEIEMRRRLFWAVCVLDLRSAEDQGTDLTVVDQTFDTQYPMNINDTDISPESK CLPEPRVGTTDMTFSLIRYEMCSLARSLHTMSSAMATVNPREAGVSLEEREKMLVDTQ RRVEEQYLKDSSEPMYWAAANITRVICAKMMLVIYQPVLFPGPGNEYLTDEVRGRLFN ASLEVFEYAHILNTDERCKQWRWLFQTYSQWHAVAYTLIEVSHRPWGPKAERAWTALN LRFAAPNSAELEKLAGHHAVWVPLRKLYDKAAKHRAAEIARLQNDPDAARELELRDRC NTTPTSIREIPGSMKRVMALEQWRKLVNAPPLPQELLDEQAEEAHEPTQSGTSASRGQ HQQLAGQAQPILSQLNNNTHVRMATKPEVMDYIDSAMSNSTPFVSTDFAPLFYGDMAD YVHHTQAFGYPPSGLDFNAAPTPNYNNSTSSLGTPVQLQPQQRQQAQQQHLQQQQQQQ QQQQQQQQQQRGMQQSPQQLEQVPPWMWPINTGSPDFLRMPNMGSLDDVDMNVDEGFD WQNWQESLGRYELETTGGRTSSTWGPGI QC762_101350 MATPVVRVGVAAVIHDPKTNKLIFGTRKASHGNGTIQFPGGHLE VGESWFACAERETLEETGLLVRAKKLLATTNDVFDEEKKHYITLFILCERTDDQEPAV LEPEKCAGWFWKSWSDVKVLISGDTSGSGSGQQGEQKFFLPILNLLRDHPDIESLM QC762_101360 MSTPAQPSAADSPETEKQKSDKGEIGPVNATSPDDLDKEQPQVT DDGEPVNPNHINGRSQGKIALIMLALCLAVFLGALDVTIVTTALPTISDHFQSSKGFT WIGSAFLLANAASIPSWGKISDIFGRKPMLLLANAIFMIGSLICAVSNNIGMLIAGRA VQGLGGGGLTILVKIVIGDIFPLNIRSVFYGVIGGVWAVAAATGPAIGGAFTEKVSWR WCFYINLPLDGFAFLIILFFLDLHTPRTPLIEGLKAIDWVGSFLVVAGTLMFLFGLEY GGVSAPWNSVEVICLLVFGLLTWALFIFWEARYATLPVMPMSLFRNVSNVATLFCVFI QGVVFISASFFLPLYFQAVRGNTPIESGLYVLPTALSLSFGSLATGWLVARTGWYRPP IIFGLFMMTLGFGLFIDLDAYSGWAKLVLYQLVAGVGVGPLFQAPIIALHAHTEPRDV ATATSTLGFIRQIAQAISVVIGQVVYQNEKLKQYPVLVAAGISPALSRVLSSGEAGGA DIDIIASLPLDQRDAVRVALADSLEPMWIMYTCITAAGLLASFLIRKKVLADVHVETK TGLEAERENAEARRREREMRKEKKGASPA QC762_101370 MRRNRAPTPPTSPYRALAHHHAQHGAAAQAAQQARDSVSSVIRS FNVETNPSRPVRPSPLTASTIPDMPLDLVDRIRSFPLFMSAPDDFLAAIISHLKLQLH SAHDHILTEGDEAKAMYWLVRGVVAVTSRDGEAVYAELKPGAFFGEIGVLMDMPRTAT IIARTKCMLAVLKKEDLQAELPKYPEMGTAIRQEAQERLSILKKKRQESGLSSRLPDT NGAQLAREAVPGEVSTGEVGIIKEGAVVNTKKRKSPSPGVIEDPTVGGSALGGGYVNV RKTLKELPLFSNLPPDILHFLGLSAQPKSYSPFTDIVRQGSPGNEIFFIVRGEAEVIH EPLSPPAFKRDTRSAFSRPRLKQGQYFGEVASLGLAEGRTATVRSITAVECLMIGGDA LEELWRRCPPEVRSQVEETAKQRYHSSDEDVDMTDPESHALSSDEEKPTSNEIEVALP TVTFTTPSKPGSPASEDSDVTRQPSDPDPFLSVDMENLRNRRRNSLAPPVPQTDNSAI VSPVKVQPVTSITVPLSPVSPDGCPLPAKRARTLSRSSATEPGDRITAISDDLWVHVF QHLDLLELIRLRAVSRKWRQLLTTSPNLCTEIDLAPFNRKVNDWALVNILAPFIGQRP SKIDISNCFHITDEGFQALYRSCGSNIKVWKMRSVWDVSAGLILDMSENAKGLEEVDW SNCRKVGDNLLARVVGWVVPEPPPPRENHKNVVISSSAAKGRRSSQYQQRGQQQAGQP GAPQPPPPGTVIGCPRLRRLNLSYCKHITDRSMAHLAAHASNRLESLSLTRCTSITDA GFQQWGAYRFTELTHLCLADCTYLSDNSIIALVNAAKGLTHLDLSFCCALSDTATEVV SIGLPNLKELRLAFCGSAVSDASLGCISLHLNELRGLSVRGCVRVTGNGVENVLENCP ALEWLDVSQCKNLGSWLIGGGVGRWGYDERNGVSGQRGKNGEAWVIGSQNGISSVRNT PGGNMGPGGVGYGSVGGGGRVSRGGNIRTNMGPPPLPGVKPLGPGPVMRPVIPPRGVV NKSLRRPVRFVVEKGPGGLR QC762_101380 MSFTLTLRAGLAPRLAMQFAKPPSAMRAFHQSAKQNTFFTSRTA LSSKNSSSNILARLRGSSRSYQQQSGYAYYDPTARRKETVRKLIIGGAIFGGTLVAVN VMFNGESREGGMPKFERQYLNQTFLHTGLGVGIIGMTAYQMLQSGFVYRLMQTNPWVV GIGGLALSIGSMIATRSVDPDNYVPKYAFWAVFNATQAAFVAPLMVMAPPALLARAGL YTAAMMGSISIVGATAKQDKYLYIGGPLLAGAAIVAVSGFAPLVLPATAVRTLAVTEN LWLWGGLAVFGGFTLYDVQKVLHHARLAERGLIKRDPVNESISLELDFLNIFVRMVQI LMMQQRRK QC762_101390 MSTCPFILTKLFAPFSRAAIAKSPNTHSLLKKMGTSASASAATQ KEYPEGAARATVAAGCFWGVEHMYRKHFGSKGLYDARVGYIGGNTQDPSYRAVCSGNT GHAEATLLVYDPTKISYTDLLTFFYRMHDPTTSNQQGPDRGSQYRSGIFYHDAEQEKT AREMTKKANEQWWNNKIVTEILPAQKWWDAEDYHQEYLTKNPYGYECPSHFLRNFPDL Q QC762_101395 MTKSWDSGTDLSANPERLLFTITRENMQGHDETDLIPLYLVVSG IKRLFRFIEFTSNSSDHDAQNRRQYQYADGKPALKLLKSGCRIGFNQEPHCTSTCTDP DSRSASTSTLANGVTLASAEVLIRSGSLAWPLDSDDMVELRAYGVNNIRDWNGSFVLG SVLQSAVASCQAHDGLLGNCSPDLLNLQRVGFESSSSLLGLQSALTSYCEGTDVTVNA DVAGPGVLFSHIAQTLMVLVAYGLMNILNSWTYPVLMVSSTSGHGIFESALQHHELAT GAGSSSQRRVACTPDAISSSASGDGMVRLCFDFYKRFCQPTGHFSGDIHWPSPSATAF LRWSSIIWKAFPQQWRQSGAISVLQKKSNSVAIILHCRRSVWPQFPS QC762_101400 MCTNRDLLSPEPCQDSFATIKSTSRNCELHMLVFDACITKSGTT PKGETDLVKLQRIGSHLFLDRMPDPFLSLCLVPTKYPLRAPPSFRHAADEIQIGLPAL MSTYSDAYFTLLANWLNDCDLNHPDCYLKAIPSKKGLALPTKLLDVGSSASQQSILLC SSTSLGLKGEDTRYIALSHPWGNSRHNNHFSTTATNVSPRLTSGIAISSLPKTFKDAV SVTRALGIRYLWIDSLCIVQGPQGDFETEAQKMETVFSLAYCVIAASSASGTSSGFLS SRPQRKSVALNLSANSGKGDVGDSEDNNAMVYVCEAIDNFQTDVIDGPLNQRGWVLQE RALARRTIYFTERQTYFDNQSSFLGDPNFPQVALTSSKGGKIRLYESLYKTYSRLQFT RAYDRPIAIAGLEQRLVAAFDTRGGYGIFDRVPFFGRSLLWTCEEPGGMRRIEFPEEG LGRYRVPPSWSWMAYTGAIGFMDLPFEGVHWLEDDVRSPWGAAVWEMSLDTSGSGNST ASSMWHTGRTDQDNHLSGKGRRLVVGLKVAEKKIKWDAGQRPTGIDDAKELRVVAVGR RKSKSNKPARALEHHVLVVVGIGGWRDSGERKIYERVGVGSLPGDWITHNEQEEEISI F QC762_101410 MSLRQKHRLSFCYCNMGTMRRFFGRRRKPPPDTYQEPAVVRPNP EEELRSLRRRLVQSFVTSALPSGKEFLPVTEIDKLVNYATIRLVLPEKASTDVIDFVC GHGKTLFLILVVGNEASPNDLLAIMESCRKHNMTDEHLPVDRIPCVGGKLPCHQRVAH HKAWDVFHDKLWAHISFRFFQDQAMFTAPVILKDKFIYELKEGCVLPITWKAQKPHIG HFSTVYEAEVHHAHHQDDRYFGESLKVALKQLKPLTSEPGYNVETAWNHETSALEEIN KLHHKHLIRPLAALRCGLEHYIMFEWADGGSLRELWESQGPEPKDLDPDRIMSVIEEV LGIVGALSTLHGTNNRTKTGNVVRRAADLAGMAAGERLTVPVPHSVKPREEETKDHSP ITTPDTSKSPRNVPEIHVRFVEPSDDEVSFRSEDPNRSYVSEESDASSDEHWRHGDLK PENILQFNQSQTNDSRWLGTLKIADLGLAKQHVFATARRKDMTNQKFTTSHYEAPEAV ANLHLPRSRRFDIWSIGCVILEFVIIILYGNDGLASFYDQHKIRENPHTDTLYFTVDR NVARVSDIASHWIAEILNDPECNRAGGSALADIVRLVRDRLLVVELPSENMVPSQISR CRADAGELKEKLEAIWGKAIHDESQGGDYLCFRKDRANIAPPAPLQVMKPKRTAAKSR LGDDLLKTQPNLEVREPYQYRYDSGKMTN QC762_101420 MSGPLYKSLKGSLTTSSLGEIQFLPRSVVEAKVTIEKITPHLSF GSRLYTLVSEDSLARQIHQQARRVFAILVLIGKPSAIQTLFTKDGLTDDHLPLEVVKN GEHDTIVSSTTQKSFPAFDNWGDPAAVDSFLEKQYLVLAPVFHTAGQALKLNRSHPLP FEECTWKASGSDGVSVYHGRLHPSHQVPPFTATSYDRIAIKEIPDKKAFDREKENLDR IQSLHHNHLIRLLGSCEKGSVNYFFFPWAAGGNLRDLWHLQGDGSRTSLWTPQTIAWA LDQMLGLVDAIRILHDNGIRHGDIKPQNILHFPEATQGSGKIGGRLVLADVGVSKFHH EATALRNEATNTRDATISYEAPEATSEFKNGKPRPRRYDMWSLGCMFLEFVVWLQYEF EAVEMFRKQRMPRRGDPRTAPGNFFTQSTTDDGPATIHSKVIDAIRLLRDDPRCCSDG DSTTAVEDLITLIERDLLQIDPEKRAKAPALHKNLNRIVQRAHRDPQYLCRLVNPSPE IPRFFQRSKRRDSKGSTRKLSLSSSSSVSSYAGSSFTPTDTGRSRRSSVSSVSNVGRM SKMSLGDEAAVIEEES QC762_101430 MRTNLEIVQECDNFPYPNLTNNTAYTKAIEPLWLFFLPDDLEPH GFLIQSVVDRMPWTPSFRLIPESKQVHLLKYPGRKDWQTACNEAIDELLDLTRAKKVF PRLGKKRDEKFPIVGAKFDIGIERSAMSLFGIIGQGAHMTVYTRTSLGEMKFWIPRRN ANKSTYPDMLDQAVAGGVAQGETPFECIVREAGEETALDEEVVRGDVVAAGTVTWFNV SDEKAGGEVGLMNPGVLYVYDLEVGQEVVFKPVDDDIQAFHLMSVDEVRDAMRSGEFK PSCAAVMMDFFVRHGFITAENEVDYVEIVSRLHRKLPFRTSPGF QC762_101440 MAFTHSSLRLLPLISLFLSLGSALPSKHLNRQAAPSYTFLGCFK DNEGGQRALTGGSYAADDMTVASCASFCSKFELFAVSYGRECYCGQSVTNGNTEVDAA DCSFPCGGDPSEKCGAGNRVNLYSNDNPSIRSPATLPGITSLGCFVDTAARILPHNII GTDDMTAAKCAENCADYDFFGTQWSRECFCGSILPTEQATASDCSMPCSGDDNELCGA GMRLNVYSFDKETTTTSSSEPTSSPTSEPVTPVAIIDRFEYLGCYNDNVPQRVLGGKV VVDTAMTLERCASECKDGGYALFGVQYSSECFCGTSLDVDSVQVPEAECAMACSGNPL QKCGAGNRLSLYADPGIEQTETTNPESIGQFTYRSCWTDDVGNRSLADLEHRTDDMTV AKCADICQEYTYFGVEYGRECYCGDKLVGQAALEKECSVLCVGGGYNWCGGPLRLNLY AKEAITTTASTTVFETFSTFKVESTTVPEPTTTASDIITTADEPSSTVSETLTTTVEE ISISTEEPGTTTEEFTTTTEESTILTEEPTALTEESTTSTEEPTSPTTEEPTTTFTVE ASTTVEPSTTSDMVLSTTTLLPFIGPDNNRNFDHHDTRPQLSHDHQMSSNPNVGRPEM CYDSSLPGQCELLASTLYQPQAMSMYLSNCHYILTRYGLQPNPVTCFPTSTATSPDAA AATSTIRSVHSCLRSSYVCSKAITCETSTYPVGQVPMPTQSVGVDTLSDGGFESGQFG GWNLTGDTRLLTGQISAFQARTGNHSYYVYNPNYYHAGLVLTRRVVGVEPGKYYRFKA NVWISNNQVNNYIQLSVSPPGLGQQFMQRYSTAGVWREIAVHFTTTSSWLELQLTVVA QPMYINNPQQWEGPNSIFIDDISLVRLGY QC762_101442 MSNQFNQGLQDYFRLVSETLKRIDQETERDYGSRLIELNELRGV ILHNHSQLLLDAQAKVDAATRNFEARNKELFELERPIEENRSALKQKEDDLMRDLDAQ RRVLEQEKMDLQIQKQAFLDAQAISTETRDTISKTETALAGIMAAQSKFESDLGTLLK ASNVGKEMASPRKRARTQDGTKLTGFNFPPSATVIIEGSPWYRKSNIETGICIMNLIS SNGKWIESFHAFCRSENGLEHPVAYCFYAILESDRNTPWILGGGCHCPQHRFSWSSEG GNCIEIIKMDAAESYGTIMLVFDGVKIVFDDVKSH QC762_101444 MSDTEISDTEISDTEISDTNMSDSGTDNLESLLRDIREEDAVLN ENRVLFKKDKAEFEKEKAKFEQEKAKLAEEKAKLEEEKAKLEQDKAGSSSEAQRDNTR LEVLEHLTGAKCYVCGQAPDEATNIELAIFVLENALRSGGDRMGRFHHFRLNAEEDEP LCLYGVMELGHEHPQVARQLCCCPRDIYPYSLKRTVCGLQVTPLTHEEFAFIFDAAVY PGIGVYRRNCF QC762_0027530 MVNLKCFTALLTMSVLTTAAPSPAADAEEANVPSDWNDVISSIR NRAAWSCTGYDP QC762_101450 MASGPIKIAVLDDYQGISEPKYQRLDPSKYEVSFFKDTLPPFNH SDTTQDVKDKLVARLEPFTVISTMRERTPFPKDLIARLPNLKLLLTTGNRNLGLDLEA FKERGIPVAGAVDRAHAGSVGSISTTEHCVAMILAAARNIAQDDFSVKAGGWQTVPAV CLRGKIFGTVGLGRLGIAVAKIMYLAFGMRIIAWSSNLTQDAADEKARAAGFPVENAH GEKTFKVVSKEELFKTADVVSIHLVLSDRSRGSIAAADLALMKPSAIFVNTSRGPLVV EKDLQDALEQGKIRAAALDVFEREPLPLDSRWRTTKWGQDGRSRVLLTPHMGYVEEAT LDAWYEEQVANLLRWEKNEGLTTPLY QC762_101455 MASQREPHNSMTLPLRTREAPQGPRKQVQLQRATASDIIPPLGP SRPGYGSPSFGIPPAPVIDRPLRLWGQTTPVQVPQGHPGAHYGPIPIQGTPQDRFRRE VLGRRGEAMGSGPRWTPAPPLAQLSMRSSGALQPYTPRASSTPRQTAEALANAPQKLS FACQRRRFNPVFEAFETSDGRHGCHVKIDGALLRSDRLFETARQAKEDAAMKGLDYLR QNSRASRSTVPSASSGGEPRTQVSGTAQRLQNREAATARLLPSQASSSMRSEASIAYL ATSVARLEATIAHLQAPASSARDNFTRVPIKQDQDVEMTGVPASGGTLVSVISAAQQA ELLNQIQRITGMDVINPSRESAEVTCAYLEGLAVGSRLATVARRRSRSPTRSPQTSRG RRHRERSPADARVRLTPPPVYQRWSGRPATDRYRPGEDRYCPDEVGRLRDDTRSRNRG SGSVESGVTSGHGSGRSSENESGNTHEKRSSSSS QC762_101460 MKIDGRSFVISGGASGLGLATARTLISSGASVAILDLNEETGAK AVASLGGAPSAKFFPCDVSSTDSVSSAVSAVTSWIKEIGKPLAGIIPAAGVGAPGLIL DKRLNPVSLDSIDFVLNINLRGTLDVTRQFLPLLAKSEPYGPDKERGVVVMVASSAAF EGQMGQVAYAASKGAVASMTLPMARDLARFGIRVVTIAPSMFDSAMTAMMSNKVREGL QKAMEFPARAGQPEEFASLVKQTIENVMLNGVVIRLDGATRMPSKL QC762_101470 MSSYVELSGFGRPRRGSSAASDTSSAGTVTRGQDREQELGSMYD YLAKIILLGPSGTGKSCLLHRFVKSEWRVLSSQTIGVEFASKIIKVGTGARRKRIKLQ LWDTAGTERFRSVSRSYYRGAAGAILVYDVTSHASFNSLQPFLNDARALASPNLSLLL VGNKVDLAASQTGAYSDYEDNDDWGRDNRLGAGGGRGGGLPTPSSIASSSVTSFQTTT TTMTDRTEQGGRGQQTPMVPSSYSSMSTIHPGLGSQLKATIAPDGREVGAVEASRWAN TVNIPVTMEVSALSGEGVDEVFGRLARMILTKIELGEIDPDDPMSGIQYGDAGALWNA GASDGGSIKSTLTADDVGVGGRRRRGKPKRMGQGGLREWEEVFTLTGRRRNRGCC QC762_101480 MAPAAVIVVPVDKNWEFKQLDKEDAEFLPVSQFPTNVHLDLIHH KIIPDPFIGKNELDVQWVGEAQWVYKTTFKGQPVPEGAKAVLAFDGLDTFATVKVNGT TILETDNMFTPERVEVTGLLKEENELVISFDSAYLRGWKLVEKYPEHKWGVWNGDNSR LAVRKAQYHWGWDWGPALLTCGPWRPINLEIYETRLADLSFDSTISENLKSAGIKATA EVEGPGGKVKFELSLDGEQVASQTADANGSGSVTVSFHLDNPSLWYPFRYGEQPLYTL TATILSGDDEISSISKRIGLRRAELVQQPLLDQPGTSFFFRVNNIPIYCGGSDWIPAD NFIPRITAQKYYEWISLIRDGNQFMVRVWGGGIYEEQAFYDACDELGVLVWQDFMFGC GNYPAWPELRKSIDREARENVKLLRHHPSIVIWAGNNEDYQYQESAGLTYDFENKDAE SWLQTDFPARYIYEKILSDACAELIPGTFYHPGSPWGAGRDTHDATVGDIHQWNVWHG TQEKWQDFDKLSGRFVSEFGMQAFPDVKTIDAYLPLGKDDPDRYPQSSTVDFHNKADG HERRIALYLVENMRYAPDPLEQFVYSTQLMQAECLASAYRLWKRQWKGPGREYNAGAL VWQINDCWPVTSWSIVDFYLRPKHAFYTVKREMAPVSAGITRKIHKHPRDKYTRVYID TETKIEVWGSNLTLEDLTVDVVLKAWDVTTGELTLEKKIAEGLVLPENRSTEVGALDV PVGEKGKGEENKIVVTAYIVQNGKQIARYVNWPEPLKYVHLQKPKELKVVLNDDATVV DISAEVPVKGVALECEDDAVKFDDNLVDIVPGEVVSIAVKGATKETKISTRYLGMI QC762_101490 MSSNLSFVLNKPNDVSFEERPIPKLKSPHDVLVAINYTGICGSD VHYWVHGAIGHFVVKDPMVLGHESAGTVVEVGSGVTDLKKGDRVALEPGYPCRRCPDC LGGSYNLCHEMVFAATPPYDGTLTGFWSAPHDFCYKLPDNVSLQEGALIEPLAVAVHI VKQARVQPGNSVVVMGAGPVGLLCAAVAASFGATKIVQVDIVQSKLDFAKSFAATHTY LSQRVSAEENAKNLIASANLGKGADVVIDASGAEPSIQTSLHVVRMGGTYVQGGMGKS DINFPIMALCLKEVTARGSFRYGSGDYKLAIELVAAGKVDVKKLVNGVVAFKDAESAF KKVKEGEVIKILIAGPNEKVETELDTSVDPAKLEAAKGNTGCC QC762_101500 MHHVLKRKAIFGGLPTTSFKSTTTSNTLISILVSYRRQHFSEMA SRTYNDAIDTLNTLQTPFAVIEARRKAGIRPDANSVQEMRGYLARIGYSTSSDLEKLN IVHVAGTKGKGSTCAFVDSILSKWHQTKGIPKKVGLFTSPHLIAVRERIRINSQPISE EMFARYFFEVWERLEDNSTVATDLVEPGTKPIYARYLTLMSYHVYLSEGVDVAIYETG IGGEYDATNVVERPVASGISTLGIDHVYVLGDTVDKIAWHKAGILKKGSPGFTIEQVG SAAEVLKNRAEEKGVDLKVLEVDQRLQGVGVRPDANFQKKNATLAIALAETALKKLDP DFKPDAAALPSEFVDGIEQVVWRGRCEVKEEDNIIWHVDGAHTVDSLKMAARWFVEET KTEGKGPKVLIFNQQGRSEAVDFLDGLCNTVKNADSTGQGFQHVIFCTNVTYATTGYK RDFVNHQYNPADIEKMTQQRIFAEKWASLDTSAKILVIPSIEEAINTARGLANSEGED GSKVQALITGSLHLVGGALGILEKADAL QC762_101510 MAPVRFHLSSHSQPNVAEPQLRMQAIYRSLSRQPAISTITNSRF QQPSFIPSRVPTSFSLPAYISSHPRRPIHITPNMSSSVSYQKALSRLSALQSNLAITS LFTVPLPDGTDRNAAAIPEMLFWLSRAGLSPESIASSGLKCVHVAGTKGKGSVSAFVG SILAQYSNPSTQKVGVYTSPHLVDQRERISLLSPQEKEGMINEEKFGKYVNLVWDTMT AEARKQLGAEAKEEELEGPGTKPFYFRFLTIVALRAFLDEGVRDAVVECGIGGEYDST NVLTEESVSAAVVTQLGIDHVGMLGDTVEKIAWHKAGIFKRGVKAFTIRHPRETVGEV LRERAREKGAELVEIGEEEVKGWKGVEGGMLQGPFQKGNMALAVYAAREHLVKTGHEF EGRFGVDEEWGLDDIPDKFVKGLREASLRGRCEVVRDGKDGTEWLVDGAHTEDSLAGV GEWFASRAGDGLSVLVFNQQERDPKILLTALLKGAEKEASGSKEVFTHAFFTRNEELP PNEGEKRDLSVQTKALEIMKEYNGEVESRVSDNVQLTIEGVKYLTAKARAEGKECRVL ATGSFHLVGTVLKRISA QC762_101530 MLRTSIRSVRALGSRPTAAVAGRQWQASAARRAVVSGQRFYADD KKPLVDEAKLPAAETLTAPTTPPPPPPQTTPAATITPEQAPLTPPAPGPAVVPPPPPP VAPIVPKKKGFFRRLRNFLLKLVLLGVLGFGGGVWYSRINDNFHDFFTQYVPYGEEAV LYLEELDFRKRFPNVANRVTGRRADTASEQVSIPAQSGASWRVADGDHAGRQSSGVAQ KVVAAKDAVKDTVKDIVKPEPAAVTKATTETAALPRVEAKDAALEKKVKGVEEKKEIA VIPAAATSSKPKEFRAPDVNEPSVWPPASPIDPLSVPHADDAIVQQLVHMLNDIITVI NYDGAADKYSQTIWKAKDEVSKVGERILSIKSAAEEEAAKQVKARIDSFDKHANDLVS RLEAIMLAQEQQWRREFEAEVERLKHNYDDKIKLIQEREQKLSEQKLQNKLLEQAVEL QRQFSRDIKKHVEEEREGRLGRIESLSRAVSELEKLTTGLNEVVDTNLRTQQLHVAVE AVRASLEDAHHPRPFIKELVALKEIAADDPVVDAAIASIHPSAYQRGISTSAELIDRF RRVAAEVRKASLLPEDAGVASHASSYLLSKVMFKKQGLAAGDDVESILTRTQTFLEEG DLDNAAREMNTLGGWAKTLSRDWLSEVRKVLEVQQALDVITTEARLQSLKVE QC762_101540 MSNRFAQFKLVLLGESAVGKSSIVLRFVKDQFDSYRESTIGAAF LTQTISLDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQAASLDKAKSW VKELQRQANENIIIALAGNKLDLVTEQPDKRAIPTAEAEAYAKEAGLLFFETSAKTAE NVQELFTAIAKKLPLDQVGPRHARPGQRPGVSLAPEGANTQAGGPCAC QC762_101550 MTLPFRDINVQTASDAYIFTSPSSPNAPALAIDRPTGDIRLLDA SLLSGKRVSRITSIAGILGVIQLRLDKYIIVITKAQPVGRLRGHMVYKVVSTDILPLR ERQVSDPDEDRFLNLLRGFIKPGPMYFSYSVDITNSFQRQAQQDAESPLWKRADDRFF WNRFIQSDLINFRNSGGRGQPAPQPNIDPYILPVIFGMLEIHPTTFKGTPLTIALISR RSRHRAGTRYFTRGLDDQGNAANYNETEQVVILNDHTTGLGGSSWQQQQKSSSLADGV GKEMQILSYVQTRGSVPAYWAEINTLKYTPKIQIRAIEAAYPAAKAHFDEQIRIYGDN YLVNLVNQKGREVPVKEAYEKVVEMLVSRPKEHVQGDQRTDEKFHTIETAEKKSQFDR LHYIYFDFHAETKGLQMHRAQLLIDRMREALVAQQYFRASVDSTPGNNNKTDGGRLDV RSLQTSVVRTNCMDCLDRTNVVQSMLARWTLDRMFIDLGLLARGSRFADEDAAFELMF RNMWADNADVVSNAYSGTGAMKTDLTRTGKRTKAGALQDGNVAVTRYCKNNFLDGPRQ DAFDLFLGVYQPSVGGGLVFVDRRPVLIQAVPYIAAFGLFFVLMGMYSPRLPDAAVWP MRLFILFWTGVTGWALWFIFNNGMLYVNWPKLNPRPWATEGYHETISRVRKDKILGPL VARHERGLSVARYINAEEGKKRIE QC762_101560 MTDHTTNTTAHDVSPPSHLRPQRAARHSSAFERGPSSSLQNSFI NVSPPDSNPAPLHEELDPLAKSSMRLDSRNPIEKRRSLNASRGHKHRPSGAFLLNDPL FNPHTRERDAHINPRADRQYRKSTDQYRLRNAQHDQTRPYPRTLSGSSGPSMLTGEYE PTGSGHSDPISASSTLTRRERDSLAGDTAVGSSPRTSITQMDLESAQIVNMALNLSES RRLASRRSITQPAPPRLAPLPDGTTGGSLRHHLQQQRRISQTISPKPDRSPRIGPGRV LSPLQPAFEPEGGYRYHFSQSTLARAQKAKEYLDLMAQYRRVLELLPPLEMSRTSTNE SETRIGRPYNPLQYIRNRKVRARERKAIDGEGQGFNDVPRVSEWIDEVAKWVATGQAR IPGNPALPPFSGAQAASFQSSPPSNVSRSTTSAAKPKRPRVDWAIDPADMIADVYWLE LDDNKRLVEDRHWKRVFPQGTDASRPLPLRDEAPRLTTPGSTKDSSDSGEKPQPEAPP PKHEHEHVLSTARDRAQQKLRALKGSHHRQNSSVNNRDFLRIRRASVSESSDTDSDRR RRARAGTATTTVRSVLEKQMEEMIAREQRESESSPALYDHEALRMKFASLNPATPERD LLNNAASDATQTKPLSRGTDSRADLSESECRLTGLHHRPSPPVPGRASLEVPSRGRRF SVDYDTSQPNSPDLRPNRDVGLVPAIGMDLSPLSSRPSSPSRNPLSKVKSIFRERSKE RIADNYASAEEPETPAPLNEKLFASPEPDWSAVSSPERRPSRSPIGRIVTKGTDSSHR SHKSVGSVKLKPEDVGGGLRSLFRGPRIDTVLRSGVSKISDMVWRKDAGDDQYSTTSS SDSEAEARGRSRGPRVFRRGHGRTPSTQNGKHQVEPLPQFVSVANTAKPPNSEQQTGL LPHPPAQPLSRRSSRFDLLKPPRIDIQDASPSASPPPLLERRKEQVESDVESRKSADW GIDRTDMAPLPFHKPRQFSTVSSSRHWSIADRGGSTPTRPAISKREIARLKALILSSG IHAMEVDRRAKERKLLTSPHSSHISSHSPDGQPDFAWKDVISLCPDSETRHRLVTRPV AQIDLYPLAARTLSSAMEATAAQFQFTHDKFTRETAPHLEKKVEATRWKIAGELTDLA HRAADEADEANHDLVAGQRLKVKRVVDHIEKMLRRRRRRFRWLRRAGWLGVEWVLVGF MWWVWFMVMISRVVIGLGRGGWRLVRWLLWLE QC762_101565 MDPIYPTNVEPAKPDYYFDLGFPTFDDEHSYIERRDIKAVWLKL IKQHHPDKRGPGNDGDTAEFRRVQEAYDYLREEDKRTPYDEEYPRIRVEWLRYRKLAS EEEDAARREFEASEREITTRGKARREAERHEKIRNEWNRFEAKRQREFVVEWIRLQAE RQAEEASRRAKEQEEQEAKDKLRGQKQERRDMEWEERRLRAVRHIEELEAVLQAERAE RYERARREGNRQKEEWERRQWQRWWKKAKGWFTNTRKASGGQRTICGRRHFRPKSRFK VLRTQRAKALKHTLKVKFKVWQQKRHRFTAAMLLQAGP QC762_101570 MSSSLFSAQLYPGRALGFLVLGASLHDILTRIKAEPQRFPKIDL IYDSKIPVTKETIVGLPANGLRLQFDGPEQRLRLIEVIDFTKNHIFFKPANDKERDLV RPPSDLPAADSIPEPTFRHIYQRFLGPTYGGEFVKKPGNAGLYILSYPGVGFVFPMKK TQYSPNKDVVSLLSSTAVPQSMAIFSGDSWAQARKTMWTEVLPSIKTFTPLNKGKDVC PDEVSLVKLHGGGKVQLFRKWTNNSFWIFFGETTPQELVAELGPPDAIYRKNDQRMYI HKLRTESNAAGRPNGKDLKDDMTDTDQSSLNHSDGYQSNEEEEEVVEDEAVNVAGECF YNYFYLGFDILVSTPTEPSETPPSSSGKSLPGPLVRSTNPHRQVATKLILHGNVPGSY PFNRHRRCRWEIAYLAKGDDDDKVPNSETYFPDLEKKLKEEWKSMYASESEAQQKQRG MVLNRGWGDSPGSSIEMLGGWEEPGAGMGKKFEGGEDSTTTLYGYPGLVFEVLRSGFV SAVTVF QC762_101580 MERRNTSMAPPPSRAGGAASRSSIRPPATRGGARPGVMRHASSA MSRLQRPSSPTESLMSVATTATAGAKRKERDFDPDDGEATNINVVVRCRGRNEREVKE NSAVVVGTEATRGKIVELSMGPNAVSNKTYNFDHVFSQAADQVMVFEDVVKPILDEMM SGYNCTIFAYGQTGTGKTYTMSGDMTETMGMLSDNAGIIPRVLQALFAKLELEEKDHC VRCSFIELYNEELRDLLGTDESTKLKIYDDNSKKGHSTTMVQGMEERHILSATDGLKW LQEGSLKRQVAATKCNDLSSRSHTVFTITLYAKRQTGENGDDYLMAGKLNLVDLAGSE NIQRSGAENKRAAEAGLINKSLLTLGRVINALVDRSPHIPYRESKLTRLLQDSLGGRT KTCIIATISPAKVNLEETISTLDYAFRAKNIRNKPQLNALINKKTLLRDFTTEIERLK GELIATRQRNGVYLSNDAYEELTVQNESRRILTEEQAAKIETLENNLRNKVQELFSLT SSFVGLKKDHEGTLGQLDDTKGVLEQTEIVLAATRKGLAEETHIRKAHQATEQRLTVV SNELLNYLGRTVNDVDGLHAKNQRKSDLHDFNRETWGIAQAHVTDITEMVESRIVQFR KGQEDHISNISGRMHDFVQEELEKLTSTQNFLDENLALFTESKQHLLERKQQSKEEMD SVLEEIKVVRDNVKQRVGESLQAIAGAAEKIAGDVLSELSTFHNQLHTSYSSLGKEFK GIFEELLGNISAQKVESDRLRQELEAATQTIVESNESVSDRIQEVLEEERKQAAIERQ QLLSQITRLINSQAQLQESRLVDKASTIQDSIKDTNKTFKSNVAGYREGMNAWNAKDS QLLEEIAQSRDVLKTMLKDDWTAANKHSTSIQETTKSVHAETVRVVDEQLEDLDVQMQ DLDDFVTRAKSYNAQQSEHLSEAVSNLNNTVEQSFDNISGHCKATFGRVEDLGQQIEV DVQRFHNALAPLEEEVIQPLSELREDIRATEFKEYEPTGTTPPKVQYQYPTELPQTAD HAALLADMRDAPTPSRGAPVSSVLPNVGFTPPSAVRTPSRLPVGLASPSRFSESASKI PPGGSLREVNPNVPSSATTPNNTNAQVFDSASSVLSLPTVKEGGDDDVTITKLKAPPA TGPPRTRSSRLARKPMGGVGQGPENIPPPAAAMRSSTRRKSPRLR QC762_101590 MSPPPPLTTFKALSFDCYGTLIDWETGLTTDLTPITSQLPPSHP LSATPLSAVQRFDHHQAHLWKTQPTLPYNLTLSECFRLLAREVNVPFDESDALNAGSG PGRWSPFPDTIQALQILAKHYKLIVLSNVNDDNIASTISNSLKGKVRFDAVYTAQKIG SYKPSLHNFEYLFKHAREELGVDKAKGELLHVARSLTADHVPAKEVGLRSVFIARGGT DPKNYGTGGNLEELSREGKVAFEWTFETLGDFAEEVERQFAELEKEQN QC762_101600 MALQLYVWGPAFGLPSIDAECIAAIAYLAQTTSKADYQLIQSSP SAVPTHHLPALHDPSTRTWISGYTSILRHLQTHPPPSFHDATFPPPTPTIQADSKAYT TFLSANATPLIALYLYVSSANWAASTRPAYSKILPMPLPWTEPAQLRQTMSARAEHLG MSSLDTDVETEKEEQEAAAARRAGWISIPASLTRGPSTVGEVMTPEEKRRIKLEGLAK DVLDVIGEVEWAKLTMGARCAVLGWVSLMIVDEGVPRRWLAEVTRQKYTGLVEFVEDC GRETFGPGPRGWESLPWVDEGTEAHGLGLKLYQRLGHGVMYEVPWIGEVWRRWWAEKR RRLVLEYKGVKQGPNRDRLVFAGLGITALTMGAAIWYWQTLPRFGALVQRWDQPVLAV GGLGAAGAFLSSMFDEL QC762_101610 MPHQDKTQSREHKPPPGKWSVLGSLGGSWTSAWYHRLLVKVASL SQEDDISTPNSVPVRGPRFHPNLSATRDQLLHSQVLPLEPSTTDDPTGHQIDGWAWLL PLRLRMRGVGGWAEDATARNNLTNMQVMVLKASTSSHF QC762_0027750 MPPDRPICPPSDGQSRLHDENHIHVGELLLEEENPGAIGNAIEV QNTDQGPFRLDHPYCPDDTLPLPLVRKQPDLARSRSSSKREVPAAFVG QC762_101620 MTPIRRYLRITKYSVLECRIYLDNPALTQSWLLNPRDPILPKVI ESVRPLVLPKLREEQERDRMKKKSKKRTIKDVVVQDDFEVSIFLMETDTRHSLLHKRK HFRDKVQTKLTSNSSKLTGGAHDAPIDVDGDEVLQEATDGDDDVPLIREEEDDQNAIN LDDIPAIDETTTNSASTNRRPKRRRQTSGENEGVSNPSEIDPDVVETIDTDSSNDQLF VGDGDDDDDSDTAAGRPPPSKRRREKAAARDMDGPDDKKKLGMDISYEGFAIYGRVLC LVVKRREGIGKGGGLAMSTSGRSQAAGRPRGQAMMENWISSTQLPDEAGAGDEEAS QC762_101630 MDGGMVTVGRTAALYAGAAVLRLAIFTLLPDLPDLLTGRVEIST PVTSFKRLQEGLFLYNHNVSPYDGGVYHQAPLFLPLFSLLPNALSYPIFTYLLYIAID LLSASALWKIADSGEAGSSALFTSPRRERRWNGFIIAAIFLFNPFTVATCLGRSTSVF TTCAILHAIAKAVSGAPFSAMVALSFASYLSMYPLLLLPPLVLLCFDRQRPERANKSV VSFAASHVPVVFGVLGLLFGMSYLITGSWEFLPSTYGVQLTLSDLTPNVGLWWYFFIE MFDSFRSFFLAVFWLHLSCYVGGLSVRIRRQPLVVLTLLLGIFAIFKPYPSISDTSLF LAMVPLYRHVFPLMRYSFVIAAVIMYASFLGPAFYYLWIYAGSGNANFFYAITLVWGL GQSLLVCDLMFAVLRDEWELERPEMAGKEIRQI QC762_101640 MAKIKKKGQSGQAKNYITRTQAVKKLQISLPDFRKLCIWKGIYP REPRSRKKVSKSATASTTFYYTKDIQYLQHEPLLQKFREQKVLEKKISRALGRGDVSD AARLERNAGRPDQTGKPRYTLHHVIRERYPTFIDAIRDLDDCLSMLFLFANLPSTTSV PAKMIARCERLCHEFQHYLITSHSLRKSFLSIKGIYYQANIQGEDVLWLVPYKFNQRV VGDIDFRIMGTFVEFYMTLLGFVNYRLYSSIGLKYPPKFDQTKDDKGAELAALTLEGV NLATNEETTKAITNGEGHGPDPKVQAEVDKLVKKLRTESAADKADEEMADGEEEEEEN ATDAIDKFEPAAPGGDVLPQPTYSGNDPSKLFANCTLFLSRETPRQSLEFILRAFGCK RIGWDPILGEGSFTTDESDPSITHQIVDRPIVQAATTEQGDGEDNQTAQKLGPNQRYP GRIYVQPQWVWDCINDEELKSPELYAPGASLPPHLSPFVKPTQGQYDPTIPLEEQETE GEALDAAIEEAKDEVESDEENSEVDNDMDVADDNDNDEEEDSDAEEDEEVEDEEDEDE DEEEVLQRQRELEAEISGKAVKSKQVDPKLKAKLEKKKALERKKKEEAEDLERAKGML SKKKRKLFEQMQYTNKKKSTEDMKLRSKRRKLEKEKAAGKA QC762_101650 MSGAHSPTSHLTSHSLTHTRTTHIKMDFSSSQIPPSYQPTLRIP ASQISSYDYGLPETLPATHNTLTPAEPPQEEEWRDLSTSSIASLSSNELNERRPNRWK GNPSTWRTWTNNERKTWESIENMRKGDLSAHLYNAFALKKGVRKGPEETFSCVGEGKD NRGWNVGRGWTAWPLGVEEVPGDGLLDLEAEDGNQEFTFRRKEEEGDRWPGRNLEEVV SATVLRLAKERFYRRVKEWEGKPKGNGEDEEEGQEKGDVMQSIEQGDDDEGEVGDEED EVSGMETGYDTAAGETDATGAGSTKGGRKRRMSSIAKAEQTFTPVMSADDERNYALVR PAARRIMEQLDKTLTVMHYARAAAVSGMYGGDSDEDEDSKEDEDGESRKKMMDVDGKS VLSKPRGRSRGRSRSRAGSSPRKLRFRSSSRSSSSDSSGSNVSTKISRIGLLNWRDVL GAAALAGFSPEVIARATQRCSNIFNEEMVMHTLPEQAVTSSKPAIQTVRYRPNLTEPQ SALSEDNDSEDNKQLLAHHLMINRQSTVALTAEPADTPALTKRASTPAARSRTSKSAT PGGGAGGAHLCPFNDCPRAVEGFNRNTNLQRHIKLVHKVEASTAVRTTEEEEDSEDQL VGGVHTDGFLQPIKMRKGWRGDDAGKRQKRGAKPRSGGRDTGDEGEDDRVSR QC762_101660 MASLLSRPGGSPRAELMLLVMVACMLLVTYSSLQSARSEVTDRV PLKEESVLESLTKVDVQQHVAVTTSSSSIVSTTTTVATTSNTPLPNTPLPEATPIQLD QEPPLDFDSSLDVAPENALDKTDSPPTDFDGLEEDFSIPPADPVTPNKVFKAVLIESS LTSPALVPVLMHFSSVLPTHWSLVIFTSPNNFTVPQSPAFRNLLSSRRLDIRFLPKNV TFTNSASVSRFLASSNGWLWNELADAERVLFFQLDSIICANSIATIDDFVKWDYVGAP INSTYGEGYNGGLSIRNPRLFLEVVREGNYTRGFEDQWFYKKLKERRAQGDYRVRLPG VEEAKRFAVETLWGDRPLGYHQPQRWWKGKAGERMGEIEEWCPEVGMLIGRRAK QC762_101670 MSKSWQSTLRLPKSSFPNRPRLHERKQYILRCTDDFYEWQAANR PADNEFLLHDGPPYANGELHAGHALNKILKDMINRVKVQQGRRVKYVPGWDCHGLPIE MKVVEAAEGGKKMSPGGIRKAARKLASSTVKAQMNSFKSYAVMADWENRWTTMDTEFE IRQLRLFQKMARRGLIYRKHKPVYWSPSSATALAEAELEYNEAHVSKSAWVRLPIVNG WQEVISGLEGVDKDAKLYAVIWTTTPWTLPANQAIAVRDDMTYSIVRFADYEGLHLVS IPEGSAGGDLPELSEVLGEVQGSELTRLRYLNPLLDDLTALEGRPIIHAPFVRGDSGT GMVHCAPGHGFDDYLACETLGIPAVSHVDNEGRFTDKAYPRNPDLLGGIPVLEGGSDA VLDLLGNENGYILKVKYYKHKYPYDWRTKKPIIIRATAQWFADVGSIKEQALAALEEV RFVPETGKNRLEAFVKGRSEWCISRQRSWGVPIPALYDANGNAVVTDESIDHIISVIR ERKIDAWWFDDAHDPAWLPESLRDRAAEFRRGQDTMDVWFDSGSSWTQTDKQADVYLE GSDQHRGWFQSSLLTRVAAMVAQDAPGGSSTTNTLGLSPFKTLITHGFTLDKDGKKMS KSLGNIISANEVMDGSLLFEPPQPGQPQGRKNREPEALGPDALRLWIATSDYTGDIIL GKPVLRTVHQVLLKYRTIIKMFTGSMQPDARTAPLTVADHIALIQLKDVMQEVGKYYD NYEFNKAQAALSRWVVNDVSAFYLETLKDRLYCGDSGGVLEPIFNGLLRMLAPVTPVL VEEAWEYRPQWMKDDASLVHPLKQLYDAPLIEPVRLSYDEKTIRESIPAIMAAHGAIK AASERARLDKVLGSSLGCSVVLVSHAEGGLAVLERFKDELDAMFVVSAVDIVQSNGGE TPKEVEGAEWKYSEVFEVSGKAHTAYVLPPKQHKCPRCWRYVAPVEDALCGRCGEVVE ARAGEAAKEV QC762_101680 MDILKILSRGTKPNPKNQTAKGSAAAVAATAKLPSAGAVPHPQL FNDPVSSSSRGKKRKRKNKSGDKDAEDDGPENDDEDDLSDVNFFAPPKPRTEAVQQHN EEDGEDQKKKKNKKRKVKTLDEDECKQILRSHRLKFTLLSESVGGVAELEARAVGEKK EKKKKSKEGGEEEGKEKGPKKGKLAQLWPQPLTAFGQLKYDYGINQTLADNITRQGFK VPTEVQMGALPLLLQPGTALGDKEMEKGVDFLAVAPTGSGKTITFLIPAIEGVLKARG EVNEGGEVKNELRAIVVAPTRELASQIVNEGRKLAIGTGVRVVLMKRGLRLQAEGRAE KAEEDSDSENEEEKPEEAEHVQGEDEEDKEDSDEEDGDDKKKDQKEKPLARVDILVTT PKILLNFLSDDGKLTNSKRTLPTVRSLILDEADVLLDPIFRKQTMAIWKSCVHPDVAL TCWSATMASNIEALVTKHLSKRAKHFNISRPLIRLVVGLKDTAVPNVTHKLIYTATEQ GKLLALRQLLHPASSSDSGPPLRPPFIVFTQTIDRAKALHKELQFDIPLEAGGQARIA VLHSSLSDQIRSKIMTKFRTGEVWVLITTDVLARGVDFAGVNGVVNYDVPTSAAGYVH RAGRTGRAGREGGVAVTFYTKEDIPFVKSVANVIAASEKQAGKAGSGEESVKKWLLDA LPKVAKEDKRKLKVRGVEARRAGGKEASITTQSSWERRKENNKRQAIEASKKRKRMAL QAEKQGGGKKKDDDGEEWGGLDD QC762_101690 MCFGSKKNLYDGDDLPPRPAPGQAPQQPTYAPEPKASASASHQA QPQQHQHQQQYNQPPPPSPLSAVQPPASWNSNNLYSQTQATPHTMPVELPDSVHNSNA PAELPANDYAPPPGPPPSHTRPAVESYAPPPGPPPSHGTGNDYAPPLGPPPPHSHGAG DDFAPPPGPPPSHGASNDYAPPPGPPLPRNDYASIPPPSAPPIPAASKPQETKHDWES VVPDTSLFPPPPAFFAAYDSSHATNATEAEAEAGEAWCRQHPLSPPIDLDHHSLEAQN NHNPRLMVPDVFKGTLQFKEHGIWAGQTDRNATDSCIIGYPPLYTVKTHSPFTSPTPE KTIYYEVAITSAANPHEICLSLGFTALPYPSFRQPGWHRGSLAVHGDDGHKFINDRWG GKDFTGPFRVGERYGIGMTFTAVAGRMETEIFFTRNGLQAGRWNLHEEGDSTDLPVTG LEGYHDLSCAVGTYGGVGFEVIFRPETWLFLPEGYYPGKRG QC762_101710 MPLSPFCYYLRTSTRFPIKAHSHFPATSIFLIDPSNLKDTLILL IILHQHPPSSMKEPTSDKSWYTDRINSWIRSKPANHPSKQINSNQIPISNPSILEPTQ SNSQHGASAMSANPGGTMPTPPAPGGADTTEDGCCGTPMPGTSSQPEQPGPVLGEAGD GKHPHEDSQPQREPGTKDSIPSRILRTIKFVLFHSKLNLLLVFVPIGIAVEQVPGISR GIIFGMNAVAIIPLAGLLSFATEAVARKLGDSLGALLNITFGNAVELIIFIALVKDEF RIVQASLLGSILANLLLILGMSFFLGGLRFREQIYNSTVTQMSACLLSLSVISLVLPT AFHASFNDSNLADAQSLKISRGTSVILLLVYIIYLLFQLLSHSYMYESTPQHIIDEEA TPGPVANWLDSSSSESSSSSDSDSSDSDSSRETVGKRMRRAIKRHRKSSIISVDTSEG HSTTRTRTPTRSPSFGTTSEGQPSEETARNRISKPGTGVFEAHEDAIEDEKPPRHKKR DRYRRHKKHRKHHKHRKSRRNGSQEFMDQPIDEEAAVGIKAPLSPGEPRRVDFAMSQL TPNVEGMSEVVQTRSSPFQGLRGTLRPVARSLAPTVFTSPTDFSMPAVPSGPVPRVRY GIRRTNSLPDRLNQFGRPPGAMMPSQIPLAAVPNGAVADAEENVEELSRRSAVILLLV STALVAVCAEFMVDSINGLVKTSSIGEIFIGLIILPIVGNAAEHVTAITVAMKNKMDL AIGVAVGSSIQIALFITPLIVIIGWIMDKPMTLYFTLFETVCLFVSAFITNFLVLDGR SNYLEGALLLATYVIISVVAFFYPNAEDTSAWGT QC762_101720 MRPSPSLQFLRPYARVPVPHAAAFPHLRRAQLSQRCSFHLARQQ ELEQAGVLKYPRIRNDGGEIMRVPDFRAKYQDIQQGVVAEEEVTLRGRVESVRRAGSK LVFIDMRSEFENVQGLCNLGKLVDGTTGSGLKNLARLLNRGDIISVTGKATRTKTGEL SIQATQLPEVLTPSLVPRPEKVEDTLHRHIDMLANRRTVDTLRLRSYITRYLRDFFHE RNFLEFQTPILSGNAGGAVARPFTMHAEAVSKDVTLRIAPELWLKRLVVGGVDRVFEL GPAFRNEGIDQTHNPEFTICEFYHAYANLQDLITITQDLIRGVAEHCAKLISNGTLSS LPEISDLSIYQQPFQQAEFIPTLQDKLGFTFPDLTTPSAHSDLFSLLNSNSVPPFEGQ DHFPLPKLLDKLAERYIEPLSLTTPLFITHHPVVMSPLSKSFLCPKTNQHVSARTELF VKGKELANMYEEENDPFAQREKFAEQIRQKDVEEGQKVEVDESFCYALASGLPPTGGW GCGVERLVMLMAGTGRISEVLSFGNLRNVVVGGSNAAVGGVTGEEREKKGE QC762_101730 MTKCWNPQASQQPHRFFCLPETNIHASLLNPPLLTFPYRDTINR PLLLLNPITTNPQIPPKMFGFGQPKLSSEEKIAAVENEIKVMTEMQTRMAKICSQKCL DSTYREGELSKGEASCLDRCSAKFFEAHTTISEQLQKEQAAKGGMMGR QC762_101740 MSIRIPFPPAASLNGRAHREEDITLARTTSNKNARPAVPETPDG AAAAKVEAALALTPASNRRRVVLPDPIAFKFLEGDPTVTVITRKYVLPGYELYLVEQW ACSRQSPALVIATYTADPKHSVVVGVLEIPGEEKDWSPRLRLYFSAIQHYHARPKGTD IGELMVTNLSSFPSALTVIAVPDGDIQKHRQVFIVNENLKRLGCSGRSGLTLTDPTPA TQAKFLQLYKTNEKIPFAQAVLELVRMCQMALHIFGMLDAEYVDGLLCDVTETAINNW WTEIGSEYFNTEPTDGILGPTTVAAMLGTLMGARNRLSYSGAPVAKDVFDLESTKKGI GTFQKSVKIERTRRLDRQTLLKLHSATAKAAAGDGGWGVHRAVKSTVAEIGGKRGELV IGMVGGKDKANIGDIETVDLGKFINLAYGERPKWLWHGKPRRTQQETAPGSAFGKDLR EETIVPQSGSRRTQSAPVEDELEAKKREDSPAIYAPPPVTNSAPITAESHNPGDRDAL RKGIFKGVAGKVSDARSGLGRIRDAVGGGLRGHASRPSKDESPDTAISGYSSPSIATL AHSSAAVTSPVAVGKAFSWKVKPEEYANGAPKERDFGETGPSGLNGSAEGSETHPTGV RAESTSSQELLRAAEEKEALAEIRKDVLENTFSAAASEAGDLDAQPQQFLGAQGSSDL PLSFLQRRHSFTTIEALQRPLNDARYPRRLSFSEAEEAVLGWHEIISLADLPPSDAGV DPATTAFLQSQAELAYSLYHRLQEIQKDLANWVTGKLSGVDILNTTYGAQQAELQTLY HAVSEAYARIRHSSGELVAEERGRLTEAVKDVEVLVAKLEYEISALVGKVNEVEDGVA QFEAQVEDLERRAEELKAALETESWAHCLVRTLTGIGSGPNITRARSG QC762_101750 MASEKPKLEALEEEYAKINKLPRLTKAVEHVDKIIELLSAAREQ VASAPESHTAITITKLQNPVKSAFEAVNDGLKGAAAANKKMGKALDKSFPLKPLPTDH DAMADHVSLINRAIAMHLLREGQFKVASTFIDEVQSTTKRENPEYLDERMDDGNYDEA ASTTSSEAYDLSSLHSQELQESFAGMYYILQELKARNLMPAITWARKNSVELEARGSN LEFELSRLQFVWLFKGPSVNGLPDDENNGQRGALQYARSNFGRFQARHLNEINQLACS MAFAPNIAESPYRQLFAIDTAFSDVASSFTREFCSLLGLSAESPLYLAATAGALALPQ LMKYTQKTLAKGTEWTTSNEMAFETPLPESMLYHSIFVCPVSKEQTTDANPPMMIPCG HVLAKETLQKLCKGARFKCPYCPSEGILKDARQIIL QC762_101760 MRLLQTAVVALASAANAAATVKLQPDPLLDLSFTSPQVTTQGAG RPDTQLFADLCASINVMQNHYFENWIGTWPDAIDWTRAVMSTHIAGALRTITEDFELA RSQPNIVIPPLANFIGRYFGELIAFYFGQDVFSLRGEAFDDMLWVVLGWLETIQFIDE LGDGRWHGERWTPAFAHRARIFWELSSKGWDQKLCGGGMLWNPRLSPYKNAITNELWI AASIGMYLHFPGDENTSPWEEMMVRPGLDKRDLGKRDWPPHDPMFFRTAQLGYEWLES SGMINHQGLYADGFHISGYSEGSNNTECDERDEMVYTYNQGVILSGQLGLFVATGSEE YLNSGHKLIKDVIRATGWDLERARPVANLDDYHANLLPSWKGLGRAGVLEEACDVFGT CSQDAQTFKGIWMHHFTTFCSPNSLDKIMPSAGSRMSQQGLARIRTKHFAECKKYVPW LRHNAVAALGTRDEHGKFGMWWTIGLFPWEQGLKMSWDDFEKLHVLPPDHGEDDYRNR GVPHNEVWMMTNPNITLPVEAEKEGLPAVAGVSMERQKAVYMQMGKEDMKKREPRTSG SSKELWNNDPNTRGRGRTVETQGGAVALLRALWVVSKHTY QC762_0027900 MSGGHDSFGSIETLGVHENSSYNSLTRRSIVSVILTIILHFVIN SNSPPTSIRCGLPSPSSFPPHAAPHHIM QC762_101770 MMRLRKAARVILVGAPGVGKGTQSERLLQRFPQLSSISSGDLLR HNVKQRTPLGIKVESTMKAGLLVPDDLILRLINNELNQRGWLYSSHPAGNVMTLASCA AETQSFSNDADMAAFISHPAHARGGYGSPSEDPSASFLLDGFPRTATQAERLDETIPI NMVVSLKTPLAVIMERISGRWVHEASGRVYNTTFNPPKVAGVDDVTGEPLVRRADDSE EVYRQRWKKFEETSEPLLEHYARKGVLWEVSGMSSDEITPKLMREFERRFAE QC762_101780 MSASTRVARRALVVNPFQGTTTHALPRSALLASSMLLARNAQNL RFNRPATALLIPVRGLTTSGTTTHGGPTGGPPPGFDVEKAKKPLPKEPSPKPKSSEAA SKPAEKTSTPEAAADSSATLSQLAAQKEPAAEKVEAKKEEKKLTIAQKIKKEALHYWD GTKLLAAEVKISSRLATKMAAGYELTRREQRQLQRTVQDLGRLVPFSMFIIVPFAEFL LPVALKIFPNMLPSTYEGQKDKDKKANILRATRKEVSEFLRQTLKETGLPLSQATAQK EEFTNFFRKLRATGETPTADDVIKVCKVFKDDVTLDNLSRPQLVSMCRYLNLNTFGTD MMLRYQLRHRMRQIKRDDRAIAYEGVDSLSVAELQIACASRGIKSFGVSPARLREDLQ TWLDLRLREGVPSTLLVLSNAYMYGQTQQDSSDGVSNQIEALTNVLSSIPEELFHEIE LEVHNAEGAATNKQRLEVIKEQQELINEELQQDQENQATGFATPRDTEDIDEKEERQV QAEAEGIEKAQVAEAVDAEKDGLDAAKVLQKTEAAAAAKPSEKQQ QC762_101790 MASTVGPARPKQKLFSTDFLSNTWAKLFFFIVGLQAVICVAFEC YVFARFQFGLQFQEGEIPDEAQRRRLQSRYRTIPTFLALFIFGFLYVLVLAWDALRMK NTIQIIGLCVANLALFVYTILQIDQIEKSLDILQGALLLKDSDKGGDSNIVWALSKPF LIAVPAIVGVVTVAMSCIAYQLYREFAWDILKQIGADYRMKKRFLHYQIYIALLKFDF FFFLGFTVQFLVVVNNTKNNFELGLQVAAVPITIAILLCAAFFTQRENKIGVTLTIVL YFGALSYFFFKLVRIYQPGHKQDYEAVQKSLTAFAVLTILLIILTIINGFVCMSNFGA GLKDHLLKPRYSDPEKEDANSYQMNDQKPPLPSRMTID QC762_101800 MSRLAGKMPKLATAPGARPSSFPSMRPSRTTSTPLQFRRLESTQ RFSPPPSSTSSSTTTKKPSSGPSFKGQLTQSITKRIQRERDDLKRLSHMRPQNSLGRM MGMTFVLFTVGAISYWCGLKYPKEADPASTLPLAATKPPKHNLNPAHLEAAWSDFVAI VGKENVSTADDVISQHATSEWSTHRAADDQKPFCVVYPATTEEVSELMKVCHYRRIPV VGYSGGTSLEGHYTPTRSGICIDFSRMNKVLSLHKDDLDVVVQPGVGWEDLNEMLAEQ NLFFPPDPGPGAQIGGMIGTGCSGTNAYRYGTMREWVLSLTVVMADGTVIKTRQRPRK SSAGYDLTRLFIGSEGTLGLVTEATLKVTVKPASESVAVASFGSIREAANCVAKVVGE GVPVAAVEILDDDQMRFINQAGATSRSWKEAPTIFFKFTGTPSGVKEQVAIVQKITKG SGGKSFDFAKDEQERVELWSARKEALWSTMAVKKPGDRVWTGDVAVPMSRLPDIIDQT KQDLGRSGLKSSIVGHVGDGNFHIILLYSDAERKLAEECVHRMVKRAVEMEGTVTGEH GVGLVKRDYLPHELGESTVDAMRKIKTAFDPLCLLNCDKVVRVEKPARGEVSEW QC762_101810 MVREVVRLGSAQVSEVITAQMADKFANYPGAKEAPAAALEYHHE EDHNPPLRGWPLVIASTLLSNSSVLQKWLWNNAKFGQPKHAPGLDSSVPWRIKPDVAP LGETGPMLPLEEGYLVTPKSADCKGRFNSIADYHELYKSGQATPLDVVEALLPLIRRD VGDEESKYAAAFIESNVDEVLQHARESTERWKEGKQLGILDGVPFGVKADTEVKGYVS TMGMKVDRTVAYFNRPEPETCWPALKMQEQGAIMVGKMNQHEIGMDTTGCNPVTGTAT NWYNTRYFPGGSSSGAGSGLCAGLVPVAIGTDAGGSMRIPPAFCGVYGLKPTFNRTCS RATSMCVVGPMTSTVADLTIAYRVMSQPNPSDPGQNLLCLSVPPSPGSKKTLGICRAW IARADPDVLKVFSSCVEYLTTVKGYTAVDISLPYLREGQLAHAATCLTEAATEAFARN PSNYLAPLNHANRMLVSAATHTPATDYLAYGQIRHVIMGHLAWLWEQHPDMIVLTPTT PIAGWKICDGDEAYGCSDGNLSIKNMTFAWVANTSGCPAVTCPGGYVEAEQGEGVLPV GVMGMGEWGAEEQLLGFARDMEGFLEVEGRRRPKEWVDVVGLARGKGE QC762_101820 MLPEMTTVGGGAVLNKKLTKARGKMVKPILKTAKNLKLSHSEKN SLDLDRGWDEQSIEQLENGEWDEKAFPGGLSGGAMGLGVESNVVSVPGGGSSIRAKFH HGRTPSQASTGSGPRGGAFIHPFAQAPRTSTPPLSYANSLASFDNTVANTINSTHNER NCSPTITENEDDFDSPAQYHNHSHSHSSAPPPALSSQSNLSNPRRPSLQSQRTGSYTE VPSKAPSLRINTTGGTSRSASGATVISRLANGTISTTSQSDLQLTNSVSVSLGTTLDS PTGSIGAGTINNSSSGATQMSPLRSSLDMANFPRLRSRSELDTANRAEKIRAARRKFE ERENIKEEKYDREMIKKRERRDTKEASRIEKGAPARPSIHRKNTGNSLSNVISPPAST SSGIQAVFGRKGASWTEGTTVSNSSRQDLEGAYTSSSAPQVGGAINRRHTDSPSGEEQ MRFMSRKYDSVPLETPPAFGPNVDAVRFEQTRPRRGSGPKRKTQSYWAGFVLWLRTKL LRLGGR QC762_101828 MCLLGHGGWERRDVGETARDVFQLCGDACSKSSSLGRSSRNPLG HTSRTMGGNKKVRRR QC762_101830 MPELMDLLIPRLDFLWSVKFWAIFHTILWLHRYVRLIVHCISHW TYKSIVPNWEKPRYTSNDVTVIIPTIHNRPQELQPSLESILACRPAKLILVTTYKKHN ALEEAASALRGVNSTHPTVIEVLHVDKANKRLQVCRALEGDHVQTPITVMADDDVEWP STLMPWLLAPFEDDRMGGVGTCQRVKRVGGDLTTRIFNWLGAAYIERRNFEISATHNI DGGTSCMSGRTGAYRTEILKSYDFLGGFKNEKWGKYILNADDDNYVTRWLVAQKWKTW IQYENDCEIETTLENGFKFLYQCSRWARSNWRSNWTSLVHERHVLTQQLWCTYALHIA TFTSLAFVVDPLLLFSCWWATENWELRSRYILLAAEIIFMFCFTKVVKLVGLFRRNPS DIMFLPVSILFGWFHGFIKLYALFTLKQTSWGSREDGDEHNQFRLQEKPVRSQAMAMP GGPDLLESVRHTATSQARRASYLAQKHEFSGCMVDEVL QC762_101831 MPHRSRLLTSLQNTKLASIRFESTERPLQHSHSSRYIK QC762_101832 MILLSPTIDSLAKLRNIYDIFFMALLLAKQLFREASRSCFLQTH PKPDDPWHLHPRPHLEMGGAACLSPVLRARWN QC762_0028010 MPGTKDGEINTRYTHTRTRSLALFGPQVCRSRIQYFGLAGGPVR HRQHAPDFDLGRGRYGGGRRELEEGLTSLFMQLLSINRAINMRRFFVRRLLAGVYGLG ADRSVKERAISGRWPLSRSFSNFLTFTTTPYHQSGRRLDNRSWINQASYRYAKTRHVL DCLWDRGTSLQPTPKESSLRVSSNRYPIHCFPHLSTVCEWRILHAIQERVSFTARRLP VCAVVHGGLVSLGCATPSLVTQA QC762_101834 MGRRRTRLRVHHARNRLHQFLALWVKHPATRAAHWQKASRQWFK PDCLSWKDSTTYINTRRKRHGHVSYDEHWGSCNRDGKGRIGSDSAAK QC762_101838 MGSYREQRPPSLSSHLFPPPIPAFEVLGRPMDPQPAPLIHINGS PGVGKETVAELLTFILGDDKSMLIDVRSLGFEGDTNGVHAHKHLLTPEHPCYFSFDVG MEIFDESTTVNWEGSNNEKKVEQVEDKTPENPCITDNLTRLLLKPSNGARAVVLPAFA PDTVVGRAFVKTLEEAAENTGRLFIPVTLDCASPERIRRTMSLQRQCSYKIRRPSEPA LSKCEQVVAPQPVGPVENEVCSRQLAVSNYSGLVLDITSANTFAAALQIVDYVKRCRA EKDKDWRNSNSAATTPTGSVGGEKNVKTSD QC762_101840 METQTRPRSRPDLSPVYVDHREHQEYCKGPTFTTRTGALFSIAT LRSDPPPSAMLPASGNDIAMRLHERAMIDSLDHRGRHLEPQCSPGTARSLSPIVEHGL NSRRASMASLPLPRVPAPSPQSPSFHHYPRRPTLASETRSILLSGIGDQGSRHPDGLP RITSSSFDDRRQSLPSSFVTPAPRRNSHQLRQELQAWGHVFLGNGSEAQCFVSAVPLR RSSGNSSADDEDTVMKDETTPVTPEPPNQLTVRVRVRPCALDRKPFLLTRTFDMDMLR ATVPEPSPVLEGPRRISADARGRNLFPTGHRQSSVISTGEASPGPENILQIRSGNTVP IHKPYACAFFPVLAALLYSKHIQPRDIVDLPLPHPEVWGRTVAHVYTGQGELTEAISQ NILYLCGRV QC762_101850 MSEPDTGANAARTAHSYKQLKEDFVSNLSGGSVIEVAQVCAVAP VVTLLWSVLQSRQSFFKPYTPLAFVIDYLLNVGALLLSVTLYSSSPILLNILLLTAAA FVYAVPPSSSSSKKKPARPSNAKSSTTTNPQGLSVLSTKPFLTNYRGNMLVVTCICIL AVDFRLFPRRFAKVETWGTSLMDMGVGSFVYSAGIVASRPLLKERAEGQTTPLGTRLI RSFRHSLPLLALGVVRLLSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFVALFQSALAV VPSYAGLAVLLSVGYQTVLETTELKGWILVGVRDSLLSMNREGICSFWGYLAIFLAGQ DMGMVVLPRSLGSSSKASGKVLLTKMGSWAAVWMGMYFLATDYKYGAGLSVSRRLANL PYTLWVVAFNQGLLFAFAVVDVVFFPQFYSAGDARAEKEAYETATSRVLKAYNRNGLA VFLLGNLLTGLVNMTVPTLHAGGLATMGILLAYMGTVTGVAVGLDAWDVTIKL QC762_101860 MSGAFQAPPHHPTSVSALQTPPLNTASPVNRQYSPGHPSPTQEY YANHSQQPSRASPADSSSRHPSRRPSATNNDHLADRNSPMSSRVAGASPAPVPVSAAA STASPDFSASNQRRTMPAAAVPPRTSSSRRAPTSERSTDSSSRRTHGDSSRETNGRMD SADEAAMQNSRNHRRASSQANSIYDPRTNPSAPTAVRSPPVTTNSTKAPSREASDILN SILISQPEVDIEREKERLAQAQPHQPAAMLDDDAAPPPIVNVGHNGEEARRGGRSRHD HSKREKHTKFGEYILGNTIGEGEFGKVKLGWKQEGGVQVAIKLIKKDQLGSNPSRMAK IMREVAILKQLTHPNIVRLHKMEESERHYGIVLEYASGGELFDYILNHRYLKDNAARR LFAQLVSGVGYLHKKGIVHRDLKLENLLLDRNRNIIITDFGFANTFDPAEELSEEEEL NLTDREFVKRMGLDRVKPSGSRKGDLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSC GVILYAMLAGYLPFDDDPANPEGDNINLLYKYIVNTPLTFPEYVTPHARDLLRRILVP NPRKRADLFEVARHSWLSEYAHVVEFITSSTTTPGEIQNTTVPSEDDAPGLARSASVR EASKKTTVPPALGGLATKQGTIDTEAEAAAYAKQQRDNKRRTVQVEYVAPNTQTQRGE AASTQSSGGRTRARSGSQGAVEVVEQNPGSSSSPNDKQNSRDPAGTKDAYGKPPVSSR RPPSAHRNVNSGAAAVQRVGGRDARATSETAFFGSAAPTTNARPNTGGSMQSLGSRAA GNRSSYGQPAPPELADTNAHGKIQQPPSRGKNSYGMPSTGDAQNMEYGRPSISAIPPK FARVSGFEGSPSGSGTNLTNTSQEGKGHKRSSTMGEISGKLFGRSGSLFGGRNRKRQQ EQQEKNKRYPPVSMNNALAGAEEPRVSMDSKRSRRSYSIGLGNKRSGSISGSQGSQQE KQNRRFSFMPGGFSFKSMGISKDEPSPALDSQQDLPIQEPPTVDQYGRYVEQDVEREH VDASTLDGMYAQLQGPPRTNDQYGQQQPRPQQQQQQQQQQQQQQQRQEYSSNQYDGRR PSNVEGYQSQTLMNSSSDHSIDNNMRRPAGSAPQLPHLSPQDTAQDGRRVASGGRPGR GVLQKNKRFVDAWDSDGNTRGHDHSGSSGPARKVMDFFRRRGKARAGELN QC762_101870 MSHGPPPSLSGSNYFGYGTGSVGGVTYSPHTDACGRLVVQMSGD PNDMMSLLDTSMFPPFDDNMSMSLDAQQPFNPSGPAAVQPSPSAGLAPSVDLPFSPDD SSSTANVGGGGGGGVGPGAGPLPVATLGSGSGGGSGGSNTTANTVTEFTKRRNWPAKV VEELQDFLHILDANGRIKHVSPSVEKLTGHKPADLLDVFLKDLIHPDDVGVFTSELNE SIASGSPLRLFYRLRKSDNKYAIFESVGHAHIAAAKFAPNPNNQSPFCQAVFMMSRPY PTKNAGFLDSFLEHKIENERLKRRIAELKREEQDEVEESHRTWRQSQEGRSDITPSDA DTSTFFGGGGARPTATSPMYTMSGNAAASADMPPPNLPASASAAAAAAAAAAAVSLTR EALEGMTGSNRPDSIRDKMARYEGGTHVDTIEMLTGLRYQQGERSRGITTGNASPTLV KGDAGIAIPADRDPRGGGGDKKKKLKVTEEYVCTDCGTLDSPEWRKGPNGPKTLCNAC GLRWAKKEKKRNKDNSNNSNGGNGNGNNGGDHHQIENVG QC762_101880 MSRIEKLSILGVRSFSPHEQQAIAFNTPLTLIVGYNGSGKTTVI ECLKYATTGELPPNSKGGAFIHEPELEGEKDVRAQVKVSFRSTIGEQYVLTRNVQLTV KKTTRSMKTLEGSLLLRGQSGGKSSDRHVISTRVMELDKLVPEKLGVSPAVLEAVIFC HQDESMWPMSEPSALKKRFDEIFEAMKYTKVIDNLKVLRKRKGEELRELKIQETQDKV NKERADKVGRLIIQMSQEIEEAQTKCSDILKQMGAKAKEIKDKHEQANSFLKIVNDLQ NKTEKLEYKSEAIRELRSRIEELPDSDDVLRNTLAEYAQTIERTVADRDRKQAQQQEL QADLKQSRDRHTAKVAEQGKHQSDKDKYERQLATRDRMVHEAAERHEIRGYDGDLDGD LIESFYERIQKALNDKKHELERLQKSNAEELDKKSSVIAELEGRKQSLNRDRASAKQR IMAIGRESATVQGELGSLDVDEGSEAALRAEMKEVEARIEAAKADEQSADLDDQIKKV QDEIWQLETQAAKLGRELVECTRLASERAQLDLRKKQLTDRRRDLDILKNTWSEQLSA LLGANWTPDTVEAEFQSALRKQDGVVAEARKQKDATQQELKQVEYKLSTTRDRHIKLL TEMKSCQRDVLKALRATRDENDPEHPPIDNYEAQTKHVETELAQIESDLKLTEEMKTY YTKVKTVAEKHNKCNLCDRDFHNQEDVKRRVFNKIAKALGDEVKQELEADRADFAARL RQLKAVRAQYDTYGRLEKEVPTLSAELNVCTIQKEDLVRRLEDKDLAFGKAEEQRREM DSLSKNVLKISQNHKDIQEAERQVERSQQSSSVTTRSPDEINEEQTTCAEQTRVAQTR LNKLTVERQRLKDLASQLEVERLELRHKIATAAQQLERKKSLQDQIRRFKEEQAQLRE SVQTADNDLEALEPEMATARSALDEVRQHGRAKEQKVTEKRDAIGGTVSELRMINGEI QEYLERGGPSNLASNQRAITTLEATIASIEAEMKDLTVQINKLNKEIDNSDAKKRNIS DNLTYRKNLRERVALQQEIEELKSRKAEEDYQRLSLEARILEDQRGLLTAERERLMGN VASKDDQLSRLQEEYDLDLKGAKAKYKESHIKVETTKAAIDDLGRGTVALDHAIMQFH SLKMEEINRIIGELWRETYQGTDIDTIQIRSDVESGAGSGGGGKRNYNYRVSMVKGDT EMDMRGRCSAGQKVLASIIIRLALAESFGVNCGLIALDEPTTNLDSDNIRSLASSLHR IIKARQSQGNLQLIVITHDEEFLKHMRCQDFCDTFYRVERNARQNSVIRVENITRISE QC762_101890 MSTADNNDTMELDEPQQEQPATTTTTTTTTISSTYANTSAMQTH TRATAVRSIEGWIIMVTNVHEEADEEAIHDMFGEYGEIRNLHLNLDRRTGYVKGYALI EYSTIEEARAAIDGAHNTPLLDQNVQVDFAFVRPPPGKGNNQQGHNGEIRGGGRSAGG GGGRGRDNRGQRSGRSRSRSPGVRDNNNRRRDDDEEDL QC762_101900 MGRPSKWDFEQHKDTLKRLWVDQKMKLPQVRDYMKLHHQFAPVP AAYFKKFHEWGFEKKFNPILKDEKLQARVRELWAQNYGGDDILRITREEGFETDKAVI TRIRRRFGMVLKMAGGYGNPKRTLARKQREMMKQKAGQKEGQSQSASVEREEDDDGDE SNSSGDGESGDSDEDEDGEGEEDGSGEVEQQLQRVQEHTNSLNSAPASGYDESSQTNT TAGVYHDHWNTVSNQPYRGGMRQWDDNYPLGYAPPVPTTPTLSTAAGWENQQQGSSFP SQPEQSQQQQDQSQQQEPRKTGSQPPDEAELAARREQRKRQIEAEAEELWRTKKRRRH TKQYGFLPPDPAGPPRYPSETTLTEAKEILQLDKAAYLGIREKFYNICMSHGVIKKTL MGPERWKQFKDRLIRESLHLRAAMWDQENIEQKKLAVEIICNDITKRVRITQTKVSVA EAKRLLGLDPDAGREIRAQLYNILAVERFGPVLEEGIERFRELRQRWIDANEVLKEAW TNQEDPEHARKVKAVIALGRDAERRYHADVHKRGIDPLRPRSPTPEPTKKPKPPKLPK PPKEPKVPKKRGRPKKVVPSVEDPENEAASSNADAPLQPGMNQGQDWPIGGAVVSETP ASTPAAASAVAPSVPGAPAAAPAPRPRGSRKNAVPAPAPASAQAPEDPNMVPGLRLPA KNPRGRPSKQSEVQTHTQVHFAPRADAEPSPKDNDQALMDSRLGYGDDYVPDDTPKPY TDDEVQEVRAPAPPPQQQPEQVEPGFVGPLTLKQAQGQWLREQASRRQQEQAAAHAVA AAPSQQQAQNQARQNPQSQLQQQSGQPQIQQYQQPPFQPATPGQPQLMASLRQMYHQP HQQQQAQMAAQQPRAQTATPVQQQPRIATPIQQPQPQMTAPPPAPPLTKGTFPVYFRL HSAIRGLFPGMRPQWIHLLSSRKYSDLKAAAVAKTPGAMCYKIEGIVKDGSGGELPLP VTDDTELETYLEHVEGNGGGAPMFNVHLFPGGI QC762_101910 MTEMDIDTGDDLLTKVALDGSMDYSAWPALLPELVARIEKIAIT EFPIPRLPPSRAPVRPPSPRLIPPIPSSEPTELAVDSVPSSQETNKENSSPTAARPSA PVPPSTASPPAPQARAEPPATPDTLPKPVQDMLDEITSVLKENFTENPPHTIQRLSEL VLRPRQHYKSVIAWLHALDRVVHVTSGANIYPLPAAIPDMSGMGMSVNGSAGATSGVA STVGTDEALGGALLTPIPWLTSRVNGGPGSDTGSETGGSSPLSASGITGGPGADPSQH LQLQQRQLQQRQAHQLDGRVRTESTETIEGPNGMGRIETVSISVNGIPSTGAGGVGAV LAQRGVTQGELLRQEQRAGVVPISQLARQQQAQQQAQAQAQAQAQAQANGSPDEDSAM SSDPEDEEEIPHARGPEEIGAEDTGPLPAGTGHFTVGTAALAIGESRGINVEAAVGRP PDQTGLPGSKASDSNKEEAVVPQSPKREATDELEGGGAVKKVKEDKEEGDKKDEEEVK KDAEGDLVLPDSAPPASETEDVGDEKKNTAGRQVGDSAESSSSPKTEKGDEEKNTQDS GGDISSA QC762_101920 MAVIDQDNFSNISWHSEQNDHAGPSSSTRDNMTSPEFSKTIPDT GRSSSDGRGGRDHSHHGDEVLECTVSDPHKENDGTKDAYVSYLITTNTTFSTFQRPVT TVRRRFTDFVFLYRVLCQEYQASAIPPLPDKQRMEYVRGDRFGPDFTARRAYSFQRFL SRLALHPDLRRAPIFHTFLESHDWNATMNARQARGSLAPPLEAGSPGPQAGGDGFLNT FTDSFMNAFTKTQKPDRRFVDTKEKADKLDEDLTHVEKVVARVVRREADLETDHKDLA EQFQKLITLEPGVESAVHAFAASVEDTATGLKKLKDSTDQDYLGSLRDMVAYSGALKG LIKAREQKQLDYEQLIEYLNKATSERDSLTSGHSYVGPLGGAGGFIRSKIEDVRGVDH EQARRDRLRKLELRIDELTRAVENARTESENFGEQVGREVESFEYIKKIELKKQFGGF VDSHIDFYQSTIETWEKYVQEMEAEGAVQPAV QC762_101930 MKIPSATALLVLAAYASASQVLPRNYDANDYYVLHLDAQTSPIQ VARSLGLSHEGPLGNLKDHHIFVARKAEHDIVKRELTERRRRKRSIGGYDVLDGVLFS EKQKLRKPWEKRIIPARRPEAIPKPKPQVGVDSALKAQAEVAQALQIQDPIFNEQWHL FNTVEVGHDVNVTGLWLEGITGKNATVAIVDDGLDMYSDDLKDNYYAAGSYDFNDKTE EPKPRLSDDKHGTRCAGEVAAGRNTVCGVGVAYDARISGQRILSKLISDADEAVAMNY DFDHNQIYSCSWGPPDDGKSMDAPGILIKRAMLNAVQNGRQGLGSIYVFASGNGAMAE DNCNFDGYTNSIYSITVGAIDRKGLHPYYSEKCSAGLVVTYSSGSGDAIHTTDVGQNA CSNTHGGTSAAAPLAAGIFALVLSVRPDLTWRDMQYLAMDTAIPLNDNDGDWQPTTIG KRFSHTYGYGKLDSYAIVHAAKKWKNVKPQAWFYSPWIHVNQPIPQGDKGIAVPFEVT EDMLKEANLERLEHVTVTMNLKHARRGDVSVDLISPNKVVSHLSTTRKFDDSTEGYDD WTFMSVAHWGESGVGTWTIIVKDTNVNDFTGSFTDWHLKLWGESRDASKAKVLPLPTE EDDNDHANLATTTVGAVTTTVAPPVGTGNPDDNPSVQPTEVPSRPIKPPQPSESTGTD SQEAEPENTQASNWLPSFLPSFGMSKATQVWIYGSLVLIVLFCSGLGIYFYLARRKRL RNNPRNEYEFELLDEEEAEGLAGNNSEKGVAAAGAGGRKGRTRGGELYDAFAGGSDDE DEFGGGVGGDERGVGYRDQPSSGGSSSGQRSSRSESGERFDEKGGMRRHSDEEEEDEQ HVIGSEESGSEGEESEEERPLRR QC762_101940 MSSTTNPYWGELPTPQVKARRLSDDQPQTHDNPQSLDVGAQAQV RPNRASVQTTKSDAPTESTLSPFASPTASSFQGQGLAPRPPSLPYAANQYPPELVENR RKRRSRTLEQDEEYYAAALAAASSAAASGPPPAAPDVPRTAANYRYPPSSSGNRNMDV DDYYKAAGPEHHPVLDRAQKTLDESALPRSHRSNGQPRRTSAAEQNLQRSTRRTSTQD RSRIISKEDKRARLEAAERAARNRTGGTTYDADNITQSARRPRRTSLTAGDTPGTPGT PGTPSRPTTQRATPGQNGPLSQNPPEDGQPFSAPTDGSPRGQASDSQAASPGQSSGIP QRNLSFRERAAKSDIKLPNDVGDVAPKETSPVTSPPKSGSNKLKKNRANPNDTWPRRV SVSESEAEEARKPLEGSHSNTQTIHVVPTTPATPRFPGEPVRLNGTGTGSVRSSSHGR RDSMAADRDFYEDEMYPPRPAKLQKTPSQRKADQILGRVPPNTVAANGARQVGAISRD QVSPAAPVATAPAVDNASQSAHRSARRDNRSDSESDDEGGHHVSNLVYHGRDRYVPGD GLYQPTPYLDEWQKGTVGALTGALLDLEDVPPSTAEKGGAGNGTWWESPKSRTRASSL SSRPKKAEAFEGEYDDTNGTQTPTSSAFYPNISAVQSGDLSRLSEGEVGRGLTSKNQD LKKKGNRGRRWEGLRPFSPSPADLAAALTLNDSRDSLDCFSICSDAFSGKAAVSYSTP TRFKPPLYLKCGPLLRYCGIRHERVPSRSTRGASVDREIWRGSIMIVTTDKDSSYDIA PTLRLFVQPIELLPLPPKQVPGDEPLAAEYVDPIAGHPKLGRKGETLYVRPVDHLEPG RDVSRDETDDGLFEKTRSPPEGPLPGGSADPPGSFAARRKRAEMDGEKAGKYKDVRGF RLHAEHGYTFWRFNVEIELRDKQQRIAYRINRGPSTGFWVPAKGQTMNIMFHSCNGFS MSVNPDEFTGPDPMWRDVLNAHQSQPFHVMIGGGDQIYNDRCMQDTTLFRNWLMIKNP IHKHTAPFSSELQLELERFYMERYAMWFSQGLFSMANSQIPMVNMYDDHDIIDGFGSY PHHFMNSPVFSGLGNVAFKYYMLFQHQSIPTETERHEPSWTLGVKPGPYINELSRSLF MFLGSKVALLAVDARTERTRQDVINEDTWKKIMDRCYQEIDKGKVEHLLVLLGVPIAY PRLVWLENILTSRVMDPIKALSKMGMFKGLLNRFDGGVEVLDDLDDHWTAKSHKAERK FVIEDLQDLAADKSIRITILSGDVHLAAIGQFYSNPKLGLAKHKDFRYMPNVISSAIV NTPPPDLLADVLNKRNKVHHFDKETDEDMIPIFGHGVDSKPRNNKHLLPHRNWCAIRP YMPGHTPEPTPTQSAYDLTPDGSPSPAAPRPGLLRRLSGKARASSFRGPDSVVKDRSR PPISNSFLRGLSSRGGVASADEIGRPGTARSNKLTRTMSGSSVSGRLGSLFRRLSSSS KKPRDDGGINGNWGADTDEDAIYDDETLRQRVGAHASGGVGLRGGLGDYPSGYSTQSH NSEYARGDESYFTVKPPQPVQSHQQPPPYQQHNPNHGRSKSATVVGSAAQYPSSATSG YASASQQHHNEFVPKPFSRIPTGLSAKQLKHAKELEVDLEGGLEVTLNVEIAPKDPSG STVPYRLVVPRLWYEYEGEEPEDEEEEREVERGVRRGGQSDHGQQQQGGALAEGGQGP VFEKKPGGLKRLFSGRRRKSVSEERMGTPV QC762_101950 MLPRSPFKIRKLITQKKAEDGEDIEGSYFNHDDEEMDTLSRIKT WMPPKGLMKRSKPSIDIRRSEDLHNIIGIPPLPDTPQSSTSSLCNYDNGTEHVGSRCS PPRRPTRSPLASPHGSPQRSPQRSPQRSPLLSPQQLDDSPRRRGRSPTCSHSFRDYPQ LTPPSSPPQQAEMFPESPSIPADVAAKASNETAPRSVLEAGPLGTPSSQMNTPKLFSK DVMQLLHETEQAFKPQQSFSDAKLTDAQLAKFAKPRQSTPMSPAMARRKSQRRSQGSN SLRSTKSVRSVKSPIKATAKTPPSQTPARLPSTSRPKRAKSKKARRRPVQPARRQSSM WQLTESAKDLFTIRIFHRLEADEMLPESTLREIRMSRAAQWTRSPELGVTHVDKKSTA TTPAPIEPLSLDESAAAAEDSVAPRASAANKGSEIKREDCQTSRAAQTLEQAREIEPE HKEPEQKPVEEDGEQRHDSPTAGLEDDEEEGDLPIMIIGDEPVTPTTPVPTQEKPSMH RRLPSKTLPPLPTIPEIIATGPDDANIILSPTSTPLPPGVTTRANTDDYVYLDSTPYT MTHPTFRHGPVRLAKADLPIGKLAAAVDDTLDWTAFQMAILGGAGDFFSEPTDYSKPS DMELDELDEIYNWFTGFGFVSAGGLVTSTERRPSASKESSNRRPSEGRALDAHSVEPR TPGLSPSSNTSSTPNSSPRTVIISGQRSPGIVRIDPPMGDSPSKTGSSAARNSSIASR VLAPPEFHKKHRRSVSSGTFSMGQSFSDKDKNVNGLAIDSAKRPNSTDSMQSLPQSPM MELVLTHDVHGNEVPVPMGYNMSHDARDFLVWHTEHVSL QC762_101970 MRFSNMKQAVFFSLLTGSLVQGQFPVATSITSANEILDGFVSFS IEFSSFPDFAGNNSHPNTFSDNLLENIRHLTGTKPYIRVGGNTQDYALYNASLPYALN GTFNPKRSLDYPTTIYIGRSYFESYSTWKDVKFSHGFNLGLGANTSEGWQTLLDTVPL ACKALSDGKLYLWGYGNEPDLFSTAAQGPIRPPSWNESTYVWQWQNGTRTIHALVRQH CPDLARESEYGYIAPSHGGVGNRLKAHVSWAAGLNSGRNVRLYSTHNYISGATTPGVT LQSTLLNHTVTARSVNAQAAEYYRIVSLDPGAPPPIFGETNSLYNQGRPGLSNTFGAA LWVVDFNLYAASKGFKRVHMHQGTNYRYTSWQPIETNVTSIGTKPPYYGNIATAAALG RHEKVEVVNIPLTWHREAAYAIYHGGILSRVVVVNMRGYNTTVDGEGLVPLPNPPKRG SVEYFFQLGGLGKRAGVDVGVTVGYRQKVKVQRLRANGSDAVTGISWDGWSYNYELDQ GRPVRMGNVTTGEEVEVDGMGRVKVGVEDSSAALLVF QC762_101980 MLFQKVLTSTARIATRSHQFRSPLTSFSRLSSTMAPKLRDPSLF KQNVCYVNGEWIPAKSGKTFEVHDPATEQLIGTCPEFTAEDTRLAISHAETAFESFRH KTGRERSKLLRKWYDLVVENADDLATLITWENGKPTADAKGEVTYAANFFEWFSEEAP RIYGDTIPSSIPGNRVITIKEPVGVCGLITPWNFPAAMITRKIGPALAVGCSVVVKAP GETPFTPLALAELAHRAGVPPGVVNVVTASENTPEVGKELTTNPTVRKISFTGSTPVG KLLMKQCSTTLKKLSLELGGNAPFIVFDDADVDLAVAGAIASKFRSSGQTCVCANRIF VQRGVYDEFATKFAAKVKEFKVGNGFENGTTHGPLIHHKAISKVEEHVRDAEKKGAKV LLGGNKLPDLGPNFYEPTVISGMKVDMAMASEETFGPVAGLFPFDTEEEVVRIANNTT VGLAGYFFSRDLERVHRVAEHLEVGMVGVNTGLISDPASPFGGVKESGFGREGSLYGI GEYQVTKMVTYGGMGKKLQS QC762_0028180 MGYRILHRPWRAKRPLYWGMVPELAGIIPLLVLFGVQQPDAWRT LFWRIGSDYKLNSSPTMILYAYANHRPLPTIPFVWSQTLTTFNVAITIVSLFILLAKM IAAIMKIFYPIIGTAVGVSLTALYAVSVYGQAGPDYADPRYPSWTPWYIRKSCDLAIP YNAVKSCQMSKGAFAVTVYMLAVYVIQTSFAIWAMWPNKMLDMMDDSDDEEEYGYNSA QKDKGISVEMTTPVSPEEGGSGGGGYYGHYQQQQQQQQQQQQMSGAAGGSSSGGGFTH NPAPFTPRTQAFHTLDRKLPLRVDTYR QC762_0028190 MESLRPRRKRRGVMPVFLLVVAVVHHVEHFVGPHGPDGEASLDD VYRAIYIAGETNSMYTVTANAPLDIWQLLTALYGMARSHDLRMYHGVQLGYLGSA QC762_102000 MTENRTEQCFPLPPTTIVLDSQQSPPVSALPPAQIPPAATSSRR DNPGPVDYFSCQDDSSEQFDETGANIDEVVSEWTASSNSSRHDSDVSTPFSLTRSLLG IGPQVSADFGFWSPSSAASSEPPSRAPPGALGKRLSGAEYRPTRPLGSPLKKPRLTSL PPNLTGKPPTANPNLLSPLFFSNSARGTRMNHPPGFADPEAAASMMGTQEGQEGFTTL RLPKSVTTASPSRSSGTPGSWSSAEQSNPSRSPDGWPPSNIAGLLQGIGVVELLEQDE RPTFMIDLNEPANFGPGQLRIIYVNAALKASTELLERLQNETSVGGHTTEFSHFKSWA LTLVPDRLSLDGSTVSPPSWAGMNWSASTLRRRFRVVRGNAHPLESKTPTPPSSTNQQ HPWAQSGPWTGRDVFAPRERGDYFGPGAESQFRSYSEPRSRAGSIADTVVQSVDDMAL VTPPPLMSAPLPQLQTIFDWTRIPLDDPNLGPHHHFARSVDWGSTSLGPVETWPNDLR IMSNMIMGSPHPAALYWGPDFVAIYNEAYIALAGQKHPQLMGSRYKDAWSEIWDSIAP VFEAAWNDGRATMKHDDGLFISRHGFLEETFFNWAIIPLVGSDGTVVALYNPAFENTR RKVSERRMLTLREVGVKTSQARDVKGFWKQLQKGLEYNPYDVPFALIYSVSEDSESEV GSMASGNLSHPPVINLEGSIGVPEGHPCAVPSINLRSSDEGFAHYMRESMADLAMPVI LSLEDGTFPVELIQGLDWQGFGDPCTTIVIFPVHPTTSVDAVVGFIVLGINPRRRYDD SYKLFINLLSRQLATSMASVVLFEEEIKRGQRAARLAALDRQELSMQLHLRTQEAVKS EYRFTRMAEFGPVGLFIADGQGQITFGNESIYRISGNERATSGPDSWMHSIRDEDRPG VEEVWHKLLDEKVAVTHEFRFRGSKRLIDGHSVDIWALMSAYPEKDESGELKSIFGCI TDISQQKWAEDFQKQRRDEAVELKRQQENFIDITSHEMRNPLSAILQCADEISSSLNE FKHGNGPVEQTLGELTVLVESCIEAANTISLCASHQKRIVDDILTLSKLDSNLLLVTP VDVQPIMVVQNVLRMFEAELQSNDITGQFIIEDSYRDLEVDWVKLDPSRLRQVLINLM TNAIKFTQGRPTRSIVIKLGASREAERESGLSYIPPRHPDQRDLTDEPDWADGEKIHL HLAVTDTGPGLDDDEKKILFQRFSQASPRTHVQYGGSGLGLFICRILTELQGGQIGVF SEKGEGSTFAFYIKSRKSDHPQVNLSAAAPTPRPTPPRQMHHSHEPASQPGQSTPEPE PKPKPITMVLDGPALAGALPKPVREPQNNSPSMDILIVEDNLVNQKVLQRQLQRSGNN TRVANHGGEALIELSKSRFWNKVAAAAENEHPPPPQRQDSEILPDGMGDVKMADEEDE DNDDDKGERNISVILMDLEMPVMDGMSCTREIRRLERKGVLTGHVPIIAVTAYARPEQ IENAKAAGVDDVISKPFRLQELLPKIEELVAKYKTLSCEA QC762_102010 MADTTKPVEVPATTAPVAEPVVETKPAEATPAVAEVSETAPAVE ATPAAATETEVAAEAPAAEAEEAKKEEVKPVEEGHLEHKGQGANFPKNFLYTKTLFWF GSEPVNVKEIASFKAEKAADVAHHVASWAAETGKGLLFYSEKGDKAAPNGAIQLAEAT EPVVDGTNKFHFSSKGHKHTFKAPTAADRDNWVAQLKAKIAEAKELAATVTESETYKK TLESFKPAPVKKEEKAAEPAAEVAPAATTEEAAAAVAEAPVEAAAVETPKEEVKEEEK NEEVKKEEPKRRSASRKRTSIFGSLLGKKEEKKAAEPTAETPAATAEEPAATDAPAVT EAPAAEVAPAVEAATVAETTTEAAPATTEAAATEAKPEEKAEEKKEEVAEARPAVPTK RSSIFGSLPFGKKKAAPVAEAPVKETPAATEAVAETAPVIPAVETTEPLSAEVSSPAN VPTETTEVAAAPAETNGETRPAVKSDKRKSSLPFGLGKKKESASSDEEGEKVKSPSAF SKFRATIKGKGKADKVEEKKEETPAATEAVAEEKAEDKAEEKKEEEAVKPAETAEVAA PVVAAEEEKPKPAEAAPVVTATA QC762_102020 MISVEDTTQVGANDANPLIGFLTQIDLAREIVNLLHPSVRDITA LAFTCKQAGQYVDRIMVSASPLTSSTYIQISLMFISPNSATTEEKSRELVYGATFWSS HQPPPSPRVARHISMISEGLFILVMVSIPQSFRHVVLDRLPFLDVNMVGLVISSMPNL ESLAISRCDLLDVAKLPALIKIIKEHPRTPRNKGKAKALRTSNAIEGEHVGENGGKNS NGNSSADDSSSTEDEDQTSSNDTSLLTEPDTSLISTDTAATWVGDEDQTGIDVIAATT DAESNATIHYIRLDFSPFFFRGPNTCERLGSFGVTYNEPTFHTPKAVVALMMQCWDDA DTIGMDLMSDNSSFFSFVRRLPGWNCLWSLKARDAMLSFKRETSGIVLPEDFQRTVER TARSEIIAENHGSSRVAQPAFLDQVTARVVKLRKEKHDEIKKEAQNRFCDDLMAATSG DDFRPSDYPLPNSIAFYLGNAENHNAFGCWRRQYLCQGCKKLLPRVLFAIELDDCWGC KMVAFVRDMESSDLRRWKQSAIGYYLKGLDIKKGSLTDVIDPARGRHLTAALGAAKIA DSIWLKFMNFSPTDPVVYPPEPPNLHRNTAAYSRYRWKHNWPEQAFDYREGGPQHEDP FKHPNSDWEDTELCGGEPPESFNANFRWSEEASTTLFEEYIRRNGLAKQITDPEAQKR IAAAKEWEKIRRLPGPKDYSKNGMWHLGRDLRRYYQNQGDKSIHALIHGRVEKCIWSF NTPMLRPFDLDHPIPDKRVNYEAWEELKEREIWELVPAGHSRR QC762_0028230 MAAPDRKQSPPLDRHLERQIFTTVDNVQYFVHPQRLGRVGNASK LRDIVPVTGLTLEQYHDESCISTLERFVVADDVYTTGFGHRIVTIDHQDPTVDAKAGA DNKFPLHVVHGLILEDLEHPVRPGPYFLYNEGLHQAWRLYPDSLRAFNFGVFPKSLHQ AENSAFGPVTALSQDGLHKAIAVPSRLYYSDPSPEKPLAGMRFVVDDFFPVEGVKTTL SSESWTSFVPPSSKTCHLIKHLIGLGAIMVGKTKVSQFGILHSAWVDVSSPKNPRADG YQEALGSSPGAGSALAGYKWIDCAVGIDTLGGFMETAEWYGLFALRLSSSGVLLAETD MPSMRLSAIAFMSRSLKSVQQAAEASISRIETALTHKNSSTPPTNIVYLGHRFSKPNL ELSLHLANLVDIPTEEFDMETSWETRSPPKAPSQDPLHTYMEDCAWKAYCHDFGQRYY ESMDDYAYKNPSAETRDLLRLYWDDAVESVWREMDNLSNEDRENCYARIRDFAEWFDK SMESLEEPIVLLPTVAGQGPRSRVYSPSSYAPTAHRMTNWDDTLAAILERPQVILPVG RISFSSAISRATEALPLCISMMSRRNTDLELLDFVTNIMEGNKLQVEVEVGKDALPQA KETWQFFKASDSDGDEEASSEKGGDKSPPPGELDGSESEGSPEDDEHLGIQMSLEEDH QC762_102045 MAPGSRSSSRLSQPLPMIIEDVVHSTTPPPGVPPKSPRRPPVSR SNSQGSSVRGSHRSRTPPPFGAVSGGVERPASGTAKMEVAQREVVGGGGRDQGPVARK GWYRKMFGVLLVVGVIVGLSAGLTIGLRKRNQTSQSDSPSPTNLFPSGSYIFTTALLT NTSTACLTFPSLATAWQCYTSPEQQPQPRLLATAIFHWTITPSPRSGYTISSNPSHTT GPQFQNLTLTHLDINQYNERFVFSLIYPKPVTLSIPTNGTEGGGEERIQCWFNTTVLS AAIYTRQRASWPEDLGGVILTEITGRAGKEGEERVWPFLVEVGEVQQGGEDGEGGVVP DCYRDGRGVDLGGLFGGNDNGTAGGIDGAEGECGCWYKNFELDGLRGNGTMVERLRRG R QC762_102050 MVPPVHRGLVAGAALAIWATAVVAIPQALWVNGSVVAPCDSPLY CQGDVLKAIQLAHPFSDSKTFVDMPTIKPLDEVLEAFKQLSLPLSNNTELQNFFRENF APAGGELEEVPKEELETDPVFLERVADPVVREFVSKVIDIWPDLTRRYAGGSNNCTGC ANSFIPINRTFVVAGGRFREPYYWDSYWILEGLLRTGGSFVDISKNIILNFLDLVDTV GFVPNGARAYYLNRSQPPVLSLMVKVYIEHTNDTSILERAVPLLIKEHEFWATNRTVE VEKNGKKYTLNRYFVINNQPRPESYREDYITANNESYYAETGIIYPVKTPLNETEKEK VYANLASGAESGWDYSSRWLRTPLDAAEDKYFPLRSLNVLETIPVELNSILYQNEEII SCFLKQQGNETEAEKWAAKAKTRSQAMYDVMWNSTLWSYFDYNLTSASQNTFIPVDED VRARETGPAPPGQQVSFQIGQLFPFWTGAAPNHLKNNPLAVQRAYARVAAMLEEKAGG IPSTNYFTGQQWDEPNVWPPLMHVLMEGLLKTPPTFGEDDSSYQAVQDLSLKLAQRYI DSTFCTWYATGGSTFETPKLQGLAPEAKGTMFEKYSDNATTVAGGGGEYEVVEGFGWT NGVAIWAADTFGDKLKRPDCGDIEAANVHARRGGGLLPPGFTKPDKRADKKEDQLEKR GRFAVELDSWDAQWVKKFGGNKGQRK QC762_102060 MHGKIMRLWPPASSSLTGELQAYLTRGVKAASPLLTRSTSRSLT QSSRRCLTSTPSSKLKMSLANANAEQGNGPKQNAWVGSAGAAGYDLRSDTMTTPTASM LTAIQNCTLFDDVFQEDPTTIDLEAHCAALTGKEAGLFVLSGTMGNQLALRSLLTQPP HGLVCDYRSHIVKYEAGGVSALTGATVKTIVPKNGIYLSLEDIKANVYLDDDVHTCPT RLISLENTLNGMIMPLQEVQRISAFAKENGLKMHCDGARLWEAAASGAGSLKEFASCF DTVTLCFSKGLGAPIGSVLVGDKKVIKHSRWVRKSIGGGLRQSGVVTAAARVAVDETF GKGPNGEVGLLRDTHILAQQVAKIWTDLGGQLIHPVHTNMVWLDLEDAHCPDARVDEL GKEAGLKLMGGRLVIHYQIYQNREFVVPRLESIFKTIMGEKNGNSKGLKGQERERSMY RTSQ QC762_0028280 MSATTAAAVSCVECQRRKQKCNKQFPCNHCLKRGVSHLCRFLSK GVGSKSSKEDTVLSDNQLRTSKKRSSDSPDYGDAFDGDADFDNQNSAAEVSDALNALG YMPHDHHLVLGNGSGPKPGKDVVEDEAEPSEELKAALESMPAKPYTDCLVDNWLNGAN HHYYALYPSEFRTQYDGWWATPPNKVSPELTSLILRVCACSLHFIIDDSVKERLETEL KADAVTFAQRMHNAADKLSTSIAPGKGGLIHVQQLFLTAFWYKSAEKWTEAWHALSRA IRAANEIGLHKDSLSEGMSEFDREMRRRLWGVLYMWDFALGSMLSRPLLVNHADCTFV MPTLALEIDPERPNQPSPFRHMNLHCQLCLAMAAEFAPRADGKVDEADQARRLRDVVY KWYEDLPKEYSRTNPDTQWDEEFDWVVFQRRYLHLIGYMSLFSPLKAFVTRNSGKPLT KLESELRAAGVQAGLDLMDVSWSFFENMVSAGAKFHYAIFCIFDATTVMCSAFVHDEA RTLPQRETVLEAINKGLHMLEESYAESKTTAALYRILKGLIINLPLSPQEKGVIGAPK RSKRTNANQIGDSTAAGSSNNALARRILSDRISEGVAQGSNTDGTLVESQLGSNSSQL GSNGSVSVVSSSSNNIPSSEVPLRSNAPIPSGNGQVFHGYQPMDNPPPSNGHDHLSHM HAQNMQPSPYYGTVDQFMPSSAGMVPSNEYLVTDSFVPNSGFVSSNDLQFSNDHHIFH SGPPDPLGYTQPAWEPAPDANPNFGLLQGAIQEVSEDAVPAVPSVLEYWDWQQLDLGN PGYWGNQQPPRM QC762_0028270 MEPRALSPARTPQRRLLISRSNSDIPSDKESLCKPISLLVHPPE NNDSAEGKITNLVGSTNRSAQGVPCFGPLLCRLVPERCQKELLDVNQTTLSWRYACAE LVGRVVHALGECHGVGLQFGFEPFFDTIIDDEMQRACTNTQNQAGKLWRDLVRRSCPP AIILGSELGRVEGTWETGQQVRYIGDVNRDRDVLVVVVGTVEPIVDKAIGVGLCRHGL KGSLEFFGRLSFILDNILTWLLNGQSVSQSPARTGRGRKLLTLGPLPLPRTR QC762_102085 MSVPGMLTGGNSAFAGIDKSWLILTKCLPYDLDLGNVDGYGVWG GSMGVGGVDYRRIAFAPGGITFDSPCSQGTLWPNRDQNGSPMTLGLLSMGLSTEATGW MDGWMESVGEPDKTLLANLASSHSASLFDIIKTPRSRGRDSRMNMKAVCVKFCWQAAS SSSPAYTHTSLSFHHKREPAFHLSWWFRNDVHSPPSPPPPRNWVSV QC762_102080 MASENEHRAQAQHEPAQAAILDSNKSESLANMSVATNGQAPPRR NPLFDDDDDDGDDVASTTSSNHDTVSPTVTSPPYWHSTSHPPNHPASNGLRPLSTASV ESVLPPGAITLQDNESDLPSSHFLSTSPTTPQDRNRSCWARSVTITDYITINTSSTNI GAFTVWNISVETLEGPRINIRKRYSEFDDLRKKLIMTFPGFEAAVPELPPKSLVKRFK TGFLEKRRGGLQYFLNCILLNPEFSGAPVVREFLFS QC762_102090 MTRLTLSHLLLPFLGGTTAGYTTLSDDTLRHISSPLSASSPSEL DPFDGSLLSPILIPRVPGTPGHAAVQAHFINYIKSNLSPEWTIEWHNSTSKTPATGEK LVPFQNLIIRRDPPGMTREREGEMERLTLAAHYDSLYRPEGFIGAVDSAVPCAVLLWV LKELDGAMGKRWGEWEDDGLERGKGVQVVLFDGEEAWVQWSGEDSLYGSRALAEDWQN ERYEDGSSRLDTISLFVLLDLLGAADPTVPSFFGKTHWAYQNMAKVEERLRGLKLLES EPKAGRFLHDSERSNWNFAGYVEDDHVPFLRRGVEDILHIIPTPFPRVWHTMDDDVKN LDVATVKDWAKIVAGFVGEWMDLEGHFEGVLPGTEDEKESPAFTVLVRSSLVWLGAGC LGLLLLP QC762_102100 MVLDSSRRAAAECVSEEALIHLKYYKYSAVDKSPISNYVLKHWW NAFVEVLPLWVAPNMVTLLGFFCILINVALLVIYMPDLVGPGPTWLYFSFAFGLFMYQ TFDNVDGKQARRTGTSSGLGELFDHGIDSLNCTLASLLETAAMGLGTSKSGVFTALVP CLPMFFSTWETYHTHTLYLGVINGPTEGLLLACMFMILSGIYGPAVWTEPLVDLAEGR VYLLSNLGLTKDTIGDLSIRDIWVGLIIFSLLATHIPFCVLNVVKARRARGQPVAPVF LEWTPMAIFTFSIGAWVYSPYSYIRTDNHLVLFCIIMSFVFGRMTTKMILAHLTKQPF PYWTVMLWPLVGGAVMANLPRIGLPAVSAEYEHMYLVSYLGFAAIVYFRWAYLVTTSI CNFLGINCLTIPYEKQMENKRKAAGIINGLAPKKD QC762_102110 MVNFRTTVLAFAAAVTVSADYVIDPNTIPLSARKAWCNDQRSTC PTICRQTSVGQPLVNDCDPETLRYGCLCSDNKQPNMSEYTLTLPYHVCTAWGTQCVKD CGTNNLCSAACREENPCGAQNPSRVNETKTATTSAAEETATPTDGIADGFGDGTQNNN GRPGSGAGALALGNAYGLAVVATGLFAGFTLLL QC762_102120 MRFTQSFAPALLLLAGVAQATSWGFDDGSVSVVAKRAGDAGSKE RFTSKTLVTKPLSLGSTDTLKISLTAKDNGQGKRPHQAFVILKEKETGLEAPFPLTVK ESGKAVASITHKDLPVQFLYSDGPLEASIILGSFGSSKALNAPVFDVKLQKDPNVPLP PYEKPVRYGKKEEIHHIFRADPTSPPKVISIFFALVVLATVPVLFISWIFLGANLNHL SKAIGAAPVSHATFFGSILAMEGVFFLYYTTWNLFQALPVIGAVAVVTMLSGTKALGE VQSRRLAGER QC762_102130 MDVNSNRSNHHQSSKPRHISRLKKEMLEHRIQHNPFSSPPSSTG SHDTVSTTTEELTRNLSNFSFSPDGEGTRRLSEDFTNPAKRQATRSGRFGSSRQPRDT NTVLNTSAIARTFPEWSGLLNNKTNNQDKDTATLTQTFDFAGVIKPLTNGANGGKENV PPAREVTEEQTFDNPLDSRKKRTRADMQARVENESECSTVLSLSMSPGRHGRRSRFQN LDGVMSPEAPKRSIQDMVSKIRTEKQNSRRDMTPRKSLMREPSTAQPNPDTLQGQSFE EGRSFFLPGFRHLPDWTSGTLKFSTMQNGVPVFVKSKTGIRFDQSANGHGALNSVSIP EEDAEIFVSMDKLQDEVRELQDHDAMLQREAEKLQREVNHLQSELKRFKSRHPSDSAI GSDTDGSFRRSNGHDQELEGQIQELRDRLNHASQQVGMNDIHSAALSAERDEALRQAS IARERAAKLQAELEASQKDLQYSLQFRQDKESLQLENGMLMSEAEAMQKQRDEAMHDN ATLIAENDRLRRELAGVRKDLKATREELASVRKQYEALKEERKMFVDDHKSMERNNDT YFKENKKLQVQVAARDQHITDLKKGISARDKIIDSMQGLTTDTAVLQLNADLEVELER LTNQMEELKDDIHEKDSHLNDRETRIRTLKDENMEISIDNERLKDENRRLREEQKEIE DQWVDERQKVVRLSQMLNSKSLNDNEDCVRLGDDFRIKEAELQRKLDRREAAVRKVKL LTAKIAEIAEQEFTALAMRTTKVKVVDPKDVDDFTGKSSNMHIDEDPTTELHMNAQDT DFASVMEGELAKLKQTYQDLQRRQQQGDTQQFTDYSLPQLPSLQRSKSDSVVSSKIAQ PLPGILKKSSQFAPLEEDTGRFSVRSAMSFASPLDDESVQITQNNTRRSVASEATRPE SRMRRNSENTTTQQQQHTGTVEPNMTSAFFMPDITLSSGNKQQQAASSKQQSNVPSLS KDARRVLDGICNHKSGNCNVCVRIAAHGATAKETTQSLSSTKLHLSAEELKRGKKTVS VEKPVPASDRYYTDEPTMRPSMSPGEALAILIKETQDEIEHLQMELKRVNEGYFSLDK SVGARERKRLMGEMKRLQGELEAKSGQLYRLHDVLEGQKQAGQVMEGEVVDVTVLEGL PVRVEGGGRTESVFEGFE QC762_102140 MADPYDADVPEEESPIFRHLLASLHYGYPAAVFIYYTISSTIAV CTLQTRSTAESKDHPRRRFISWLLMLGILSWFAQLGYLLFVAVAEEKFPPAEQDVIIG LLSCALVFGVQFAGLWEAEKAVVLWPYMGSVGMGMVFEPVLVWLTWKTRVETGGLGFR KIFDFSAAGARWAAFGLVLMFYFEGVWNVKREKATDMERQGLLNKTNGHVNGVESDGE ERSQNGYGATSDSSTDASQSPTTDAVESPWERRERQANEAMEKRLKEKGNWVTYAKSF LVFFPYVWPVNHRSLQVRVVLVGVCLLAMNAINVLIPRQMGIIMDSLAGDVDRNPWTE VMIFAGLKLVASEAGLSLLRQWLWIPVEYYSFDAMSTAAYSHVLNLSSDFHDSKSSSD IMMAIQSGQSISNMLESICFQAVPMLIDMTVAFIYLSYTFGPYEGFITIATAAVFLYI ATRMISKLKSARRGEVSAWFKEHYVRQAGIQGWSTVTCFNQVGHEEARYSEAVKDRVA KSQKVYFGYVVAYAFQFLVLLSGLLAGAFLAVYQITHGQVTPGKFIMLLTYWAQLVAP LTFFAGLGKTISKDLIHAEQLLEIMQTKPTIKNKEGAPPLHFTGGRVKFENVCFSYDK KKEILRNIDFEATPGMTVAFVGATGAGKSTILKLLDRFYDVTKGSITIDGQDIRDVDL FSLRGQIGIVPQSPILFDDTIMNNVRYAKLTATDEEVHEACKAASIHEQIMGFSDGYN TRVGERGVKLSGGELQRVAIARAILKRPAIVLLDEATSAVDTETEQKIQEALRTLCKG RTTFIVAHRLSTIMNADRIIVVGGGEIVEQGSHEELILQEDGKYAELWSKQIFVKPKD SKDSTPDGTRSPVKGRKAPNIVNDLSAEATNSVLAKVTNKPSVQTNGDVTKDPSSAVN GSVGSENTKPASGAVNGHKKEDTSSSSSRLRLNPGAPTFMPRISTTTPSSPVGRVVDR SFGNDSPRVVPLTTTPAPPLSPVAPLPRGLVPPPGVIPPNHHVQFFATPVVMVPAGAA ATPYPLFPPGGGLPLSSSLGVRQTQQQRVGQDVKQQYWGKVPSTVGMMGMGLTTTPRK PRLDWKSISPRTPESDDEEDEDEKGKPTCFDDDETKGKKGGGDGDDEKDGEVRGYFPF YSRRIQSKSEPSGSGQGVSRSPTEVEE QC762_102150 MSAVGFNNSTSLRACLGPSSFTAAATAAVIMNASRQIQRLSPAA PLRVSGLRNITSNNTVVPAQIRLLSSQTSHIQNGLRRPSTPPSLQQQPLLRSTFLPSS LRLDTSPPSHSRSFHASSRSLQSENAKERKVPVEEKEKEPESKEEGKEETKEEAKEKK EEGEGEESEGKKEEKGKKGDAPPPPPHGDKTPWQVFLDTMQSEFKQSKEWNESTKALS AGATEFIESEKVRKAREAYEATTGAVTNTAATVVKTTAGAIGKGAQWTWETRAMKGVR KGANITGEVLDKATKPIRETEAYKNVKDVIDDGSSSRYGGWIEKEERRKRKEALEKLR PQTEIHEEDPNAGTNVTLHKDAAWKEAWRDFRDSNKMMQSVFGLKSVYQESENPLIST ARSITDRVAGFFAENETAMVIKKVRTMDPAFQMETFLQELREYILPEVLDAYVKGDVE TLKLWLSEAQYSVYEALTKQYLQNGLKSDGRILDIRGVDVLKARMLDPGEIPVFVITC RTQEVHVYRNAKTGKLAAGMEDKVSLVTYAIGITRIPEDVNNPETRGWRLIEMQKSGR EWI QC762_102160 MSRFSTPRLMAQGMLRTLTKPRHPAPSAPQCARLFSQSPIVRAD NPSSPASQSDAMMTLTRNFMENVADPSKANSSSGDLTQDFNLDPLEEDTELEPYHFHI YSHKHNTHVTLTKPNRDALISLSCGNLGFKHAGRKHNDSAYQLGAYVIDKMNQKGLTK KIHKLEVILRGFGSGREAVVKVLMGNEGKGLRDKIVKVSDSTRIKFGGTRSKKPRRLG QC762_102170 MPAPNSQMPPAAGLPFPPSPQSAQSPPPYSPSAPPSTSPSTAAA PSFLPLDQLFTNPVFAGGLGLASLGAAAAFGRRALIQGTALLRRQLLVNIEISKRDPS YSWVLAWLAQPRDNSGFIAQRLTRLRSLSVTTTTKSLSKVAGEEGNGRTHADFRVQPG FGHHIVRHKPGVYIAVNREKASTATTATGEPHETLTLTLLWMHRHVLAEVFTQAHELA QSFQQGKTVVYTARNMQWTVLGKPRLKRPLGSVILDEGVKESLVADVKEFMAAQEWYT ERGVPYRRGYLLYGPPGTGKTSFIQALAGELDYSVAMINLSEMGMTDDLLAQLLTQLP EKSILLLEDVDAALVNRRQRDPDGYSGRSVTASGLLNALDGLAAGEDRIAFLTTNHID KLDPALIRPGRVDMMVRIGEASRYQAGQMWDRYYGDVDADHKGRERFLERLDGLGLFG GDQKDPAVPKRHTSTAAIQGLFQFHKGDMEGAIKMAEHLIPRTYEPEPPTVEGSIKSP A QC762_102180 MPSQPTKSGIVTDEASGERHIPESVRADGSTRKAIKIRPGYRPP EDIEVYKNPAAESFRTRGKGPPPGSEGLKDEPKPAASASANKNAKRREAARNKAQAAE AAGASAKAPEKAPEKAPEPVVEELDSEVEKAKKVRNLKKKLRQVKSLQEKQEEGAALL PEQLAKVLKINELIRELDALGFDSEGEPKNKEADPTKDKTADD QC762_102190 MVRAAPVPREMNNSVLTIAIFRNNATMLGAVFAGAFAFNMGYDT VMNKVWDHHNRGRQWKDIRHKYAESEDDE QC762_102200 MADMMSTQEQQLCQVLRNLPYRHRCRYSEDAARDLLKDLFWSMA GGRDDYMELFFPTGGPTRPDGTLKMRDAQGAIEGAEYTEAARGKACGHIFKQGEASYA CRTCSADDTCCLCSKCFDATDHTGHMVRINISPGNSGCCDCGDAEAWKRPVFCTIHSV WEGEDKGKGKANTGAPEDLLASIRMTIGRVFDYMCDVISCSPEQLRQAKTVESIERDE VNSRLSSTYCGGDTTRPEEWCVLLWNDEKHTVTDVQDQVARACGTTLATGLAKAYETD GIGRSLLMYDQSIEKLIEVAEVLERIRVTVTIRSARDTFREQMVSTMVDWLRDISGCS VGNDHNILRQVVCEEMLKPWRRGSRATHANVGQDGMDDEEMTERQYFEEDEFYAQQIR IMVQARAAARVGEPIDDSDDDDDGIDLDEEEFEHALGSDMEENGDDDGDVMMIDTRTE GGDIPMQDWRQDNALEDDEATVAGYPPPPPPPPPVPAPRRTARERELTPSDSDTAEPL IAPTVSFKPRLDIPKTPGNNKTGESAPAPGSYWLATPTGYVEEEGIPVAEDLFERVRL DWMILFDLRMWKKVRNDLRSLYISTVVTIPEFKRILGLRFAGLYTTLAQLYLIGDREP DHSIINISLQMLTTPSITAEVVERGNFLTNLMAILYTFLTTRQVGHPWDVDSSAVLAF DTGSVTNRRMYHFFLDLKYLFGSPNVQERLRTEERYMMQFLDLVKLHQGICPNVRAVG EHVEYETDSWISASLVTREINRLCRQFAESFRNVSEQEFVHVEKAIRLAAKSVIVNSI GAERSRFSGSEIKDEIRFKTLSDFEFDPAHNEIEVVKFVVEEQPISFHHALHYTLSWL IECGKAMPVEQLRALLTFTTQELTMKPRSMGKKVWPKREFTPEDYLMAAFDYPLRVCA WLAQMKAGMWVRNGLSLRHQAGTYRGVGQRDVSHHRDIFLLQTALVVCDPSRVLASII DRFGMEKWVKGIFEQKTKSQDDGQHLDVVEDMIHLLIVLLSDKTSLVRNDEEKNPQIA SMRRDLTHVLCFKPLSFSDICAKLPDKFQEQEDFHRILDEMATFKSPEGLTDVGLFEL KPQHVEEVDPYIAHYNKNQREEAEMAWRKWIAKKTGKPIEDVVYEPKPRPVTSGVFAG LGKFTSTGMFAQIVYYSLLYALTYSKLTPGVPATRVESFLQVLLHLVLIAIAEDNVTD DEQEPEQPSFISIALNQQARHFVPEAPQSKTIVSLLNMMSSKEEYKGCHLKIELVLRR MRQKRPRGFEFACDRLGLSLDRMNTSSPAAASADEERERKKKAALDRQRRVMAQFQAQ QKSFMENQQDIDWGEVDELEEDEHLPPAQEHRKFWKYPAGTCILCQEETDDRRLYGTF AYFTQSNILRQTDLQDPDFVREAFNTPDNLDRSVEDIRPFGVAGENRHKVQKVDQHGE VFEAERQCIGKGFPPNLSRPGPVAIGCGHIMHYGCFEAYYDSIIRRHSQQIARHPPED TNRLEFVCPLCKALGNAFLPIIWKGQEESYPGPLVPEKSFSDFLNEQLHSAYYSLGAA RPPDGVQDAFAAYTQTYMVTNLAEKSAQLLDDAWVHVGASSLPTASPYSETFSIVSAP ETSGSRGATPEAHSSMRELVNVYRRLRDSLKKNGLRSRHQDNLPEADSAELFSSDVLA RSVGFSISAVEIQQRGVEAEYGQTFLERIPEQVLTQLRILSETVTSFIAVGGLKENGE NRIDTEYRADSERQHCQLFIRQYTDQETEHTRTPLADYPALLRQDLFVFLCESVFGVA HAQHFEIAHLVRLCYLAEIVKVTYQMARNMPFYQWFQHITRSKQTMSPSLARFVEFCD LIFHFDVAAEAQGGLSREDFTNGGFSQEGLDTLEGMYTFVKKYALTFLRKCVLLLHVK FGVDFNSRVSPQPELSELERLTEMLRLPSFDEMLSAFSAEDGPASGWPIPATKYLVEG WIKHSVVAPNSEDEEGLSAAALVSHPGIFELVGLPKNYDLLIEECTRRKCPTKGKDIT DPTICLFCGEIFCGQAVCCAKDVKEGRKNSKVGGAQQHMWRCQKNIGLFINIRKCCVL YLSRKSGSFSHAPYIDRYGEVDFGLRFGRQLFLHQKRYDSMLRGLWLGHGVPSYIARK LEADINNGGWETI QC762_102205 MSSSTPPAGAAVGTGPQPESTSTSGKPSPGPNPAPSIPNLLARK PKLDEARRRRQPESGSLQPVPETPPLPPLPDLSTVKFQTPTRRILTPQDHKLFLASPT YTLVVSFIFHLSESVTDKPISSVSASTVTPTVQALLDILTEIEELCRSTPPDDTTGSR FGNKTFRTFLDKVKSRTPHFLSLLNLPPQHANDASLELSTYLHHSFGNATRIDYGSGH ELNFFLFLLCLRLLSLLPEPTFPSLVLLVFPKYLELMRLVQLTYYLEPAGSHGVWGLD DYQFLPFLFGASQLLHHDFLTPKSIHQTLSLEQFGPEYLYHNQVAFVNSTKTVQGLRW HSPMLDDISAAKSWTKIEGGMRKMFVNEVLGKLPVMQHFLFGGLIPATEEMGKLAEEQ PELTEEEIENGKLVIFDDTEGKRHVHQQAVGWGDCCGIKVPSSLAAAEEMRKRGVMGG GQLRRIPFD QC762_102210 MTVSTTSTAPAAALGVLPRADGSAKYSYAGYTVTASVNGPIEAQ RRDEHPYEAHVDVIVRPAAGVGGTRERHLESILQSSFSQIILVKNFPRSLIQIVLQVE DSPENDYVNTKLVQASLNFSIMPALFQTAVLALLSAGVPIRATATATAVAIVPQDGTK RSVVDPSPRDVEIAQSVHVLAFTSHDELLLAESEGDFTVKEWDDVYETAKDVCCRPVP TKEGMEMVLDDEHTNGPDLRHFLRSTMENKVASDLHWK QC762_0028450 MSRSYSLSKFPNIPTTQDSHQEMVDCYAAAWTLQRTVAEGSPAP IGPNQRFPRVTSDGKPCRQGDILLPHHYCGNIPLASRLQNSPASQRHDETLTLETFWQ LRSVLPCYPPASLKWVLTLTALLPSQLPPATNDTSGWIAQNFSTVPVICAFSRIIKVL FGSGGGGSSNRCKRHSDISCIDFELGTPTCPPIPDFHKLKRPQFTWTANLHLDHQLAG HITTNRLHSTGTPTPQAPSKPPTAGLVLVDPAAPATSLSVEKTPRSAQRPDTATLPRF QDIYRLLDCCCALCLLSVRLIDLIARTEAELATPDCQAIDNCPDSIPLLTPVSCVL QC762_102220 MSGPISSPTAIHPALSHPHPAEPSFPSLQRPSDGVNKGESAISD RATGPNISFGLMSSPPSAVLPQTQDSNPPVRHADLANPPKSALPALGSSVTTDSDPLH GQGNRLTSPPAPARGHRKNALSIEAVPRQTIMKALASVARHNRPEPLSLTGMLSAHTM ADNRPTSSSSQQLCDALNGLAAQQSARSTASQYMTPATAFPSLQSPCFYHNRFDDAVD FEKVLEEIKNDEYMSHSRLVQTATGVREVSKQLQRRPIRRAVRSVMIVTKARDNQLVL LTRELTQWLLRTPRYGADVGVNVYVDAKLRHAKRFDAPSIVAENEKFNDMLRYWTPDL CWSQPEKFDLVLTLGGDGTVLFTSWLFQRVVPPVLSFSLGSLGFLTTFEFEKYKEHLN RIMGEAGMRVNLRMRFTCTVYRDRDGSVDMEEGEQFEVLNELVIDRGPSPYVSNLELY GDNELLTVVQADGCIFSTPTGSTAYSLSAGGSLVHPDIPAILLTPICPHTLSFRPMVL SDTMLLRVSVPRHSRATAYCAFDGKGRVELKQGDHVTITASQYPFPTVVRTDTEWFDS VSRTLRWNVRAASQKAFETGATKDEEEEDCWDIDTDSACYASEESSSAASPLRRQMSL LGL QC762_102230 MDHQAPSPRASSPSPAPRAQSPAVSGDEQQSSSPTTANALPAIP QQSSPEYRPEFLRSVGENERHPKGKRKRTAAKDKAILEAAYNANPKPDKAARLDIVKR VSLNEKEVQIWFQNRRQNDRRKSRPLSPQELAALRYGGMQILSSDPAPYNTAFSSDIT NTSPLQSLSRPEQKPTSPTQPDRPVSQAGEEAEPVAEVPRETRKWEEPRDNAKELATP APKPRPAHDQSSALSQSFSASVGYLSNRWNTGNSFTTPAPVTAGRDEPFSLESFSSSC PPAFSAGSILPPPSTQPSRFRISMSLEGKAEVVASTISPPRPIAAPPTPDMLQSLRSI RRPNLQRSHSASPIVTLPPISVLTSSLTSHSPLPPRLTRGRSRDVHAWEFACDAENRE DALTAQAKNESNGSAIAAISLLRSTSSTGGSPLQQSSSAKRNATISKATSRPGAAKKA KLGRASSSVARMQSVMGLSEKANHDNQQVVSSTSEKIKVHARYSPSGHDSDKENWSPD EDGNPRTPYYSHAQSIMGSATTGRRPLPSSATRSEKDHRKHSRRTPANNKTALNDRAN TAPVKGRGQRRDKRAAQDSVLEIFEDEEAENRSPASSRAALDDEVERFMRGNVSPSKK SDVDAVAGLLSLSQGNWR QC762_102240 MYSPPSSQSKKECPGAHRRNHREWRPFSALGKEGLLMIDGVFYC NCKDRSKLSVKQVTGNKNNNQGRWYYGCPNWDPISRGGCGLYSFEDELESKHRAYERE FKYEPCETSWWIDPTRLPLEGEASSNHLHGIRQGQWDNYDDLRSRSQQGRENGEEDDE DDEEDEMHTALENSPAPAFIFQAPHQWGGSRSKYQQMYLVPVPNDDVSVSSGTSTVLK GFESFELSSQRSFRDTASSVCSTPSHKRKLGLGLGNMPTPTSGSARTPRNSLLITRES RTGSSSKRQRRVESDELPPTPTTSRTVNETVNGLYTPRVPYIEEEDEDMYEEGQAAAP VNRRLFTSPSQQSSLDPASIKQVVEAVIMTLDERDRIRSSQASAVEDV QC762_0028490 MSKPSFFFQPHILPHHTHYHITSPTPTSLSLPIPLQTTTVAWPC LASHMQKIDCWQPFGDVTKDVPRAPPFVKKGVHHCHCDLPLECRIGGPTSKRPESPYW GCAKWQPEGQHGACETWIWEGDMENAHRKWERENGSEPCVTKRWLAETAEGRFSEGGG LRKVAGNGRKRRSQMGNGKRLRDMLGSIDVKAEEVEDDDDFIDRDKDSHWGSTSWQQV EGDGEEDEGEEVISQTQGGRAEDDDDDDGMSIPSTIHGLRPRGRNLKAHHFIDLTTSD QEDEQSDHRRPRALQMEVKALKKENEVLKVENKALAKDLEGEKEVVELKNRETAILKE KVEAREDLIRQLKGEDAVVKKQQEKVPVVGDMGTVKLGGMVKAVRGVMARHPWWSIRV HL QC762_0028500 MPPSFTVPMSPTTGTFSCCFLTTASSPFNCLIRSSLASTFSFRM AVFRKGLVFHLQNLVLFLQSLYLHLKSSGPPVVRLLIFLITVRVLIPINEIIVVLNLL GLHVNTTQHIAQPLPIPHLTPSLSPVSSNLPQPTTLGEPPFGRLGQPPLCHARLRSVL SLPLPMSIFHVSLPDPSLTCSVLALGLPLGTSPVRALGPLGCWTTDTTLKREITVA QC762_102248 MDGSVTIEEKGASDAGVFTDNRIDRRGGNSLGLFGNLVFLGISP WPSTPHMQPLNGQSPDWQILCWATESAQLVWDRKPERRFLPIASQPPTMISPTTGCAL WVFVCQVPTTLLSCACLYGHMHFNPMTATWLTGSGFPFQRCMISPHEI QC762_102250 MSSSRKSRITNASNVMYTNAVYWPNNRLYKGDTPGALNYGCINR VYYAFANVTADGGVFLSDEWADARAPCDGVQGALGSLMHLKQRYPHLQVILSIGGGAS AETFPIVASSTILRDNFARSARGLVEASGLDGIDIVWEYPCNPQQGNDFLALMAAIRL HLPEDHYLLTAALPAARAVLQNIDHGRASEYLDAINLVAYDFFGTWTPKGGHHAQLYS MSKDEESGASGVQFLMASGVPANKILLGIPCFGRSFLNVTGPGHKNRGAGGEDGSFDY SQLPRKGTKEQVDKRAVAAQCVGGDGGFVTYDNPDTVKIKATFCKQKGLGGLFYWSAP SDSKDSKRSLITAGFRTLHSS QC762_102260 MADNTEAGSDAQITFKVKASNDKMHTITMSESATVLELKTKLAG PDFENIPAANQRLIYSGRILKDADALSVYKIKNLNTIHLVKSAQSNAAASSSASTSTP TPPAVPQNMAAGTPASNILAGLTGARFAGHAPLPNRDLFGADGGMGAPPSEDQMADML SNPAIAQSMNEALNNPAFVDHMIQSNPMLANVPNARELLQSPAFRQMMTNPEAIRMAA RMRRLAGGQGPAAFPAPGVTDTTPAGAAGSEGANAAQNPFGAFPGLFNNLPGAVGASG NDPFAALFGGMSPWGAPPAGATPSTQSAGQANPASPAAGAAAGASPDGQAQGAQAPPV NPFAALFGAPAAGAGAGGAGAGAANPFGMSAEELAQMRQALQGLGGLGGLGGLGGLGA FGAPPASVDNRPPEERYAEQLRQLNDMGFFDFDRNVAALRRSGGSVQGAIEHLLSGP QC762_102270 MLAWYQARLASRPLLTQSLTTSLLFGIGDITAQQLIEHRGLANH DLLRTARMASYGGLVFGPAATTWFRLLQSHVRFPSSPNRTILARVAADQGLFAPTFIG IFLSSMAVLEGGSVTEKLSSSYWPALSANYLIWPFVQLVNFKFVPLQHRVLFVNVISI GWNCYLSFLNSSAGTEAVPPQEETVKMA QC762_102280 MSAQEPTPEQVSQLKAKYEFAAQDQVFTFWDSLSSTEKASLFQQ LSLFDPTYINTIFAKTLAPLAKDEKPASLEPLPEAARASILDSDPADIERWYRSGLDL IAANKVAVVLMAGGQGTRLGSSAPKGCFDIGLPSHKSLFQIQAERIRKIEELAQKKSG SKVTVPWYVMTSGPTRGPTEQFFKEKGYFGLSPENVFIFEQGVLPCISNDGKILLESK SKVAVAPDGNGGIYNALVESKVLDDMKKRGIEHIHAYCVDNCLVKVADPVFIGFSASK NVDIATKVVRKRNATESVGLIVQKNGKPDVVEYSEIDPQIAAEEDPEQPGVLKFRAAN IVNHYYSFRFLESIPEWAKSLPHHVARKKIPYADIESGEQVKPTKPNGIKLEQFVFDV FPMLELSKFACLEVRREDEFSPLKNAAGTGEDDPDTSRADITAQGRRWLEAAGAKVAG GVEVSPLLSYGGEGLEKYSGQEVKDMLQ QC762_102290 MSETSSPQEEATDNLPTPTFAGRLKLSEFIFTPEQKKSMPLQQP LRKSPRLLTSTSSSSTPLLQPSPSIPSPLKRSPSEPPSSISSPSKRKRPKPLPTPPGP LPLLPDAIAPNLILLFVGLNPGLLTSSTGHAYAHPTNLFWRLLHSSGITPRLCAPQED RLLPSLFSLGLTNIVPRPTRNGAELSKKEMDAGVVVLEEKIREFKPEVVCIVGKSIWE SVWRVRHGRGIKKEEFRYGWQERGEDMGKVEGGGEWNGARVFVACSTSGLAATLKPRE KEEIFGELGEWVVKRRREREEEKGVGNGTDGGEDGGEGIGLVDDAEVKQES QC762_0028570 MDNFGRSMRLDSNVTTQRLAEVGFVDIKEEVIRIPFNPWPTDTY SRDIGRWFNLVMKQGFQPLCLAPFARGLNKSFSEINDFVEEVKAEAHLHSEKTTVSLA RLLVSTTSLKPLGYNKSTPVG QC762_0028580 MAMESQPSRSFYNGTGSSQQHEQNTQVYQENGRWYGTNKKGAYM FPVDADEQDRLDIFHKFCLVARKEFLHKAPVAVEEPSILDLGCGTGIWTIEMAE QC762_102310 MADPNSEPLPKKNGLDGGSEDETVAAVENGVVVNHSGYRDQLKR QYSLLGLAGIAVTVDNAWVALGSSISVSILNGGPPGLIFGLIVALFYYTFIGLGLAEL ASSVPTAGGVYHWATIAGGPKHGRFLGFLTGWINFYGWMFDLAALVQITANITVSMYV IYHRDTYSFEPWHVYVAYLLVLWICILTVIFGNKLLPYTQNAGMFFVIVGGIVTIIVL AAMPKQRASNYFVWGSFDENNLTGWQGGVAFLLGVLNGAFTVGTPDAITHMAEELPHP RRDLPIAIALQIGLGFLYAFCFAIALCYAITDLGILQGGINTYPLVDIYLQATADGEG NQNLGATFGLLFIIWCASMLCCIGTTLTNSRIYWALARDNAVPLSSLFSKVNERLSCP VPATLFVAIIATGIGAIPLGSEVAFLNLAGSFIILTTVSYAIPFAANVLTGRKHFPKG PFHLGNSGFVINILAVLFITLFDTFYCFPYALPTNAEIMNYNSVILAGVVVITMAWWV VHARRSYPGPKVMGLYVVHDDQVLHGAAPGGGQEAEGFGEKKGKE QC762_102320 MINFNSGSPYPEGVISFLDTDLYKLTMQCAVLKHYKDVPVTYAF TNRTPEKKLSRKAFNWLEEQIRKLGNISLSAEELQYLKQHCPYFTPEYLEFLSEFRLR PREQVTTTFSPGSEDTGSEGDIGELDIKISGTWLETILYEIPLLALTSEAYFKFMDTD WTYDGQEEQAFAKGMRLLEAGCVFSEFGTRRRRDYHTQALVFRGLTKASKEAGKKGWS GKLSGTSNVHLAMRFGIPPVGTVAHEWFMGTAAIVGDYTLSTEEALYRWVECFGEGVL GIALTDTFGTPEFLKAFSKPVRHLGEPAPQHTDRKPSVADSFISAVTSATKPAKTEMT YADVFTGVRQDSGDPKTFVKVMRKFYDEQGIHDKKVIVFSDSLNIDRCLEYKQVSEEA GFQPTFGVGTFLTNDFVHTTTGKKSTPLNIVIKLSSAAGRPAIKISDNVGKNTGDKET VQQVKRQLGYVEKEWQEGDESARWGREDDSTKQ QC762_102330 MDIHRCRFVQYPPQAINSVAFTHSSLPSTSAGKKYLQKHVQVRL AIGRANGDIEIWNPANGVWHQELVIPGGEDRSVDGLVWVTDPDEEMTDGKIIYGKSRL FSIGYSNEITEWDLEKARAKVHASGQHGDIWCLGVQPLPNKATVNTSRKLVAGTADGN LVLYSIEDGDLKFEKSLIRTPSKKVKFVSITFQNRNVVVVGCSNSTICAYDIRNGELV RQMSLGADLSGGPKSIIVWAVKCLPNGDIVSGDSTGQVRIYDGKTYTQAQRIQSHSQD VLSLAVASDGSKIFSGGMDRKTSVFIQVPGQNRRWSKKYHRRYHTHDVKAMASFEGKG MSVLVSGGPDGNPVVMPLRMAGNENHRTLPNLPQASAVESAPKARFMLSWWGNEVRLW HLPSPAQQILHDASATQNFTSQSLRKNRKLLAKILVRGESHISSATISEDGKLLAAAT STDIKVFQLNYATGQPLEIKKIDLDTSGQGASKVQIAPNKQWISWVEDGSKVMIAKVQ PTEDGSYTISSPSKLARLRRQISKNLLLGGLGNYNRNITQLTFSPNSKMLAAADLAGY MDTWVLRVPGDAPLTNGTTTAHHSDDEASSDDSSSDESSSESSADPNAERWTRSPNAR LLPKLSSVPVVLSFSPRDDYSLLVVTIQKQVLVFSALHGVLSEWSRRNTYPKLPERFR ITRDVMKGVVWQGERIWLWGVSSLFMLDLSRDLEFAEGATVVKGKKDRSKKRKRVDGS GAGGKMEGREVIGPQVVKKMVQGEDGETRWEEMELDGEDDWASTAGGSEFEDDEEEGS ELMKLRQGEEEHEEEEGANGTVAVAEKDKKARWWFTYQFRPILGVVGLGEEESEIAVV ERPVEADGGKERYFGEGEWER QC762_102340 MAADRGSAPPGSGQFGEEWAHDLRVQFEQLLRTKRLNELQTSSR SGSSSRASSVGPDGTYASGSSQAPPPSYSAVRNLPKVPRAPAPNDAASIKFRNLLISL SATPTKYENPGLLDEALQVIPLDRIYGEAEEETQVLQAQAESMGDGRKPEWGYQDCVI RALLRWFKRQFFTWVNNPPCPICLSPTVAKGMTPPMPEEKACGALRVELYQCASQHCG AYERFPRYSDVWRLLQTRRGRCGEWANCFSMLCRAVGGRVRWVWNAEDHVWTEVYSEH QKRWVHVDACEESWDNPRLYTEGWGKKMSYCIAFSIDGATDVTRRYVRKMECYNDRSR CPEEVLLYILQEIKHLRRANMPKEDRFRLEKEDSREDRELRSYVVASITQAVTELVPG QVNTSSQRGTPTNDDTKVPVSADLPVRQSGNAEWVAARGENGQRNQQNQRFQPPHNQG PRFP QC762_102350 MPAGKTLDEFGRDEYGLPGDKQWASKYLLEPLYEPEPSQVCATR FTPLPPSPPASTKSPTTPTRSAVGSIRRKFQHTRNVSYTEGDEEEQPFLRRLLSRTSS IRSTRSSLSLNRQLPPSPPGVDRTLSTRSAIPLRPSHNRNWSQGTILSRANSVATSTP TSPRPPSKMSNQFFPSTTTTTTRNRSSSLNHPPPPPSDESFPIRRGNSLRLKDRYPGD QSHRPLAMLSSSHRAANRHVRPTSSHARPFADPIDQLDQSSPFSPYHHPGPYDPTLIP YNTNKKYSPLEAVKSSNEEAIKATPVEYVKDSLTKHVPLQGTAVVPPGERDVMTGRRM GEYQEGADLMREQSAGGGAYKRWPGVEYREGDLKGKGEGWYSGVGAAEEEERRRVAKK RGGIWLGVLVGMGRGVLMRCSSLLRGV QC762_102360 MPPLVDYGSDSDSDADTANPAPPPAKKPRLGAPGTGTATALSSG PSPDLPPLPASFHDLYASTARTFDDPSLHQGRTRQTPHIPGNWPSHVYIEWHPPPEFK RMLSGLIYSVRSQIRKIDPEVEITSFLESDLGVPLPLHISLSRPLNLSTFQKDLFLSD LQKILAGDQPFEIRLGRVEWHFTSESGRAFLVLRVACPSRNNELVYLLSKINELANIY GQPQLYSWASAAEGKDVADAFHFSIAWCLGKPSDHLERITKEVFAKPEIRTVIGMGKL TIHSIKVKIGNVVTNIPLRKEDEERRERKHSALLGL QC762_102370 MKTAARLFYLSVFALWSPEVQCQNSQPSASPPAPPQDACAISPK AIVSDACASYSTLERLNRNVKPALDELTQTTDFFSHYRVNLFHKKCPFWNDENGMCGN IACAVETLDNEEDIPPVWRAKELGKLEGPRAQHPGKAVQKQEPVRPLKGKLGEGVGES CVVEYDDECDDRDYCVPEDESATSKGDYVSLLRNPERFTGYAGDGAKQVWDAVYRENC FQRSSFPHYAALGKDTSARGPAAMDFKAVLDAAGRQHVLEQTREHNPLTPFVANTGLE AEDECLEKRVFYRVMSGMHASISTHLCWDFLNQTTGQWQPNLACYKARLHQFPDRISN LYFNYALLSRAIAKLGPYLTAEQGYTFCTGDPAQDAETREKVLAVTTKAASVPQIFDE SLMFKNGEGPSLKEDFRNRFRNVSRLMDCVGCDKCRLWGKLQTAGYGTALKVLFEFDN NDHPQVPVLKRTELVALFNTYARLSSSMSAIQKFRGMVEAEDNKGAKKVVVNKILSVG PIKKALDEQDVDEVETKREERIRREPKSSSEAMAEEWENIKKVTMFVLKGWISVPAKA WVVLSQEAARFMQFFLGLPVTPRTWTLEFPRVDEL QC762_102380 MATLDEMGIDTSRRNPSPRPLSDSERARLEEFIEAIHYSTRYND NEYEYRHVQLPKAMLKAIPKDYHDQSKGTLKLLWEEEWRAMGITQSLGWEHYEVHEPE PHILLFK QC762_102390 MDNKRKAGPTGAAENDDRAAKRRKVPRPPQEYDLMKGESPESTS AYGLVFLETIRRTKDKSGRLVASYFENLLPREKNKDYYARIRMPISLKIIERKLHNQD FANMSELESYFKRMVTNAKEYYPKNSEIFEDAERVRKALSNYMTKTNPAYKLVQGYSC TAAPIPDNLQSTDFMDEDAGGGEEDAAGEDDAEGEEDEDAGEDDEEDEQDDEDDGNPR KIILKRRDSNNGVKRGPDSVRRRDRSGRAKADHEFEGVPYKGLNFQHAQEKIVEELIR KPDEDGGPHFLDFINLPPRSFKDYFAVITSPLSLKGLQKLVKGIHGRQAATGISDFKS WAAFEEKASLLWTNAHFYNEDGSEIHTLATELKQAFYDELNAAKAVVPEPPQQPKIKL KVGSGQDTPVLGAKKITIHVGGSKGSATGSPAPPTNRSSESGPIPPQASFTTAASFQL DKSMSLPSAGGSPSPSVIAPGPPSMVPRPNGHVPSPMMMMNGQHGYPGSHQALQNGHL PPAPAPAPAPPPPLWDNLVRAPGRGIADSLLPSVLVRTHPTVPGDRRFRMELPADPKL AQQSVTFHLPASHSRLQLVPRLAPFEQQGRQYKLIVQINGQMVGRATPIPAPDDPLPL NAMVFDLGLTLGTNMIVVTVVASLPKGQKLENGASAEVERLTMNVHLTRVY QC762_0028680 MPRRSAELVSAGVDPAPRRRSTRLASRENVERKHHHHHHYHHLE SREEEEEEEEEEEEEEEEEEEEEEEEEEEEEVGLESAGERRRDGNNSWEGEEDEDPEA ASVYPASSSPSERHLSPLEDEQHVSGL QC762_0028690 MDDSPESPHLRGGKTPERRRTPSNPQRATPQNQTFPEGARITFA GSADSSSRRRSPSQGRRGFSDPTSKRTTGKMTTADWNAHRAAQQAILESKYHIPDRLR FKLGDLETSVKPKLSESQKKVFRNNDADPVVLNQRAEKLAIHEPLVKVHGDPQRDPAD AAKDGRVLDGVRSWLEEVVEGQVRVRPCGRDVGRELLGAWAPTRLRFNFPMPQDGTET GNEWFGAVWQDLYLKASHFAEEYFGEGLGFGEEVPNGGNILDVKMIDKVWTTGGVGST KNLVWFISQVARQDNGFEGGWDVMLSKAVQRKLVVAGVIGKILERQVFDDLLFGADEQ SRAMLEASDKALELGEGYRRTRLRSECIDMYMRNQELTPKFWEHVDQLSIQITTLLLP LLKLMDRNFNNSRVKSLWGFHQKIHDIVSEAGFLSLHMAHSKSIFRITAPFLGQTWAI DQNNVDDRVYHESSLAVARLEGMEKQKWAKENLIYESDPANYRPTYALWEKTKQLGFW GVLWAVLGFFPSLLYKKVEKCGGFPTWDSKPGLGDTVWRSPPYLAKVQIVVWPKCERY GLMGEIDPRLKTSTEGESISTLLKSQVVYYQGRTDPRGLGAEGTPTLLEWLRYKDALW FKKWWDRFVKLGVSLLVLYLLSRFAPVLWIIPQLGLALAKLVAILILDVIIWLLTAAS NVAKAVMYLLSAVWYTILGALGFKLPSLQPSEPWVIGHSGYEGTVYTQKITVPSFEGH SYTVPWFGGGGDYEKRHKELEAEKEKARERARRRARELEKEKEKELEEDADDIEVTKV IDKIFPGPITPAPLGANGRRMTLKRSWTRAGEEPGPEVWEEHTPGYFELLTEKAKELP VFKGDKDKAKRDGVEAEVKEGEEWKKYVKPNWYGWFGGATEEELRQREEERLAFEAAQ ESARREQETRKERRKREREEAREKKLREAKAREKKELEEIQRKERELELEIARHRKDP KAPAKVRSGLKETLKKWWQSTTGGLGVAWQPYHIDKTIYYPAIKQSFGGDQEGEPEEL QC762_102410 MGGLVPLLKKQLTGSKILFHILFWTFHWGIFAYGWWKQAADARL AGLNTLQYSVWLSRGAGLVLSVDGMLILLPVCRTIMRFIRPKIKFIPLDENIWMHRQL AYSMLLFTIIHTAAHYVNFYNVEKTQIRPVTAVQIHYVQPGGATGHVMLLCMLLMYTT AHHRIRQQSFETFWYTHHLFIPFFLGLYTHTVGCFVRDTPDAISPFAGDEYWEHCIGY LGWRWELWTGGFYLIERLYREIRAIRETKITRVVKHPYDVVEIQFNKPSFKYKAGQWL FLQVPSVSKYQWHPFTITSCPYDPYVSVHIRQVGDFTRELGNAVGAGGIHAKLYEGVD PLGMYDVALANGQKMPALRIDGPYGAPAEDVFENEIAVLIGTGIGVTPWASILKNIWH LRNGPNPPTRLRRVEFIWVCKDTSSFEWFQTLLLSLEEQSAEAARVPGSSGVEFLKIH TYLTQKLDMDTTQNIVLNSVGSSVDPLTELKARTNFGRPNFGRIFQSMSEGIQNRTYL NGLEGNMRTTVGVYFCGPSAAARDIKKAAKAASSSEVRFRFWKEHF QC762_102420 MGITRRAKDKAARAERSAGGASSSAKPKKATYDTTKKKEIGVSD LTLLRTVSNEAINDNLKQRFEGGQIYTYIGHVLVSVNPFRDLGIYTDQVLNSYRGKNR LEMPPHVFAIAESAYYNMKAYKENQCVIISGESGAGKTEAAKRIMQYIANVSGGEAGG DIQQIKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLQIHFNAQGEPVGADITNYLLE KSRVVGQITNERNFHIFYQFTKGASENYRQMFGIQKPETYLYTSKSKCFNVDGIDDLA EYQDTLNAMKIIGLSQAEQDNIFRMLAAILWTGNLVFREGDDGYATVSDQSVVDFLAY LLEVDPAKLVHAITIRILTPRNGEVIESPSNVAQATATRDALAKAIYNNLFDWIVERI NQSLKARQATSNSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTLKAEQEEY AREQIKWTPIKYFDNKIVCDLIESVRPPGIFSAMKDATKTAHADPAACDRTFMQSING MSNAHLTPRQGNFIIKHYAGDVTYTVDGITDKNKDLLLKGLLNMFQASQNRFVHELFP NQVDQDNRKQPPTAGDRIKTSANALVDTLMKCQPSYIRTIKPNENKSPTEYTVPNVLH QIKYLGLQENVRIRRAGFAYRQSFEKFVDRFFLLSPATSYAGEYTWEGSYEAATKQIL KDTSIPQEEWQLGVTKAFIKSPETLFALEHMRDRYWHNMATRIQRMWRAYLAYRAEAA TRIQRFWRKKRVGAEYLQLRDEGHRVLQGRKERRRMSILGSRRFLGDYLGINASNGPG AHIRKSINLSSNEKVVFSCRGEILEAKFGRSSKASPRIIIVTNSKYYVVAQMLVQNQP QIVVEKSFPLGAIKFIGASTARDDWFSLGVGSQQEADPLLNCVLKTEMFTQMKRVMPA GFNLKIADTIEYAKKPGKMQLVKVLKDAPTQADFYKSGTVHTSQGEPANSVSRPTPKG KPVPPKPITKGKLIRPGGPGGRPSRVTNNRRPVPRPGASAAATPAATPAATSRPVPTP RPVPTPAAAQAAVSLPTHTRNQSNSSATRAPPPPPPAPPAAKPKIMAKVLYDFAGTKE NEMSIVTGQLIEIVQKENNGWWLAKTDAGQAWVPAAYVEEQAPPPVAAPRPPPPPPAR PGAPQPPAKRPVASRKPVGLQARDSGMSLNGSEGGSSSASRSNTPTPSLAGGLAEALL ARKQAMAKKDDDDDW QC762_102430 MSSQLAALCAVTSFLWLINSVEDHQIIEQPRLSSTLILLIASLT SYATSHFSGWLPGANGRFDDELGLSSTGNKTRPISQRNFPKKPRRYFVFILIVCIILR LESFHRVTADLQCSKPGLEAFIPVLILAYQLHSSPRRPRTFDEERDDFTRTMYEAIPD FLARSLYILVPSTLLISFGAYLALISEPRSTLFCSPQHDWARFVVLVQWAGVVLDAAI VIIAWRILAWARTTKSRLRTLAGILLVSGLGAGIFWGLSGDGVGSDTLFWFDVVIDGL TLATLLVSSSLLAPEGGAVLGFAGVVTFITGFLISVQRVWTLGSWENVSGWQTWGAVV GMSAGFTFFLHATDIKKVMFIHRAFVIMALMAVVIAVSIFTPIKMSKGMDSHPLGKLI YDSRVESDRWLIHASYSDSLPSAVREYGERHYGRDPPKGFDRWWEFAKQKKSPIIDHF KQMGDDILPFWGVPPAKLREDTRKAAKEIDMALLQIQNGTARHNLPTENPYQTIMDEL VDLIKDFVEYLPDLEMAINLNERPRVLAPWDQIQRLAAAGMKRKKASSLLPGGSSGGS EYGKKDMPKPRLTEAVDKDELLKNTMSPKVLRELTSLSCPTGTKVKSGVHWDIRDFCS SCAKPQSNGQFLTNFGLSLELCHQSDLMRLHSFYMTPAEVPPTTSLLPIFSRSKTDNY ADILLPLSHPPQTTPLTPPKTPQPWSKNHQKLYYRGSISRPYSDKPLLRGGQEERLVH LTNLPPSSTSTTTTRLILPNQSRSRAHYRSVSTPHLNTLLPFDTAFTSYSPCQQTPSS CPLDFHHLTSSSSPPDPFSNQYILTLDSSTSPSPLFLPTLSSPSNVPFLSTIFKEWYT DRVLPWVHFVPVDIRFHGLHSTLAYFTGIQGQTKEHGSTDGKWIAEQGAKWAARALRR EDRQVYLFRLLLEWGRLVDDGRDEGGFKISSS QC762_102440 MITHPLAGPQTPGLHLLYVPSVVALITFQGYFSQYLFNTSPDLR PGSLTFREHVTFNALLLCLWWTYYKACTVDPGRFVFSPSSDKKEEDKDDQKPNQNKRF CKKCQAFKPPRAHHCRHCARCIPRMDHHCPWTNNCLSLTTFPYFLRFLLYTNIALVYL SSLLYTRISVIWSDRHLPSYLGPSLFSLISITLLCLANFGTFFALFILLVTTLKSWVM NITLIEMWELDRHNALISKISSSSSNDYWTDDFDPASLSRIEFPYDLGFFSNMSQAMG TANFLRWFLPVGGGGPKLADQKKGGLGWEYEENGFNDWEGMWPPPDMEKERRSKQGGW AYKKQEQEMPDDYYYGGEDIKAAFERRQQEDFARRRRIQQLQGQSGVIGELEEDEDER EWTGKTGWKNSDGDRLWDYGVDEDAEDDYVARERVVGDGDDDDDDVPLAELIRRRKVL AKQEDDT QC762_102445 MTQLSQTVKLINTIGRGRAEGLHPVCWYGQSQYTAQITPPWCAG RSSIFEFHVIFVDDGRLVSECLFLLLVAIVIIFDESPLRNQVCRLDVLFVVARQTHGH DNGHGLFSDSRTVKSDFSFLQLLSILRNQEATIWAVYRQTGSITEPMHKLASPLEPCS SRWVLPVVKRALFICCSR QC762_0028750 MDEEENGAVAWLTLQEMHACGPAPGQGSTTDEKKIHAGSRAIYG LRHPPRSRLIPIRRPELLVGHTP QC762_102450 MASTTYHSSADETFFVEDEAAQRMTMRDAGRMIARNKQEMIANE LSRLAHDEYLEDIMKHMRHMEDETLPDANLIDMQREIQWFMRPYLIDFLIEAHAAFSL LPETLFLTVNLLDRYCSKRVVYKQHYQLVGCAALLIAAKYGDKKDRVPQINELNNMCC GLYDAGMFTQMEMHVLNTLDWNIGHPTVDFFTQLIVAEERDGREVEHMAAYICEIALY HRDFVSTKPSIMARASLALARAILSKPEVNDGEWDHTENVTLLTLSQHLHQPSVTLAR KYSSAYYSKVSGKLADFLAQQAAISRRGPPSPPVEPTSLSTKTADIYSTPHKGLGAGP GVADGYMTPPITPDGNCFGHNQAMIKGYQAPPRCPVTPTPQPNHVQPYPQQQQQQPQH MAGASYFEGPTSHMAY QC762_102460 MSTDARQPRQSGRRRPSALRSPAVNQDQQLLRRRSSAIRRNIET FNRNRAHNVRRGSEQVPPTPRRMTTRSMAREDTEDDVEHTGPTMANIEMDESLETWQP SLVIGSALWLEHEDPTKIEIADRKVLQIRLLAEHYQNYQNVSALTQMYEKAVQERAQL DENDEENTTPISKIPSLQANAIANRVSALQTVLARSTFGPERANIEAAIAGYESGDIP YSDKYTVIWAGRIADRFGDYESFSNENRAALLDRYAAEYGPGWIWYEPSLSKETDLYS GQPTSQAKAAICLTNKESWRTSRNHRNIGHYRIRMAFRRRQEHVSRPWSSSGPRSAKH KPRTARSYLRSTKIDLDEDRSSEGDYDDPNDYKPRMESFPTANSVIFDTLFDTGATNP CLYSDDIPLLGIDKHYYSAQSAIHIATATGTEVISEYELDVALIDSEFAFNTSIRVPE HMSYPLQPPRPMRVSIFPNTSNDFSKSANADPGRLSGLFPLIENYLSSAPGNYKVWLG SSRLEVLGTARLPPEMSFLTTTPNARSKPAAFPDPAALKKYQHQLLHHPPTRAIFEHS YTIPTSKLPLTLREDESSTKGTTILSGPLPSTGPLSIKYDLDSPTAQRELSKLTSSSS SSSSSSSSSSNISILKIPPHLSPRPTDPPPPQTPVLTRKRATPAYHHPIWSNRVTTFS DSPPERERKKGSVLERMDKMGQTWRQQMMLDQQAHSSSQQPPPPTPSPRTVVTSPRLG KMYNKKGEVIGYVEMRGGGSGGNGEDTEMVGS QC762_102470 MSGDSTSGRRDTDYSLLQVLDEYVKQDTCHPKFAKYFREYITAN WPDPSPPGAPEGSEEYEKWVNEWNDFFAYCGRILRNPELTKYNFGSSVLRQIEKYDIY DDWFPEEGFGPTGKEFDPIPEEYRIGSSRPGASATTTYMTPSTHGSQANRGSRRLEPK QSVGSSDSNAETTPINLVPPSAMSSGPSGEAPASKPITMDTTGADSTIKSYDQYGKQQ QPLATHLQAMVAYLENGESHDAGFSERMSDTIDYLQWLGSGKDARNQLHNASEFTKAV YKKAIELIDAHLKRDKQRRIATPVVFTERPVSFAATRSSRLNHLTNPRDFPLPSKRGV PDHSQLLPYNITPAPEKRPIQGALLEHPEHYAQFLEDEGKIWLPYSEDLEKLDDMEIP TSVTADELENNLAVIESDIYVQYTKAQGNSATWETGDPIGWTTHRTDEAGVRHTYIST GEDPKTGKETLNLMDLSDPKDWASVRGVRRAGLQLMLRNLRTNENDFVYYDSDSNGQR ARRLVLPIPVATIRKVMERANGKQWNPPTLFDEKPLVWSHWEPFRFTDRFQAYTEATK RMKAVAYQTATKRHLRDRSWVSLPKNVKTGGPFVWRGLSPQEQAEEDLLKRCLAIRGY VAMCWNRAPRPLLANMIQFLTAGDPSSDAFPEPEELGKIGEITFGESDYRHTVIDGTR QRAGIRFIDEEDIWWLKVLGSGCVNKKSWPGKIFPDEPRETYRLFRIFAKRILRLLED PNPEGILYSSASVVTVEDLLKVINAGANGKAAVNKHEFSPYEACQHLDRLAQTGHIDF ELDPACYGTVRRPAYDFYPEHRIVYDAAEKKRDALFPRSIRPWADVCLEPYSGKTKSP PRVLYAVDNFYRSLAYRLGFTIFHLQKKSAARKKSHMSVRNEQPVQYAWLHDAIKKFS AVYDETVEEELRARPNPPAPYGHTWRDIKALVQDTEFGEGGHTNPASHPIIPHRGYLK DNASAIQHLRNKIIQEVSANNTLLAPARAKTVINLSTGLPEVILTRGVSWKFGSLVAR QENFRRQFFSLNRWPLVLQSEKTQEKIKNDEWHADGVDPKQVFDHQAADPIHAFFSRE KLRPYVEDPVKYRAGPAVFPVGDTAWQRKRVEEHMTALVYESIGLNGAVPRGGTFRQK LKDFFFREPLRPYLNGAEKLTESQLEARYGKLPDVPPNRVPRSWATEVLVGEKVENRK RKVDEILEAKKQEEEARNLARYKRRRVDDQVAGTRMTGGVY QC762_102480 MSFALLSRRSALTMGRQMVRFESSATQKAAETAKQTATKAQAQA AELSAKAQEGLSRVTAAAGPAITNAAKNVSGALGKVGGPTGRLVAFVESKTPALVYYT KVGIEVAKIVFRGQSMSPPSVSTFQTYFQNLWKQLQTPGPFFSQLAKSLNPQQVRNLS RTQVAAGGVLVAELLGFFTVGEMIGRLKIVGYHGGQKADAHH QC762_102490 MSTTTTTTKVKASKANIGVFTNPGHDLWIDSAEPSLESVQQGSP ELKEGEVTVAIRSTGICGSDVHFWKHGCIGPMIVTCDHVLGHESAGEIIAVHPSVKTL QVGDRVAIEPQVICNECEPCLTGRYNGCEKVDFLSTPPVAGLLRRYVNHKAVWCHKIG DMSYEDGAMLEPLSVALAGMQRAGVRLGDPVLICGAGPIGLITLLCCQAAGACPLVIT DIDEGRLKFAKEIAPGVVTVKVEPGLSVEQQAERIVKEGFNGIEPAIALECTGVESSI GAAIWAMKFGGKVFVIGVGRNEIQIPFMRASVREVDLQFQYRYSNTWPRAIRLVQSKV LDMSRLVTHRFPLEEALKAFNTASDPKTGAIKVQIQSLD QC762_102495 MLRRPSRSATDTAYLTGSHETIKMRLPSLLAVWAIVASSMVVAR PIVCPDLKRDLILKGELAPEACCSYGRCLGDVVIAMA QC762_102500 MTLYYTLVFMLLVAEMALFMLLVVPLPFTMRRKLFTFISENPIV AKVQYWLKITFVFILILFIDSVNRVYRVQVELAAATEGNNGQSATAIMGHERLEVQAR KFYSQRNMYLCGFTLFLSLILNRTYIMILETLRLEEKVKLYEGSEKNTKQAEKLAQAG DAGEIARLKREIKLRDQDIATLKKQSEGLHREYDELAERYGATQENGKLSKKDK QC762_102510 MAISIGPLPISPVSASHSPPSRKDEMLSRSYSEPIPLPRRFSVL KERLVSGKESTLVPSWQRLIQSLSDEVDLVSSLGSQAVPTIDFSNIKKPGVAEVFREG LRQRGVAIIRNVIPRDTAEDLYQGATGYLDENAEKRPRTPQESDLQELYWSAAQIKAR GHPNVLEAQRFAMGLWTSKDPKARVTTNFPITYADRIRTGQKTADTRSPAAAHIDGGS VERWETDGYGRAGTYNEIFQGQWEDYNPWESSTRLTVTSDLYHKAGACSIFRMYQGWL ALSPGPSSMRVCPLLKHATAYFLLRPFFSPSSSSSSSPESENWAFTPTNSILHGALPS YAQEINSSIHPHLQLRRSLIDIPDLSPGDYLIWHPDLIHSITSSSPTPSASVMYLPCV PLTQTNALYLSRQRKSFLLGCPGPDFFDGCGYGSGHGRGEAHYIGRPGVQEISDAGGE EGLRAMGLLPWDEEEADTDFEREVLAMANGILFPDLYDMY QC762_102520 MGSSTIPSADNITDSEFHEYLSRYPACLEAISKSKGTKEGQKSL SELDAYRYGEALEQFGQEKPRQMTIEDVKLLVEWKLRHGKFRPSLMKLVSSNDPKTLK ETIRKAVAQYHQAKKQWPQALDILTQLKGIGPATASLLLAVHAPDNIIFFADEAFYWL EYDGSKGPIKYNKNEYSQLTLKAQALAKRLGVRAVDIEKVAFAIMRGDHTQASGKAAA TAEKAEENDKGAATDQEDKPATKTEPEEKTTKSKPPAKRKTSGDNADTNVPIRRSKRG KQA QC762_102530 MGGGNGAKAAQKRARNAKDAAPKAASQLKSNEKAMNIICNICKQ TFLSTSRKPQLEQHSSSGKHAGKHTFEQCFPEYKE QC762_0028860 MSFTSIPILDLAQASDPATKPQFLAELRHALMEVGFLYLKNVGI PDELFKQVIREGKAFFDIPEEEKLKIEMKNAPSFLGYSRLSAEITAGGIDHREQIDLS TEHPLPGPDAPLYDNLLAPNQWPSSSILPNFRETFTEYMNRMGEISISFTSLIAEAID LPPGAFNKYFDGPGFGGRQNQTQQHKLKIVKYPDVGTLGSGKGNQGVGPHKDSMLSSY LLQASQHRGLQVQNMRGEWIDCPPIDGTLVVAIGQGLEALTQGVCVSTTHRVLSPEAG KGARYSIPFFQGVSGDAEFEDLENVGVGQVKEEIKELRRRVLEENGGRLDDVEFTFRK GGVAKTLGEATLRNRVKSHQDVGEIWYPDILESVRAEQAALARKKSPVVEAVPIVGEG KAVEAH QC762_0028870 MSLHSLPLAYNGHRLHDGTLLPRQCSLLSPHALQNVRIPNLTHI LMALDPVPQRRLPQRLGHPSLPKRKLNIIQPSPILLQHPPPQFLNLLLHLSHPHILQI LKLCVPAHALKERNAIPRPFARLRRQDPPGPSKYLLNAPGGRSMASAMREVKEMDISP MRFMYSVNVSRKLGKMDEEGHWLGARRLSYKGASGPGRGCSVDKSICSRWSIPPAVIS AERRE QC762_102550 MPSKTDTNGDSASLKKRKREPKDDSAQAQQKKHRRKTEQEKADA LNGEGANQTSHTDDTSLQPINGHSGLPGVSSQSQWKVQPPMGGRMLNIDPIFSPDEKF LIITYNTSIQVFSTESSLPIRRIPIPLTETDSENATTPAHIVATSLSKTQGAYIWVAC SDGRIWNINWRLGTGSDTPFTITSSNLVDMSVDAIDLPNSKIDVLLVLQNTTPTSAQI VAHTRESLLEGKGEGLLLHSFDQSPQMLRFAASGRLIVAAAKTTLHIGYFKASKVASI DTLKFDFHSFDLPDIISCLDVHPVLKDKKLIRADVVVGCARGAIYLYNDVLSGIAERT LQPTKLHWHRRAVHSVKLSRDGNYLISGGAETVLVLWQIDAGRTETLPHLSATIENIT ISPRGASYAVHLDDNSTMVLSTAEMKPTMYVSGIQSLVLEETPSKESLVRRVWRSADE ISAPVVATSNPRNPSQMFLCVGNGQQATQGAGTTVSAPLVQVFDLASFQGVSKHAIAR TSSTEANITRDGQPIMEPTATSLSFSKDGKWLASIDEWQPPERDTAAFLTGSKTVAEA ARQRKEIYLKFWEVHEDNSLELVTRVNEAHHTDLPQAIFDVASDPVFSRFATIGNDGV VRFWSTQYRIRDGLAVKGHDGEPLRSWHCSRAVTLPVREKQEDLVEETRKVFRSGAVA YSEDGSILFAAYRVSTEGLVVAIDTQTGTIRDVISGIIRGEVRSIQSMGSCLILLSED IAVYDTVSDELLYSYKLKDTSLAAKRLTQLAVNHQSRTFALAAPIPHPRQDKLKKGAR SELTVFSLDDQEPQLVQQFPHLITSVLAAPGSSGFVVVDSAAQIWAVTDAVEQPLILK PMDHMTMDDTVETQPVQDALVLQDEEVSDEEMEDVDPMEVDDNDHAAVVAQQHVVQAF NVAPTFALAPLDGSFSQLAAMWCAKPTSSE QC762_102560 MIIPVRCFSCGKVVGDLWEKFVVLIEDRGLADGEALDELGCKRY CCRRMVMTHVDLIEKLLKYTPDGRNSKKLSMANPGHME QC762_102570 MALEAPLRTSTGSIRSIYPSYAEMALSSGRESPALTSQYMASSS GPDSQALRPNSFTRPSSAHLMSPSSDIVGPSPVTSNGTETTEIEDEEVEETEEATGFG RNSLLMLKTNIPDHVRKSGSEEVVSVIHAPPNFQDWKSGDTTSRSSVSNSVSGASIVS SGASPPPSEPSTVVTRHSLNQSPPMVNTRVRPVSFNLDAPTPQAQKLEDVYANESTKT RSSTASSLELIPEIIENDGDEEFEDADEFANPTRGQDTEVKALKAALEECWTLCNTLA NLSSIHRKQVFHSSGTPDAHERAWKCCWKLCKRLYHSRDDVSESFGVRTNLDLCRDFC QSLFDVRQRTNETADSILRVSFELNNHLYSAQDSRTLPEAFRERTLDFYITLCHRLMK QRNDLAEETDSLLRACWALAEMLFSLRQNRRDGKAPDEELLGSAVQACWELCDIFREG WTQVRPDRGTPRPNQVNFFAANPTFGLQMSSHQSEVERSNAGSRASLRSKRESLRSLG ELERPRARPVVPETPVTEFEDTPISPDASPQMPNILVLGTSDSRSDRGGRWSSNASNL SGYSQNTSSTATTATTIEDVNITRIKALVVKAALNIGFDRSAPGADAPSALQSFVNDL PQHSFGGLPNQVSLLQNYKNLVLADASFRSPATLPARGKRFNAVEVAKSVGWMMIRSG QYNFLRDLYRLVFGCQLEDADTRKNVSIVV QC762_102580 MKVSTFLSALLVADATVHGLVSPPIGEIAQHEPVRHSERDTHSH DDSELWKRRGGGGGGGRGGGGGGGGGGGGGGSSGTSGSGSTGGGGSSGTRGSPGFNSG GRTSTGTGPAPAYGGGAYYGGGAAVPYRAGRPSPARGVAPLFFGAAFLAFWPGLWLTS AYLYRRPEGFTFYNITTDRRETKPVICACAEDSVCGCDDNDDEQYMKDLIGNGSYAAL DKSVIDVANVNGTSTILINGTLPDGTTAPGGTDAPGSAAGDGLRALLENAGWWPVVAT VAAVVFTA QC762_0028920 MGPHEQDPPPPMGLGTRKREHLQPKPAPPAFCGSVMSCSVKHST GEHIRLDTCKLDVASQSHSHLEELLY QC762_102590 MSSPRPNDKSLLDRLNALKTSSVTLDHTPNTASFPTTVPPQNQP LSREDALAERLRQLRQGNTGPNMSRPEDQSPKDGPVLGSSGNASGDKPPTPLEQAHSA QPPATPRISSDRDPKEQLVKSLPSTPSSLPSRTAIPNSENAGISRAKPSYFVGGGPED VDDDAVQALLEDLGGGEDFNLTDGPLETGTDTKTEDQRVSELLDELAKAVPPSSGNEK DDDDDDEDDNSDGEQMTAAVDKILSQTQDELTLSPNPTEPEDSGNTQPNNTDNTLNLP TVPTALQDPAPDPFEDEISSRLAALRGIGPVDPFGLPAAPTFSPEERERSTPTKKPPL GGREEKYSDEDAKTWCVVCLDDGTIKCVGCDDDLYCDRCWREMHVGPRAGYDERGHQW VKWKKGGRGRGVE QC762_102600 MLASAGLLQTSLIWVAYAVAVALVLLVAIITTFTWQSPHERSVT VSIVAIVSLTSLLATVFLLPVDIALVSSTASAHLGAKKDWATPERIDGILLTLKVVYY TLYSFDALLCLIVIPFAYFWYEEYDEVEEEEGTSGAGARFWKAAKYTLGFVFLVLILF LLGFFVPAAGSGNGKHLDLDYFKRLLAANKGEKALTFGVGLLITLGTFLYTLYTGAGL ALLPVSLIKSAPSISAPQLSATIATDLEHNRELQRQIEMRNAGRPDGMSQKDRRELDA LLREERTLVRRERLAAETRGDGRSGVFRAWTKIQAFFRPLKLLGGILLLLLSILVWAS MLITGIDKAANSICKEHCGYILGHINVFQPVNWIFVQSAKAFPVDYILMALLVLFFFG SSITGIATIGIRFLWVRVFQIKKGRTSPQALLIATVMLALIILAINYAIAMIVAPQYA IYGTQTFCVNAPRHPGEQPDCREHRDMVRPCSEVFSEPAAKDVCTPTVMSTFLNRVTI NWPVFGAIDFWAQFAFLGVFMVVFVTSLFRTPRLNLSELDEEAEVDEEEGLLASTGRR FGATWGDITGRTKNRNGYGTGGGEGSNGRG QC762_102610 MQCYTELTPPTAVTHSLTLQLIPGQGTNLVVAKSSLLQIFRTKI VSTEIDASQQGSGARTRNAGRYESRLANDDDGLEASFLGGDSLAFKTDRTNNTKLVLV SEISLSGTITGLAKIKSQNLRSGGDALLVAFKDARLSLVEWDAERHDLSTVSIHYYEQ DELQGSPWAPPLSNFTNFLAADPGSRCAALKFGGMNLAILPFKQADEDIDMDDDWDED LDGPRPVKQEAAVVNGGSSIKETPYSPSFVLRLSNLDPSLLHPVHLAFLHEYREPTFG ILASTVNASNSLGRKDHLAYMVFTLDLQQRASTTILSVPGLPQDLFRVQPLPAPVGGA LLVGANELIHIDQSGKPNGVAVNPLTKQCTSFGLSDQSDLNLRLEECTIDVLSAEELL VILSDGRMALVTFRIDGRTVSGLDVKLLPSETGGSLIPGRVSTLSRIGKSVMFAGSEE GDSLVFGWTKKQSQSGRKKSRLQDVGLDIDMADEEDLDEDEDEDDLYAEEPTPKQQAA AAASNVKEGDLTFRIHDRLLSIAPIQSMTYGQPVDAPGSEEEQNSAGVRSELQLVCGV GRNKSSAMAIMNLAIPPKVIGRFEFPEARGFWTVCAKKPVPKSLQGDKGPGAIGNDYG TSGQYDKFMIVAKVDLDGYEKSDVYALTAAGFESLTGTEFDPAAGFTIEAGTMGKDNR IIQVLKSEVRCYDGDLGLSQIVPMMDEETGAEPRATSASIADPYLLIIRNDQSVFIAS IHDDNELEEVEKEDKTLATTKWLTGCLYTDTNGVFGEESGDKKAKLPESILMFLLSAS GALYIYRLPDLCKPVYVAEGLSYIPTGLSADYAARKGTAKETVSEILVADLGDTTAKF PYLILRHANDDLTIYEPYRYQLGAGLEFSKTLFFQKIPNSVLAKSPAEETDDEEVTHQ AKCLALRRCNNIGGYSTVFLPGPSPSFIIKSSKSMPKVLPLQGAAVTAISSFHTEGCE HGFIYADSHNIARVSQLPKDWSFAETGLAVKKIPIGEDIVAVAYHPPSQSYVVACNTP EPFELPRDDDYHKEWAREVLPFKPTLERGTLKLIGPSTWTVVDTIIMEPCENVLCVET LNLEVSEATNERKLLIGVGTAITKGEDLPTRGAVYVYNVADVIPEPGKPETGKKLKLI AKEDIPRGAVTALSEIGTQGLMLVAQGPKCMVRGLKEDGTLLPVAFMDMNCYVTSAKE LPGTGLCLMADAFKGVWFTGYTEEPYKMMLFGKSNTRLEVLNADFLPNGKELSIVACD AEGHIHILQFDPEHPKSLQGHLLLHRTSFSTGAHHVTKSLLLPSTLSPDNKEDNEENG ATSRPHILLLASPTGVLAALRPLSEAAYRRLSSLAAQLTNSLTHAAGLNPKGYRMPSA TCPPAGVDAGIGRHIVDGTILARFSELGRAKRGEVAGRAGYTGPDEIRGELDGVLGWA GLGYF QC762_102620 MNASALLQSQGWLGKGHSLDSHRFGSSSTTPTQSGRNARGLVNP LLISRNTDGRGIGNKTHYTSDQWWLSAFDQKLKGLDTTNSKEGITQTVTEGKLDAVGR VQEGKYTGTKGLYAFFVKGGLLEGTVEVGLLGDSKEGTATPDGGSESGGGFSLRQQLA AKRAKREAKKAGMTKEERKARKEKKEAKRLKREEKVRRRKEKEGRKREKEERRKRKEL KRRRRAEKDKKVK QC762_102630 MANSKFEYVKNFEQPDNLLLNTWIVVRIDGRGFTKFSSKYGFEK PNDRRALDLMNAAAQAVMYEIPEVTIAYGISDEYSFVFHKSCTLFERRSSKLVTTIVS TFSSYYIHLWSTYFPDTPLSPPLPSFDGRAVCYPSVQNLRDYMSWRQVDCHINNLYNT TFWALIQKGGMGNLEAEELLKGTYAADKNEILFSKFGINYNNEPEIYKKGSVLFRGYE LVDPATHNAAAEADSLAEPVQQSKNQTESDKKRRAKARIVIEHLDIIKDEFWDRRPWL LSNKPGKVPKET QC762_102640 MEKKDGIPERLERSSRDTPRAGDLPAGRLAPAEAARAWPPNPCQ TGAIEGAAQRVSSASRPLQRPISSFLARTDDESQQFDNPDFELVKRQRRLDKSKMNLL LSDDYLLQDYPENITNTIRSGHSTCVRFNRKGDYLASGRGDGTVVVWDIETMGVARKL RGHSKQIQSLSWSRCGRYLLSACAGWKAILWDLQDGKKYREVRFRAPVYGAELHPWNH HQFAAALFEAQPVLVDVQDRKDVQHPLPTAPEHDTVKQTTTAMIYTAKGAHLLSGTSK GRLNIIDTTTHQLIYSEKIAERSAVLLLRLTESGKDLLVNSNDGIIRTFHLPDLSAPD LDPDTIQLPLEHKFQDVVNKLRWGHVTFSATGEYVAASAHNNHELYIWERAHGSLVRM LEGPKEESTYLEWHPHRALLVACGAETGRINIWSVTSPQKWSALAPDFVEVEDNVEYI EKEDEFDIHPHEEIQKRRLDQEDEEIDVMGPVGNKTELEQEADNFRVPILFDLGESDS EDEFINVGFGTLRRKSPGEQDDGEGTGGEKAPPKKRGRAKKK QC762_102650 MANLIFAHSSAPLRTIQEIQFGLLSPDEIKAMSVAHIVYPETMD ETRTKPRDGGLNDPLLGSVDRQFKCKTCTENMSECPGHFGHIELARPVYHPGFIKRTK KLLEIVCHNCSKVLADRSDPAYAAAMKIRDPKTRFKRVWDICKAKRVCDNLAPQKPED GSYDPNVGPADGGHGGCGNTQPVVRQQALTLYAMVEHKDDEGVKTKEKKVLTPEMTLN IFRRMSEDEMVDIGLNISQARPEWMIITVLPVPPPPVRPSISMDGTGTGMRNEDDLTY KLGDIIRANGNVRQAISEGSPQHIITDYENLLQYHVATYMDNDIAGQPQALQKSGRPV KAIRARLKGKEGRLRGNLMGKRVDFSARTVITGDANISLDEVGVPRSIARTLTFPETV TPYNIEKLTGYVKNGPNEHPGAKYVIRSDGTRIDLRHHKRAGALQLEYGWKVERHLID GDFIIFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQTEE TRAEVKELCMVPLNIVSPQRNGPLMGIVQDTLAGVYKLTRRDTFLTKEQVMDVMMWVP DWDGVIPLPAIWKPRPRWTGKQIISMVIPSIINLAMGADGEERDAPLKDEGLLIQQGQ LIYGLLTKKSVGAAGGGIIHLCYNELGPSGAMDFLNGCQRVVNYWLLHCGHSIGIGDT IPDDKTIELIEKHINDEKAVVAKLTKMATENQLEALPGMNVRETFENKVSAALNTARD KAGTSTEKSLKDLNNAVTMARSGSKGSSINISQMTALVGQQIVEGKRIPFGFKYRTLP HFTKDDYSPEARGFVENSYLRGLTPSEFFFHAMAGREGLIDTAVKTAETGYIQRRLVK ALEDAEAHYDGTVRNSLGDIIQFVYGEDGLDGIAIEKQKVDHMNLNNAKFDKRFRLDV MDETSSAAALDALEYGREMVSDPAVQSLLDEEYEQLLADRKQVREINRKKKDDDSMQL PLNIGRIIDTAKKLFKVDSTQRSDLTPKDVIPAVQALLARMVVVRGEDELSREADYNA TILFKIQIRSRLAFKRLAVEQRLNKLAFDHVLGELENRWSRSMVSPGEMVGVLAAQSI GEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVAKDIKTPSMVVYLDKERATQEDA KSMRNLVEHTSLRSVTAMTEIYYDPDITSTVIPEDFDLVESYFLIPDTSDSQPIDSQS RWLLRIMLDRQKMLDKGLRVEDVAAAIKQEYKKDVAVIFSDNNAEEMVVRIRVIRQND DKDADGNTIIEDDVMLKRLEKHLLDSCTLRGVEGIERAFLNKTARLLELPDGSQTANK DKEPACEEWYLDTQGTALREVLTVEGVDTTRTYTNDLYQVVDVFGIEAARSALMAELT QVLAFDGSYVNHRHLALLVDVMTYRGSIAAVTRHGINRADTGALMRCSFEETVEILLE AAAVGELDDCRGISENVMLGQMAPMGTGHFEVLLDPKMLETVISDNSRMGLMAGMTIK GNQLEGAATPYDTGSPMADNGYLGGSYSPTMGNFSPIVGNESGGPPGFASEYGGGGYG SSSVNPYATSPGRPTSPFTTSPTSPFSYGYSPTSPAAGYSPTSPLIDAGGRFASSPQF SPSSPSFSPTSPMLRPGSPTSPSYSPTSPSYSPASPAATRHYSPTSPAQFQSPTSPSY SPTSPSYSPASPAFQATSPSYSPASPNWSPTSPDAYSPTSPTFQRSPGQQMSPTSPGY SPTSPSFSPRTPGRAAGSGGDQYSPTSPTND QC762_102653 MSPVCHGTTKSTISTHEATSATVSGMQRTIPSPPRKGITIPRLA TPLLFHTMELIHCNDRRSRHTNPIPTISSRGECSASAIVTPDHSLMNSNEMAPLLSRT ECSWGPESFRYARRLVSEDALPLVTTWLSTDLIAVDDRSIATGQIRFRIRCFSCIRSL PKVPPARTCVQRVYTGRQITGLDIGSPVTEYRDCNCIFLAMGFKGL QC762_102656 MRWTLQMPSWLTMCSKCEINGARYLGAIPVHTGISLEPETLLGP LQEIFRIAIDAGPDQPGVTERCVTCIWHQSYQTFTRAKNTFIAFRWKDAA QC762_0029030 MCCQMITWRYRGCYHAPTSEMSHTAECRAQGGGCPGGVREVPHE IDGYCDSCLKSMCRL QC762_0029040 MADLHEGIGHGSVGLGAGISRQLLQRESSQQQLSSFNSQATLSL AEFYKSLALKMMIIWAMLDRHDIFGLVAPSGVLQRLELCQLISKASLFDKLGINISLS AWTSCVPLTLWLELQASCGVESSDAVVETCLVRARFALLTSFIPVGPESDWLLSKQPH QC762_102660 MSSPLTPEQIRQLSEQAQEFRPVNAFRRPSTFLSLAPSTSESYP RSQKQETSAPPLTAEVLAAIPQKQDEQKRRASSLSSDASKFRFLKLGPVHWGEHQDGV KEDFYEVAVEE QC762_102665 MESHDISAPNPQQTSITHNNKFHYFFDLPPEIREQIVEHVCLHP GGVYVTNGHVISARGVGQTARDFLNDPTAFEPYVAGPPVNMMLTCTELYRITSGIYYG RNMFHLRLANQRSKTLKADQHGIRKVLMTGKVDRLLLRSSDTQDDGNLGSVEGPRLSL RHVLVRIDRFGGAYLENKLIPALGYMILNGRLRRLEVEVPLGNEGLVHRVSPHYGLFA PPQDAMRTTMSLRHNPVMKALLVVLSDPYLEKASMRVRKSDSPSFWCQCHAPEVGSGE KSDDKPPMCFLKHLPGETCCRRRFDSFDKKFWAEVNIPRLIQECGIDSAQFRIKKVEA PDPFS QC762_0029070 MADEVYDGAIGIDLGTTYSCVATYEGTNVEIIANEQGSFTTPSF VSFTPEERLIGEAAKNQAAMNPVNTVFDVKRLIGRRFDDPTVKKDMESWPFKVVDDGA GNPKVSVEYLGSTHTFSPQEISAMVLVKMKEIAEAKLGKKVEKAVITVPAYFNDNQRQ ATKDAGAISGLNVLRIINEPTAAAIAYGLGAGKSGKERNVLIYDLGGGTFDVSLLNIQ GGVFTVKATAGDTHLGGQDFDTNLLDYCKKEFTRKTKKDLSGDARALRRLRTACERAK RTLSSGAQTTIEIDSLFDGEDFNMQITRARFEDLNSKAFAGTLEPVAQVLKDAAIEKS AVDEIVLVGGSTRIPKIQKLLSEFFDNKKLEKSINPDEAVAYGAAVQAGILSGKATSA ETSDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVADNQQTVQFPVYQ GERVNCEDNTSLGEFTLAPIPPMKAGEPVLEVVFEVDVNGILKVTATEKTSGRSANIT ISNSVGKLSSAEIENMINDAEKFKTNDEAFSKRFEAKQQLESYINRVEEIISDPTLSL KLKRGQKDKIEQSLSEAMGQLEIEDSTADDLKKKELALKRLVTKAMSSR QC762_102680 MSDQVDLTTIPISPDGGNKPEGASSEDNDKTVTVFHDKDNFNVK HPLSNRWTLWFTKPASGKGDNWNDLLKEVITFESVEEFWGVYNNIAPVSELALKSDYH LFKEGVRPEWEDPQNKHGGKWSYQFKEKRNISIDDLWLHTMLAAIGETLENEEDGEVM GVVVNVRKAFFRIGVWTRTTGRHVPGRGDGDVAGGKGRSPEKGKEILMTIGKRFKEVL RLPATEQLEFSGHTDSAHSGSTRAKAKFVV QC762_102690 MFLARALGRSSGVRIIPAPVSISSLLPRFRISSSSSSSSFTGFR MVSTLPKLPVFEAISKHDPESTVVIHSKSGRRFQYGELLGDVAKARDRLYESAGREDL DGERIAFLAENSYDYVANFTFPTHRQIHEADSVVQVTLLAILGAKSIAVPMSPAFPAS ELQYILNHSEALMLLATGKFASKAQEVLKTELDVQPTFLQLDKLQGGGPHEKVTLDKS SPGSAGMMLYTSGTTNRPKGVLIPQAAMTAQARSLIQAWEYAPSDHLLHLLPLHHIHG TINAIFTPLFTGSSIEFLYPFNADAVWKRLAAPFTTTPPPDQPKITFLTAVPTIYSRL LSSFKTLPEDLQEPAREAISPAHLRLTISGSAALPTPIKRAWADLSKGNILLERFGMT EVGMALSCGLDPNDRVDGSVGWPLPGVEARLVDVDTHQVIEKGQEKDLETGRERVGEI QLRGPTIFAEYWRNEEATKKEFVEGKDGRGSWFKTGDVAVRRPGPEKAGRSEMKTQRE WARGDMYFILGRKSADIIKSGGEKVSALEVEREMLSLPQVAEVAVLAVPSGKWGQKVG AVVILDREHCKEGKWSPLEMRRALKERLAGYKIPQVLRVVDHIPRNAMGKINKKVLVK EVFREEFSGDEM QC762_102700 MLRRTVLNHLSRAFRRPLSTMASSDTPVEDVIREKISAALQPTT LEIHNDSHLHAHHKAMEGSTSRETHFRVIITSEAFRSKMQPARHRLVYSLLKDEMARE GGIHALQLRTMTPEEEEKRRAQEAGDK QC762_102710 MAGPFQTWSPSLLDSKATKIPRRLVEVPKDQEKLLNKSDSWHKG HVPAAILDKIKAGYTETRRRSPVTEPPLSDHVRASSQSSRRLPAAGVPLSPIPAPPQT KELQLAAVVELSLPSNSSDSSEDEAEIQGSKAPLHTDAHPGEPQASRIPIPPGPTPPS AQVIPSTYPEPSATVSPPKPKRQRLMKNVAASLNPAEVSMQLTRPSILSLRKPASPPP PAGSSLPPSSSVPASPMAIRTQPASPLVIRAQPAMQPAAVPSMILRGGGLPRSSGSSD KPPPNVPASQDPYNVFKETYPDYDGSLGDFVRGVLSLIPLQKKKTVPQYILDDYIRVF SGDYLEYIEQLREDQTPLTTWEWYCVHVPQPVYMKGVLSSDRLKDVRRRYPDKVSVIE AQTTPLQSSVQPPEVQHIQRSNAFSTPAPARIGQHHNAQHNQASSPIYLPDSPPMPLN PATRSSTHIAELATDPISTAEDRPFEIHPRGSHRRSTEAVGPASRFISASSRQFHTQS TRPVNMTASRLSSSSVRFETQVNFPSLETTASGNSIWDREDDAMGSVDRQEDNEAMND GAPSPSLQDSGEDALQQAASGIPKSHIEYRVSGEGIKRPWETIDDPEQQEAVQQQCFA AFLRDAWGPRRNKGKQVGAVV QC762_102720 MASQNGLRPPSLAPPGPTSPTATRSRRGSLVSPTFSTYAEREQL SLALDKIHTSASQSDVLTTFNDFAPPPSSLPASDKQGTAGEIVQQGLSGLYSRIKEAV SGVGKNSAQEVDDADSHDGASRRSLNLVPRRDGGVTNATFNSAVSSDAAVSGLSSGLA TATADSSSPAVQSSKASSITTASASKHLPAGAQNLPKISVAATSTNTPAIPVVSTGFA ESDTVCGTTTREELPSRGSGRGSISRLSEVGNSTLLTGNGSLDSVATTDRIMVPGRTR REDAPSLDGSADAPRSPIQTTAEARSSSLSRATPPDTMRRPAVIDRITFTKGSSHSRS SSMEPGTAEASPISTSAHSTVYHDSFRHNERPQRLQSGVMRIPGTTANEGAPEMVNAR LERMRKQVLSKEFWMADETCKECFLCGTPFTAFRRKHHCRTCGCIFDSKCTSNISGAK FGVQGSLRVCKTCLNVINRRYDSGSDDSADESYLPAIFRANQPKSVPTALKQKEGDEA SIMERTEQADHTRSATTPMMAIPATRRVGDSNRNSAILEIDMPQLSRPSSSRSLKSLS TSRPQSSGHRRHHSKHNFLTRLKGAPDERAPFRKPAGDDPGTKSNANAFHADNIIDPE LADYMSDESSEDEQQMGSIFATMNSSDFQPASLDPDRSSFGTYLGAGRKHRFRHGEKS TSGLSYTSRGFDEGVGGAGGSSGGGLQNLSIHARPPRRRNMSIASISAHHLRSPRPKS AIIKGNSASTDALSIFESGIENSGSKRNDATREGKLPEEGLNPASLQHVKKLFRQMLD DAEIPNPSSWERALIPILDKCADDVDPDIRNGDDMDIRHWVKLKKIPGGRPSDTAYVH GVVFTKNLALKSMPRRIRNPRVVVITFPLEYQRHPEQHFMSLQPVIEQEKEYLRMVVN RILNLEPHVLLVEKSVAGVALQYLSEANVAVAYNVKPTVIEAVSRIVNMPVISSMDML SLGARVGTCESFEVKTYVNHGIKGKKKTYIFLSGCPKDRGCTIALRGASTPILSRMKR ITEFMVYVVYNLKLESCLMRDEFVQIPTEIESVLTSTLTSRQPTDDSLLISPGCVPDP TSQRPAILITSQSTEGEKLVEQVRVNEDSPAEQELAANTTSTGPETQPSADAAQKLIS LHESHSHVQVPEDVPMPTFYSDMVAKYETRILSASPFVKFTQPYLLMKAREQERRLVY LRRLRDQNIVEDPEESEKPRPLRFQLIKPEMVHEIGQKAPRKVMEVLHAVHDVEYDKA LHNYQTQSRQWETYIQDSLDLFDPYSHQNIVVLYSVTCTETKIPCVEPGLVAIEFYNE HPDANGNMDQDCTLGQYIEDICEGSDMVCHANGCDRKMLDHHRTYVHDNARITIILEN SPAWPENFPEKPQESEGDKDGTGICMWNYCKECNKHFGLMPMSVSTWKYSFGKYLELS FWSRCVRSSLQNECPHDHQKDHVRFFYYLYRDIAVRIHYDPIDLFEIIVPRPRITWKV DHDLRLKNDIFTKAEERWVRFMTSVRARLKSIRIDSVLPEKAEACTAEIERLTKKAHE DQAELVRSLQETYMSSKYYEVIPFNIVIRGMLEKVTDWDAAFTKFEADFLSDKDVRQL TIIQLKKMFTDNESKESLPSTDGTTSVGSESEEKPTPTASQPSSSEFEEKPTQNTEGE SRPPETPVSPTSRPVATATEEAAASQADELLGRVEPLDLATPTSPTLVKSILTGSELA PDVTKQSPSPPSEKILAATPEANSLMPPPATPAAPAASHQPAEPQHMSLTEKVEQLRR EQRAASTDATGTGFVGEGGAESSRATGDRAILRKTGQAVSPPMVRALSQPVGALPRTQ STIGKLLKEQKAQDAASEGQKAVPEKAEKKFTDRIGLGALKNRKAPPSAIPRYVHKRE SKVSTLARHFEQLSREFEKERMRDRKQRAAKMQSTRAFLPRTSTKTIVEVYKDVDQAV KEPGPDEEQHMEKHHSNRKHDDSSQPAADATPEDSQNKASEPSSPLAPPSGPLTQEET RNEADDDDARHEDHAGSDDEGAGSDADASTITFDEFMPDAKEIASSLEPGDEIPEELP RHQKKSLMTMLTNFWAERSASNWTPLEYPINATDHIFFDSDVIVREDEPSSLLAFALN SEDYKTKLAEIRQRWEMSNQRETDESSDGLEMKQQPSSGLSKAELENSLLRSTGTHLK YQFAEGSAKMMCKIFFAEQFDALRRKVGAADRFAESLSRCLKWDSKGGKTKSVFLKTL DDRFVLKGLSPVETSSFLKFAPDYFDLMAHALFHDLPSVIAKMLGFFQIIIRNPVTNT EIKLDLLVMENLFYDRSPTRTFDLKGSMRNRRIQSTGEQNEVLLDENMVEYIYESPLF AREHSKRLLKTSVFNDTLFLARMGVMDYSLMVAVDEVKKELVVGIIDCIRTYTWDKQL ESWIKNRGFAGGGRNRPTVTSPKEYKSRFREAMARYILQAPNCWHTFGNHLPPNTPAT PRTRFETDV QC762_102730 MPRYLSLGCPPPPSFDLPRHLERFSSIPKSQNISTIEQTRRTMS FAIEVPGEATPFTPVELCRTLEAASISTDQAQRQSAGQQIQAWESHPDYYVTLQTIFL DKSLRREVRWLAIIVLKNGIDKYWRPRAKHAIPPPQKELIRSRLLQGSVDEEDRQLAL HNALVTAKIVRIDYPDSWPDAIANIINVTRTARGGNPMHLGGSLLVMLRIVKELSTAR LARSQTALQKVTPDLVQLLGEIYTEKTAYWQEFLMKGRGDEDDADYAMQNSLIALKIL RRLVTVGYKEPHKDNMVQGLWSLSQTQFDQFLHGVSHESWIPIPYQDLVGKHLIQFTK LHIDMANLHPCSFPILPNSIPLVRAYWNLVKDFSQVFEKSGGIKQTESTTGNTKHEGP LAEKLALKGLLLLRSCIGIAYRPAQTFKFQTPEMKELETQAIHVIKVELLNRDLLLDI VQVIISKLFIFRKSDLDAWEESPEEWEAQERTEGQAYEWAIRPCAERLLIDLLTHYRE LGQPLLSYCELATKVDMDIVTKEAAYCALGCAAAVVHHNFDFDRFLTTTLVKDAQVQD TMAKVLRRRIAILLSQWITIKISEANRKVVFEIYRHLLNPADDHNDEVVRITAARQFK YIADDFEFKSEPFLQYAPDFFELLIGLLSTVESDETKLAVLDTIRLIVSRMEEHVSQF GDTIMMTLPKLWESVGSEEYMIKQSVLAIMTALVMSMRADSQRYQPLILPLLAEAMNP DSPLHLHLIEESVELWRSLLMQSVPPLNPELTQMVQLALPLLEYDSAVSNQCLEIVKS YISLAPQDLLSDALRRPALAALVKTVDANSLDQAQLGAKSIELMIRFSEEFGGSQGVT VIVQDLLEIGLVHTMLEGLHSAWESSQTTGPNRKPSKISTLKESDYYALFARVCVADP TVFINLLSRFTNGGPIDTVLSWLMTQWFANFDTMGDVEREKLSCLALTRLVELPSPMQ ELVLGKLQDYLSMWTHIVTELADDTAEQQGGAEGPQDSLVWGEMPSFEYDTPLDIHER TFAHKDPVHSVATYGFVKVRLQDLVQRLGGEGVFEQQWAVNVDREVLMGFQRLSQGGV AGPK QC762_0029140 MLSKSSFSQSETERKEKQDPTRQTAACRDHHTRQIPVQAVATRK LPSVSPVHELAFLIDLDIDRLRQAPEQTFDVGPRRSIMPRRCMYVRPENAPFGSLGRT LLRPVKLPFQWGKPEAHAPLRLVVKASRPVPVADEDFQSRAINVTSEDAHAFAVGKVH LGAVVVNSNLLGRVYRAGGDDDLDVATVQVARHDAAVVFSDPVWHGLVVHYGAERGLA IRAAHEDTATLVHLLWRVVEAKVEDVQGRSVQVVVGSLLLASSLGGITWWVQTALAAL GPEPALLDVLLEEGYDAWVVEIPLLDVVLLDE QC762_0029150 MATDTEEATMTKWKLPTAINRSVGILGAGVLGRRIGACWASAGY KVNIRDPDTQQTNGALQYIKNEMWRYKPTVSPDKISVHGFQDLKPAVEDSWLVIECVP EKLDLKMNAFADLEKVVRPDTILGTNSSSYKSREIAAKVKPDTAKRMLNMHYYIPPDI RVVELMTSGSTHEDIFPFLEEHLKRSGMLPVMAHRESTGFLLNRVWAAIKRECLMVLS EGVASPAELDMVWNEMFVKTGVPPCELMDSVGLDTVSLIEQHYIQERNLHDPGVIAFL QKYIQEGRLGAKCSKGGLYPPGYTTKTAGQQQTPYDNLHAPTLYILDLGLNNAPEEVY QRGRILVGSADGQTPLRTIVDHQPMPDGIALCPSEGKIIWGNMGVPNKNDGSIMSCNL DGSDIKVIIPSGTVHTPKQIAVDDDCSKMYFSDREGMRVFRCNIDGSGLEVLIRNGDW ATGFDDQTKWCVGLGLAPLEGKFYWTQKGPSKGSKGRIFRANIHTPPGHDASTRTDIE CLFRGLPEPIDIEIDEEGKFMYWTDRGELPRGNSLNRAERGPDGQYTDHKILARNLHE AIGITLDRKNRHIYATDLGGAVYRFNMDGTGRRKFYEDEGSFAGIALCDK QC762_0029160 MPTDLLIAVGSFHFVMVASSVSVAMNPPPAREDKKFSGPNSNVP IFNGDHPGALPCHTGMPMQLHCSAIASATEQNIGTDRVMGEKG QC762_102750 MSDSKPPKDSSSPSAAVPDVAAAAASSSTITPAPVAITPPSNPQ SAHSFAQAQQRLIARRQARDAQEAARVAAQQSESQLRARIAASQSPLLRRLGASTLSL WDTISSREGTRPAFRVGQVDAELLDEELVELMKGQVGEAVRYYGGGGGGGDNNIKHEW DAEISLALRAVIFKLTIWDHDATYGAALQNLKYTDARHTGSVLVPPSKWQKGLYGLMT VGGRYMWSKWENWLREQDGGYDEPSPTVQRLSSMTDRLSTLHAAASFASFLVFLLQGR YRTLLDRVLRMRLAPPTSQVSREVSFEYLNRQLVWHAFTEFLLFVLPLVGINRWRRWL ARTWRKTKKIMSTTGGEGAEEKKGEFAFLPERTCAICYQDQNQATNENELMAAATSKT GVVGSAQTDVTNPYETIPCGCVYCFVCLATRIEREEGEGWNCLRCGELVKECKPWSGD VLEPESKSPAQKTVVFADDVKDASDDEQENSQVLVQQEDDDEYPEEEGEEGGEEEEEE EEEGSRSLEDLRTESASEESSEQEADSEGDESEDYEAEEEELGADLDED QC762_102760 MGISSSKSPPPPPPGGGISGANWHNSNHYHYNNYQSNSNNRYDD NNNNRRLLGPKRPRVKSRSSLPTSQTPVAQPTMPSFFSFEQGSERTQNVRFFNGESSP LLGRFRAVPRPNTTFGGPHRTNTGGSISSIGSGPTGGRARHYHPPRGGAQAGIINEPG WRGSVHVGYGAIVAAEAEAEEEAGDGDDEAESDSDDSQGPGWRRMGKGTRRLRRVMRR MRDTWVDPKAGVVRRLVDVWWSRWAVLVVLPASLAVAWCAIPFPQYPLPWDDDDNSGV PGQAPSGKVPGHGAARVQVNFWFFLFVYYSFYNLTALIWITKVFNIYSLNWWPQSLGF PVTVSAIAILSLAAPLPLYLVPEARWLTAHNTVWISWTFCIMAMPVAIAFFILLTNER HLGLRHSLSETQRIFTSSWWTGEREDTISRRERRQRAALDPSAFDPNADPSQIGYNDA RYQPRARRIRRRWLPASFVRFVWFCLALLIGLLAYVIGEAYAEIYLRTLPHNNLETIV YVYGWISTVHLLDGLTGWILGGQEGERVGSYPLSWIFKLYFMLTYQTYVRALYARLRD PKQFMYLQILSSTSLIVVTPILMSRSVHWVFSILGLNGQSYGSYQKICIRNVFIRFMA ENASMLAFLGSVVVLHFGANKDVYPYFSFDGADETEQYTFNLTFKASSITWACELAAS FVVAGLIRLIYGVSVVVEGKLDFAVWPELLPTAVAVTLHVLQNMLFSIVRLQFR QC762_102770 MSISPTTGMNMLSLEGTPTMAQARFPTQSPRTSPSMSGSERHDD TNEWEEDEEEDDDDSDRGVISSPGGFRYDISQLPHKTQQMVRGLYHQVSTPGPPQISL ELCGIKEEDDAEGGFFYAFQMQETVPCSIRIGSKNSKTYSTPRCECPDARYRNQKPCK HLIWLFDRISKQALFDHDLEASLTLTDYGYPEQLQDPFDQISRIRLDILADDLHCDIF DPDNDTSDPSRSRIQEAREMVAALAGVQPQELDTYRPDLGTSHYTSPIRRGDLEATLF SLLLSSHSLSEWVRTELESSGPAVEPFRAMERRVSRILSELETYSSSLQNTPATSVRS QKEKTVEGPRDVHWAATQVQHCVRKIELLVSRGSDPLSEPARASAARSLVSILKAVVS RNVDSHGGDTPDDRNLFMRLVGNHDTGFVYSALELLVDQSQFIEELEGIMELLGRHGA PTTYVANMRGLISRMRSHKAVGHAANAWESSRRRSQTPPMDEPQVPPPPPLFVQTPTE EEGPKRTTPVGGSNSPQFLTPELPSSSRPGRGGAARGRGSTRGATAGSKRTASLGNAQ DSPRGSKKKRVRGA QC762_102780 MAPSTRYASNMGQIIEYIPDRLYLAAYTEAPDANTLFPYPDQAP RSPRKRSQRNVEPTPAQDYKQPYYFTVDDTLLYNAFHHDFGPLHIGHLYRFALQFHEI LGAKENKDRPIVFWSRADPRSRANASCLLACYMVLIQSWPPHLALAPVAQVDPPLMPF RDAGYSQADYGITVQDVVYGVWKAKEEGCCVLENFDLDEYEKFERVENGDFNWISPSF LAFASPQTAEVAKTPEGTEGWELLPKNLAQIESDAFINQPLRNVLTHFTERNIGLVVR LNSVLYNASYFEALGIQHIDMIFEDGTCPPLSTVRKFIRLAHEMITVRKKGIAVHCKA GLGRTGCLIGAYLVYRYGFTANEVIAYMRFMRPGMVVGPQQHWLHLNQGVFREWWLEE RIERRLRKEMAANAAKGVPQTPNRAVQKAARGASKNGVATPPNRAGRTPLGEMDSVDN ARDNKEDYLPAPTPGQPRKTARAGYGRNTATVVATVEEERAVEETQTEIISMHRTSQG NESDEELYLRMRSHRKASASVSPDRRTSERAVSQQTTTTTTVYQVVDNDASNDIENIG TGAARTKSYEQAQRVSSASGVLTKVRGGSSNPKRQGAVAPTGVRKTSGRVGSASHVSP VTTQRKISAGLQ QC762_102800 MSTELTYPCSLSLDVPFPDARLANVALQALGVDKELSTLVQKEL SAVDSTLKVRYKATTNRMLRVAVNSFFDSLALVLEVMEQLDVDVIEARKAEEETG QC762_102810 MSTLDSTTATPFPEPPSKRRRVDGDSMDSTPRYSSPGNLDGARD STPPHDSSLVQQTQGLSIRRERASRSQSRERYNSRSPTSSRDGSRSRSRSRSRSRSRS LFRSRSRSQTPYSRSQSRSRSRSQSISRSQSAQPTPPSIHRPPIRPNYQPHLCLKGHM KAISQVRISPDGCWIASASADATVKIWDAATGECLDTIVGHMAGISCLAWAPDSNTIA TGSDDKAIRLWDRLTGNPAHAAHSTPDATGKEYRGSSTIRGGRTGKGPLLGHHNYVYC LAFSPKGNILASGSYDEAVFLWDVRAGRLMRSLPAHSDPVSGIGFCNDGTLVVSCSTD GLMQVRVWDTSTGQCLRTLVHEDNPAVTNVCFSPNGRFVLAFNLDNSIRLWDYVSGTV KKTYQGHKNKGFSIGGCFGAVTYAEDGYEPDEDDKPQPFIVSASEDGDIVMWDVVDKM IVQRITGAHDGVCFWVDVNGKTMVSCGQDNTIKVFRHQPSRQPEAQPNGTTPNGMNGH AEENGVAPDDNDAMAVDIEMELQRQIEDELVKQEQI QC762_102820 MEELPTELNIVTLNCWGLKFISKLRNERLAEIGRQLAIADPSPH IVCLQECFTQEDYKAIRRETRFILPYGKFYFSGPFGGGLAILSKWPIEESTLFRYPLN GRPTAFWRGDWYVGKGVACAKIRYGPAAKQVIEVFCTHTHSPYEAGQPDDSYLAQRLA QSWEISKLLRGAAERGHLVLGCGDFNMVPMSLEHQLVTANTPVRDVWRVLHPDSSLGP ADHPAEKARRRPIPTAGFNIEENGAASDGPYCTWRWTKEQQKLLGPGKPPVTVPPDTI DRRGKRLDYIFAGSGDIASLNGGWVVKAARVGMMMRHPELGCSLSDHFAVEATLAFHP LSSSAQSSSKAPPNGNKPAPADESLILKAPPKIRQPGQDDASTIPDTDDKYVQDGTYL QSPTPSSIRDNSSFDAQLLSFLTADHLQLPTSAYDFILQEIHKYRLREEKQKKWRGWH FFGSLAVLVGCLVGVWWSPANYVAFVLVLVSSLSLVAGTVDGLMSLLFFRSELGALRE FEWEVVNAKLSAGDRVPTADGEGEERGW QC762_102830 MRNPATSGYAPVSTTDHDSNNNNNNNNDNNNVYAMDDLAGKPLP PTPRNFDPRAFRIYRRDVVTFSLSFLVSLPLVLLVALFTGDGSLFGYHSSPSPSPSAT KPNGKFIVPGAGGGGCEPTSTVPQYFNTEDGKWAGPTATGKPGFLAQTKEWGVEDGKT WVPNEPLQTQVPVRGGDGSEKGGSIFGEMGFLTPYRPAKGFGVEEWPLPEGADIVQVQ MVSRHGSRYPTVGSNVDGLGRRIGEAKQKGGFKTSGDLGFLNEWRYELGQEILVPKGR QELYDSGVLHAYMYGKLYNPNSKIIVRTTTQDRMLKSAENFMAGFFGLGWTSNATIEV IIEDAGYNNSLAGYLNCPNGNKVNGGSKAQEKWIGVYLQNATERLAKLVEGYEWTVED TYAAQTMCPYETVAYGFSKFCELFTYEEWLHFGYSIDLNFYGNDGFGSPVGRAIGLGY QQEVVARLQNRTLGYSGSQINVTLDNNTGTFPLNQSLYFDFSHDTNIISILAAFGLTQ FGDTLDPLKYPGQHNFTVSDMTPFGARLDIEIIKTQKPVLANREVDETGKETEYVHFV LNQRTVHLGWSLEECDGARKDGWCELGAFLKAQERMAKMARYEEACHGELSGENWEYG RVVDGVPV QC762_102840 MFSSSSKDMMNAAEGTEQPTEAGYEEVYVHPVCHVQTPENRFGP GIRESFTAHVDMLNTAAVGDDFDFDEDDADSADDDYPDDEDEYESDNANPPGTGWLPS VIGMSANELMSMMGPVQALGLGLADANEAGTTSNTPSFPHCSSGRCNLTALSQRYNLY FAAYQDKIYVYQPQRAPRILPPPCLILHPATTKLAALYPGELDRRFSHQINNMIVGNL GNLEIVLFACDDGDIGAYYTHTLVHWIKTYPKQDGAGPFSRSTPKPRMFFHENVTLSA WGLAIHQQSRLIAVGSNRHEVTVFAFALPRQDQEDDAFELDESPELWTGQTALQLQKH FQSRTRTWKITLPLGISGHNIPSVSFLDDEHGNADKIAAIDIWGVVWLLDIWKIGTGP VVIPATSEAHRYLRGWCVMILPGSAFKPTKSARETLGVTAKEILQPKANVLDITCGLY YVKDLAVNVGDMIRNRPYANSTVYEKLHNLAAGGCPAPSEEGSSSLSSEWESVSGDSD SDNDDSLIPSMALNPPMGNAASTTRWGALNRSVNSRSSAIKDLFDEVQLRREIVPMSG ETPDPGKPPLTHQSVSRICNDRQKRAELARVDDFGVLPKNYSLLRTSAADLELVPFDR TLARPVFRHVLTFLAPGLGQPVHDFSQIRSERIHMMLHVPELFLVVLGSEVGRVALVT LTKSGKVVDGVPLRRGFRVDCVLPRKAEEKKKVRPSCGLVGIAISPEPVPGPRVKGQL ELCPAGMVRRGPVVYRLILHYADHTILMYDLMRGDPKEELMIF QC762_102850 MSRPPPMFLTLLSILILGTYFFHLWRAEAALAIPWTPSPDARLF SQRPLPAPIEASFLSSSTTTASKETESQPLSNYALPLKTQGRNIVDQNGKRFKLSSVN WYGASDELFIPGGLDIQHRKAIAKTIKRLGFNSVRMPYSDEMVVKNPTILPHLLAKNP DLMEKRALDVFVACVEALTEEGIAVIVNDHITTATWCCGADPCDASWSNDWLPGVVCK VRQTEEDWIRNWETVMGRLAGNELVIGADLRNEVRGVWGTMPWDRWASAAEKAGNRLL KMKKEWLIIVGGTESGNDLRGARTRPVRLEVEDRVVYSAHVYSWSGWGSLEGRYSKRT YPSFVASMRSNWGYLVEGDVAPVWIGEFGAPSEPGRGDANYWENLMRYLKSIDADFGY WAINPRKPKDDEKETYCLVEDDWVTPVLDYRMKDMTELMRIGHE QC762_102860 MSNGTEVECPKPFLNVAGFDNGGFIEGRVCQPYFDATCCLPCPM TQWAYPDTFETMSEAANWVSVVSTVGCVFLLLSWAVLPVEKTFRHYLSISLTTAVVFM NLGFVIPLAAQPEQCFDAITPNSMKTSSVCAASGMFIILGGWAGVLWVFLRALSLHLQ ICWQLVVGRNFMWFAQVVGWGLPGAGVVLAFLLSGVSFRFGQTCHINHKNSLADLWIP LLVFSGLTIIIQFATFGYCIKVYLASLADNSASTEGSNMPSYTNSIRTMTPKQAYRRV RRVIALQWRGIAIVLIIIADVIFFSVVFVFQDNTVEAVKNDSTIARPWALCLLDNGGD KEPCLELASALVVNMPTVGAVLFLLGMNGIWLCLLLGRWSMITGWRDLLISSPSRSKR EFVSVDARLDDLKKDTLTPISPTLARSGMGSPTMHSHNQDPESGRRTPDFFGQTQRYH TPARSFSSPRPPQQPQQATVTWDPTETYARGQSPGVYSQDGNPNNYVNPLGMNRL QC762_0029280 MRLLINNRVKFRHRQHQLYNQTQTCRTVAILTSGQKQLWLMILA IALRQFGYACRAEIYSIQLLDWPEELGDCTIQELFLFYPQDDDPVFCPQDPNNDEAGV S QC762_0029290 MLERITNFMCHPEMLLPIPQIDDPVERFLGVVKFYLSGWHIRPP GVKKPLNPILGEIFSCYWDFPDNTRAYYISEQTSHHPPKSSYFYMVPGHHIRVDGTLK PRSKFLGNSAASMMEGIAILTLQNRGKDPTKGERYILTQPNMYARGILFGKMKYELGD HSYVRCPETGLVADIEFKTKGWVSGTYNAIGGTVKNEETGEVLYELSGLWSEEMFLRN VKTGHKEMFFNATKSKHSPPLSRPLEEQEERESQRLWAKTAQAVKERNHELATDEKTK IEETQREEAALRANEGVEWHPRLFRRVRGGPGGSEEGEEDLEWIINAQIDGKTPEKQA AQIMAIYPIIPGQKCEKRIVIPPRASFSEPRPQTAHSNDSNLINLNNDGPSADDSRAP ALTPTLPTKISEDSMSTKASSVSLEQTQGEKPPLDPNHRSTAEIQTMLAATGDKAKAG PLIDFHDDMKKALPANPKRADTEDSQDDVFVDAQG QC762_0029300 MVVPRNANLTEGDWFLDLQKHPPEVMGEGGDICEVPTIEISKRR RARPPPSRFLLVIPISVTVQDCRSEKLNQARGRLTQHLSDAPRQVFSYDLGFPDSDMS ASRNPSARPPGKSHGGS QC762_0029310 MCLNLFGKQGKAGLKIVDAKFPCRKVLQAGMDGAQDAADAAFLC LDLPELPGEHDDISIVFEDEAERDKLAACLPAPVKGGSRLIPRDEGVEGVSGIHDGGA FKDQNKKGFKRLFIRSHEIYPLNLIS QC762_0029320 MDQADIPCAPLPPYSCLGRGRRPQMAVFKLQSSINDPAFADVFI SSGGRVILRRPIMTTGGQHPRLLPPGSLPPVGGRHGLGYIRGCRGGGAGGEGCGVGGD EPVGEYPARGDEYTGGEWWGINLDRGQKGLCRGRLFGFRALKPAVAVYPQFFELVIQQ LQSADHALCANALGLINALVRDAVVNDVASGTVAAGGQKGNGGGRRGRGEDWSFAEEV EEVRVDLERPEHRRALKGLHLASAPDRRHVNGVGGVWWCRFRRSRGGTAGQSGTVTTT TTTRKTSRKHNPEKWERLGFETESPAAEFETAGVLGNGFDGLREEERGWVSKLLLEQS THPLNERCPVARASLAVTMILYDHFEVDKV QC762_0029330 MKGCMLSFVVCGATGAQREDFDKVAELDVLEVEDDLQEYDAGRL RELQMELLELSFEDQWGTHLFQVREAAQTRALSFVRSSVSGVCCRARGFTKPMSRNNN AHSRETATRREGLSALAIDSSTISSVVSNVSARMKQSRWQILMPRIARLPTRLPPVMA RKSTNPRPDYDLQLRGRTYPAAAGQGSVANSTSAVAATSNGGPKEQPILTLFPLEPQF GVGVDGTLMLLNQTPITAETNKLAGTLVSEYGLED QC762_0029340 MPGCTSPKSSSPWPLLPYPDRKRNAFKLVGYSLGGGIATHFAVS FPDLVRDLVLLAPGGDDSPASFGTLTRKVFTSGVVPRGLLHLATRRRLQEADSVEHET GKVTARLQERQDPASRLVEAETADDVGADGEPRTGLERRVLRQVQQQLVVHEGFVDAF MGCLKDGPLMGQEGAYKRLALRGGDEGKTTAIILGEEDELVNPGSMRRI QC762_0029350 MSDSTSEAAPASTPLHSARDNLNEPFNAGDQAYILVSSGMVLLM IPASPSSTRPRSQKVGLVANMGRHDVFQCHCLPMVFGVIHWALSPTATNGFIGNLDRF GLRNALGDESPAPLHSRAAVLVLPGKYLADFDLRTWLDANSWKMQFAAVTAALVIGAT AERGRVIPGMVFTFFWATLVYCPLAYWAWGAEGWAFKWGVFDYAGGGPVEIGSGVSAL AYSWVLGRRNEKMMLNFRPHNISLITLGTILLWFGWLGFNGGSAFGANLRAAMACWNT CLTAMFAAMTCAFSISALPRSGLVGWCSGTISGLVAATPASGVITPGPVFCLVSLRAL PRNFGTKIKFYLRIDDARCVRRTRHRWYRRSYFQRFFAADYIIGLMASTWAFRLYKQI VYILAGCGYTFVVSAHRQGHRPRSRPSPPCFPPRLSFGMDDDQHGEFAYDYVEVRRDY LCLTPAEKEQRADGDVVVLSTASPGIKRWPLFQRPSHTSLRARYCCREGGEGVRVCD QC762_0029360 MSTTPLMNDSPRTPTDMSASRTSPLHDPCQHKHHVVLLNEVARH QQLEASDVVVVMAVVDGAIPAFGVDGDGRTIGLLVLLFLMLLCTPDREIGSVASLLES SEPLPKGNMRPAGCSPPWTKPPVSGGVPKAEKRVPSPTPKKMGFLSWIQGSIRGAGIS WGNSQTTSPHRRYTPQSPTAAEGKRNARIDFIN QC762_0029370 MSMTQEKTAHFNTDQTQTFVEESPRVDDFFEISTFWPASARYLV SLFRFSWIGYLQSLQWLAGDLVAGITIGAAVVLPQGMAYARLANLDVQFGLYSSFMGV LAYWFFATSRTSPSPVAVAPCHCICSALLAGAVVVSIGLIRCGWIVDIISLTSLSAFM TGSAICIAVGQVPSLMGLSGFSTRDPTYLCVHQHTQTPETQRVWMPPWGCRHLLCFTL SGSLHVDWDTLPKKHQKLLPSSFLTLRVVFVIVLYTLISYLVNRSLPRGTARFKILFD VPRGFQNAAVPVINTSIVSNLMGYLPATVVVLLIEHIAISKSFGRVNNYRINPSQEMV AIGITNMLGPFLGGYAATGSFSRTAIKSKAGVRTPFAGVITAFCGSLPAIYALPAVFY YIPNASLSAFWLVSPFEVLIFLSVCSSPSFRALENGIYTTVLLSAAIFSSDFEIERPV LGRVKVQSMLGNRVIGNDRQQPVPGYGTFTGSQEAPTRNIFLPITHADGSNPEIELDN PYPWHLHLPVRRGVQLPGTREQPGALGGTHFAHTGGRTCRISTGLAIGLERFPARQEG HEGGGCGRGGRGGSWGWTLPTLKAVILDFSSVNHVDITSVQQLIDVRNQLDRYASPDI VDWHIACINNRWAKRALAAAGVWVPGLLCRRAAQEVEEHLFCGGDWGSHSGLRRPEVE VNEKEIARSRRQTAADVEVGNKQQKQQQHHHRGPNDPKKVGTGGSESVPRKPTVTFEH AVLSFAPEEDVAGAGATVGGRWWRRAWDQSAAVS QC762_0029380 MHPFDNVFVPLPRYRIAICSSCHNAVFPSSIKTHVNTHHCYLPV RHRQQIIQRAVELERRGIVGSDVSGIQFPSPGDPAVPGLPVWPDGKKCIVPGPDGHPC GHIRRTYRGIQAHCRDAHGWTNVRARGRPSAGVSPGGEGDVWVDSIHCQQFGKTGTLQ RLFEVTPAQASTSTMEGSVHQSHRTQQSR QC762_000495 MKVSTLFSAFAALASLVAAAPAPGVSPVANAARGLQPSPPPLSY EKVTPITDIDEVAAVKREIEARQGVYVTVHIYWGGTSAYISPVNRGACADLVPAWKNV ISSFGPDSPLVCWIYDGDWCTGDWYGPIYNPGIADLTTVGWNDRINSFICW QC762_0029400 MKWNAILAASGLLSPTQALLRFGCSQLTVQRLDPLVNPGQSPSP HLHQIIGGNSFNISMDPKDGFDLPKLSTCTSCQFTEDFSNYWTAVMFFRARNGTFKRV PQIAQNGMEGTNGGMVSLLVVYYMSDALFDTAQKSKVTAFKPGFRMLVGDPSYSTRDQ ARDWRQLTFTCMESQASRAPEYISFPPTPCRGGIMANHRFPTCWDGVNLDSPNHRDHV AYPETGTFESGGRCPASHPVRLPQILLETVWDTRAFNNKEDWPADGSQPFFWSSGDGS GFANHADYVFGWEGDSLQRAMDAHTYVSAPMLKSQTIAQQNKCTVRDFVREDFSGWLK QLPGVAM QC762_000530 MQLSLGLLALWASTSLGQSMQECTRELARTDECADVINPNACYN MYRFNSARTLSCIEGKDDAERKRKACMCCTCVGTVMCNWLRTNRYC QC762_000540 MADKPILILGSTGPSGICLLRELLHRKKRVIAFVRPTSASKIPA DLVSSNPDNLQVVHGQIDDDAALGEAVAQCSAIISLMGPIQESMSSRTPLPYPAFYEN HVLPVMKKHGIKRIAAMGTISIYTEDDTAVFSRWILRTGVSWMFPAGYNAMLGIANVF KEEADIYWTIFRLPILLGGSDETAWRADREKGEAQAGPVSNAWTGKLNRSILAKWLAD WAENEGKWARELPALSS QC762_000550 MDPKALNQSPSAPTLRQTIDPIRPRPIMPWGRVIVYGLSAGFLG VAAMTVSEKVEQFFTGRPNSYVPAKTLARLLGVTPQSDQQLWGLNMAMHYGQGAAAAV IRAVASYSLGMRGPFTDFMFMGVRLLIDQTLENWTGVGALPWTWPVDEQVVDLLHKGV FAFATGYLVDWWIQ QC762_000570 MPTASKVSHIIGAVGLVLVTVLYIALLLIPWVHTCGLSTGPVWV SNPKTWTELPRGVEPINVDRMADYDKAIKTMNTITSIVAIPVVYAVVQRAASVGSQSK HNLWDNAALSWLAVILIMITLILPPIRTLVVSHETIKVPTSFLGQGVKALDATPQMLE MVSENGVVEKVKHGLVNSQESDRHPQLWPEAGQASDVSTTPSLGGFRPANEPDIMDLS HQHMFVSLVPRLNTGYYRSHALRMKSLPDCKAIALFPPVCSGPRPFARVFESPNTLVT VCVPGASGRSPWPDRSQDRREIKEDLFIRMVRNVLTGDVRNPSQIRHQKTYHCTATTT RGYFELPNYLNEEKVGPLLNTFPNLGLDDLEGHFVDVSPNRDVPLRSPDFVQNFTTDP FLSLGPTEPSNIAPGPLMISAQALFGNQTWFHTLARAFVNSTTSNNASLPRLDALETL QMVCLENNMPLARFSAFPPAEMVISDRRMSKECQSVLTPRSRQEDRITEQQAATKLEN MMNDFLSVFERGPNTTLWTAMVLANEAVLQAATQDSTLARKVFVDLGQVMTDKPVLTQ GAVVGVSLLVAFQVLGLVVLAVYLMIGSFEPAWFMKRFAGDGETGPGSKGETNEYDEE PLRELSMPAGSERR QC762_000580 MTPTNHDSKDTKEVASPSPKIFADEKKKTDTGLSSSDSGSVSQE SLVDADGYGSTNDHIFSDPAVADHWRTTYENVNYENRHRFDPSFTWSAEEERKLVKKI DRRIMLWAWIMFCGLDLHRRNINRAITDRMLEEIGMDTNDFNYGQTLFLASFLVAELP SGLISKRVGADRWIPFLICSWSIVAGSQAFLKTKAGFYVIKVLLGFLMGGFIPDIVLW LTYFFKSNELPTRLAWFWTALSTVNIVGSLLAAGILKMRGIGGWSGWQWLFLLEGIVT LLIGTFSWVLMPPGPCQTRNWFRGKDGWFSDREESIIVNRLLRDDPSKGDMNNRQGVG LVLLWKTIKDWEMWPLYLIGLTTYIPPSPLNTYMSFILRQMGFGVFEANLMAIPAQFM FAVNLLIITWVSKRFKERSIVSSISNIWMFPFFVALVAYPNASPWARYGIMTGLLSYP YCHAILVGWNARNSNAVRTRAVSAALYNMFVQSGNILASNIYRDEDRPFYVRGNRILL GIVCYNIVQFYFVKAFYIWRNKLRDAKWNELTPEQQEDYTLNSKDEGLKRLDFRFAH QC762_000590 MELFPDLGAWLFRSVRRDTFAESPILAIQRLKLLPQPIGDSDKD VLVGAVLNLGSSLSQALHILLELLPEDKAGERLGEILCPTLGQLLASLQTTLSAFEDQ TPTRQVSLRGGRLKHSRSESALRSAASLPKLTPRPLTPILMKRTPPETPKTPRPSEGV IHTRVVSSPLRFARPAANQTRSRHHRKDTEEFPRLHEDISTPHRQHAQKRQPPTPPAS YRQETSGLQNALKSELRVQAAIRVAVDSLEFAEGQLQLVKEINQLHGGSFDLMQKNFY SGYNNLLLRALELEQLESERSSSRPVTNNDPSRSGHQRQQPSIHVSFPANMPTPPYNA SSPLRAGMSRGNTTVPARMPTSDSEGEIGSRQGMKRRNTIQGIKQENDQDFVRPAIKR RLSLAEELALADDDSDSDYGHSGSENGDESATDMAGEASEEEASQQSESQADSDDDDG RYDSDHHGGGGEESSSSSNEFAMGEHDGRNDPTVKQAKYL QC762_000600 MCVLAVYFLRMPYQSTSHGETTPLLRAPLSAAVVHISQGHRRQK SSSTSASSTTLKSGDIISTTRRDIEDDVLPETAALGRTLSWRSAYILIISRVIGSGIF ATPGSIISSVGSIGLILTLWVAGAVLSWFGLAVGLEYGCTLPRSGGDKVYLEFTYRRP PFLASTIVAVHAVLSGFTASNCIVSGEFFPVKGNVLPLLDLGGVCS QC762_000610 MAAAAVASPAVNAIGPINSHGLESGKPLKHNVHTKFNYYKDPGD GSAPAPYVIGRPETLIERPRVEIDAVVTDITGDESKYTLDSHGFQLYRHESKEKDFSD DDKIKAEYYPEVEQLLKDATGAHRVYIFDHTIRRGSQDNRAPDAGFRGPVRSVHVDQS YEASRQRVRYHLPDEADELLGKRFQIINVWRPIKTIFRDPLGVADAHSVPDSDLIGAA LIYPDRAGETYVVKPNSSHKWYFKYAQTTEEVTLIKCFDTEEGVARRVPHSSFIDPDE EDKAPRESIEVRTLVFYD QC762_000620 MNNRYKSTKAVVLKCQTPLSFSFHLQITLGQLRQSSIMRTIQLV TAAITALLAHGAAAKEVAPDPAVAKIYDSGAVHEQLMAKKHATWNRELKAGHFNSKKW KSRGGDGAIRCKNGVAAVIPGDAMNTFKCNKVDFYDFVSHADLGSATGEGSSSWGWTS RDGREFVVIAQADGAAFAEINKQGKLVYLGRLPQTKGIEPLIWREIRGYKNFIIIGSE AAGHGVQVFDMSKLTKINPKKPVTFDPEKDVTSWWNDLPIGRTHNVVVNEEKQYAVAV GAAPRTSACRSGLIFIDLKDPAKPKTLGCAAGDGYVHDAQCLTYRGPDFKYWGRDICY GYNEDTLTIYDVTDKNATNIISRTSYEGAAYTHQGWVTNTAWQEFLVLDDELDEVNGV GPAASGYPITYFWDIRSLESPKQTGFFRSAAYGIDHNQFVIDGLSYQSNYGSGLRILD VSSLSRDPTGARVKEVGFFDIYPEDDALPEGGILDFVGTWSHYPFFKSGFILINTIER GAFVVKRAK QC762_000630 MPKLMWPMGILQDRSGTGKNSGWARQRNASVSLRQKSWPEYLDM RLAPSTFRQRAGLDAQALNNRIGDPAASRTFDFAVGGDRFVPAAEGHFIENTGTKDLI FLDVLQVPEFNDISIAQWLVLTPKQLVKDHCIFPIQSLML QC762_000640 MEVDSDADSRFLEGTRHFLSWFQSLPGATFHKHIAIEDLRSRNA GRGIVAQADIAADTVLFTIPRNSILCAATSPLKDKLPEIFDLDNDDEDESGDESDGDN QNSWTLLILILIHEYLQGSSSQWKPYLDVLPSTFNTPMFWTPSQLSFLQASAVTSKIG QEEADKMIASKILPVIRSHPQIFFPSSATPLSDDQLIQLAHRMGSTIMSYAFDLEQDM EIPEQLENDDEWEEDREGKTMLGMVPMADILNADAEFNVHINHAEDALTAVSLRPIRK GEEILNFYGPLSSAELLRRYGYVTEKHARWDVVELSWSLISSALQSKLQAQPEVWEKV CALVQQDEEFEEAFVLERQSPDPSSTGLLEEPAEFTSLPDELGEQFKLCLKACKKITD SKNDMALRALNDKDWRKRLYLETILEAVTQREREYGTTLEQDDQLLSANPSDQREKMA VWVRRGEKLVLREARAWITTQLEELRNRPVDQPQDEGRAAKRRRI QC762_0029520 MSSSTTSSTFRRAFKSVTPTPTSTDTLRDRLAAVLPHGFKFAVY HLSTPPTKTEPLCSAPPDERPDKTFGESHFLAISIDRDHDAPASLKRASPGADEAKAT EPRAQVLVFAVEIFIFTTAYSTTLFVGKADSTGYLHLLKLPKGTSSPIRQVTAIFLAY LIEHRRRKNTQTIVNLFARAQSQYLFPGSVQNDGKHILDDRGLVKWWCRVLNPLMEGL RGEAWGTPKGYLFVPGLEETDTRAFVPRTATSADNWVIGHPLEKISHYVDEFDWVPPR CLIPRYPDDPKSRFRDELDEEVSKRKQGIWKWASVKNLDQFWEMMSFRQECSSGRLTG FIWLVFNPIKKDTAAQAKTNTSASSFDAPFLSHNPPSTPPRRRVDILAQTPRSNRGSP LKQDLDGSPPQPDRRKKKDKLKRKKKKLTGPIISRPPKVKRQLRNYLLDRPTSTKYYY WPPEGRGAKVVSESEYKRDIELLLHLDFSTLDKAVGSSRRWISQAGVGADEWGASVLG KAKTQGNLFGSVSSGNQGAVVTNLTGLVRRKGPSQETGQSTAGSNESGPISINVLDAG LIRKKPKDKA QC762_0029530 MWWTKTLWWSRPWRQSGIPGSNAVPWQTRWSPGSWSWSWSWSRR AWRAGDQACSRGPLGIQLHWPMEKVCFAPHIPCSSGASVWGCRAEPAPKFSRLATEAH CSFGWGDREDGKKLQRDCWSARRMCLEAARQTTPRPVAGHCTFDEPVPPDETPAANNT MALNPARASVSSASKALARCTRPPPSSVASSMGLSARQMSTETTPTSTPTTADSQSAS GASSSERRPRWSYTPERMKGPGFSINIVKNPARKIWHVNEDPEKVDAVYNRFLGKNGD KMLPDEIKWLAVTHKSFDQGRRGFNTRLAYYGRQVLALETTRSILANPLPGDAAQEAI TFSDEHGREPFQHPALANVDKLYARQPWDVVSMEKIAKLAFDVGLGEITRWKPKMPEN LELSGIVSVLNTSVLAIVGAVSLQNGAEAAHRVVRDRILRRLA QC762_000670 MSISQEPSDGRFSSTSADESPGLTTFSLFSLLPAELRLKIWRLS FFPRTVVLHTRRTHYADDNHQDQPKWQSRSFNPVALSVSIEARQAALRHYNVALPLYA PTVRHHLLDPEINSERPGDLLPSRSLYLNLEHDTVALLGDLHYTRLTNLLDWLRKTGA SPLRNQKGRARGLRRLSMSMAPWAHPVGAATLKAFSRTIFADLDEFILFLYAERVPPA EWKGGKCVLQQASVDTDYYRRFLLSRGKQFVDGDGWMKVGKKPMKVAEIQFVDGW QC762_000680 MASHLVLWALLPVGVYLLATVWHRRFKQYAHFPQLTPSFIWGHM KTIHEFTVKNNDLPDLHIDWIFRDMVKAAGNPSVLLVDLWPARYPMAVISSHEVAEQL SKATKQYPWSAPKSPTIADLVDLIGPHSILSKQREEWKSMRKQYNPGFAPKHLMTLLP CIIDKTEIFLGRLNKLCENGQSFPLTDLIISLTFDIIGAVTMGIDFDAQIPDSSREGE FIRVYDQLVQTFHGRSNMLPWWCYPKLALKRFRLGKKVDSLLEQMIRLKHGEEQPQEK RSVLSLSLQGHDVLDEQLLAQTIDQVKTFLFAGHDTTSILLAWAFYFLHRYPKAHEAL VAELDALFGPESGESFELMKHKLLSPGGDELLNNMAYTTAVIKETLRLCPPAGTARMA PPGSNFVVQTPEGQQLCLDGMVVYNCATIIQRDAQVFGDTADEFMPDRWLGDKSGIMS SSNDGDSTIEEDRRFPASSWRPFERGPRNCIGQDLATIEARVIIAFVARKYTFSKVGL GELERNEKGTFILEPTRKCRLKGKPVYNNQQVTAKPVDGMLMTVTTK QC762_000690 MSALIPFSQAIKVERLDSHTYKTNLLDSYCIGTVPNGGYSASCL LEAARLHLASKHQKDAMTSHFQFLNRTEVGPAIIIISETKPGRQFSMLHLTLYQRGLL DQAPWITKGTSRAEITAYLTMTDLSREQGLTLPTVWSLPSKTASPDFSLLKSKRQDDN WRELDLGSSGAFGTYARVLDNCHFYFPKRGQEHPSVIDLWMRFKIPSEGFTNSSLGLV SDAFPYVVEAFRPPPGGETDKPFKATEMFWYPTIVMSLELKRSLPEEKGVEWLRLRMQ SKEIRNGRLDLEVVITDEKGELIGVSNQVNLILGSERNTGGREIGKI QC762_000700 MTNAEPPPPPASGPENHPDEAPLLKTFTRLSKQVYVQEPVSSPP PGAHETDPTTVIIYGWGDAAPKHLSKYVTGYTTLFPYVRLVLIFSPILKTLYQTLDSR SKTMIPVIEALYGPISSLGSSAVPSKAESKERILLQVMSNTGGMNLAATMYAFTRAQP TEPAQVFPYDLMVLDSTPGSTAFLPNIAPWSRAMAIGASRVLPFLPFIVIQAMAALFL ATLHGVGQIMGATSAAVFSVAAVNDPSLSDITSKRLYLYSKEDDIIHWEDIERHAADA KSKGWDVSAETFEGTPHVGHMKAHPDKYWTAISAAWKDAVKKRDHQ QC762_000710 MGGSTGFCQKSQQFLQLAAVKSLHDRPQESLPGRSTCRSQCFGS TPHLASPRGAGGHGGVILLDRDAPLLPATCRWSCNSSEQPRQSPGASYKALIFLPLPS RLLTFIFGSVTPISFSNHRTISFYLSTDITMGILDELNLPAGVIYGDHVLQLFQHARK VGFAIPAVNVTSSSTVVAALEAARDSKAPIILQTSQGGAAYFAGKGIKDSSEKREASV AGAIAAAHYIRAIAPSYGIPVVLHTDHCAKKLLPWFDGMLEEDEKFFKKNGTPLFSSH MLDLSEESVEENIETCVRYLKRMAPLKQWLEMEIGITGGEEDGVDNSGVDNSALYTQP EDILQIYNAFKDISPYFSIAAGFGNVHGVYAPGNVKLHPELLGKHQAYVKEQLKFSED KPVFFVFHGGSGSSLSDYHTAISHGVVKVNVDTDLQWAYLTGIRDYVTNNIDYLKTQV GNPEGGNKPNKKKYDPRVWVREGEKTMKAKVEDCLKAFKTAGTL QC762_000715 MKSFTLLAGLLFSSTASSAVQGIKSPKPPSLNHLCSVNFTLGDA IPIGSGPRGIRLVIPILNGTFWGPRLKGTILPIGADWALLDPKYGLDPDKSFTADVRT TFKTHDGEFIQVTLSGERQSDDARKRTLVRIGMEAGSERYYWVNSLVGLGVVMRPAEE GREFELGMEFWQAQL QC762_000718 MKFLAVFNLALLCGQSVASVHCTVVKPDLVKRMCAFDNCPSIGY VKRGQLVHAACLADCSTVDNPWVKLFDDTFIQARKQYLEQCHTFGKDAFIKDLPPCHV VDKRSKTDCAFLSSSPQLHSRPGKLGSGLLKPLKRDTGNSLEYVSGPIASHNTTLPDN VGNSTTVSANSTVSANSTIHLGNNATNPFFKKWYKRHAIQSPQIFTTITLPIPTPSLT IPITTPTLSLPIPTSTVSTSIPFPSTTAASLPLPTGILSRRHDQQKVDFAFFPNSTIP LGNVTKPHVPKRSLVALPFNA QC762_000720 MAKPTYRLAFKFSPILWSFFFLTINHVSALQTTCPNPLLSPLSA APKQSPLLVDDESDSFDIPNLPWTHQPLCSTSFSDIPGEKFCVFSSASYNLGAGVSFL TTPETAAGLVVAIDRAADHWLERRHFKPQEEFQHPDLPYAIISIPGKGKGVIATRKIS RFETIMRAFPAVIADNAFFPREEEDEAGVKFKVTEGGTRKATPAGESVRAKGFVKGRK YYQRALEQLGDRQRVLNLARSRKGEMHVLEDVIRTNAFGMTVNGRDCKGLYPEIARMN HACDPNAFPRFTSNDLVMSAIATRDIMPGEEITISYIPLGMPTSYRAKSLGNWHFNCT CALCTAPAEARDASDSRRERLMELFYAMQDSETQYDALVDWTREFIELAQVERLITKI GEYYQVFMKLYYDKGDPESAKKYGEAALYFAEIFSDPEGGFCTSLREDLQVVEKVLAE SKAKSG QC762_000730 MIDAQELRRRWSDKTEAVFTSADLSNYVEIPARNYERTFDFQGC KSIVFLKGERTGTEEDVILMSQGTGRMVLPAGVQVLVTDGYTKCSSNPTDAARSGSTV TSSTPKPVVGKDDGWTVVAQADGY QC762_000740 MIVSTAVACALAAVVTAYTDIRHKRFMVKNIDPIVYPGQYRSHM HSFFGSDAVTKDLPTTADLQKGCASGENPNDLSVYWIPTLYYVRGPTDFVEVNPGMFS TYYENIDKAEIPYPQDFFAIAGNATARSQSDVNEGTTGLTWWCENGPEDRQNRNRALM PRVTCSGNIQVILRFPDCVQTSNIKNYAYTAANGGRCPSGMKRIPQLRFSVRYNVRSL LPKGWSGTPPLKLACGEVGEGYCFHGDFINGWFDDAQKNLLMATSRNQWMRVDGARGE GKAGTTCGPKDREPAKGTSDYLTSVDMMKMH QC762_000750 MLFIREQLVVERTNGKFTSKPHFSTRPNQLENTLKLDKMLFVGF WLMATASAVVILPGGEPKPYTSQYPILATTEDAYFRESAPNELWSVNNTVKVILSSHS TTNISNDAGLYPSGDSFIRGAIQAWGEHLHLVVRPEEVWFTILVQMNFYMTSHAEEVR ELFVNHQGQREILVEDLTWYRILSRFRTEIQARVKTDWLMDWIMPNFSTTTESDVMTA NILMMGLTKAYFKYIGKPVCGLPSVTLLGELSDWQKILAKLDRLPDFGPEPEEYKARL RPILSRFVTSFEQPDSPATQEFWNQIVTGRAGKVCGSPPVFLSGWITGFFFWNENGQA FARQKGNMLTLDGISYPVLDLEFAPVGYARAPFVMRNYQGQGDFPAYVAAGNLGKQVT TGPPAGYKEALLRTSGNVSLADDKARHATLRPLSAWMLYGPLSHEPRSGWFKEDELMD IQMSVKKYMTGDTCGLLAAP QC762_000755 MLQFRVPLALCWLLGAVSLSTTRALSTPSSQTVSPGNSIIPGSS IAVKIDRAAAVSSRSLGGIKSVEQLIRNAQRDSQLSKREVEFTVHPLITTVSPKRITQ LVERATVLNPNYVPTDFSTWYQVLFPEPESEDNQTLHDLLATLSGYQEVASCHPLGGG ILPAVDPSNDPLFPNQGYMTPAGEGINAPYAWGFPGGDGANTTIIDIERGWKLDHEDL VDANITLLAGLNVKDRYQSNYRHGTAVLGEMLMVDNNIGGVGIIPGAQGHVVGVTRVV GGGPFENYPEAILDAVDALGFGDAILLEIQVPDANGNMFPVEIIDAVFDAISLATSLG ITVIEPAGNGQQDLDAPILRIGSATPAPILNPSSPEFRDSGAIMVGAATSTIPRTRVL ESNYGARIDVHAWGENILTASVAGSADDIDTYLPFDGTSGAAPIIAGAALSVQGMISA NRGTKLDALVLRDLIKIDGTPTSNPSVDKLGVQPDLRALIDGGHLL QC762_000770 MARRHDDQELNTAVPTDFNRIYVGSLDYFAKPADVKELLVGANL NSFQKIHISIDPISGRNRGYCFVDFPSHQEATYASEALAGQSILGRVVKLGPCVPKGH GRSSRGDQSSAEFRPVFQRWGDWKGADGASEQKDGARFRGWNSPREQTEDQQGPYAAL NRLQSYSNKDSTRIRIDGLDKMIDQEHNDAEIRSFFSGFDVVAIGKRVVSYSLRSTPG NHHHCYVDLGFPEEVDRAVK QC762_000785 MSTRALVIGGTNGIGYAMACRIAIDNPSSTVIISGRNKPAMIPH PNIEFLAVDASSMLQIKQYTDKIITSHRARKLDFLIMTQGIFTMAGRTETTEGIDNKM ALHYYGKQLLIRELLPVLKDNARVIIVLDGKNGAPAKLDWNDLDLKRTYSLPSAAYHC ISMNDSMIQFHAAQQQQNGNSKRHFVHAYPGVVASNIMAGLPWYLRGPSQILMKMAAV STDTCAEYLLQGVSEVTQKAEKEGRFWSNIDQKGRAVPDKAIWTEEQLKSVSDHTWTL IDSALKCTA QC762_000790 MASNYTPPRQAIPPTMPYNDGLIPNDNPHTLFPEVLAKHEPVYH RPSIVPSQMPPAYPHELAGYESGIPEKPGRPFWKKPVTWVALVALVIIAILAGLLGAM ATGAIKTAGNTSVSHEDVVSTNAAVTGSSNTALSPPTSPAIAPETTTGPDLTPTPTPT LATTTTTPTPSVPARTVDSPSGPVTMECPGVDRLNLDVSSPSRGELRFQRLCDVNYAF GDTNPGFGLVKDKIVASLTNCIKVCADKTGCVGVVFNDGPQCWLKHTLEAKKPDDGTE AAILIQR QC762_000800 MHLSTAIITFALSLVVSSESLVRPCGLELERCPTGWICQRLEQS PTGEGICVRLPLHVPLPIRTTLVTSRASRTTTTTTTPKPTPTFQSCGGFRVNPATCPK GQICVDNPYVEGCGMACDKPGICIDPGEFCGGFAGFRCKGDRVCIDDPRDDCDPRNGG ADCGGICV QC762_000810 MSSQPLKIGFVPEHFSTPIYFAHKHFGLDAELVPFPSGTGHMIT AIRAGEIDVAIGLTEGWIAGLGKTEQTEENDGGYRLVGTFVETPLCWAISTGNQRPEI QSVDSLKGGKIGVSRIGSGSYVMGYVLADQRAWLVPTTGVGTSAESASPYSDFMVFNT FENLRKAVNDGTADFFMWEHFTSKKYYDNGEIRRVGEIYTPWSSWKIVASTKLVKGTA LDGRVDDLLEKLNKGIRHFNENPEEAVEYISTNLDYSEEDAREWLKTVKFSERTQGVD LDVVRSTIDILNKAGVLTQGKGIQAGDMIVKQR QC762_000820 MTHSNRSCHWGSTSLTIFVTDHHLVILLIWHETTSNAKMNPTAS WRPMYSHDLSAVMLVADEIHPGLPEGASVFAERVELFPEGCFVLESRQEICGYIISHP IPGHCPPALNTLLVEIPPTADHYYIHDLAILPSFQGRGLAAEGIKKVLDVARNYRTTC LISVYGTLAFWRRFGFEPGMNDADMSAKLRGYGNDAMFLECQNTTEHAPV QC762_000825 MSVSWPPRAIDIYPRQSPYCLEASRLIDHHGQCKNDLQTYLSRS TSSQEIGVIPSTQFITMKPQVVLFLASLLAAGQAARPCPASPDPTSWQISEWTYDAPD RSLPGRAGTDSVIGLYLSTGGTTYSCFGMWPEEWKGFTQDKSALFWSSCVNIFGRPID DTVNFAMDWEKRILYASHTFSCENEEVSGLATASIVLPTSCDNTGSGGNPTRCLTTSR QINLNTTLQARGQSPCSSAPASGRYLESWEIRNHTSLYESTPETEGNLFVAVNTVTNE IFECASEDPAGNGVCTPVGASGGLTIASFNLDPVRKLLTMRQVWACGSGVGTVEALGA ASVPAPCFEDDVPICESSRFWVGGSKA QC762_000830 MVLQDLGRRINAAVTDLTRAPNLDEKAFDSMLKQICSALLEADV NVRLVGRLRKDIKAAVNFKDLPPAVNKKRLIQKAVFDHLVDLVDPHAEPFKPKKGKSN VIMFVGLQGAGKTTTCTKLARHYQSRGFRACLVCADTFRAGAFDQLKQNATKAKIPYY GSLTETDPAVVAKEGVDKFKKERFEVIIVDTSGRHRQESALFQEMMDIQNAIKPDETV MVLDSSIGQQAEGQAMAFKEAADFGAIIITKTDGHAAGGGAISAVAATRTPIVFIGTG EHMLDLERFVPKNFISKLLGMGDMAGLVEHVQSLKLDQKDTIKHITEGIFTVRDLRDQ LQNIMKMGPLSKMAGMIPGMSNIMANMDDEEGSLKLKRMIYICDSMTDKELDSDGKIF IEQPTRMTRVARGSGTTVREVEDLLTQQRLMAGMAKKMGGNMKNMQRAQNAMGGGNKA QQLAAMQKRLQSMGGAGGAGGGMPDMGSLMKMLGGGGGPGGGFDMNAMMKQMGGMMGG GGGRGGRR QC762_000840 MFKDKPQGTSRLRTLPRPHATISWIGKNSERLLVAFTLSLVVFY IVGGFERAFPVVPESVRLERHMNTGQALYPYAAVKSSIEWSKLKPRYPNKQSPTPLPA TEPGTTHPPIQHRFKRESGHDRKRRDARRRAVRDLTIKSWSAYRKYAWKKDALLPLSA TGKDQLSGWAATLVDSLDTLWIMGLREEFDEAVAAVAEIDFANSSSPMINIFETNIRY LGGLLAAYDLSKRDVLLQKAIELGDLIHAGFDTPTRMPVDNINLLAIKSGEGQMIEPQ VVSASPGTLSLELTHLSQLTGDPKYYSAIARLNTLFSVSQNQTLLPGLFPMYISLWGP KPDVISGTRFTLGGGADSMYEYLPKLSQLLNNAAPSLVSLSHNFLSSASANLFYRPMI PDSSANDIMISGTANILPNPDTKTNSVQLSPETEHLTCFIGGTYALAGRLFSTAEYLE TGSRLTRGCVHMYKSFPTGLMPERLTMLPCPPGSPSHAAESCQWNQTLWDATEKQQGL PRGFVLATDRRYILRPEAIESVFYMWRITGEPEWEAAAWDMFLAVANAVVAKETGGGA SVKDVTVDPSKEDVERSDEMESFWIAETLKYFYLAFSPPDLISLDKYVLNTEAHPFLR PER QC762_000850 MHLFKIVAAVAALASGTEAAVRQLPKPASCSGVANFRYQYKLES GWSAMKIAGGLKQVRTIVWDTEGNMLVSQNTRGISVHTFGDNGCINSTAMLISGNQIN HGLSITPDGKTLYASSETTLWSWAYDPLTRKISNQKTIVRGMSTGIHSSRTVAVVPQQ PNLVLLQVGSNSNFDMASQQPGTGRAIIKIFDASKAPSNGFNYNTEGEVYGYGLRNEI GFTADPNGVFWGVENSGDDFARTENGQRRDIHIDNPAEKLNNLGDPLTVRNAWYGYPT CFSVWNPANFPNSNLKTGSHFVVSPNSSYNDATCNGRAVPPRLTFQAHSAPIANTFDS DAKNMYVTFHGSWNRQPATGFKVVQIPFTKLPNGTYEPVAPADSMTGYKDIFSAPNPG SCTANGLTMSNCFRLTAAAWDPAGRGLFVGSDNSAEGEIYVLTPN QC762_0029770 MFCREGLSPRKSESPQPIISSRHRACIILSTAAEPLLAETSLIG SQSAEQAINFTLFRSIPPRLLLQPGGDLRSRLH QC762_000855 MSSLHFLAILSPKPDRVARVEEIAESVSNYVKENEPGVLQYQWF RTGTEEKPKIVVWEQYADQAAVDIHKSSSKLAWLIETEEKESNMQEPIEVLPLEPFAG WAPQS QC762_0029790 MSDNEYDDDIFDHLELDDDDVFDDIDEDELVALERPAKRVKTEA SEVERNLALGDSHIALAERILSNQFGFKAFRHEQAAAIQHILKGDNVLVIFPTSAGKS LCYQIPSIAFPELDGPEGAREQPRQT QC762_0029800 MQQQSEIHAKVLAAQGFKAAPFHAGLKTEVKQRTQDDFLSGKVD IVFATIAFGMGINKPDIRNVVHWDLSNTVEEYSQQIGRAGRDGKPSKCMFYLAPSAFY LREVFARGDLPSRSSLAGLVFDIFKEALGVPVGGVFKTNHYRQQKDFDIRPSPLSIIY ATLELHFGFIRATTSEYSTYKFEAMPSYFGIIKKHKSLVIDAIYKNAKKKLKWYDLDV NEAASYKNTGLTRGDIVAKLNQLDQQGHIRLKASGLMNRYIVQKAFPRTHEDKEKVVD KLYKDLTDREKDALQRWKEVTSLITGSKCFALSLAQHFGMGLPKDKEKCGHCSFCLTG KPIQPPKLTVTPTMASSIQKVLEATNARDDPRFLARVAYGIRSPG QC762_000868 MVSASAANRRLTGATGWHLWMNEKGLKPPADAHQLILISSLPDA GGTYSVLRQSSGRSSSVCILTKTLGVGVSSFRPAVEGQGVIVCRMLSRMWIGERVRER VEVDVNGRAGHDGGKWEVGGCGPASPPSLLTSPGSAWEFPKDATWVLHSTALRPNLPV SGTFECGQLVPSSPRPLVPGWSI QC762_000870 MGAAAEVSGADRDIPTTESDSVTNEKAVFKNTDSDAVESAGWDE TATKKLIRKIDVTLIPFLALLYLLSFLDRTNIGNARLDTLEKDLKLDSARLQYNDALA IFFPFYVAAEVPSNMAMKRFRPSIWIPSIMVAWGICTTLMGIVHNYAGLMAVRAVLGI AEGGLFPGITYYITMWYRRHECGLRMAIFFSAATAAGAFGGLLARGIVEMRGVGGLSG WQWIFILEGILTVIIAIVAYFVMQDYPSTAKFLTEEERAEVSARLKRDRSSLADEFDM KYFWAALKDWKIWVHMFITIGVYTGLYSYSLFLPTIINDLGTATSPEMAQLMTVPPYI VACLFCIGAGWHADRRGERGIYMIGFMIMAIVGLICLIATPNAGVRYFGCFLLASGIY PNVPQGVAWNGNNIGGSLKRGVGIAMHVGFGNLGGTISAYLFLTKDRPRYYPGFGTLL ACQVMAATLSIFMTIYLRRENARRDREYKPPSEYTEEERVAEREKGDNASFFRYTI QC762_000880 MALPAEAASQLKTCVQEYGFVGALIDAYVVQPSGDKYQFYEGRE YDEFWRTVQELDVPVYLHPSFPSLDEVFTPGELYSQEDSVAASAGLATASWDWHSRTG LSFLRLYTGGVFERFQKLKIVLGHMGEMVPFYLWRSNAVLSRGRPTSLRDVWRHNIWV AISAVWDLDAMRMVLAVTDVRRVMYAVDYPFGRNAEGKAFMEELRKSKLVSEKEFKGI ANGNAKALLRLK QC762_000890 MGLPTKRSTPQPYEDYSQVLNFVIWVLAGHATIFIFLRLWGKYY RGRRLWWDDYLLIFSWLALMLGCIFQTVDTTLGFGRSQHSIPLENIENSRLLATIAGF FLILAAAWSKTSFGLTLVRLTRTDGFLKRLVRFAIWTTNIFIAGSCIIQWAHCWPLDR IWRQEVPGGKCLPLGLMNGYNMFVAAYSGAVDVMLALLPCKIFWPLMMRRKEKIGITI AMGMGVL QC762_000900 MASTATPVDLNLPLGAPPGAPPGFTDHTFVSENDVKLSVRVWPA DPPVGEPAPFVIWTHGGGWLGGAHFAPLPWLSPGFRARGYHLVSHNYRLAPQARIDDQ LSDCLESVSWLRSNLPSLLGEDKVDVDRYVLVGESAGGHLVTLMAAHLSPPPKAVVDV YGLVDFLSIPHFCDSVQFEPWKPDNPAPWKGEFTDAELDAFLEDRNPENLLTDALAWN EQEVLTEQQIQQYWATDFKYNRRIRLQAELHMRRSLNSDMEGLRKGVMHREKFKTQED FVAFLEDMSPYRVFMKDQKRTYPPTAFMHGSGDEAVHIDQSYRMAELLKSRGVPVLEC YEEGEPHVYDLKYINRNINGWETYVQPVLDFVDSHVGHGSKG QC762_0029870 MMALGLATREHYPIGESISTDKEKGKSITLSELLSFTMVSQTVV SSLLVVLGAAGVRAQQRQSLWGQCGGSGWSGPTLCVDGAWCNPQNQWYHQCIPGSGPT TAQPQVPTTTARPTTTLITSVVSTTSPSGPVVTNPPVNPGTCPNTPSGLGTPVANQLN DPFTFHNGNKVTSKADWACRQREISELLQRYELGTLPPKPSSVTASFSGSTLSISVSE GGKSISFTVSINNRPSGAGPHPAIINFGTFGASLPVPAGVATINFNNDDIAQQQGGSS RGRGKFYDLYGSSHSAGALTAWAWGVSRIVDALELTQAQTGIDPTRLGVTGCSRNGKG AIVAGALEPRIALTLPQESGAGGSGCWRIATWQKNNGQNVQDSTQIVQENVWFSPNFN SYVNNVNQLPFDHHLLAGLIAPRALYVMENVDMEWLGKISTYGCMGIARKQWEALGVL DNFGYSQVGGNSHCSFPSSQQGSELNAFIDKFLLKRSGGNTNIFRSTQTHSSFNLNNW SPWAVPSLH QC762_0029880 MCGILSSTTQEKHNMVIMKHNRERGNSPRAPVVEIEARVSLSAS EDVGVASAPLEQELVNEGIELAALLTGREAAVAVAADLRVAKVVQHTESLPLLPGNSH AAVGRDLTKPLHIHVLHDVEGAGGNQTSEQVMIKRKLVNVVDLLKLGENQTFSWTIWV ESWTFCPLFFCHVAILQQPEPPAPDSWGKGDSGLEGTGHNSTLSVARAAGDTEAGRVN ASLSLGELERVDNAGDTPGPCGERTGRVAGPVQVVELATAAGRAALLLGNVVVIEVDG GHASRDRERRAKGAKVDNGRVRTRPGRTVVDGDGEGNGLAALRDRDGKRAS QC762_000920 MNSLRSSSLPGARLRHNPIHSLSLRYLLIVPILLAIATAVVLFQ HSDTNIYMLYSQCHARSRIPWLSHIPLLGTPSCFLVSFFGEAAASLRSSVILSVIFSF LAGLLTVSTVEAARICNAPSILIAYPTGLWLIFDLIGGAFVWELIIIPAFFHRSRAII TSRRQDLPTSDVPSSDPTFSEAMRHLTKTSETIAIPFAVAFGFIAPSILMLAHTSPVT VLIWLLFPLWTTFLRQSARKLTTLSLVKLNESWQETLHLESSTLGMVRVYLLPILCSV ASHIFLLWTLSQPDDRKEMTRSTLKFITIDTFFVGLTVLYWILVEAGWRVAAMMVFTS VVLGPGAGVCLGWVYREATLNLAGASGSGVTVVAVGAGPGRREEGSEPGEGTPLLR QC762_000930 MSASPRTQQKRTAHTCITCRARKVRCDGRKGTCTNCERLGFGCS YDEHTGVEVVQTDAAVSRIAIPRRRVRRACQNCHEKKARCSGSTPSCDRCTAQGLHCV YLPGKRSLPLASPATASAASATSAPPATAHPPDSPSYDDGHSGRSAFASGTASPAPAS ATRAEPEEDLVLRAFDAFFRHVHHIPKFSFLHRASLMERYHAGSLDRSLVLALIAITA LLTDLGPGMGEYGSQCMEEAVSLCLAGLEKPSIVRLQALVIAVEHRIFSRRFSGAFML HALASRFATALRLNYENPELCFLARESRRRLMWSLYMIDSSISAGQRDVALWPDAERQ IHVHLPCNERNFEFDLPEATESLRPPPPEPGIGTAPMPDALGFMALHVRIQWMRARIL QCTSQAASSWSQQDLTTLPLRCAELLAELEGFEERLPPSFKWSEGNLRLRTYSPRLGI FVMTHVWWRQCHLDLYRLFLEGLREALPRPMLQQLDPNLVARSRQGCYNHARAMADMF AQLLTLGSSVPVTDLDLPGCAYQCTRALYHGLQTGTGDLAFTLERVQELSAVCLRAAQ QSTTGPAAASIQADIERIITHGLKLPEGAPDSPTRNSSDPAPVIKSAIDTRLAGHAQL TPDPAALYTFQPSVPATSAPSIALPSLAVTEPPIPAPVAPSHASGVTTGSNAFEEVLS GFTFGPELYGMDWSTFPTGWPNQQFTGSNM QC762_000940 MPPKRAKKAAAAAAEPPLDGCKIALSGTFAGMTQSAVKAKAEAV GATVSTAVTEDTTHLVATEADFNKPSAKVTKAQTLGIPIVSFEWLSLSEQKNRKQAED DFTLGGTASTKTSTSRKRAAVDSTPDTETVAPPAKKSKDGNAKVENGDVKVEDAPPEQ KKAKQEKALGEGQVLKRKDTRIPIDDGCPFTSSVVYIDADGVIYDASLNQTNASNNNN KFYRIQLLVDPQGVYRTWTRWGRVGDHGQTQVPATGSLAEAIKQFEKKFKDKSGIAWA NRGDNPKPGKYAFVERNYEDDSDDEDAAEDESKDKTRAGDWTPPKCSLDPAVQHLLEL IFNQQYFANTMSDLNYDANKLPLGKLSKATITRGFQSLKDLSELLDDNTLAQSKYSMT YGNAVEQLSNTFYSLIPHNFGRNRPPVIHTQQMVKKEIELLESLSDMKNAAEIMKLDK VGNYDVHPLDKQYEGLKMKEMTVLDPATQEFAELNNYLVNTRGHTHNHSYQVENIFRI ERQGEKDRFDASAFGKLNQNRRLLWHGSRATNFGGILSQGLRIAPPEAPVNGYMFDKG IYLADMASKSANYCCSYQSGNTALLLLCEAELGDPMQELLHSSYNAASEAKQKGMIST WGKGTNGPLAWKDASCVEPSLKGVMMPDTTTKMPGKTGVAGASLLYNEYIAYDVSQVR LRYLFRVKM QC762_000950 MACPTHILVTGATGFIGAHVVDTLLSRGFRVRGTTRSKAKGEAM LAARPRATQASRLDCVVIDDFLGPELDLSSALKGGIDAIVHVASPFTYDTQNNEAELI LPAINGVKAVLSAAAEAQTVKRVVITSSFAAVLDVERARKASQYFTYTADDWNSLTYD AAADPKTSAVVAYRGSKKFAELAAWEFVNNFECRGGGLSFDLVTLCPPMTFGPVVHPV VGGPLGLNDSNSQLWKVAIASQQGNELPVARVPFWVDVRDLAQAHVEALLRPEAGGKR YLVASQERFTYEMAAEIIEHEFPNFVRPEGAPVVERQVVDESHGIDGETAARELGISY RAFRETVVDLMRQVGAM QC762_000960 MARKPGSVSKQVSNPESPIRPQVLLRRSNRNLAQSQKMTDEEDE SREIQGKILGIRKGADSGNATEATAEGVQSSGKEGLRFAIKGLARMERRLQRATKRQK LKVEETHLTETLDKDEYKPPDEVATAGWKQRHQNRGVSKQPDRQDRAQRGMFGGEGLD LKDEAPVATEEALDTVKRGAARPPPVNSHYLPLPWRGRLGYACLNTYLRTAKPPVFSS RTCRMASIIDHRYPLADPDQPEHATKNRPDKSKAVDHELGVRFVQNLGLQNAADIVKM IRWNDKYGIKFMRLSSEMFPFASHAEHGYKLAPFASDILAEVGKVAAELGHRLTTHPG QFTQLGSPRKEVIEASVRDLAYHDEMLSLLRLPGQQDRDAVMILHMGGVYGDKAATLD RFRANYAELSPSIKNRLVLENDDVSWSVHDLLPVCEELNIPLVLDYHHHNIIFDPSKC REGTLDISQPEIMERITATWRRKGITQKMHYSEPCPGAVTPRDRRKHSPRVMTLPPCP PDMDLMIEAKDKEQAVFELMRTFKLPGFEKINDMVPHERFDEPRPVPRVPSATKSKKS KTRANVRRKRAVDEEEPAEADDTAVAESDAIKTEAAQVSEVTVTALEFGMGGPDNRVY WPPGMEEWLKPRKRQSNKSTKSGKRDLEEDDEDTGENLEEAEGI QC762_000970 MTSNSLGAQNPAHPFHHAARAWMGWDMTWGQWARTASRSGRSGC RWAGPSSSLFKVFNILAEKTLTCPKFQIPQHLQLSFPLPFQLLHVRVGAATTPHHFSS PASSGLKTALFPLLSFWLPHAHVLSALYFWTTRISGRSSKFISSNRVTPQASNRTRTS SSRNHQFLDLWRVISDQQPPLAEPSKSRICMPLCHLPLDHLQYSKENHEKKMCGTSIS YACSTTLISKSITCSCDTIKAYGKSTLFCGTCSNPRCRELRGEVAQASTQVSSSA QC762_000980 MATVSRQPFAPLDHTRLKTLTSLKNRQNALSNSPAKRKASEVVD ADDSENVDPVLFSKRSKGAGADGTGFVKPLFKPSNFVMTKAASTSNLHGAASLPPSKL SSSSKPRTFLQPKSQASRLSTTGTPSPLTAPAGRSPTRGSKRIGILSKRRHTQRIDPP SFGLGAGSSVPFSLDAALKGSIPAYSGSLRTSAAPVPAAAPASSDSFLLSTGGMQSSW FFDIHEDTPEQEMTNLLQHGTCTLEISSDEESGRRQSRDRAEGRDKENIPPADDISQT SAREAARVGDVDDMIIEKQRGALVEMNAADYYAEGCTKDSVVLVPWDEEEAETVVGDN DQYQHQHQHQHQHQHHVLPEEGEQQSYGGEQRQKRTTLADVESVNVDDIMGNSDGASL KAAVLEPMEGTGESFELWESTSAKEEGDAPASPLPMADESHENRVVEVECVA QC762_000990 MPQQLPAGTRQAICYCTSRLPSLPSFQMLLRSSGFGPFRHKPTS PHPTDERHLYLSSSPFTMTSANDGNTKPTRGALIVLEGLDRSGKTTQVKLLEQRFVEL GKSVKVMRFPDRTTPIGQMINSYLTSQTTMSDHVIHLLFSANRWEAASLITSLLSHGT TVICDRYYYSGIVYSAAKHNPLLPLSWARSPEVGLPRPDLVLFLDLDEQEAKKRGGWG GEVYERAEMQRRVRELFWGLSLGKIVGAPHSALECAPGEGAGTKGGGEEEVAVGPPSA QTPDISGGVEHRFRQEEEDLHVVDASASVEEVAEGVWKVVRDRVEAVERGEVGKVVRR VS QC762_001000 MVQFVSPSRSQSPSGASSAGEPRRTKRSKGKDGSSSKKKRPSAA DDQDGDDTKASGNGTSVRQNAERRSSMSKPARDFRDQPEPTPKKKKRKTSTSSAAVPE PAIAPTPEEAGETSTRSPSPLIDFDGLSRPSRGTRERLDESPEQKELRMEKMKGAVRT LLECIGEDPDREGLLATPERYAKAMLFFTKGYQENVRDIVNGAIFQEGHNEMVIVKDI EVFSMCEHHLVPFNGKMHIGYIPSNAVIGISKLPRIAELFARRLQIQERLTKEVAHAI MEVLKPQGVAVVMESSHLCMVMRGVEKTTTSTITSCVLGCFERKEKTRNEFLSLIGVN RR QC762_001010 MNSTPNKKRTADGASVQSPALKRRKASTMSISSIPGSAHPLRQT SFPPPDDLAGARSPSVDFDAMSHVSGSQVSMSVTGPPKKKRGRKSKADKARERTPSVV GGTAKSTAGPGGSVVSGAGGKSTAPGAAGGAGEDGDGDEEGDTEVAATGAALTKEQKE EEHRQRGMLIGAFNDEQFDRFENWRAANLSKAAVRRLVNATISQSVAENVVIGMRAVA KVFIGDIIESARRVQGEWIDKTGEKQTDLPTPPATAVPSPAATGEGSGVPHSGQLGLA DNTASNTAAPVEERRGPLRPEHIREAIRRYKTGLEGGGVGMQQIWHQQQQSGVERFPT RTGGRKIFR QC762_001020 MYSPGHIMASQTPTRAGSCAADGGSPKLSVPSSGELEEHESGPS TPARKKVVFSSQNLRYNKKILKQARERNRASSDRGSGRARSRSRSPQKQKQVALVEQG DAHEQFKNKLQPRGPSKSPAPVAFRTASYFGIRGGPTYSRPEVGTGLHQHRQSPDNES HLSSTSATKKRNLEAPPEIFPAPKRRLLPHFLRSSSPSPHVSHRQYERIALENSEDEL GQLDELADPSPRPTRRLILPTSSPSWARKSPVHQSRSPQRQRPSTSTGSTAATDDGKQ VARASHNSHGHENGELPLLVSNARHVTPPSRAGVPRHEKAVSAWRLASPPKVNIKQVP EPEVVMVDSDNTSDQSGNGDARSEDDFRIQGETQRRFKRAKGAKTEQEVRGKQPRTVE ETAIPVPTLPNGEFRQRDTCKSVNDKAAKPSETSPITICGERHYSQWTGTWDGEARRI PGAGALFPEGYEPRINEPYPWVCPIRDCQTVFPEAWALGGHFSASHRALLLNDNRDGT MSILGKRKLPDPMTGRMPALVISRQPLDPATAPPKAPPRQPGRRMKTEKRVPLSDVTP KRPKETPVPVPNPYNTPGRRSNSFLVVDLPARSNTSSRHFHVPDQHAKIPLHDKSTPT ASSGLHSSKETRPTEAPNTRPSKGGFSGASGSDEAIFALPTRKSLKGKSGVPVSSRAA RAQVSQECLTKAGVGGTAQGLRESITSTRKSGRLSKSMSLTRPTQQASERPAQAVSGS KHSAAKRSPSTASKTSKSTPASVGARPQRSGMGALPLSSASSSASSPSRGVVPPYTMS DWEIAPGRIRVGTGDKSENVAVSSTYLAHSSMSALPLTPTISFQLLTIQPGSSVHWRP STAPGSVQEEEPRTRVCSVAQGIVKVKLCGQEFSLGPNGMFKVPAGENCELGSVCYGG AVVHITCVNEGEEY QC762_001030 MSFVQLQRPLQRGAAASLRGTTTTTTVAGLEERFTRLRITLQQT NAALQGTRYASVKSQGAYRIPNKKTIAKKMGAKKTGDQYVIPGNIIYKQRGTIWHPGE NTILGRDHTIHAAVAGYVKYYRDPARHPTRQYIGVTFNREDKLPYPPGAPRRRKLGLV AVPRKTEEAPATPDALSPSGIPLAVTRLPQIEMPEETAPEPVEAAVREPEKTPLKDGN SIISALIKDKLHKRRLQQAAAEKEKERLKKEAEIRMNTRVLRLQDDYSYRESNWEIGR LVGDVGTIPGSEKMDSRRAKFRLRRRKRMCSFNAIKKRKAAKAQLREEYKARVRANRE KRIAERRAFAAKVKAGAAAKGGDASGKVEA QC762_001040 MRSLSLILLALATMLTPISAQFGFFDQMFGGGGGGEQEQHHGHG HGGHQRQQQNAPSDGAGYRAQYARLHCDNYLCPDTLACVHFPHHCPCPWPAHEEKVEL AEGQRICVSHGGFKAGEAARKVELARKGLL QC762_001050 MSNGHPPCRCLPLATGTHQSKTDNPPYSIIYNDELFISNSATRT HIQADQDLTRTANKRRAMSTSPTSHTPPSHNAPRPKGILKNSYRGSPPISPVDQPTPS FPHSHTPDHPLTPKEAKELTIVNTQYNAGHRRSSSAAGIRPGVFRARTPSSAHGGDSE EQSQRLKWDEANLYLTEQERTATMKINEPKTPYAKHYDPSEDPSDDDDVEMPEPLDPG RIDLDRVDGVPPTHHGSKRKTSTGGTPGSTEDDIPGLSLGEPEEEVPEHEFGDLASKR PRAVHVDSNGSMHDTDGEEYLVGMSAEEREKHRKFEELRKKHYEMKNVASLLGHPEDL EDADEGEDDEDDDETKKVPPVPAVPPAGTQGGL QC762_001060 MPNTMASSMASDDKPTPSQAAAGDEKGAGHFTAADRVIHGARMA TEKEQNMTLLQGIKLYPKAIAWSLLISTCIVMEGYDICLVNNFYAFPQFNQKYGEQLP NSEKWEVPAPWQAGLSNGAMVGELIGLLINGWVSERFGYRWTVIASLMVLNGWIALFF TAQNVQTLLAAEILCGVPWGIFQTLTITYASEVCPVAMRGYLTTYVNFCWGLGQLIGI GVIMSMLDRRDEWAYRIPYALQWMWPLPLAVGIFFAPESPWWLVRQGKLDEARKSLLR LTSLNREVDFDADETVDMMVHTTALEEKTTAGASYWDCFKGHDLRRTEIVCMVWAIQN LSGNSFSNYSTYFLKQAGLGERQAYGFALGQYGINMVGVFSAWFLMSRGIGRRSLYLY GLCGLFVMLMALGFLGLVPEEQRKQGAIATGSIMLGWALTYQSTVGTVCYSLVSEIST RRLQIKTVALGRVLYIIVGIITGVLTPYMLNPGAWDWANYAGFFWGGSCFLCIIYTYF RVPEPTGRSFAELDLLFQKGVSARKFSSTKVDVFEDEINDGLVDRLQAQRGPDDARVT GGKAA QC762_001064 MLGSVRRAGRWSRGQWRVERGDPVGEVENVAAAAAAAAAAAAVV ENGICRARETAREGWREEKLDNTRPELAHTRGLWFVADILTQPGELAPDEMELQACCS CQQQWQTGAIMQVVKVRLGWLLHLHPTWHQSAFLDRVPNHDAGKGATALSIWHCHLAS SIFHLHLPSFFPFPFAFPRTTAVVTDAYPGTHSLYTRAWRVLNGARLLPWPRLAQYLQ VECLPPGTQHMFQHASLSGKGHKGQLGTRCSD QC762_001070 MASPSTSTSASGSSPGALPGLAKFGCINCREQHLKCDRVTPTCG RCQTSGRACRPTGLKIRVNTENGKFKFTRKQKWVRFPKRYVWIDETTTVGHDQSSPES GAEDFDTDLLNYAASPELTSPGGATAPPSATLSQESSVPPESRHSPSDLAVVTRFAVD GHQSAVEEQPFAVQPSLLGVEEPSKWPLPQGTEGHLIRHFVENLALWLDLCDPNQSFQ IEVPRRAAKSSILRDAMLALSARHQANTCRSYYEEQAQVYAFRCIENINKISKCGGLA VLVNREPRLAENCFAAAIILRVMEEMQESQTLLMDEQDVKSHVHLTGIRGYTNSYVSR HKGLAPGTLAAASFWVGLRQDIYYAVMKKATVKLSLVSALPSKDPYEDIEDDYYWANR AVVHCANVLNFCHGDQANRTSDRWELLVQENEHWAARMGRGDSNKLAMLREESDFWVP YVKENDNTKPFPEIWYLRSCQVIGMQHFLLASAFLFYNKPRHGMASMDEAQALTNHVQ ALVREICGIGLGNKSIAPSMFTACMAIAAFGHLFSRLQDQTAMLAILEQTEKDHARPT LEVQQQMKKAWRWR QC762_001075 MMETEVHIRPVLPAWRLLHTYTLMQVPGYPPKTKTMSSWSKPPA EANIGAPAMSPYPIMSPDAGVHTVDAHPSRQTYLCSRHRISPWSDLLSFSCSPSLCLS TTPPAHVRHTSLVGMLLFPRCLPRW QC762_001080 MAPSAIYASEQPPLLLADGAALEVISDAVDKVNKLKGLSSPITA YDSESKFDVAKDKASFRQYETACERVRDFYSEQHARQTVEHNLRMRAHFFDPKRKREE MTIWQAMERLNTLIDDSDPDTDLSQIQHLLQSAEAIRRDGKPRWMQVTGLVHDLGKLM LFFKGCSTGQWDVVGDTFPVGCKWDEKCVFAKAFGQNPDREHPIYGTNNGIYTPGKGI DQFMMSWGHDEFLYLVLKDQSVLPREALAMIRYHSFYPWHHEGAYKEFMADGDEVLLR AVQAFNPYDLYSKTDEEPDVEKMKPYYMELIDEFFPQKVLKW QC762_001090 MVFHETGRDGSGIFLGNVLVCQTTGTCRCLSEVVVSRGVGVAFT ATFLRTSLKPLRSRPEHHLVWRANIITLPASGSAMSSPKDTVAFIGLGVMGYPMARNL RAGLGSDKTLLICDVNTDALERFMAEVSVLGRGPVRIVQNGFEAAKQADTVITMLPNS SSVLAVYLDASTGILPALPEPLDHNACGSKLLMECGTIESSTILTVSRSIPENWATFV DAPVSGGPMGAQNKSLTFMVGCPPPLSASVFPLVKSFLRHMGDPDGIFLCGDVGAGTA FKIINNYLSAITSLAASEALNIGVKAGLDPRLLTQVINASGGQCWVTSKSNPVPGVQD NVPSTRGYEGGFRIELCAKVLGMGSKLARDVGARTVLDEPTLNAFSEAMEDERYKGKD ARVVFKWLNDQ QC762_001100 MPLYSYKSQQYLSVAWWGCSASSDETKSFEAKLDSHFHFFVSSF MESSPVTVTISALDAGHLTLPERLFVTDADSEKRTTVPSLSFLIQHCAPGKTRPTRML FDLGVKRDIERYTPSQQAHIAERQPVIVSPDCRESLLSGSATITPKDIDLVMLSHVHW DHVGTPSDFSSATFLVGAGTLDLLKNGDGPLYPADLFNDDELPSDRTIELPPVSLSGV GSGRPQRTRPSDSALARIAPYLDADIWTWRPRFTFSSTLDLFGDGSVTVIDTPGHLHG HVNLLCQVANSKYVYLGGDCCHDPRILAGDKGIAMYPDGRGGLRSVHVDTGAAATSLD RIRDFVESCQRPVETEVVVAHDGAWRERNKHRFWPGKL QC762_001110 MDSSMLPNEMKAQILPSFNAPYRLTTLPFPSFTADSPHEMIVRV LAASYCHTDLVLAQGQMPPFPPSFPHIGCHEFSGVVVSLPEHSSSQYRIGDRVGVPGR SFRPCGKCFECTREPDNDKNIYVDGGGYSVYCASAGNHGISTPGGFREFAIVDSRQVT SLPDSISAVQGASLMCAGLTIYAAIKNCGLERGQKVGIMGCGGGLGHLGLQFAAKMGL GVIGVDVADEPLRVSGEIAETLRQKEGLSVRIMDARISRAEDIVQEMGKEDQRQDRSN MGLDAVIVLPESQRAMDYGVSLLRAHGRCILVSFPANGFHISARDVVFRDISIRGSLV GSNRMLREMVMFAAEHNVQARIKSYPLKKLNDLVLEYKKGKGGKLVIDMSLDD QC762_001120 MLAISRHPFHYPIPSSCYQFPLTYRLLPFHLQIYASIGCKMSTD PKLAPLHRQLFEEGLKVRREVVGTEYVENALANGSTEFSRPGQELVTEWCWGWAWTRD GLTRKQRSLLNIGMLMALNRAPELAVHIRGARNNGLTEVEIREAILHCTTYCGVPAGV DAMKVAEKVLNEMADKGEKDRELGNKAV QC762_001130 MSRQAQQQAARDRFNALLGPATFHEGWESLLALSPSFFNASVSL ASVPRKNLHLSSKNQALIGLAVDSAATHLFTPGIRTNVAAALKEGASIAEVVEVIELS STLGIHACNIGVPLLVEVLKEEGLHVAETTKEFDQRQEKLKEEFTTKRGYWHTFWEDF LRLDVDFFESYLEFSAMPWTKEVDGKVGGALEPKVKELVYCAFDCASTHLYKPGLKLH IRNALGYGATPHEILEVFEIATLLSLHTAHVAAPIILELNAQKGTI QC762_001140 MSSAEDHSIIAFIGLGAMGKPMVANLAANLVRRGIVIRAYDIAD GPAQELQTNFPDVIREASSAKAAVSDATVVFTMLPESSHVRSVYLDPDSGIASTLGPD SAKILIDCSTIDTATSLHVKSEVSKIAPRSFFYDCPVSGAVKGTIAFFMGCSPADTNL SCLKDLLLKMGSQAIPCGGPSLGLAAKLSNNYLSGIITIATSEAMDMGMRAGIDRTIL ASVFASGTAQNRQCDVFNPVPNVCPQAPSSNGYRGGFRVELMKKDMGLAIAMARQGGA KNVLGVSGLGVYTAASAAEDCMGLDSRVVYRYLGGKESS QC762_001150 MKTVLYNLDLHFTVEPSSLIRAALLTLVALLVATISTLTSEAMA RKKKSGAARKGIDGQLALPRHDMDPRPETSPYASPTCTVPFASPLTLPKDILLRSPRL HAAYEDNLPELPGISDDVGHVLVHYLHTGTYETLKPKAADDLPRQIAELKTSIQAYAA AKHYDLPDLMKLAEAKIERYGEGLPLPSLLEVARDAHPNLCDNDDWFLNFLRAKIRPH LKDAKALRESDLLDQISNILSPNRVLLRTVLEIFCESYVPKPQPPPPSQQAAPQQPQS QQQQQQPSTPGSSRATSPPPAPGTPMSNIDLRSRTISREDSFPTPSSRKSTKTAVPWP SQDETSGTDGQHDSPNEASSDPFLPALPVQTSEQVQVEEPLAQSKPVFELDPISETPI VVEHEEPAREAFREVVEEIKVKAHEQVHTEDLAHLAPVLEEEKEKEKQVEKLGPVPTL AKQRERADSGKHIDMDPEPAGEFRALPVLQEGPTSSVPIVISDKVPALEPKINPRAAL RQADSGFWSEIDTASEHEQAVVGKEALAPAVVEVEVPLTPPLQEVLPVAEINELDSAP SKAGDDQERDQNKPSVAAPINDNAKLDSPKDETKEEASEPAKEEAETASQPALSALLL SPKPAIALPSKLELPAEILEAVSASVAEDTQEQKEDDKKDKMEKSVFPATHLSQEGPS ISKEPEVAEAAPAPKVEVENTVTQGTQEDADKVPDVADTVGPAESQTAEQKPQPESEP AASTVEQPQPVPVVVPKASRARSLRSLKNGVMGRGSSSSLHLTLARTRSKFSSSNKNL PVSVSIPAAESSSASKPEAEAPAIKGKSQSPAVVSGSGGGWKKKLFRYPVLFGRGM QC762_0030170 MSSSGKAPPVSFPGIAMPPQPVLRCANLEISNIQTGPDCPTRHM SSWPLRLSLSVKHWVRQATSGTHMVPRQPYQGDWLHRGSWLEGPLPIGDRLADSSSTH LGPAMG QC762_0030180 MAPEDTIDVGVVQWEQPPASTNLVSSVWTPLGLALICLQVFVED DAASGLPLQLADAGREAIESRTGLVGS QC762_0030190 MIPKHCEVCRQPHALLWLQRLLLLHARLSDPRLANPQTHLQANQ RQAQGGPNRGDKIRARRGLLPLDDPNVNGVFWRHVHLRPYLQAKFLYGEFLVRSWRRQ GVEDSLKQYLDILHRNRPDNQGARGSVPGLFIRFDQDQEAYDLIYWRAQDGPKGLWLG RPLPALSGRQECRRHRACRLVVPLLSPAHARRDPLPNQVSTAPKPQRDHALTGDHTPR QSYPDSPTPTRDEILSVLKGKYQCAGNVVDNQPVVDFYNNESLLVEKMNHLLQQMTQL YYSADKDNKHIWGLITDPTEEAFSARPVTYNPGSWNEAQIAFAHLYPAWAESVAAVPA LT QC762_001160 MAKPEPMEMIHETCFKPRTPVMVGPAAEIMMFTVKPKNKTTQDR DVPMVQPGRSASMKAAITKKTSVRIRNWVKRSNSSGEIVSRGPNSSWPEITHLGGGRV NDEQNPITEPSPIPRSRAVSADSRRTQWLDFYSQDVTCSRLPSANPPPRQASLTGIRP PDRDAVDLRPLPLRVSSLERKGEPSPMLTRKGSRWKALPVLPSQKQNADLSTESADVE KLMGKIMLDSLSLPTGSNDKTATQALDPRTILTNTVYTATPVTGLRTPPPTPDSASGS TATAITITRPMGSDSTEELPARKYSVHLSRPKYSLQERMWLHRNYRGEAPFLAAWGLD IASQQDREEGMGIVKELMLEESKRRV QC762_001170 MHVFINGACPWLNPHLRKFILDHVPDAIFYDSFEDFPNEPLEES FQYLDGWEIGRNFAILNNSKNGLINAYPSSDALARKDYLARVVDFWRAKRPESKLPDH VPLTVRLSLDYAEYVDESLTAADDLTLLYSLEQNESREPIERDWWILKPALIDCGAGI RIFSTVEELASHLELAEYEYTDDEEEAEEEEEREKEKEKDGVSLSLPGLNALDALVTT ISGFGLDEKKAQGGGKGDHKRMQYVFKKDGRIPSAQMREFVAQQYIVRIPPVEGKKWH VRAYVLAMGRLKVHVFRELLALLACEDYQPPWENPSLRSSLTNTALQDEDEFVSNESM RDFWSDAVPEKLLGDGWKEGVWDQICNVTAELFKAAAHTMADKFTTVGKSFELFAADF LVDDNGKVWLLEVNETPAFYNHDKAGELALRLMESVVFAAMEHMGKARAGDGKFDAVK NRFVKVLDETTNLPKSQITEINCS QC762_001180 MSLFIGSKSASSRQGSHIYRDKHPSFSHGSRNKYRGRDPKVSGA TLVENEAGDRPLTGTSFLFVVNELNNNYEAQTHGSEPLRDQWLNFMPPERAEEYLDEW PGMVFRYLQEPQGGVITPADEYRWYRPGRGHEGHIVRVDTAGNITGHPIICRQATIFS CSNHLPVIVGPGDASLGNSRVVRHVLDSDNMFYWSLLHISRWDDGSNISYVNSEGRGV PSVVGRNPSWIPSLVPQVYSNPNANLVSGGLSGDLSVLIGLMAFHSRVGRASDVFISQ KWHHNRWIGSQHAPTHVPRTQEENPRGFFVQVCLDNLVRGLEDSGENNIRAEDCLQHV LALEWHSVLVREN QC762_001190 MAPTIEELYPEYTLTSSIDKLRSEHYAHLDEKNHVYLDYTGSGL ASAFQLTHSSVRLSSTLYGNPHSINPSSQASTNAIIATRLKVLQHLNTDAEEYEVIFT ANATEAAKLVGESYAFTKETKLVLTTDNHNSINGLREFAGRKGSSTVYIPFSSPDMRI NDEDFIKALSAARPGRKATMSTGINWLAKSLLGCQPWRRNTGDAAGREDGMKRCSTSD TNPNQDASCDSPALYKAFLQPSCQSSLVPPQSASTAPARPLEKTTRHGLFAYPAQSNF TGVRHPLAWVTYAQRQGYDVLLDAAAYLPTTRLDMSITKPEFLIISWYKLFGFPTGVG CLVVKKEALSRLVRPWFSGGTIQAVTVGVPWHLKARGAEGFEDGTVNFLGIPEVMFGL EWINAVGMQVIGLRVRCLTGWFLKRLAALRHLDRTPMARIYGPENMDMRGGTVAFNLL DSGGKVVDERLVGQESAAAGISLRTGCFCNPGAGEAAMGLTVASLRRLATATAQMRGM DDFVEVLGLPSAGAIRVSFGIASTSTDVDRFFEFVEKTYRDRVTTSEGLFPRESC QC762_001200 MMHSLTSLLLSTLLVIKGSGVQAQPACTRDFLKTAADSLLAAQT AGNPALLQPLSPSVVYHENFRNASLTSGSSLTRATKIDFSRHSLDTTQCATYTEIISA TGAQPYVIGVQMFFTSAQIIKVDLLSTTTGDWLFNATGTLRWASRENWGTIPEADRDS REVIKAAGDAYCDIFHDKSVVVPWGRPCARLEGGAYTGNGGQNDRCDVGIPSGVQLVD RRYVIDETVGAVSIFLSFSGIPDSHEFRVEKGTLRFVHTITVMNTGSGSGRGKGSGKR LARGDA QC762_001210 MASAIFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSEAEEESS AVPPCFSHEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKIVEVFTEYFKALEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEIQARPPIAVTNAVSWRS EGIRYRKNEVFLDVIESLNLLVSANGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FENTGRTTRGKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVESHSGSRIEYMLKARAQFKRRSTANNVEIIVPVPDDADTPRFRTNVGSVHY APEQSAIVWKIKQFGGGKEFLMRAELGLPSVRGDDEHGGGMTGGFGGSMGGVGAPGKG AKRPIQVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRLPDAV QC762_001220 MDHMLVLPPPSQSPTPSQLKSQDASAAASYPDYERRSTPAALHE PTLTMHSSHLNSLPLPPISHPGDPTSRSFPHHTAELAPIQPPHEKPAIGAAQTLPSLS SVTGAQTPRLPSLSAASESSYSPLSTASTSTAIPATTNKTVASPSLPINHWPSLNPFT TYYTPSHVQGSESFMNADVASDKPSHHRATSVSLDDPGVRAAAEALGRLRTVDTMPHD SDGRRNRTPEAYQVGTPTSQESSSERQQEPLLSLITTSYPSIAPVASYLESATSVCNT AYTNSKNYSPVLRRNAEYIEDRVVKPVAKTVGRYGGHGLRWWLQKPGRKQRSPSDLED GRQGVKRRKGDTDRESAIAARVMADFDIGSKDRRTSVSTVDTLPAYDDQRSPAYTERA DEQRGPTSQDEESGSYKLWVTTSSLRVAMQDESKKRLRVLIGVLSNTNGRITDFFESL TKAVEEYDRSIAANREDVAMDGQDEHSHNELSTRIMTLVDGITKTSAATVDMVNKCAA SALPDNVKAFVARRLISLPAQWKLMASQEGPDAPREGGDEAAAIRHRAHSALALAKVS LQIMTQITEVLNMTLNAVEEWCENQNKNESSQPSSPMGVQGPVGVDGDVKMSD QC762_001230 MMSEMDGLIPAVANLSVQSSLRRKPVRPASSIPPPLTFCAELEG SMPTTPRPQASGDFDQGLIPVEREPPPDHEGLIPLHAPHSAAVSHAGPPSTAVGGGLP SPSQTPGTPGVVYTPAAYPSWPPSYASHYPQPAPLVSPTHSLPTPPVSSTTSPPPIPG IHSSGQKLGDFASSVFSKETVKWSKKTASRFGGALKNAAMSAHDAATKAQVAAVRAAE QRRQRQAGIVVPRQTTSPQPLYTPQYWASSHHHAQNTASHQQSAIPFVPPARQDQSQS QSQSQSPAALAPVSQGQTPHVFASNQTPSSPPPPLEKFHYPQISASPLSLPSHSQPHY SLPGHHLQQHQQHQQYQQHQQHQQQHYLHHPQPTPTVASVGGSGGGGSFLPPPAPTGP SAGSWQQITTADPTTLNKGINAPGSGGGNSSTLKTVGTGMAALAAVGGLTRLLIAAST GEDVGEMDFGSGGCEEAVVSPDSGESCEAPGEQGDGAGYPDTYGDASHTLTSSYADHQ EALQANNFANMINAQANANALSYVSDTTTTYGMSSSSNLVSDPYGYSHYIYTPDPASC I QC762_0030290 MATMNPDSFEKYRGYAIDVRTRAVITFMKNKTKYGYTANPNAEA ARVAVRDCMDRVPEDGAQEIVVPQPVSTGTSAIRATALSRRFHGADFLMDCEIVADKL ALDRHGEAARDTLSPVLVYPPQPPNGPDFITVFGMNTTPRPPADERSWQMVHNQVFKA LEPVEARYIRECLHHGRTDKNRKDPNRIAAREKLMSHAKFIDKYFNVAEFICFNFNTA HGQNS QC762_0030300 MPKTPKTPTPTVSPKTPYQRPRISRGDSLLDTSKLPPIGTRLSQ GLPPGWGKRYKRNIVTLVTKYDQEANLNVTPEKLVVRRALVALSHQDAYKWSVNNPPT EDLKYFWTDEDSGRILEGVVFKNVHEEYQALLEKVHQERSRIAEDVDATISPSRTLAL LEKKFRKITHDSQ QC762_001250 MAKRLLLTAALAATTLAAPVIEERQNCGSVWSQCGGQGWTGATC CASGSTCVAQNQWYSQCLPGSQVTTTAQAPSSTRTTTSSSSRPTSSSISTSAVNVPTT TTSAGASVTVPPGGGASSTASYSGNPFLGVQQWANSYYSSEVHTLAIPSLTGPMATKA AAVAKVPSFQWMDRNVTVDTLFSGTLADIRAANRAGANPPYAGIFVVYDLPDRDCAAA ASNGEWAIADGGAAKYKAYIDRIRHHLVQYSDIRTILVIEPDSLANMVTNMNVPKCQG AANTYKELTVYALKQLNLPNVAMYLDAGHAGWLGWPANIGPAAELFAGIYKDAGRPTS LRGLATNVANYNGWSLSSAPSYTTPNPNFDEKRFVQAFSPLLIAAGFPAHFITDTGRS GKQPTGQLEWGHWCNAIGTGFGPRPTTDTGLDIEDAFVWIKPGGECDGTSDTTAARYD HHCGFADALKPAPEAGQWFQAYFEQLLTNANPPF QC762_001260 MSFTSSLVHDFVKRLEHGQPTENTTRHAEIPWFDIGDASDRELL VTHSSPCSFTLGTGASIYTDVLIPALTAARREVILVTCFWAGSATLSALHDALVKLAA HRRALLNDARSRGTIPTPPLRVRVCFSSRSLLQKLLHPQSRDGYIYPPSSWQAALGLP DPALLESAAIQLQAKSLFLLPFSVMHPKFVIVDRQRAFIPSCNVSWEPWLEGCVEVTG PAVNGLLSFYSRTWEPEHGGVQPPLERNHLLEPPAFDVRMAGLALVASAAHRRAALLP ESPSPIPTLLLPSSCHRNPHFRPFPWQKDPKPPGTPLNVALLALLDGARRSIYVQTPN LTCQAVLRAVLSALQRGVDVDIVTSRNLMLLEQLVTAGTTTSWCLRSLLRRFRKLERS KPESLPAEMELGHVPLGRLRISYFRPRPSLKMRTHTKEEEPVHSHLKLIMVDDKYTVL GSGNLDRASWYTSQELGILFHSTDLANTVGIEVDCVLADRLELVFDSAAP QC762_001270 MTAKLSADAQTAPPTADQADQHDDQSLRKVKAEQDPLSASPSID VEHSDVDEKSLLRKIDWRLLPAVGVLYLLSFLDRSNVGNARIEGLTGDLHMTGNQYLT GLTLYFVGYVIFEVPCNIILKRTTPRFWLPTLTILWGIVATLMGIVQDLTGFFIARFF LGVTESGLFPGVVYYFSMWYKRRERQFRISLFFSAAALAGSFGGILAYGIGHMRGIVW ENGWRWIFILEGIATVVVAVFAYWFIYNYPDTAEFLTAKERSFIRNRLAADSDATHDE RFTWGNVYKALKDPKCWLYGLSFHTMSLPLYTFSLFLPSIIRDLGYTAANAQLLTIPP YAFAFFTTLTVATFSEKYGQRAIPLICSASFAMIGYIILLANTDPKSRPGLSYTGTFF AAGGIYPATALALSWPAINVSGQTKRAVANGMQISIGNLGAVLGTQLYRQNDGPRYIV GHSFALGYLAGNIIVSLILYLILKKENTRRDGITAEVKEVGELHGDWDGDDDARWRFQ Y QC762_001280 MSDDGPAAGAPAGPVAEAGGAAGHSQGGLHSETRPKRISTHSPV IEDIASLTSPRLFTPNPFSRKNTSLDIDDYFTGPRDIQKHSKWPIFLQMHGSILPKMI LPLLAIGAWASLITILSMKVYMLGINSILLTVLGFVVGLSLSFRSSTAYERYNEGRKY WAQLILATQNLGRIYWVHASGRKDVPEEKKDLVKRREVLEKLTAMNLLVAFAVALKHK LRFEPYTCYEDISSLISHLDTFAKVATEEDPENAHRTFKRPSFFKSVGEYLGVSFAES NPRKSLKKAAVPLGNLPLEILSYLASFTDELALSGRLPVTMHQTMAYNNIMSLNDVLV GTERVLTTPLPIAYSIAIAQITWVYILLLPFQLVKVLEWITIPATVAAAYIILGILFI GREIENPFGQDVNDLPLEAYAAQVAAEMDVIASRPVRPSYEWIESKDNHVLWPLSHSG WPVWMNRPEEKIHEAVNHKVLATFHSKRAKEEAIAQGKVHSEKNGHKTVSVEAV QC762_001290 MDAANLAEKKELKQAFVLATLISTIAGTFITGINLYDRLVEQRR QRKRDKGQNKRIKELEARLNTAEEERTKLKDEQGKQGQRKRAKGGGSASDSDADDDHD RDDDGARHLRRSLQHGGPSIQREYDRFYNTMGQKFARGDLVAQTQLQSQIIVLQSTVI KLLEEALLTGQPPDLSRLYNTSEFAREGSIRALQDQYQRFLQSAPIPRRGPRPGAPIR RTSSTPSLRGDAASEAGWDHPPVRKALPPAAAASLYHDDIRSGTNRKGPLFCPYAVDL QHSPSPTLVHVTCPACGTLLENPPAGRDEREGCQSSWRIEKEVVIRDRDRDWERRGRS SSRGSRYGDKDEKVDTKSFILTPRFVAKCHRPDSGYACYLCLCHRDRDTLCKTEETLV SHVAGKHSIAEYKQDRDIKEMARTLPYR QC762_001300 MLSGILIFNQKGENLIFRAFRNDCRPRLADVFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAITKSNANAALVFEFLYRLIQLGRGYFAKFDEEAVKN NFVLVYELLDEIIDFGYPQNTETDTLKMYITTEGVRSERAVEDSAKITMQATGALSWR KADVKYRKNEAFVDVIEDVNLLMSATGSVLRADVTGQIIMRAYLSGTPECKFGLNDRL LLDNDGMQTLPSGNRQGSKATKAAAGSVTLEDCQFHQCVKLGKFDSDRIISFVPPDGE FELMRYRATENVNLPFKVHAIVNEVGKTKVEYSIGVRANFGSKLFATNVIVRIPTPLN TARITERCTQGKAKYEPSENNIVWKIGRFTGQSEFVLSAEAELTSMTNQKAWSRPPLS MSFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIRF QC762_001310 MRCSLSPSWPRSLLLGAVALCALATAQTYTSCNPTAKRCPPDVG LPVPKYSIDFRNGPDNNHWSSVGTGSVTYTPNFGAAFTINKQGDSPTIETAWYFFFGR AEVHLRAASGTGIVSCVVLESDDLDEIDWEWIGGERKEVQTNYFGKGNTTTWDRGGKT AMGDTQGLIHNYTIDWTPSLVTWYIDGAIVRTLAYQDALGGRNFPQTPMRLKLGIWAG GDSNNPNGTIDWAGGVTNYTQGPFTMYVESVSVTNLNPAASYFYSDLSGSWKSISMTN VTTGPVPNQAPKPMPVGESGGGQGREKGTDKRDKGGITSDEEPFVTHDVTETASGVAS SATGFVGHGGNPIITTTMVSPDHPTEPANIISGGSSLTTRFGLVGILSVAVCTVGMLA AV QC762_001320 MMVSRLSFLRLATYAPHLVAAVSYSVLSSPPSNASPLLDPAPVG VSLEFFTFPGYMENVTATMTCLKNFKDLTGSWPPVRVGGTTQDRATYNAQSSAAVTYS VSNPADAPMSLTFGPSFFDLAASYPGKVIIGLNRRLNNLGNTLAASRLAHQRMRNLDS IELGNEPNFYTNSDPIAGGTWNAARDRASQVEWQRALASNLTTSSIISAGVFFGTDKF NNANLAREEGNAMTAVKNFNSHNYPQWAGTYNLARLMSHSAIATQIAPFKAEAAAARA AGKDYIMGETNSATQGGGGISPTFGAALWIVDYVAQSLLLGIKSIYFHQGTIGNCQYC WWGRFSMGNPYYGAYFITAALAEAQRIAPLDSFSNNFGGYAIYKDNKPIRILLINSNY YESGTRSRESFTLTGLPSGLTSVLSKRLTGSSATSRSDRSSPATFGGQTFQDGTCVKQ GIEQVEEASVRAGSVTLSLAASEALLVYL QC762_0030390 MNLQTAEAGERNWLGIPKQIKYTFDTKPSEPRKPSKPVYLKDAL RIRSLFQDQSNVIGHLVRLDTLDLPPEMVAVLCPRQPSLKASTEEHFEQNPDDSAALT EYSTNLMRGIIRRESAAMDPLEGRKIYSLDVTRDYAIPAVTRYVADFLGLGHMVRSEA NPLVKYSENDLYQHITNCQVYLSYNADETRLLKRRLAFKRSMRFLYRLVLEEGIIVEA NKSMIIRKISSFRRWLFGSHRDHTNPMTTLGLTVATHILTNEPELGKAAGIFLLVGLD SAYTSLLAFTSVLSMFLDDLYDLRAELERREVEPAGQTICDWLKIQELAVSNGSDNDD EALRTLVLNAQRSSVKLPAVRVATGNIQVKLGDREGTVLSLQPDDTIICDITASCWDA ELPTYQSNFSHQFATYQPKHVAVLGLVTMIKVLAQLKNLRLGHDTQGKLKRIKLDSSY EGFSNFMAPQRVKSIKAAVEQKTVEIETLLQELSHAHPEERLEKEKSLVKERSRVKGV YDSDRILKPATDMYVTPQA QC762_0030400 MDDMLKTPCAICSSSKTTSGTPKNMTLPEFVNLMQKSKEARGKA QEPELPVWEREFGLREDNERNPAGKKVFKRNSLTGLFDDQEMVDELLDSMDDPIANFG PNNVPRCLRAVEIMGIHQARKWGVGTLNDFREFFGLKRHDTFESICKSPAVQKALCDL YEHPDKVELYPGVFCKSDSAMNGDPGPRDVDSALWAAIFSDAITLVRSDRFYTVDLNT DSLTS QC762_200010 MSMSTAAELNDVAVGQCARPKPTDIGSPCPLLNALANHGYLPRD GRSFHQAELSAALKKVGLSPTLRLVLSNPVFLEHQTAAGSQQQRPASSWSKLWYLMRN PWAVFFSFFGMRRPGQQDDSGEPVLDLDQIGLPGVAEHDISLTRRDVAQGDHLKAEPD SVEGLLASSPDGGKTMTAEDFASLRKRRMDAQKQDNPGLLYGPLQHRISCAEIALVLC VFGDDTKVP QC762_200030 MSHPRRTPAGTPRAQSIGFEKRTRSECGFDDVESSLAPFGVSAS QTHQGNLTKILSYLGSRNPFPAQIGRDDIVWLLDNVAFRAQNGNWHAEFVAAAFDHQA SPKLVDLVGDIASRVGLSKGDKEEATIEKRIAPFVMEVLPGRQVNLKFNNAIDIRLGP GGRNGISSDVRKVTDGQEGAVTVSKAVVPQGVTGMLEMKTVYAEPEGWAVISDVDDTI KVTQTSSPVGILRSTFVSQPTPIQGMPELYAYIQTMVTSSAPWFYLSASPYNLYPFLR KFRDDYFPHGQLILRDSNLMTISGLLSNLTLGTEKYKVDRIEKIHRWLPRRKMILIGD STQSDPEAYGDACRMFPGWIKLILIRKVEDIAAVGIHEKNEPQRFEKAFEDLPRELWH VFEDPAECQELIHKTVTAGL QC762_200040 MASETPAKRQKSSKDVPYRLIYWPGLPGRGEHIRLALEEAGAEY VDTAHLEDGINDVLAYNSGEQPKDETNIPLFAPPILQHGDLVISQTPNILLYLGPRLG LVTDLENDPDGLYRINSLVLTALDGLSNEPHDCHHPIATGLYYEDQKDESKRKAEDYV KNRLPKFLGYFQRVLDSKASGDGPWLYGGKLTYADLVLFQCLDGLMFMFPKATTKLER EGKHGKVFALHKAVAERPRIESYLESSRRQKYSNGIYRYYEELDFKG QC762_200050 MLTVPPVHLRSSWFTAAARLHCITVRPADHSSGQIHAPRPTLNT VRPVSGSTRNKMPPFPKPNEEPPAHRMVYFPDMTTALPSESGEFRRVLWTGLYSQVVL MTVPVGGDIGEEACITSQFTRWIRSSPSLQAEVLHRLEERSGISKPGTWSSCLRGPST SFSILVLTRSFCTPSTRLRSTRPPLCTRPRKKETRPRRRDGTSLLSGASGASRRMRRI VQWADDVQVLHESADGFVRGTVCQTG QC762_200060 MIGPRLLGLGLLAGLSSAAIAPRAVAVAVERPLSECPGYKASNV KTTSSRLTADLSLAGRACNTYGTDLEKLRLEVTYETDNRIHVKIQDTNDAVFQVPESV FPRPKGSGSNAKRSALEFKYKTNPFSFSVVRRKTGEVLFDTSAAPLVFESQYLRLRTK LPNNPNLYGLGEHWDPFRLNTTNYIRTMWSQDSFATPEGANLYGSHPVYYEHRKTGTH GVFFLNSNGMDIKIDKDKSGQFLEYNTIGGVFDFYFMAGPTPIDVARQYAEVAGLPAM MPYWGLGYHNCRYGYRDIYEVAEVVYNYSQAQIPLEVMWTDIDYMDQRRVFSNDPERF PMPVYRSLVDHLHKNQQKYIVMVDPAVGYADYPAYHRGVEDSIFLKRDNGSEYLGVVW PGVSVFPDWFSTNITTYWNNEFSLFFSRDTGVDIDGLWIDMNEPSNFPCFFPCSDPFA AAVGFPPTPPPVRSHSERPLPGWPCEFQPEGTQCKRDEVPQIAAPAARDVVPLSNPHA GPVTKWKGLPGRDLLFPKYSIHNKAAYMDSWNADKGGISNKTVNTNTIHENGLAEYDV HNLYGSMMSTFSSDAMLARRPGLRPLVITRSTFAGAGASVGKWLGDNVADWAAYRGTI RAMMAFAAIYQVPMVGADVCGFAGSTTEELCARWATLGAFAPFYRNHNEYPPAISQEF YRWESVTKAARKAIDIRYRLLDYIYTAMHKQTVDGTPLINPVFYLYPNDSNTFGLENQ YFYGPGLLVAPVTQEGSTSVEVYLPKDIFYDFYTHKKIQGQGRTIRLANQGLSDIPLF LRGGVIVPARVKSAMTTTGVREQNFELLVPVGADGTATGTLYLDDGVSVEQKGTTEIT FRYARGVLTAKGKFGFQTKVKITKVTVIGAGKRKRDETVETTVEVEQPLTGEFEITVG DLD QC762_200070 MEPSSKRRRLAPKVADPPVLPPHAPASVPVPVPVPAPAPPHTQA QPPPHSFPPEQLPPPHYAPTESVAPPPERHEFEAFARHLQDAAMHIHQQTLKPQHTSV SVLMLRWEDDTSVEQDLLALEKVFIERYNYHTDKWAIPTVPNPSIKLGVQMASFLDNA RPDHLLIIYYAGHGYVGSDNQLNAREDAAKLKWDGVRCLFEDAQSEILLLLDSCAIPN APMAGSNVAKQAIAAYTPEQTPFEPGPRSFTASLTDTLHKLSLTNRPFSAQRLFEDLR QQRQHESAQALARLANGASKQPVAHERIPALFTLTHTRGHGIILVPLDPKAAQLQSPP QSADTDLQGTWKSGREDRPLSSEEVMGLTFDEPRVLVCTTFVGDASPDMAYFNQWLHN TPPAASKIKVEGMFLGPPTMLLISMPNSVWNVVQHDKVCCFLGSIGSHNMIHLYERLV NQAANGSLQPKELDENRTAFEARPPAKGSPSATRREQAVAQYVPIQQETPGRQADSRS QPAVVNGGAISAPPPAPQGQQPSAVPQEEVEESAEMKEAAEQLKALSHVRHVSDDGLS AHDPSNTRIGDSIAVRHAGDPGSPPAEVAGPTADEIQYAPEYGTPTAKSKARRPLQKQ TPKQDTLCDLCSHAPFKDSSSLRKHVAAAHTRPFPCAFSFAGCPSTFGSKNEWKRHIA SQHLCLQYYRCSSCPQSSPDGKGNEFNRKDLFTQHLRRMHAPFAIKKSIAKGDSKLQV EWETHVKEMQASCLVTRRQPPQRSACPKQDCQSVFEGPGSWDEWTEHVGRHMEKGEAQ QMGVDSLLSDWALEEGIIERLEDGEYKLTSGNGNGNGVAERDSNGHGNGSGSSNSNTN NSFLVDGGKREDEDPSITVAITLPMADDMEVD QC762_200080 MMAQDGVAHRAEASDHPPNERTSLLTKPASVYSSSSATGHAASS EILRLPQYRLWLDELWLLTRTSLPVILAYILQNSLQTVSVLIVGRLSPEALATAAFSY MFAMATAWLIALGGTTALDTLASSSFTGSSNKHDLGVLLQRGLVILTSFYAVVAIIWA FSEHLFRALGQEEFICVQSSKFLRYLIPGGLGYVWFEAMKKYLQAQEIYRPGTYVLLL TSPMNALLNWLFIHRLGFGLYGAPMATGISYWSSFLLLVAYAAFVRGKECWGGIDVRR AVSHMGPFAHLALMGVVHVGTEWWAFEIVALAAGRLGTIPLAAQSVIMTADQIINTIP FGLGVAASARVGNLLGAHNSRGAARAAHSAAILSTIAGTLILIILMASRNVFGRIFND DERVVKLVAEVMPYVALFQIADGLNGSCGGALRGMGRQWVGAVVNLISYYGGALPGGI YLAFHGWGLVGLWVGQCVALYLVGALEWVIVGVSNWETEVERAIARLEAGGLGNSDGG LESV QC762_200090 MVCTMIVAYKPSCRRSSAVAAAAAAAGATHPPAFSISILPPPLS PGLYGVENIRPSSIPPRQPSPLTSPWPRTPGQEVTGPLAGWPGFDTLGHSPTAPSTPQ YAWSTDRSFLYSHLSACEEGCTQHAAAVHGVVKTLRAYYPGGQGLHPWGGGGWDSESS GWANNSPLPPSMSGDSNIPWDISRHPPILEDADLFAVYINLISQFKASLDGNPDASNP YIKYYVPYCIQSQLLQRVGVYTAACFLADSGHVDLTAAMAQKSQVFELVNMHLSSQLS TSDEGITGVVQLVLNEWHWGNEGDLRAHLSGLREMIRVRGGFRTLGLHGLISKLAITA DVAIALSSETAPFLRGGAEFEFRDNSHVPLRLPLNTPFIPKLATFASCGEALRTHGAV ASILDDMRFLLAAVLALPQEPTAKELQKVHTTSGWIHERMVNLSVEGPALRRLSTAAA AASPAPSSAASTTSATFSPLDTRPSPELGDDYHSSTRGRPLGLKQQQHSPLPVRPMQL PGDEHSSVLRLDGSPSPARAAASSTHSTAMMPSDPPDYIYQSVRLAAILYSRAIMLRR PFKQIVTPAEHLQLWRTTWKVPLSTWRSLLGVFNWILLPLVSSSSTQEQDSNNHGHFV KGMLNVSLLQIGMENWELCGGVMEASVGLQRWLSGSGVEAGDGGNEGQKDDGAGMEKR GGEKLDRGQGPKDKGKQVAG QC762_200100 MDSHLRRKDTTKGPPLRILSLDGGGVRGYSMFLILQELMHRTFV EIEGRAPKRSEIPKPCDHFDLIVGTGTGGLIALMLGRLRLDIETCKELYVRLTRMVFE TDKTIAGIPYRSTLFKATKLEEAIKECVREHTVYEREGNDLSETSSTYPMSTASRSSA AYPRRHSSNASVISFSAKSPTSQAARPAFSSRWGNPNARLYDERENRTKTAITAVYRG TQRGGAPALLRSYDSRKEPAPEFDCKIWQAGRATCAIGLAFKPIQIGHSVFHDDGAGT FNPSITALDEAVVNEWPGREVGVFVSVGTGKRPKSSDTNSTLWYEGFMGEFAEARRRL IAKIEGCEKIHELMKKEHLPKRGVNIEHYYRLNVEVGVGEFGMNEWNRLAEISTNTRR YLAREEEQKMVQGASSKLAKIHFAKLRWERLGSMSAAEQEKKLPEISMPLAVELPGDI PVFPPRTPPSRQSYESGSDMLPRPGVSTPSPRSSGERFPPSPLGITQPYSSAPTLAPP PPPQGGRPAKPPNNDDADRLTVNAPTPSQYRTASGSDKIAIVSADDYPRRYQELPSQQ QPLPVRDGPPPLPPKTPLPERQHSTSGRGAAPALPYPLDEDAPPAVNLARKPNYVAK QC762_0030500 MMALPPRGLQWFAWRNDWERGWVTQELGPDGEAISLEIRHTWLI IREQQSRAVVAVPERRVPRKEAFYQVHGAHNS QC762_200110 MLSSCFGSGRRRSDEHEPLLPQYDDTTSLQRQLHQKLHTYQMLR ALSKGFMPSNEQIIVNLRTLLAADILNPDLDTTELSDSGQALVHYSKQFVHQLIELLQ HKNSNDQIQDFIWYLTRARVSMDMEHVAERAAKAKAKADTAAAYKSLQTVGSLLLTNS DFRLFLTDLNLVAREVFKDTAFALSEASKEAGKSLEPSPQEQESLKAPGKDAQTPPSK EDLLNQASEITQVLTGSTSTVVEGAENSIISKLQGDEKDTMLFRLKQAVLKLRKRRDY SDSVSTLSLLLKRYAMVYSRIARDTLEAADQDVDRNPETDRALKNFWLFIRSFGDAEE WEELERRFRDVMDHGQNDPEFEDLVQQLGNALQEMFTDAAFFEHAEERFQALRVRSRQ LASGSSLRDDIDGLLAQVQSTFQSVIRDKDVANLIKTATTIGKILSPKHQYANTDLLT DSINVFVPLLIQSISYIPIPRLEISTPQLDLLLENLILEPGVTVNHSSFFPYKLRVET FNDLEIRKARFRTTSAIKSLMRIRIDGLSIKAEEVGFWLRAHTGLLRLVDEGIASFEL DERGLDIQLDVEVGRDRLEKILSLRGVRVRIHKLNWTLRRSRFSFLAWLMKPLLKPLI RKTIEMQIASAIKDSLHFANRELLFARERLRATRIADPDDLRTFFKAVLARLTPPDDP DLYARVGVGEPGQGVFEGVYAPGSIVKLWKEEAQQAAQRIRENERDGWRNDVFDVHAR LLG QC762_200120 MALPEIASIILRLAELAFAAVVAGLNGDYLHSVHGASSWDLGRH IYTEVVAGLSILFAIIWLFPFSSSFIHWPADLFFSILWFVAFGLLVDWLDGSCGRVFD WTNLSFRDTASCAQFKAVVAFSFLSAICWLASAIVGFWWVRRNTRVRHTTTAPTYRRR RWYRSRV QC762_200130 MSSIVNKIKEAVHSDKSHHGAPEGTSGPHNSRVANAADPRVDSD RDGSHTAGRTTGTTGTNEGLHGPHNSRAANTLDPRVDSDRDGSRTAGNTGTGFGNTGT GFGNTGTGFGNSSRTAGTNEGLHGPHSSRVANTLDPRVDSDRDGSNTLGSSGTGTHTT AGFGGNTGIGSGNTHRATAGTGTTGMTGTHGAPAGTHGPHNSRIANAADPRVDSDRDG RGAIHSGPGPASNTAGPHSSDMANKLDPRVDSDLDGSKTIGQNRTHQSGTATSIARDP TDASQVPPSVLRKHLGDPVVEHDDHHHHRERRNSVKSHQEAFSGV QC762_200140 MSSQIDSSDEQFSASEQAHSSSPSPSQFSRASSYRGREYTLYDA VAGQVSLNERVQPHGSSSRRSNRPGSGNLTSRTYRLAPEEVLFRRHNAPTRYAEHDIY WAHEDLLPSQQLPDSALLRSVHCYASKFYDYTALNSSHLHSQLPKDGLPSLPSQTQPH SEFFQKKANTDLRSLDETALLAFGVLLEEASREVLGKRGDLVFAEAEGYQPPQASSQA AGATPATTAATLVPSSTGGTEEGKANGGGALDTDVSHGEGGPKKRRKVARRRKKRPDD GPDRLD QC762_200145 MAGLRRAEDFWWKIWKAAEPVREPGNLCDPGLQMLHLQHYGAIS VSNCLDVHAWPAENVLHVCDSSHNDDDDDGTL QC762_200150 MDHSGAPDTKRPRLTTGSPWSAGGSHHGRTLLNPNPSPTSGQSP HLPPTSSPYHQPSPHHYARPSGTGDHNHPHPHPHPHPHSHPHSHPHPQPPPPPPPPPP PSQAPHPHAHQQHPHPQGPPPPVAQTPVDDRRHHESERFQTMHDHRQPPHSPAHPAFS SYSSRETIVKPDPGEDTTLPQLRRPLSTSNGPEIMTPGTPHSALPPQSYADDKRHMSF DSGPQQQQQQQPQQQQQQQSQPPQQPQPPPPPPPQQQPMYGRQPSYPPQTPLPHAQPY EYPPPSYGAQHDGLPYSIHVASSNAKRKGQRASQACETCRQLKAKCDELKPCKSCREK KVECKYRELVPKQQDKVQADILEQLMIMRNDFQDWIATNDRRVGRLEEAVKRIAPAAD LQQFEPIQEEEIRPASAESGSAPVAEEAYTSSPTGGAQAPPIDAEAARQITREMEVEK EVEPGPFVQPGVPTIPPNHTTLAAFLLKWRPIEALVRRYLDAEKIKYVDEFPIRQEER RGLLRVWGRGEGMDSSRDRDAPHDLGMMEVHDDYSDAGAPSPADCWGGISGSPGPMDG KSGNGLLHIPDFSESTVWKYVKSFNDNIQNMHPLIIPNELNAMVKLFLDGLHPSSRSK GGGSNIAKFAVGSQGETSLKRKRTSPGPEGGSSEPSLPPKVGRPMFQRSINNALVLLV LALGKICLHKDKRLPDVVPVSEPVQHGSPFLRNGNPAPASPSQGSPPSYASHSHAAGL PSPKDSGERAGTSRRSSFQGSGVSAKPVASLKRNLDVIPGLDYFAHATDILGGQLAGT SLRHIHAYILAGLYHGQLGRVVESYAYIKEAGWALQIKMRPSLDRFKRLQESQTTNRD SVTEKSDNQLVFAFWTCLQLESDIIAELPLPQSHILAFEEIMPYPNINMATGLGFDEH VLRSYLAQLYLRKNLNQIHQTLYNPENPQPLETHSAGGGIIEIIQNSLDMRFVPPEFK FLESDPPAKDILSARLRAKYWGARVITFRPFIRQILEHNFDKAMSTTSPMQGVSPAGR IQDDFSDDVKAYAQKGIKALVESTRAFHGVEDKRFIITNVFGTAHAQWGNLLTLAAVF KDPVLNQYIDEGLLKELFTKTISFFKIISHPSSALSIDMRILEGLQNELWRRPNSIDL VDHQPGSSFSSNASNGIPLSCMAPTTPLPGMHAPSTPVDAFRPPPPGQLHGPLLPPVL GAVPDGLPVMSPGSLPPMQHPPPPY QC762_200160 MYTLQVRQHTVQAAPCLPRRALQRVKVHACAFSHPPANHHRPSL SPTISSRCFETGHPARIASDSRGASILPLTPYCLALLPTPQQQQQQRRLSIDRPPSYN TYHCTRASTTKPERQQRQSFSSLLGTRHHQPRRHRAGPVLGPSAAVISPGPAPQSRTA LHWTMPSSPPPSSPVSPSSLSHRPGRRGANAPDHDDVDIPPPPLPQALWSLQIPLYIT HTSQPSTNPFVVSVPRFSYLALLLPRLSAYFSPLPCSSFHYEDVQLRNLAAGLLVDLY LPPDSAGSLPWRLTVGDGPEWDIADTFTNSAKEADFVRNGNAKQIMGLSKDDSTALWN SVQDNDYASFSRINSRLLNTPTPLKNVPVRIYIPSSPLQTSGADHGSFKVVQTLIPPR DANRRPQTLGEVLKENLPSLFPSSRDPVLANVIMHGGSVPFRAPLEELMREAAYPDGW VCLIIVLL QC762_200170 MYSSIDSATPRSSSGMASPQRIPSSRSRALSISSDRPSTVAHSL MSPPLTVSPEPAFIAASAASQIVTNDHDSHAEAWYDQHGIEPSGETAAVSPAALQLVN SFLDQLLFNFLCVSRSTALSALRPAVSEVLKPKLAKDAINQADEELREYLGGEEELPA EAQGQDPVSASDWDVELVWKRSRLRCMVYSSLGDMEEEDEDYYTEQGHLETGTDDRLS EAVSPAVAIFLTSILEFMGEQALIVAGQAAYHRMRVKYDKELKEGLRSPTGVADKIVV EELDMERVALDRTLGRLWRSWKKKIRTPTAGTMERLARSFSGDSTRGAGHLRSPSSTA ELMVPATVLEPDAAVEGEVENVAQETIEGAQELMEDEYLTAAAIPLPMGPNDVEEIEV PGLAPTYEEDEEEKAAVGQSEQQPARPKSMIILPLATGLLTPASSRPQTPIVARRKRS NSLPTPITTPYLSPIEAPAAPLDENQPIDTSDAAVEEQVQPSEETAVQIQVAPSVVTQ LETVEEDRIDEEEEEVSIEEPRIIRSSRVSILGGRNPSPTFSENGKPTMINTNLPVRS PSIHSARLIEVTSPRSPVVTSARNSLVATDSSRGPSPSRLSGADTPPIPDERPRRSPD SVSRSRLSVNYAHRSGLAANDLASETENDYAVSPVTPISPGSGNNNGLPVILEATSRE HREIESTADEQQNPISAVPVNAERATTPSSQKALTKVTILPSTSSSPSRPSTAASSTF FIESMPVLAEGKAAKDKHQWAKPTNSRPSTGTTPAPAVPERSAGRQAVANTSAQRQPA TIGQVSVERSRNDSGLEAPGASSKQQRGSGSPGSTKIKPVRTPEEGMQARIDVVRNFE ELIQSDQTIQYTLTPENMRDNNSQSSTRQKGSGSPVISVKTRKSEDARQNTPRSRSSS AARPGEMGASGSNNPRSVGHAHQSSDTHHSLRSYPTSSSKHGGVVPRSTPPGPSKPRG NIPQARDARLPRESMAEFAEFIRSTGPPGGGAAPVNALAGPGGSRNPGMVSMASVASS KGSMTSNPNRPRLQARDAAVDYKDDNSDLIDFIRRGPPSLNPRIPKAVAPFRTTMDSD QMSGAVGGRAVDAHLNEAEMRGNDSTSSVPPSIQSSINSQSALLAGRNKPLPTSHPAP TPNEMDFDMPIPKRKTRRVRDPYAIDLSDEDEMMLEEELTPKPKRPAAKEESLIDFLN NYAPPPEPTVLPFATQETQAAAAARNKPKKKSSSASLMARLTRRDSGQGGGLGSGFMG GPKVAQLPAAESRSLSSRASAGMGGTMGGRSYTPLQVAPNMSSKAAAMMGGGPPPSMA NQPPVGGSGRVPMKKFEPRDAVSVPSRGTSDLADFLKHSGPPPGMTMAAPFPEPMSPE HRRRESNSISKVFGRRKKPSIS QC762_200180 MKALIVALGAALVIKAAPFPVSSIPPEPLEAASADTIPPASSGP ISSPLPFYQGAEGDDELADRLCKAGGSGVYCHTKTLVRPSLTVYSTRPIVIPIAHTRT VAAWQPTSGAATVASPHPSAEPSAQPSLQISPIASPLASSLVFPRPSPEPKVEVEVKT EVKTEVKSELEIEVEEEVPTDVETDAETEAQHELQDELEVGGQQEFASDYPSVRASAT IPSVRSDPLPTPQPSPKPEASAPSAQSNPSTATEVPFPKPSPAGAEYDLGCHPNSKTP CNASKKWGHSVDEGNYNGYDGESGFRPGKSRGDLEDWESEWTDDDYFGGGPGFDFPDY SDFDDERGGKGNFPGGNLDKDNKFGGNQHDNNHGDNNHDNNNHDDKHHHKHKDHKHKD HKHKDHKHDKHDKHDKHDKHDKHKDKHHDEDKDEKSPFPGIPHLPGGNNDRHDDHKHD DHKHDNKHDHKHHDNKHHDNKHHDNKHHDNKHHDNKHHKYDHKHDHKHDDHKHDNKHD NKHDNKDHNGGNPFPGFPVPGGQHGHGGQDGHGGHDGHGGGFPGGHGNGGHGFPPYGD SGFGGHDHDRFEDESGHDDFGHGHNGNNHGSDPNPLYPPPRVSPDHLPDHDGRPDTGP YGVPIPPPPPVPGFDRNGHSNGYGGQDGSGFDRGHGHSGNLGDDYHRARCPEGYSCRP VQYCDRRTPFCEIDTDRCPPFQSCQPNYPEHAHPFPPRDVNLNTTGGYRPPPPVPCEG EHCNHVPTPYVPCHGDNCPPPYVPCRGAGCLPPPPPYVPCQGDNCPPPPPVHCGNGTD CGPFIPAPPAKHCKGSNCEVNTQEPASCDDDDDDDDCWDTSDDSGSDSDGDCDSSSGS DSDSDSDSDCDSGSESDHEPTKCVKPQQPQQPQQPQQQPQPQQLQPQQPQQPQPPKPE CEEQPECGKPQPPAQPPKAENSQPPAPQAPPYEQPNYIQPQPAPQGNASNPPPAPCCS GPEPVPVPVPAHVPEYESDSGSDSDSGYDCGSSSDSGSDSCSGSDSDSDDEDDYWAPP VAPHEECPEDDGGPSGCGGGNPPAPPIDDGGYVEEQSYVPPPPPVIGGPGPVIPSPLP EPAQPYEAPPAPAPAPPHEQPPATPSDSAPLPVYTAGAAVNFQASFGMAGFVAVAVAA IGQVY QC762_0030600 MASAMGDVAEHTLDSSKTGPAVNAVFSCLPCRARDAVGPLQSNP FGVHGAMAHGGFPQQT QC762_200190 MADKAGRRRRSSSILQVYHEPPEPIEQLSDQSALPNLNANWVNA KGAWTIHFVLIFGLKIFYDSLPGVSQETSWTLTNITYMFGSYIMFHYVRGVPFEFNGG AYDNLNMWEQIDDGAQYTPAKKFLLSVPIVLFLLSTHYTHYDLAYFTINFLAVLGVVI PKLPVSHRMRVGLFSGVPED QC762_200200 MDTAQDTVVDDHPVARKRSLSEVEPSSPAGEDVDSTRKRTRTVE PDEKDEGEITDSMSGSSPAPESFTPVQPFAKAHNGWNAGVNGGLRISFPSLRKPPQTN AEGDQVPKAPEAESGQPSEEKPQVQGQPDTAVELEARPSIEGLITPDGYPSQPKNSRR RSWDARFKHWCIELMRLNKDHEGVQDPEVLREAWSNWLQNRKKQDPLAQTAALRAAQS FEFTPEALTEMASAALTPENEPASPAEQQSDRSTDKATSDTGKSENSNKPLTTWEAGG MIQPIRPNQSALPLDAKDDQAWEHIFTIWCQDLAEINSKKIKTKEPRDFNRILTAYNQ WIGTIDGLPKIRATAARRNASNYLAKNKGRVASLLSGRPLDDEQETEEEEPKEKEAGE EPVPETVVAEETLPLAAPVNDGNEEVKNIPLTEGEMAYRDRYFPGLAPDAVFCVMCAS SEHNSAECPEMACKFCHKDHPCWTCPARQRCSKCKQLGHSVAECKEQLILAQDEMDCA LCGSRDHIEYSCVNLTRSFRPNPSNTPKVQSLPVFCYRCGAEGHYGGDCGLSALEKKG SSFNPFTKANASQYVDPNSSETALAYRGGHRSAADYRSGGRPDLGKSIVPRQHIQFES DDDDDDEFIQPIVQKPRRSGHITFSGYSGNGSQNQEKPSARYPSSLPPKPPAVSVQPL PRGPPPPLPPGNNSFGRKHGGGGSRGRGRSGGGGRGRGRY QC762_200210 MASSTQLFYRLWQEETVRERFFELLSKQDLCAVRVANSACCNLV TRRLFVRISLTFTSNTFTNPHRIQALSRIGHHIEHLSFTFPHSNATFLPPLIHPQTGQ EILFLYNPHTSMASALTRPKYGNSELGDILTQQYPPLFHAASNVPSFINAMKHMTNMR HLTIRTPGQEPSERYRRDIVDYALISLRISVERAPLTKLTKLSLSGVHPSSFNYLRHK PGFGALPSAALRWRQIKKLYISVESWDFYGPAPGLDHLKIIDDYIREFAPQLEKFSFT WLGRKGPCPIALSGDPLFAAPRHSKKLFNEVTSPMSPLPPKPLRHPLVMPKLRCMAVK NATMNAQQVKGLVADHRGTVREFDFDNVALIRGSWDDALGPLVDKEEGGSPDSWSRKS FASAGSNTRPGTSRTNTSAGSAVANSSEDEAVTPSSAAAEASRELFQVDLEGMVFGGV NDVDNFETGVEEWAKGVTAAAASASASNDRLDDYDDVASDIEAAKQASEGFSTTLRKR RLRKKRRHHDHDEEDERKSQKSTEKEKEKSTRHFPGLGKSSSKLTLKHSRSRSDETAS TTPKETKDRERSESRPRLQRRRRHHRHHSSDDAVPALPTMPSMPEVVGSDDESYFNSQ HPYYTSPGPFTPPPLTRSNSLSSSHSVSPAPSPSPTGNNRGSSRIEISVPLLNPNPFP VLLQPTVYDPSAKTGPFVCSVVGEEDHGLYEDDGLSPAQRLIEADMLAEAQEREARSS ALKRAREAVMTKLSREFSRKANANSEKNKGSAAVQQVAGMMSLADLPLHPLHTGGNAS SHNVGGGNNSIGCRIREGLFGKSMANVAECRSDQQRVTMESNGSVLVPLIFARS QC762_200220 MRVAIREQLAALVIFAVLVALAIVSIPTWIFVNNFVIGVESGGL LLTASLKAARISSEIDLIQTTCLTISTRLMLQQAFKNYYQGKTEPGSEDPWVEAVADL KSALGSSGFSGLVQGRLYSRNSTGNANGLLSITGNGNGGPIYLPYLGSNGLPVVLGDD TYGNGFPPMLYPNISYRNNGRQHQFVNTTSFSAEVFPGVSLGNGSDGNGILLGPLVVN SSFALVSITVPVRQNDQPRYILGYMTLVATANALIDVQRSREGLGRTGVVLFMGSTNP WNHFERRVAASNETWQPPPDEFSKEDIHFLLPPHPPEDQDDRHDQHSYESGNFGAPFP VTSYPMVHDVFRKQNLEHPNYAISDLTTTNEQGHSVAVGAARPQTSLVSWAVVVEQDA NEAFEPIHTLRSILLACVFGTLGLVLLLIFPCAHLSVMPILRLKSATEKSIAPPGYED EDSDSYDEENPSSGGTTSGRLSEKTIVGKIRRHIRRRRRAKARQGISNEPTRRQFKIP ARVEDRKHFVTDELTELTQTFNEMTDELLKQYTSLDEKVAERTKELEISKKAAEAANE SKTLFIANISHELKTPLNGIMGMCAVCMEEDDIVRIKQSLKTLYKSGDLLLHLLEDLL SFSKNQIGQQVSLEERDFRLGEVRSQMLAIFDKQVRENKVSFAVNFVGTEYIDGNPSP ERTSIDKRLPALGPPGVGRLKDMCLWGDQHRILQVIINLVSNSLKFTPAGGKVELRIR CLGEIEQPSDESRTSSFSKNGSNRPGRTRHRMGSGSTHSASSKGGQSPASPPPKTDGT ALSINPVDRKVSTHIQIRERSPTPPPPNARTYMFEFEVEDTGPGIPEHMQQKIFEPFV QGDLGLSKKYGGTGLGLSICSQLATIMGGSISLKSTVGVGTTFTMQIPLKYIRDRASS TASSSIGSRPQSVSSADGRAAAEADRRNSLSRASNDVQPPPSTVLDKQPRLVGLRQPF FATNLTARPTAEDQLAVIDRAMENKPPEQGKLRVLVADDNSTNIEVVSRMLKLEDIYD VTIAKDGQEAYDLVKANMENNQRFDVIFMDIQMPNLDGLQSTRLIRKMGYSAPIVALT AFSEESNVKECMESGMDEFLSKPIRRPALKQVLKKFATIEEEPETSSLTTKKTSPNQT PAQTPGQESSDPLALTNGDAAAPKAKGTAHGPRINGSA QC762_0030650 MSGYYPPPPGSAGAGAQKSYPPPPMSAPPTQTKFSYPAPPSSSQ GRNYPPPPQAAATPPPAASSPQQYPPPPQQPQFSPPPQAPSPAQHAALPTHARSQSQT SQQQQQPQQFAPPPSYPTEDKDASYPAEKQQQQQQQQQVTMDPSSVASTLLGAPAAGQ FVGATSTVVDDVGTFNGGSYRISHRDCNTVLTIQLAIGCPFEARPGAMIAMSPSIQLK GSYKFSMKKLVAGGEMSQSHYTGPGELLLAPPMLGDITSLRLTGNESWSVSHDGYLAS TQHVIKDYKRQGLGKAMFSGEGLWVYKISGTGLLWLTSFGAIIRKDLAEGEKYIVDNG HLVAWNVKYIMERVTSGGIISGFASGEGLVCKFTGPGTVFIQTRNARSFSAYMTGQQN GHA QC762_200240 MLRTAFGTLEALVERSALSITRNTTGRQLWAKRISANTTNGLPW HWRARLSQLRQASPTKSASNKSPSKAIPRQQLKNSSFTSRRNFHSSKARRGDSESAKN GTKTTGSLSLRDRLKKLSREYGWTAMGIYLALSVLDFPFCFLLVRTVGTERIAHVEEV VVSNAKKVIPERVQNRWNEYRKSLKEAKQELTGKADAEVVGHGVAEAEEANKGEGASL ATQLALAYAIHKSFIFFRIPLTAAITPKIVKTLRGWGWQIGKRPVRPHKKASD QC762_200245 MLSTQEHQIPLPTLCPCCLAAISPPDLITNPPSLHTMTTGQQST TTNSIPSQSPAMVPSSLTTPQEQSALAALHGLTLEQELILREKVTPSSVMFALELARE SEEGAIEPTVAKLLTDAFNKIWNKVVTRPDLYLMSEQEFAVFNYFQSFWPDKEIARKA VARFWDNPWAFATPAGQRRS QC762_200250 MYFGDTEVSQPTAPPGISWIYLKDMTHYMYFWKRPQKVIFDLGN LINEKYTGIFNTTMTAIFYNDPNPHPANQAQQAPPSDLIFPISARLSSTNSPSVFTLP SQRAITTFSAGSLPRDIRRAVVSLSTTGQASEEFFWSNVLESDTATFEDDPLPGLSPF REVQLYIDNQLAGVSWPFPVIFTGGVVPSLHRPIVGIQAFDIKEQEIDISPWLPLLCD GEEHTFEIKIAGVGRDGKLTEKVGDNWVVTGKMFIWLDYDRKDEHACAKGDGCITTGL KQPMVTALEPEIVARSEAKLDRQQLGNETLDYSIVVKRKIEIRGQVSAFLGREKMQEV KWVQELAYSNQGLISQHGLQAINDLTIEGRDQAGFVSNDLEKGNQRGGYEVLYQYPLS VNSSYAVSEQGNLSIWAHAIQGRQVEVDGWGGGVVFPTGLEAFDTGYSRSKLYTIKEG IAEYRQTGDQMSSTGWGESSQEFEFTGDGEELYWRSVGAVNGTVIYDRKRMGGDVIVG GPRIVSQVALNSDGVSTQASGYDSGEAVAKWPRLFPKENGA QC762_200260 MEKTPLYFRSAQLLFILITTALIGNVIATNVSAASSATAAINFT MFVLVITWLAALYGLISTVIERLSYPVGLLALDAAATLFTFIAAIVLAAKLTVVNCAD PGNKAADWIAYGSTDNTKRCRQIQASTVFMWFLFPLFTAVLVLSLMGFRRGGGSVRTG PTMSQIGV QC762_200265 MMASTSDSFVISDMAPSSDNDDVDSLPSISSSILDSEDESDAQR EWETSLEQLQLLLTMIIMPFAGKYLGRKFAYWSWARYMEWMHNVEIRWTNKREFAAVG AVQAASSL QC762_200270 MSTEELSLQRRASRKSVAFTDEKLVVDADGSVTMVASPNDDTKE TAMSHTPRTPPLSAALGAFTDAASQAPAAAPAEDADGLDLRLMKKKKKAKVGTAEGDD EAAAPVAGEEGIDLLLKKKKKKVPKDNDDFARKLEKLNIEGKAGEEAAPESEEQEGDW EKGTGIFKHDETSTINYSPLLSRFFALLSQKNPDHASTGTRSYKIPPPQCLREGNKKT IFANLAEICKRMKRADEHVTAYLFAELGTSGSVDGSRRLVIKGRFQQKQIENVLRTYI IEYVTCKTCRSPNTELSKGENRLYFITCNSCGSRRSVQAIKTGFSAQVGKRRKNKA QC762_200280 MGQTLSEPVVEKASENGGDERLLYGVSAMQGWRISMEDSHTTVL DLLAGTKAAKDHSSKLSFFGVFDGHGGDKVALFAGDNIHNIIAKQDTFKAGNYEQALK DGFLATDRAILNDPKYEEEVSGCTACVGLITEDKIYVANAGDSRGVLGVKGRAKPLSF DHKPQNEGEKARITAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELAPEQQIVTAYPD VVVHDLGDDDEFLVLACDGIWDCQSSQAVVEFVRRGIAAKQDLDKICENMMDNCLASN SETGGVGCDNMTMIIVAFLRGRTKEEWYEEIARRVANGDGPCAPPEYAEFRGPGVHHN FDDSDSGYDVEENKQGGGAGRSFGIGGYKGRIIFLGDGTEVLTDSDDTEMFDNSEEDK DLASQVSKASSVEGGEAAAGKEQEKKPEPTPEAAGKPSGEAEMKKDA QC762_200290 MDSTFPSVQFNLALSILKNLGTAFCNCCLFWSLLIYTTTYSAHL HAAITLTRSSNLSAVAIMRPQLAAWISILLADLVAAVPTVSFPINSQVPPVAQIGQPF AFVFSPSTFTSSFPLTYTLANAPRWLSIDSSNRRLFGTPGEGDVAPGEVVGVPVNLVA TDQTGSTTHEATLVVSRNRGPNVEIPLEQQIPNFGIFSSPYSILSGPDAPFSFALDQK TFTSVSNSRLGYYAVMADNTPLPAWVSFDQDKLSFSGRTPPLDSLIQPPQRFAFHLVA SDVAGFAGAALQFDLVVGVHQISAEETTIILTAVPGKPVSYTKLKDIVKLDGKPPSTG EVTLNTSSDMPAWLSVNKDSWDITGTPTEKSTSTNFTITFQDNFSDTLNITMLVDVES QATKAAGVFKGSLPILTATPGQHFSFDFRPHLVNPDDIEISVSQGDSASWIRFDAGRK TLSGHPPSVSKDTIAALEVSAQSRSSNKSDKLSMKLLIRAAAHSTPNSDTLSDADSTP LGGVLPVGGDAAPSGKVNVVLLGILLPTILSAFVVAFAVFWCYRRQKAKQRPRLTTRD ISGPLPGSFVITSNGPNTAPSLPDISHNFDRSFTVGDVFTPENKMYVESRSSFLTKGG APTSLATVKLLPPSVRSKHGGARGGRIPFLGGGSFGAMRLGSSLASISERSVNDEAGE MDARTAGLLGNKTTGSFRDGIEINIPSVQGTPRSRYNDSPNSPYQPHAMASPRSRSSS AGSDPETFPLRAESKLAHYGPPEIARRFMWPWFRGNNSRSRSSKLRWGSRTHSKQPST STVDTFAYKRTGQSIGLGYADAGMASEITPPPRARLLGAVPLARPVTRRGPTDASLEG MILSQGQSGMSIPMNALPTTPGGPGAPSVASQKDISLPPLPDWRESPDDFLGLSYDDL VKNSPFHPSATWQSISTNTNDEWVDETVVSMDTPQRETAKKDMGTPSNWAVLQNSPFI KDWDAGIDSPSPVSIGPGDASTPAGNEKREQQPTSSRTQPSNISAAVGYKRGFQRDQS GLPGRSEWKGVSLMSGKSRGEESDFAVYI QC762_200300 MNGRSRSSSPEISPLDFGEDLAPLPVYKAAATTALDFSGLLDEP LKLHEDLSSGCGGQLWPAGMVLAKHMLHYHRDMLQTSRVLELGAGGGIVGLTIAKGCR IDQPLYITDMIDMEPLMQHNIALNELDDRVRGRILNWGEPLSQEIIDFKPDTILAADC VYFEPAFPLLLQTLKDLLTLNPSAIVFFCFKKRRRADMQFLKSARRAFRVTELEDEDR PVFTREGLFLYTITAK QC762_200310 MKSTTVLASLLASVALAQPHHGGHGHLHRRKAHHDKRAIVTEWV TETVHETVTVLIDESTTEVILPTKPAAAEVKVSDLPEPRPGQFFESLKSKSSVAPVTS VAPPPPPPPPVVMEPTPTPSPPPPPAPVQAPPPVQAPPPVQAPAPPPANNSPPAAKGG SESGSSSKPSGEVHNGDLTYYDLGLGACGFDDSGLDHTDNIVALSYLMMGSQSNGNPY CDKTITVKVGSKTVQARVRDKCMGCEREAIDCSEKMFLELFGSLEAGRRPVEWWFNN QC762_200320 MAAPPPSLLPPPIISPPGAAPSIIPAQLGFLAIYNPSLSSRSGD GGDDENSVNDQIVYYASLNEQHASSQGGSLKKRRRRHQSSTGGMEQQVSREIRNERLR QVGLAQGMVEFSRGFAGGKAVEGIETERRRVVVYELEPGWWILASIDLTQLPSASTGE AGAERKMEYSSREVKPTSLLLQNLLRAHSVFLLHHASSLSALFVKTQRQKFVAVLGRY WDLFLSTWNVMLHGNPACAVFGGIKIAACGELGIGVGEEERGSGEREVLEGLVERTEG LVDLVVGRYGKAPSEDEQDNEEDEKWLGNGIEPGAEDGAVFLGTGALSRNSLRALTFW MEDMYMFGENAYGVNDSPTATRSQAARRRRAAGRKAAAAAKEGKQQQQQAASFGSSGE SSKGADEAGKGGMDTLFGYMKMGYGTSWSLTGKRITEITPAAEQEVPAAEASEQQIPT SEEAPKSRQPSLSTGRYLIGLMGDIEEPTSKEDDPDAQDPADAADPNSRTLLRTLTVE LEKEGEDKPESKMTKDLGSQNTELAEEGVSDKESQPADTSNTEFDSQDRNKTKKLRVV VYANRPFIYIFLFQLRTDSLAWDGMYRSLHTQLAPLHKPLLSSTAYRPERPDVGGVAA TAQIYDLVWDPKLLTIHSTIPNIPEPVSVTAHGVTKPGAWTRVESLNTHNQILNVYSN TRDDLSEFERTCKTSRGWWIVWTRILEWSSKSTDGSMTPTIITATSTTGTATITGWVD GHGSSDGGGSEEGGQRGEVGVTKEIILIRRASDHGGGGLRGVSTSYIASGSAGGGEGG GGGWADGATKLAQGIGVDTRRYIEALLSLNR QC762_200330 MKQYLSLPAFDKVTSALNFDTPDCHIQGSCDLYTTKAAGSDKKL YKNISQSLESQHAALLKFDASLSPPRRSSMAASLNLERSSPFGSLNEVSNRRTFAYLI ATLNASHTDYDFSKVLRPGDFKRENVLRSVMTHIDNTLRSVRPNTNFLDPMAAYDSPS SKVSEFDTGVSPSPPWGPEMWSLIDQEMDLKECSIFSYQPANDPFEDDEGAIWAFHYF FFNKALKRVCYIHVRGVPVMSQSPSVRPHALLSRGSTQSWADNQDDDTVGANKRAIFW LGDRVAERIEISDDDMDDGLIWNRDADGDVSYHYDDDDDYDYDEDLDLAADDSHFGRG VSEDIASRMEIDV QC762_0030780 MCLKDSARGYKRVSHSFMVDSIMTEVPGSEKGEGVVEDLGLPII YPRARPKPGLPLPGPGQAKPTWAEPAAR QC762_200340 MAINYLILLSRQGKVRLAKWFTTLSPKDKAKIVKDVSQLVLARR TRMCNFLEYKDTKIVYRRYASLFFIAGCSSEDNELITLEIIHRYVEQMDKYYGNVCEL DIIFSFTKAYYILDELLLAGELQESSKKNVLRCISQQDALEDMEVEDEVTKIM QC762_200350 MRFLKLLYLLLVDTGLSIWLFLTGRKKRLGGKRTMAKLILSTGN IVSGGPSIIRKPGAYRSNLELTNSLRSNFLAAQEDYATAAADDEAQDGKLHANGGVEN GAAKSNGNALHVNTETGGRPPVSVWTAEEDGALYVPRIDWSEAGLQEEPSQYSITVKL FFLPSASVERRAQFANEALDLVLKELDAPAIDLLIVSFPGMSFEGDCEWKADRHNAVQ GNDEEELATWAAIENLHRAGLAKSIGLAEFGSEKLARFLQRVQVRPSVNQINIKNCCN VPPPLTKLAKEEGIELLAHSDCIDILPKGTLRELLGQGLGGAGILADPETGAGGLKGD LAPQWVAKYTAVVRDRGVIENKGYFAGAELTEG QC762_200360 MTEPATEFPPPKILTLSPAHKPILITQGAEGRLYKTPSPFQPDQ FCALKYRPPKPYRHPILDARLTKARISSEAKVLDRCYREGVPVPAVLAKDAAAGWMMI EWIEGEPVRVGINKWLGERPEDDAVVDHSQEDETPLTDLMKRIGVAVGALHRTGVVHG DLTTSNMMLRPWADDRLPNGHGDKAEKAKAVAGDVVVIDFGLAMQSQSDEDRAVDLYV LERAFASTHPRAERLFHHILDSYREAFKKAPGVLHKLEDVRMRGRKRSMLG QC762_200370 MTAVDNTSAGLGGDSYAQQWILMLVLTTLVLSLAILSQFATCYA RAYIDAPSEVSAFLDAIDSSIAENESYDHDIAKVKRLDDKLRLGKLLRDIQKAGDVLR EALNALMEEREGEKRAAQLRTGARLLWASHRQELEDKVRRMDLLRMRFLVVHMGIISS MASDTAAMASRTNGMVINANTRREPSQRPLPPASPPMTPEPPPLPPPLRALTDSPIKS KPPLRRLTVHSMGHPEAIEVPHRTGWAGVMQELQRSPVLRERHASIELAMSRAVC QC762_200390 MKVTSILRPCLRPRLGNSRCYIPYPKYQTRKMRLPYIADPPPTA TPEEAEIVQRIQTRRHPRPLQPLDLTLLHSPPVADGWNSFLGAVRTKTTIPQDLREIA ISRVAVVNRAWYEWAHHAPLAEQGGVSKEGMNAIKTEQPLVLGETQKHAELTDKQWAV TCYTDEMTRNVQVRDETFAKMREIFSDKEMVEITATVACYNCVSRFLVALDVGERNGT GPDAAH QC762_200400 MLLPRHSSKIQPHGSQAITRPSRLRSPGLRLKTSSLDLIPSDEK AQAMASADWRKMAPDSGLGDNRQQISDENSRHPSDQNDGFYSPFVLGMKPMNTGSRAQ YQYARDPTSGIAWEVISSAPGCDFRREETRTSESSGIPSSILKACQPNLQDTTMVRLK AIKPMNVSINGDIIKLPNKYSLDVTPVDIQEPDAACFMNREHGEILATLKLLKPKARL RRMKSELHEESVLKDLDDFLSQPSGKPPKVGAASKEVQRQNRRSSGHWSSHDSGISTG SETSGLQKEMPLGAHHRTPAEGHRFQTLLSRLHLTTETREASQPPTAPDHAETSKSHA QVVDPAIIAAKIKDEEREETADNSERGASEGTFFGRDSTLLFGKGKWAQKSHDSGYST FHFMKQGTQEPTRPKAVEHSGPTVQKRKEDSTSCSTTSTKLNPTAAEFKSVTKGDLKS GVKSDFKPAPAAYPAPPWTPKRRTRPLLNNIFPGVLEDPGFMAQTVPFVANDCLQGSP WQTSGPPAGPVWVAEPGQPIPEMACQPPVAPIAMAPLPIVDPDGKGPRPVFPVTQKPR DHDPVKQQAYESYLEWRKANEPGYHMKCKMRQAHRVVRQYQQQAQTADWKTVAGQAKA AAGALEAHAAEEKRRSKEAALKEQFKMSVKRVAESSGATEIKEQTLPDTNNEKQTQTL VI QC762_200410 MASDTDPRTNQAGLPSANPTSSYWLREPNTLLLGRRSTPDLPEE ADIVIIGSGITGVFAARSLLQDYSCNKKVVMLEAREACGGATGRNGGHCQPLVYGTNP AVAAFELETFSFLERLVRDEKIDCDWITLSGGVHAFMSTPLFQTAVAQIDSLTKSHPF LASQLEVILPTNPSKLVRLRAPNCHGAIIQKTAASLWPYKLIAHILTSLLPNPNFNLQ TNTPVLSLSPSPSSPGKHQILTPRGTLTTPKVLLATNGYTSHLLPSFSDLIVPVRGQI SAIVPPSPVQTLTHSYLFAADPEKGQHAPRDDYLVQRPVLNPDSGGEMIYGGGRRLAL RLGLGQYNDDELELKVAHYLRSNLSPPLDLGGKDEELPATYEWTGVMGYSRDSNAWVG EVPSHRGIWVCAGYTGHGMPSAALSARAVAAQMLGLPESGQGHARLPEEFKITEERIN RARQGAKLEDCGGWEGAYFSGPADGE QC762_200420 MSFRGFQKGLIRAPQQFKAKFNVGENTKDPVYIDAERRFQELEA ETKNLHDESKKYFEAINGMLNHQIEFSKAMTEIYKPISGRMSDPDSLIAQENLDGIRA CEEYEAVVRDLQETLAPELEMIDTRVVRPAQELMDVIKAIRKTATKREHKKLDYDRHR TTLKKLQEKKERSAKDEKAMWKAENDVEQSTQEFNYFNDLLKDELPKLFALERDFIQP LFQSFYYMQLNIFYTLHERMQRVDIGYFDLTLDVEEAFLAKRGDVQERAEALSIVKFK TTGQRRPPKYGPPRPAIAAKPAAAGLLTAGPSASASTSAPSPSPFPYAATNGEASATK APAVSSLRPTWRSQENDGGEQPPPPYSSAPVSPAMISPAQKSPMSLAAAAKSKPPPPK PKPKNLAGASVAKVETVTALYDYSAQAEGDLSFRAGDVIEIVSRTNNENEWWTGKVHG KQGQFPGNYVQLNP QC762_200430 MENAQNRSSVPPTEKETFLPLHLAQLFFSRTMATIAPSAIRQAS RLASKSALTTAPRHGLQQLSRVASAPVQRTAGKKRSYVTESKRDNAQVQTETAIRLDR KELEKSGLTITSENGSTEHVSPMADVLKAATIMDEGQRPIYMDMQATTPLDPRVLDAM MPYYTGFYGNPHSRTHAYGWESEKAVEDARQHIASLIGADPKEIIFTSGATESNNMSI KGVARFFGRSGKKKHIITSQTEHKCVLDSCRHLQDEGFEVTYLPVESSGLINLDKLKA AIRPDTMLVSIMSVNNEIGVIQPIEEIGKICRANKVFFHTDAAQAVGKIPLDVNAMNI DLMSISSHKIYGPKGIGACYVRRRPRVRIDPIISGGGQERGLRSGTLAPALVVGFGEA CRIAKEEMKYDSQRIKFLSDRLLNGLLSMEHTSQNGDPNHFYPGCVNVSFAYVEGESL LMALKDIALSSGSACTSASLEPSYVLRALGNSDESAHSSIRFGIGRFTTEQEIDYVLK AVTERVGFLRELSPLWELVQEGVDLNTIEWSQH QC762_200440 MSTDNTSTLKSYVDSATGAVQNAVGSIIGSNGDQVEGQAKKEKA QAEYDASHATAKLPGFTASSSGAVTKDHPDRNAGSYNQTAGAAKEFVGGVLGNESLKQ SGREQNLQGQEQEAKGQINDYVSGAADRAAGTLGSGIAGLTGDRVKQAEYQGQHDTGK TQQRGAEHDIVKQAEAKQ QC762_200450 MKNRSSQQPAAMRVAIAGAGGFARILAQYISQTHSVLILSTQQP RPEVDEQCPGCQLVVVDYQDIENLRYAVLGADIIISTISGAEQLNLIDAARRARVRRF VPSEFEGDMSRRPTDDPLDRGGQSAIEMLEQLHMRYTIFSCGIFMERFAPGGLQTYHI GAGSGVQGPSDYLVDIQGCNAEIIPNNPSGRSVRVTLTSVYDVAQFVTAAIDLGISNW PREYRMRGETMTVTDLVHTCSDVRGVPFNLTARHYREVEAQVEELRQNGDWGYYYFYY QRLLQTALGRYHVHSPNLNDDVQVQPMSFRAWLERFWGAAA QC762_200460 MAPLTDEDYHSILTTELPFPCDPILQKYLSSRTALINEEYKQRS DSSFRQALSPIAKAACRIVDRIRDEEHHHVTTTTLTPDAMTKPKSWQIIQRLPKGGLL RAQMDALALANVDRLITLALETPGMCLSSSNGNLATAEARGNTTRRVEIRFRKNDQKS EQSIWSSDYESGTFVPLTNAADDYPEGGRSGFSKWLKARCGVVSRSDDSTTTTTPQTE KTESFSSAEGRADSRLLRGMLYYEPIFRKFLRHLLVQLMEDGLSWSELRLTFPLDYYR TGSESPEPDSDQLFVVIGQEAASYCRQATTTPDDPGQRPPFWGLRIIWSTTRNQDQRS IIEDADSCIATKMIWSDLVAGYDLADTDALGRSLTDMLPELFWFRKQCALEEVEIPFF LDAGGGIDGAGECNLFDALLLGTRRLGNAPSLYRHPRMMEAVKDKRILVESCLVPGKG DISNHPLLALIAQGVPCALSLGDAKEHAREGAGLGMTHQFWTALHAWESLGLAGIGSL AENSVRWAAFEDQPPESWIRDIRAASVGSGLKAKRLKEWAVEWERFCLWIVTEYGDDY GEGGDGDGDGDVTASAN QC762_200470 MSSSPEASKKGGIRIAIDRGGTFTDCVGSLNGETIIIKLLSEDP SNYSDAPLEGIRRILSHFLMKDIPRGQPLDTSAIESIRMGTTVATNALLERKGEKIAF VTTKGFGDILLIGNQSRPKIFDLAIKKPDVLYEEVVEVEERVTLEDYAEDPKRVLTKV EAKAGTEEAKKEEIVMGLSGEAVRILQRPDREVIKGKLGEIYRKGIKSVAVCLMHGYT FPDHERLVGEVAKEIGFEHISLSHELMPMIKLVSRATSVCADAYLTPAIKKYIAGFQN GFEGGSLGSKSVKENGEKKGARCEFMQSDGGLVDVDKFTGLKAILSGPAGGVVGYAIT SYDEKTKVPVIGFDMGGTSTDVSRYGDGRYDHTFETTTAGVTIQSPQLDINTVAAGGG SRLFFKNGLFVVGPDSAGAHPGPACYRKGGPAAVTDANLFLGRLLPEFFPKIFGKNED EALDTEASRKALQEIADQIQKETGKKMDIDEVAYGFLTVANEAMTRPIRSITEAKGHD TSKHRLATFGGAGGQHAVAIAESLGIKQILVHRYSSVLSAYGMALADVVDERQEPDSS VWSQDSEVVGHLKQKVGSLKEKSREALRDQGFDDSEMVFEEYLNMRYRGTESALMIIK PSDDSWDFGRAFVEHHRYEFGFTLDERDIIIDDVRVRGIGKSFQYGEKTVDEQLKTVQ RTGVSLEKKHSEAKVYFEGGRLDTPIYKLTDLSVGNVIKGPAMLADGTQTIVVTPNAT ALILETHVVVDLPKTAKDKSSESMEEREVDSIMLSIFGHRFMAIAEQMGRALQKTSVS TNVKERLDFSCAIFDASGGLVANAPHLPVHLGSMATCVRTQAKIWEGKLKKGDVIISN HPSYGGTHLPDITLLMPAFNEAGDRILFYAASRAHHADIGGITAGSMPPHSRELYQEG AAIKSEKLVSEGKFNEERCIELLYHEPAKYPGCSGTRTLADNINDLRAQVSANQKGIS LIEGLISEYGEDTVQFYMVAIQKNAELQVRNLLKTVSKRFQGKELSAVDYMDDGSPIR LKVTIDDQAGEAIFDFAGTGPEVYANINAPEAIAYSAIIYCLRCMISEDIPLNQGCLR PITVKIPKKSLLSPSDNAAVVGGNVLTSQRITDVIFKAFEACAASQGCCNNLTFGFGG NVTGQKEVKGFGYYETIAGGSGAGPYWEGTDGVHVHMTNTRITDSEVFERRYPVLLRE FSIRRGSGGKGQHRGGDGVVRDIEFRLPMQVSILSERRVYQPYGMAGGEDAEAGLNLW VRKVQKASWETSLKRLQAEKEGEGGDGGDGEGETEERYINMGAKNTAPMKAGDRIIIQ TPGGGGWGKVGEAKALDASKHDPTQGWKKGSHAAREDAALQV QC762_200480 MIVSENLRTASLYINNQLLSRGLLRDGQNIDFTNPGESEEEMGE TMSRIMSVVNDLILRRDRDAEHRESLSATLRTLRAESLRQANDIQRLQEKNAEAQRKA GLSDAAETALRAHIKTAEATIHRLKEEAARTKLLAAQTRSACATEVRKRDRQIEGLKK AVTEAARARGASKSPGVTSITVVGDIGADEQGNPLPPTPTTTASSSDGYDLRQETNSF LAELAKGLSEENEGLLTLIRRTTEQLKDMSGWDGGMVDSDGYALSLPTGYDDMAAEIE AVLEHLRTILTNPSFVPIEEVVVREDEINRLRDGWEKMETRWKEAVHLIDGWRRRMQA SGRPVNVEELKMGLRLSPVKVQNVEETAQGGLGLQLESVHEGHESYRHDQVDYQDEDE GPLELVPEGGMEEQDDSDTSSVFEDQQIDMDELDVEEPNVEILQQSVVMPSPPVAPAP QPSPLREHFSAGNKGGRDGPNLRRRPKFAEEGVRSRPLSEESPLPPPHADRPQQSPSK KPLLSVRTVPSEETGTAAQPPSAAVSTPDTSISLDSVSLPKAAAAESKSAVTKKSAPT PPPHATRPIKKPSPAPRPVRVTRKPPSRQQEREEKKTSTTSTTTTRQQQQESEARQKK VTSRPPVTRPTRPPITRGRSETRKGDSDTSKPSKPTARNTSATSTTSTRSAPVSSSSK TSIPPNPEPAPGKSPRRVNSRLPLPRNAGSSGSNNSACAAGTATNNGPVLQPPQSPTQ AQQSPLSMATIQAKLAASERDADAARVRAKLKAARKGIHLPGPGSGRVTAENSMPNTP TETMSIKSMRSEQSDYIQYQPEQYDDGEDELGFSAVQPHQVIHAEKPRKRERNYGEAV TARKTSDKVASRRRSTLNPWELETLIKGGNAE QC762_200483 MGRSGRLRHNYGNVNATSTLDNTTIGWPLISASTSTTTEQRCLT GQREKDLKPVTRKK QC762_200490 MVRDDIPEEGPGDVQPTNKVFCTYCGKGFTRKEHLERHLPQHTN IKPHVCQFCKIGFSRRDLLQRHISSLHEQGPIDAITGGMMTVTGRTPISCQNCATAKT GCDKKVPCTRCAEKSLHCEARYARRSSKAAIRVQQAHAAQASAVASHQQAAAAASAAA AAAAAANFMAYPAAPFGHVSHLPATINPSYMDLLRGVPLKMEEKMESITVDTKPHRSP MKSPMVTHSISPPSFPSPHNRGDMGMDEYMGDMTDPQYFLSPWDTYTIGGIDMYQADP GAIPLERADISMDFGVNDLSAPTSEPMTASSSRGSHTRETSIVSAAEYETTVRQRQNQ HGQADLSLSTMPTDSSIPEFEVVQVAEGAWNLARCLPPLPTTNCPRTAIVHLECLEKK SKQEGTWSSLEKYLEQAPDVADLGSVVPIKDRTRDQLLAITQGFLYKALDIHRSSIPP SSYRPGHPNAGEPSNFNYIVLPPSKILEYFLSSYVRSLSVYYPLVNGGVDPNEMLLQD NLASTLLVLLMIAQGAAAMPMAEARYLSAGLTETCRISLFDIVEKDVELSADPITLRC ALLFLVLGAWSGDKWLMDIAMGQRGMYISMLKHASMMESQPAMIPVFNKSSDLELEWH HWKERERRNRLVYNYVMLDQELSLFHDVTPLFAITELKCPLPGPEPLWQCQKSAEWLN KMQSAYGSTANVNPQLLEAATVTPSLCDLFQDFLHDNLSRRQSQGGLSPQQLRLLLHP IQSLICHLRQMLSCFSDVLSGGPISRSRNPGTITRSSTQQRMDEVQTLLAKWYDMTRA YLQREPNCPITRCNLVLYHLISLNATANFPEIERLARREAFSAEGTDWSWELNLRSKR CIFQRDQSMYHCGQVFKLLRAMPNNRRPAWWSAAMYRATMVLWAMSLTWGQNPGLVDA GGAGAGVAGSSTSPVIKQQNPEEGGVIAAAGAGAGAGAGGGGGEQVVVIDQITTEEEK RVMPVLWEDSTVVALTRLPQQGGGTVVIKTRESKPGESSGEILRYAIQNIDAGFSSRV GDGIKRKLEQLGKNWDGGAGSEVHGLPVMR QC762_200492 MGGYGCRDSSPTSQANNTTTIITKCPHRTFNVNALTSKVPIAQT RHPRSSLCPQSIHHTNKATQFSRNPKRKKKATAERAYLPRPKGWVIGVRCNRQESDSS HLPCFALRGKERASTDNTVMIDRYTGQNARHMGNR QC762_200495 MEFVDSCSHLPSHSRYHISVVPEYSLSPIIPYSERTRREEEWPQ TVTFPTTVAGDTINES QC762_200498 MRTGTKPPSLAIRLDIITKVTQGLGSCVALRRMKQLHPSRNPAL FGTFLFDCQVPGQQTDLIATRDAVAHSPRPIAASNRRIASHVYVITTRIMDRFGTDAV HYIPNSQPRTHTHTYTFGRSRVSWARLSLRLLDGMRGQEP QC762_200499 MDHLNHPNHTTPAAREGHLQRVQICSWLGYLRRVAFPPFQHSTM ASKPRFSPLVTSACPTCGWIPRIHYTLTTPHPLPLIMES QC762_200500 MASSKPRQPRLRASCDGCFHAKVKCSKARPICSRCLACGIECRY SPSSRAGKPKSDGSSNTQSTTPTDMTGMTSPVSDDKGMLYSVHQTAHPLYKLETGWHT PPTSVDGSMSRTHSMSGLAMLGMETGSTTHVDPTMATDIYSTGMPWTPPNDMSSQFVD SPAMAAQLNHGRSHSYDFAMSAAMPPWTDPTAADMYTYGQTQIPTPGSMSATYFPSPT ATPQLRSTPRTKSSSSSASSGGSCTCFTACLQSLQALHNASSPGAPPFDLVLSLNRKA VEGCASMLSCPRCMSRSGTHTAAMLLATVIGKITSFYKNASHTYFENGSIPAATSPNA LGVSLGGYTLMGEEGRWLELEILARELRKLEEVYAQFREVCADLSEDPEVSRAMIGYL GHNLGSTLEVIHHRKSDIAYT QC762_200502 MREFGFLPSGEGGLLSKMAISNCLHNLCRMFQKRPVSGQVVDCA LGPIDVSADRPRESGCGL QC762_200506 MIVIRSRSYASILRRTAQSFMICSLCLSEPHAISLASHSRFQPS SSRLWFGLASRACRAPPRGHHLIMFPSRMKIAIFLHHRAFLSHSCLTEVDPDSKNQLR I QC762_200510 MADKLAFLLFGDQSLDTHGFLAEFFRQEKQGILAKAFLEQAGHE LRKEVEKLPRVERSRLPIFRTLQQLNERYYAQKLKHPGIDGALLCISQLAHYIDHAEK NFEDVTRHDSTHLVGLCSGLFAASAIASTPSLSALVPVAVQAVLLAFRTGSYVHTLGD RLCPANEQSESWTYIFPGLKEDDATAALESFHRENGIPQASRAYVSAASASSLAISGP PTTLRLLVAKGRLSAKPTSIPVYGPYHAAHLHASANIDKILHLDDPEVVDAFYNTRPR SAVMSCTSGTWFAATDTRTLIKSVVFEILNETLMFNKVLDGCLERARQFKGDSCLILP LGPTQNAATLANLLKSQTDLEVILRKPPAVSPESTSSTIGNHGSVGRCKLAIVGMAGR FPDAASHEKLWELLEKGLDVHRVVPADRFDVKSHYDPTGKIMNTSHTPYGCWIENPGF FDPRFFNMSPREALQTDPMQRMALTTAYEALEMSGYVPNRTPTTRLDRIGTFYGQTSD DWRELNAAQEVDTYYITGGVRAFGPGRINYHFGFSGPSLNIDTACSSSAAAMNVACSS LWARDCDTAIVGGLSCMTNPDIFSGLSRGQFLSKKGPCATFDNEADGYCRGDGCASVV VKRLEDAEAEGDRILAVILGTATNHSADAISITHPHGPTQSVLSRQILDEAGVDPLDV DYVEMHGTGTQAGDGTEMVSVTNVFAPAERKRPANRPLYLGAVKANVGHGEAASGVTA LCKVLMMLQKNAIPPHVGIKQGSVINKTFPKDLSERNVNIAFHTTPFRRKDGKPRRVF INNFSAAGGNTGLLLEDAPQRPKATADPRSHHVVTLTGKSKAAMIRNAERLVGWMERN PETPLSHVAYTTTARKIQHYWRMNVVASDLDEAKSAISTRLKENFVPVLPEQPKVAFM FTGQGSHYPALGKEFYEHYSVFRQNIDEFDRIAQIHGFPSFLPLIDGSESDVSKLSPV VVQLGLACFEMALARLWISWGIRPAVVLGHSLGEYAALEVAGVLSASDAIYLVGARAQ LLVEKCTAGTHAMLATMGSVETIMDALGVKATGVNVACINGPRETVLSGESSEMAEIA QHLTGAGFKCTQLRVPFAFHSAQVDAILDDFEKLAKSVQFNTPKVPIISPLLGKMVEN EPITPGYLRNHAREAVNFLGGLVSAQQSGAIDEKTVWLEVGPHPVLAGMVKASFGVAT IAVPTLRRNEGCYRTLSSSLCTLHTAGLNIDFNEFHRDFSESVRLLDLPSYSFDEKNY WLQYTGDWCLSKNRVGTQAGPKAIEAPKPKLATTTVQKITKEEVNGDVVILETESELC QPELRNVVSGHLVNGAPLCPSSLYGDMAMTACQYAYKLVRPGTEKIGTNVAHMEVPKT LIFNDTAKSHILRMTINANARTGQADIVFHTGDGAKRTDHAICKVYFGELEDWQNEFD RVAYLIKTRIDSLKAAEQRGEASKIGRGLAYKLFGALVDYNRRYQGMEEVILDSKTCE ATAKIRFQTTPEDGNYVFSPYHIDSSCHISGFIINGTDAVDSREQVFISHGWGSMRFT EVPQANKEYRSYIRMQPIKGSKMYAGDAYVFDGDKVIGITGDIKFQAIPRKVLNMMLP PRGTAISSGPARAAPAAKAAPVKAAPAKKKKETVTPANIGKVNQKLKGVVSQVMDILA KEVGCSHDELADNIAFTDLGVDSLMSLTVSGRIREEMDLDLHSNAFVDHPTIGVFKIY LAQFEKSGVQASMSSSESDNSDDESPEIDSDSNVTTPLDESETDSLKGDGMKNESGSS SSELQSIVRNTIAGEMQVEVDEILAAPDLANLGMDSLMSLSILGTLREKTGLTIPSDL FVSNPSLKDVERALGIVDAPKPRPAAPKQSKQQAPAPKTAQHPRIGIEQPCPPKPPRP TNIVDDYPNRKASSVLLSGSHRTATKHLFMIPDGSGSATSYTEIADVGGDWAVWGLFS PFMKTPEEYKCGVYGMASKFIEEMKRRQPQGPYSLAGWSAGGVIAYEIVSQLVKAGDE VEHLIIIDAPCPVTIEPLPEGLHAWFASIGLLGDGDDKKIPPWLLPHFAASVSALSNY DAEPIPKEKCPKVTTIWCEDGVCKLPTDPRPEPYPKGHALFLLDNRTDFGPNRWDEYL DVNKMQFRHMPGNHFSMMHDDQAKQLGSFIREVLL QC762_200520 MIPLLLLISTKPHNISPVGLVDLSSSRSLLRSLSWPSLYLFIQG NTSAKSQCQLNLYSRVFHQPFIFITPNHTSKLFTLPSSLAFKYGNSKLTHPPKTATHT SNFHHFIPHVLISISIKVSPDFSSKLHSLFILSLLKTLPYRH QC762_200530 MKSSGVLSLAALSFATAAKAATVTYDWTATWVWAAPDGVGRPVV GINNAWPCPQIDATVGDTVIINFTNNLGNQTSGLHFHGINQVQTPEMDGPSGVTQCPV PPGSTLQYKFVVDVGGTFWYHSHNMGQYPDGLRGVFLVHDPNDPYAGSYDEEVILTVS DWYHNESLTMVRNMLQPSNTRFAPPIPDGMIINEGAGLNVNFTKGRKYRFRMISFAAL ASAMIHFDSHDINIIMNDADYLQKEVKYQLRIATAQRYDFIIECIDRDNDNYPFLISL DINRDWTNPDLGPLQWPHNYTGYLTMDYNKPNTKKDVVHKWKPADDSHFKPYDNEAIL GGNDTNYDTLIKMDFAFCTDANGYPRACFNNLTYIDQKVPALYSAATTGDDNSNPIVY GQINPFIVNYGDVVQIVVNNQDAATHPFHLHGHHFQVLDRPKTGTGDWSGRDTNYNQK PPRRDTVTVMAHSHAVLRFKATNPGTWLFHCHIEWHVEMGLTATIIEAPDRLRNLTFP QDHLDACNKMGIPVSGNAAGNTQDYLDTTGMVTVPPTIYNGAMYAGSSSKKRGLMGRL ASGMGSFFF QC762_200540 MMIVSSPSKSLKKKQSQENTSPEKRKRPKPPPAPFFGARKNVAA AENPIPSSSPAFATPAHPLRPFQPPAPAKAAILPIILPPPTLRPLAFRTFTKKHNLTL TSSALQELASFIGRHCGSGWREEGLAEKVLEEVARGWKNRNGGVIVDGASPELKDILK NLEGNMSGGRIITGGNGQRGGLSRQNSLMLEASDEADHSKTRLGLRPTSLAREDSQAS FGMSGIGLGGEDDELDEDGSKDARRWLKVVSAFEQPRFTYNVAKKHFERITTPPSLLP PPSHKTDSFRNRYHIIHQRLLRNESFQTSAITSFSRSSSNASFRSHKITPIANLLGRH GTTHLLLGMLIVLPTGALAISDLTGAITLDLSHAAAIPHDSAWFTPGMIVLVDGIYEE EEESVGKGLSGSSGVGGTIGGRFQGFFIGQPPCEKRRATLGVSGLDGMAGDEEHTIGG GFGWIDFLGVGSERAIGSKMRKLEQRLLRQQPAGQQRSRIVILGELNLDQPRSLQALK KVLSLYATDGEGETPMSFIITGNFTSCGVMASHGGGATTGTGGAGSIEYKEYFDALAS TLADFPTLLTTATFVFVPGDNDGWVSAFGGGAAVPLPRRGVPGLFTSRVRRTFAAANQ EAGLTGEKKGGEAVWTSNPSRLSLFGPGHEVVVFRDDISARLRRANVRLKGKEADGDG DVEMAGATQDNGDAMEVDGDEQQQEQRPSPVADPNAVPYDVLAARKLVKTILDQGYLA PFRQSIRPVHWDYTSPLYLYPLPTAVVLVDTTAPPFCVTYEGCHVMNPSSILVSGKKG VARWIEYEPGRTGKVRECTF QC762_200550 MILSTRLQVPRQLPRVVRGISTLPNNSNIKVFPHPTQTSSYLLT LLSRDPPTPSLAIGTTTAIPPTPRSFQHNPKFLTILDEVLTKHAINDPGLKAQAQAFA SPGGFTFIHNPGKKDRGAGGGGGASAEGGAGGAGVGGWVHLSDARNPPDFGRIAWPED IFGSVEVNGKGKIVGNYQPSGTYRIVTNQGM QC762_200560 MSSYKKRGRVQIESDEEDIPQRKAAPAKKQKQERPKATPSGGKG TDGEGNAYWELGNNRRVGASKFKNATLVNLREYYTTPDGELRPGKKGISLSIDQYKAF LKAIPQLNEELRSQGVEVDDVPAGVGGSSSKPATKTESKKAKKSNIEVTSEEEEDDEE DEDEEDNE QC762_200570 MSQQDAPSSGATPDCTMEDRKDLEPSAIAATEKPTLDESTVAAI VAVAAAADDAVPSPPNAPPTDDSVSTKVFRFLSTATPETLGGVAVGLAATTYLVLGRL GLVLIGAFGGIVTFIQWEQRNPDVARIVRGERGIDVLARLLETKNKVIQEKEEGADAQ DCALIRGFEDLRPETRGAMNELVDAVVDNYVKWWYSPIVSSDKFFPLACRKTLTAFLT SASNHLARKRPADAFLDVLTNSSSIVVVFFSELSNAFTELPADSKLSAADAVYNYLAA HPDSNLASLLNQKQQANKFRMISEDLLNFLERSSYECEPARVFLREMLAGVVLEMTLQ SFSKPEFINSWIVYLLEAGEPDLNQAIDVGMQTGPDVAFADIDGNVGNIGLTKGNKNS FDHERARRKESLAHKKKLSKAEEEMELAMEEMKRLNQMIADETTLLAESSQNEPKHHE ETTTNETQNGQETQADSASQPKPVDTTSKDLSKSIGSDSSSSKNDVINTPVTPLSATT PSSQTSPSASQNPSAVAATAGFTSFDQLVPPAREGSEVDSIVEAAKPPLTVHNANITV LDEPGDKPIKSKPVWDYLVQIEPATTLYPGWMIIRKYSDFEVLHEVLRRIATISGAVI FSQQHNVLPPWKNHTRTSLRIELERYLKDACQHQSLAESEGLRKFMERDNGHLPQPKS GLQAFEKIGKNVLGVLASAPLEGSKAVMDGVTGVLGNIGLGPQKKPAPAPSPPPSALQ DVTAASRLSMSTLSRNDSTLSLSRLTSKTRDSIDSQRSSVVATQPPKLPAMDRRPSYN SQGDAEPEASLRPSRASARNSREQSRTSSRAPARSPSILSFDGFRLPPPPDMITDDYE SPISPQGRLPEHLTHHSRSLTMPTLSSQRINGPPLTGKQHTKLSEQETRVAVELMFAV INEMYTLSSAWNIRRTLLAAAKSFLLRPGNPSLLSIQTLIQQSVLDANTSDAGIAAHL KKLRQNVMPTEEEKKEWPAEMTAEEKEALRVKARKLLIESGVPAALMGVMGQAATSEA LGRLFDCLQIEEVARGLMFGVVLQAVRTVTH QC762_200580 MKFIIAAPATLALVYRAYSKNSLTPLGIFAAALTAIAHAVHPWN LPFVLLVVFFLAGTRATHVKENIKATLTLKATGSSPSGGGEGPRTHVQVFANSLTATI LTLLHAYQLHARKQALLSNPSSPDNGTLCFSWKGDLLVIGIIANYACVAADTFSSELG ILSKSSPRLITSWNLKKVPRGTNGGVTLVGLGAGLLGSIIIVTTSVLFLPFCTADSKT LPGGGQPWDVKERQALILGLTVSGVLGSVMDSVLGGLFQRSVKDVRSGKIVEGEGGKK VLVASPVVIPGHHHKPGQEEDAGAEIKAKLLRGEGKDAVEETAGSSAVEEGDHVVDLK KRYDPKNKQRKSSFGDERPSREVVTGWDLLDNNDVNFLMAFGMSFAAMAVAGWYWGVS LWTIVPGGVAV QC762_200590 MESLLSLAFDNLSSFDGSKIKKGLRQVEGLLAQICLAGQCSPKK QGENQQQPPRRMLADLSPDPAFREFFKLQEGFEWNVAQRLLTTLDWLVVRGGDGSYDM LIVNALDLIQGVLLLHPPSRVLFQRSVHMNLLLDLLEPINSPAIQCATIITLVVALLD MPQNIRVFEALDGLLTVTSLFKSRETGREVKFRLTEFLYFYLTPETPNIPKPDRTSVI AGPELIPGSPGKSKLLASGGRQRSKSESGNTLSVDAKKQHLDRYLPGVVDELLKDLDT YKPFGGILS QC762_200610 MVERKARLQQATLELTDRMSSPTSSAAHDPRSRPTTVPSKSRSL EASRTRRLLFKSPRRKHQATMDSSSPLHPSSFNNPAAAPHLQAPTQIPFLQSPAVIPP RTTSSNFAASTVPPASTKPNSKTILESSWLSGSAANPSQTTPGHTRHQSRKPSVTSSS SFPSNAMAQQQPPDPSRFATEDFFLNTKRLWTEQKDKVLTAPYDYLNGHPGKDFRSAL VNAFDAFLEVPKESKETITKVVSMLHTASLLVDDVEDNSLLRRGLPVAHTIYGIPQTI NSSNYIYFVALQELQKLKNPKVVNIFAEELLNLHRGQGMDLYWRDTLTCPTEDEYLEM VGNKTGGLFRLGIKLMQAESRSLTDCIPLVNVIGLIFQIADDYQNLWSKEYTANKGMC EDLTEGKFSFPVIHSIRSNPSNSQLLNILRQKTTNEEVKRYAVSYMQSTGSFEYTKKV VHTLIERARRMADELDEGKGRAVLVHKILDRVVID QC762_0031120 MVDELEEQLLTAADESVMPEGDESQPSQPQDHQSRSARKVKSLK NGIFRAASIQDKLLEKLLSQVIPAEDGHTQTPSIMGGDDPPAFAERPGFSIPLMSNNF RRFNARIGVVFKFQSRALKVLSWRKPTHTLSLLAVYTFVCLDPYLLFALPLAIAVFFI FVPSFIARHPAPSTSSDPDHQVRNLGYSPRGPPLAPARNFQPTKELSKDFFRNMGDLQ NVMEDFSVVHDKVVTLIVPVTNFSDEALSSAIFVGLFAALVIMLISAHLIPWRYLMLV GGWGAILSAHPTINRLVAQATEQYLHHNPTLSSPTDPHPVKIPTVDIPALLSKEIILD SAPETREVEIFELQRLSHYPGGEWEPWVFSPSPYDPLSAQRISGQRPQGTRFFEDVQP PEGWEWSEKKWGLDLWSREWVEERIITGVEIETEGERWVYDIASSSGKSAGGGGGMGT IGEESEEEPVRSGNQATPMRQNGGLSWEEGEEGMGRRGEWRRRRWVRMVRRKNVTG QC762_0031130 MSTVGILTGAANSPTKIADESASSEKLVTGTIRVTTLSWTTEKS SMTFWRSPMLRKKSLDSSLVGWKLRAGAKGGPRGE QC762_0031140 MKFTSNAKEASGKGNKNKLETVGPLARRYGSNMQEEGCPCSTMP VLSHSRHGNTPDASC QC762_200630 MNRDHRAPAGPRRENQSPTRRPLNLNPPSPTKIGSIRRPTVDAP MLSASSSNNHSLFSFGAGNGASNNVTDIANASFEFLPSVSFDDLQSSLESASTDFKLT QFPSPTGQGTILGDRSAGNNRNMVERPDMTRPSAAAHALPQQPAITRSRTGSILRRPS TSSRPPQPSTASTPSGNPGVPNAPTAPAAMRARRQSHYPPVSNPNPAKPPRKSTGDVQ LGEAQVKEVQTRKRRPSAVSLSDRPLLEASRASVDVAPRSTVVESMRHLTSSRASKAR SVQPLPRSNQDMLTPDTTLKPEHTHIAMAMPRSPNRVAAKGSTPSSAKRISVMPGTHH ASHATGLGARTISPTDTRRMKRLSTMHHVQQGPVAGASPALPHPPPISADGRASSRSP SMLPRKISTPSSSRTTPDHTRKSYSSGLSVNSSTSLNTVRTSTGSMQQRAMQGANSSR LPAPKALNLHNSASFDSSEEVPPVPAIPKAYESPKEAHLETASFLEKRRSNLAYDASS IRSNSTASISGAQTSDSAPAKLQRKPSNRKTVHTSKLDLEKNPAAAQSKKSLQPLRLP PITLGPLSTPTAAKIAALQTHGDRNLSPPPSRQIPKTPTTPMTASKGTFFGRIRPEDR ADIQHLRSSASVQRLHRESPATTAEPPTSSTESFAGVKNGTARSGPSPFLSQSVPKGG NFETTLFKRSKTGGDFTQPLDAAADVPVQHNKPSGPRAQKSVATRPAGGKSPPPRPSP EEPPTPSSMSSLRRKLSLSWKRSASKASGSQGHHGDQNAVKHDSMPPPRIPVSATLNN LPTGKPPSPTPSTKSNGNGTYLESRRRKSSASSLNAVLSGERNRGDTGTAAKKDSTLG TVNERATVPHNSSVVQRILKPKGSTATLRHHDVWNSELDKDDLIAEDEMRKLGSRRKD TELAARTLDALRKRASAKERVSPQEAIRIAVLNIYERGEIVDYKDIYFCGTQNAAKVV GDVQSESPNFGYDDERGDYSIVPGDHLAYRYEIIDVLGKGSFGQVVRCIDHKTGVLVA VKIIRNKKRFHQQALVEVNILQKLREWDPKNKHSMVNFTHSFYFRGHLCISTELLDMN LYEFIKSNAFRGFSLKLIRRFTKQMLSSLNLLKQHKVIHCDLKPENILLRHPLHSEIK VIDFGSSCFETEKVYTYIQSRFYRSPEVILGMQYGLPIDMWSLGCILAELYTGVPIFP GENEQEQLACIMEVFGPPEKHLIEKSTRKKLFFDSMGKPRLTVSSKGRRRRPSSKTLQ QVLKCDDEAFLDFIARCLRWDPDRRMKPEEAIRHEFITGQKTSVPIRMREGSPSKRTN SISAPRPLPEPPAAVRAATMRAREASGPNNGLVGTKTGSMPTATARRTSNMSTASSMN GSISSVKRTSNGTTTTYTNGNGTIGPSSLPRASLRSVSGGVGPVGLSNNSKTDLAAAG ANAAMSRRA QC762_200640 MAETSGSSGTTQSEQTIENHLNKARASISKKEIKEAIGDILTAI DFCSCNKGNTKQVRHGKDKSCHLKQFVNGVRSKDLDAIYDVANSPCSCGFAWPSCSSP KHLEAIDLLTESLETEGHYVSAITTGLGIIRLRPISAAGYCRVAKTIRLILKLEKEGK KAKRVNPKIARTLATLEKDAGLTTARLYIFMKKLVQAGLNNTSEKYRNDAHDEYDQIL MRMAHSLKLQDSLRDPAAKLPLELLRQIFSHLDTSDVIRSLRVSKKWNRIIKHDTLLW EEVRLAQPRNPGNRVFATWLRGHQGVKSLTIDEVSGFGLSAKRLYMLLFGLPNLQCLI IKTAAPHRCNTIQELDPAPSPSQKLGLAQLSLDGYQAPVSLLVQLLDLSKDTLEVLDL VQTGSMPERAIEAVRMPKLRSLWVDIDMAGTSTEEHVLRMPEIVASTPNLEAFCLNGF QLEWTPGQPTPQGWRQLKHASFGPAMDIEAHTQGTILLPRIFPPMTESMEKIEIMTMN PVIAHNYLFTVMDGAEARHPLHSQEMGLEFDEGKLPKLPNLELFRSRCAIDVGLLGRL LAVPAAREGSLRVLELAVEQRWAVRQRHLWCPVVGLESIVVPAEAFVWMESEKIEHLG LYFFNYAGFAFQYGQRFNGQPFLDWLDKFPALNSVSVYPDWPGEGVMPFIGKLILHPR VKKIFQDSLRTGYEWDEACLLAVQWSVDLYHCDFNVPYGPSLFKNW QC762_200645 MCYQLIERYSSCRCLYYQHAIDRCAAYGRVGHTIQQRTILVGYA CADHTAHSSGYDDYGYSQYSDSGYHSHGQGSHKSSHGSRYR QC762_0031180 MIRVPEKIVPRSGVLAMSLKFLRWIRATYSSNQQREGDRRDYGT SFGRPFRMLSVLTVPSRLFDIW QC762_200650 MSLGVTQPWRAWSQRSRIPRFYWSSSAAIVPFSGYQRAFFHAGR SNLAKTTPKPANNARPSAHASAKAAATKNAQNLVSRLEILPYQPHGAIPVTVDYLGTN PSWGSRQKQASKHRAALKAAQHIDERHKRVSDWRLILETLLKQTPILDHDILVVKVRL PDDGFKRLEDDFHDNFWDICSRTGCRMRLYTTTNRKRTGSVPSVWESGLKGLEWSATQ EKFILIFGGLDNVTAAYNGVVRAVKGGILVGTRTEDNWEDLLQLSPKTVEELSLRIAG PKAKDSKQRKDSTTEMQFRKPVSRPRELARFHEIHPEPYRLAVRADQIPPLEPGEIWT KASFLRYVRRLVGGQLTPGEHRFLYGNEVDEQATHVDVVVRQLQRAFYDEECVDAVSL PALKDALRYLAQSPHGSRFTHVPGGLVRRVKSLGLKLDADVFNWVAQFAVKSKHLRAF QRTLGAMVREGHAPNFKTWFLFLRIIKAEDVRRYVLRAMNTKGYLTDSECMRRIYAEM AGLDLHRALELKQDFQSFLQSQRDLYGPDWRLTVWIGNILIHKYGTSGQLNNLFQVLE AMIAAGERPDIITLNTILSHCRDQRKLGLAKSTLEFFSKHSLAQPDEITYRLLFSMAW TSRRLHLTTYIFRHAVLAGFDSHLMRSRVATLLKATSPDFTEYLGFSTLPEKWVQAGR FVRSKRHLAKILVLEKSLIQRGVSRVERWKQWLNKETAKVCLSKPDIYKRLLLSDFRR HDYWKHRASAAPTNDIRSFWDWSKTAHLSLRPRFSLCEMIKRATAKDEALLKAARKNR WFVFEARELLAVRRGKKPGVPGRGAIHVQKDEPKIQQPKPLAARGERERRRKELRSGQ SKRDTPGRNVRL QC762_200660 MPRIKHHRSSRRVPPPKDSDFDHEINLVDKSEAEDDSIGPPTAG PSSSLQDSTRESALGVSDRENGDASNARVGVADEEERPRPSIHINEPIPLREDVVDAV NAGTARRKSVSKKKAPESAIEILYENQRGGFLCGIPLFSSKALGNLDPTAWTNYAHKP SPTDIHTAQVPDPSWEWAWPEWKINKDDNVDDEGWEYSFAFSKKFSWHKARWWNSFVR RRAWIRKRVRKDSGYIAQDPQMLNPEYFSVRPSSEMSRERERERSSSRMSKRTASPRG SRLSMSSTKSGGAEQPDDIEHVGDLLAVLRTSRIDREKIEAVDNYLEHAQEDLAGLQK VMHEIMSLFVFQASRRVLLTRLTEIHDQTVAQSKKKGKDEDGELQRRARNLADAVKHA DEEVRKLEYWSDVKGMAEEGDSTGAVDHRKGWDPSWQGVDKSGPSEPAPPNGETKN QC762_200670 MSKSRAPLALGALAASGIGYYLYSAGGNPRAAEKQFESDAHRAA AKLESKIPTNYPTHTTHKAEKEGTRLGHEVGTKIDSAVTTVNRDLSQAKHEAEAIAKQ AKADTLKKIDEFDRTVEEKAAKSKSYLSSWFGSK QC762_200680 MSRRDFLSMPAPENYVAGLGRGATGFTTRSDLGPAREGPSEDQI KAAVAKRTAQLGLGGDNAKDDDNDDAARYQDPDNEVGLFAGGFYDKEDEEADKIWEDI DEKMASRRRKQREAREKAEQEEYERQNPKIQQQFAGLKRKLAELTDEDWANIPEAKDA TGKTKRARQARIERFYAVPDSVLAAARDQGQFGTTVADDGTATSATPGGTETTTDFAK IGAARDKVLKARLEQTSQTSGLATAGSATSLDPRGYLTSLASTQGAEQSIGDIEQFRK MLKSAVDSNPKQASSWMAAARLEMTAGKPGAARKLIAAGCQHCPKNEDIWLENININD THNAKIIAAEAIRNNPKSVKLWVAAMKLENDQRSRKKVIRKALDHNPQSEALWIHAVN LEEDVEDARILLAKATELIPESLDLWLRLAHLETPENARKVLNKAVKKLPNSHELWIA AARLEEQLGEGARRPVMRNAVKFLVKQNAMPKREEWIAEAEKCEEEGAVITCSNIIEE TLGWGLDEDDDRKELWMEDAKASVSREKYATARAIYAYALRVFPNSKSLYLAAVDLER EHGNKDDLWNALEKAVEACPHQETFWLMLAREKAGEINEARRVLARAFKQNPDNEDIW LAAVKLEADNGFIGQARDLLKTARQNAPTDRVWMRSVAFERQLGNSEAALDLVIDALR LFPNAPKLWMMKGQIYEDMDQPAQAREAYGAGVRAVPSSVPLWLLYSRLEERLNNVVK ARSVLDRARQAIPKSAELWTELIRLERRAGNITQAKTLMATALQQMPKSGLLWAERIL HLEQRTQRKSLLAEAMKKVENDPVLMVTAAYILWKERKLEQADKWFERALKLDTDHGD TWAWYYKFLLQHGTEEKRAGLVSRCVLAEPRHGEYWQRVAKMPKNAGKGTEEMLKLVA ASLPE QC762_200690 MAGIEQLEIHSKAYIVRWVKVDVGHTISWSVQPHKKSINFGIVK HPGTGETNLTSLADDAGLADQHTEGVAESKPGLFAKRDASTAQDQLAKKGFIPIHWHG KCEADKVSVGTYDVTQAGMFGLVFDNTFSKQTSKTATFVLLTYPTGAPPQTARNLPNL QAGPLASASRTSLGKHGSPRLGAAASESVDSLPSHTRGRALSTATAAGKSESGVSSSY HVGVLLKRRRKKGQGYAKRFFSLDYTTCTLSYYHNRNSSALRGAIPLSLAAVAADERR REITIDSGAEVWHLKASNAKEFSDWARALERASKIARGVELPATQPVSSESQGGVAPV PHITIPNSAQDEEREWRQVESLVSRMVGTRDALRRLVKDMAAEKQPPSGHGYLSPSTP TLPEETEGYFGPPTTASAADKKSFWKRKASGAASPLTPQSFQTAASSSLTIPASGHST PTQNGFKKQYGALQQEGPNTLENCTALLSDLDSVVMEFSTLLATSKRRRLPAQLSAQP RASIESSASTVDEFFDAEAGDADRSTNQLMIIEHHSEDDTQASDVEESIHESSSVSSI EDEDDYAQNAEGGANLFPPKPKSLIPLPITEPVTRRKTIPPAKVAPPSLIAFVRKNVG KDLSTISMPVSANEPTSLLHRVSEQLEYAQLLDAAAKHPDPKDRLLYMTAFAISGFSN TRAKERSIRKPFNPLLGETFELVRSEAEVPGGFRLLVEKVTHRPVRLAMQADSALWSF SQFPAPSQKFWGKSAEITTEGRVRVSLRLPDGTDEHYSWTIATVFLRNVVMGEKYVEP VGSMNVNNDTTGAKAVIEFVAKGVFGGRGEEVKVETYGPNGSKLGAGLGGTWTNGLKV VPGNKEIWKPGQLVENAVNTYGMTTFAASLNEITPIEKGKLPPTDTRLRPDQRFAEQG DLDQAEEWKVKLEEAQRVRRRVLEESGEEYKPKWFVKVAGGEGQGGEEVWKLKGGKDG YWEERARGKWEGVVDIFAG QC762_200700 MSLVKLPYELVSFIIEYLDLLDVGNLSLTCKRMQYLTLEYCIAK RILETKAPYSLEASSARLTQRWPQQLRRLIKRQAATSSVSPYLVRVVAHAETWLYENG ILCYIRKREIRILDLHGSATQEIVINIRSLLHVALPESRMTRRYKVRVLHYAHDIVSC LYTHAKPNQEQVSWLLAFNVCTGQVVTVRQVASTTKIFVRNDNNFLYYGTNSEIGRDL YRYWVIWGFDLSARRWLSDKLEIQDVMGVDVGSTVCFEIFDGWFYGISNQAALEVEEV DWISHYTCFRFPVTREGLQKWESPNPPIFRRNQHEGVIDDRWYLLRMFKDESTGQLKV VESRKEWLAGRIWPRRTYYTTVVNFDEATSDVARATNGRASSFGSPSAASPETMNPKL QHTEYTAPPSRDPHFVHPGDDNASSLMFAISKSPIRCYYSACQTFLDVVDDPTSTDPN DQRIRFRGSSRRPRGTSSVEQRDERQISPVAQDYSAQDALDQEVNDLYRHKDVVSWPA EPDISNPDPVLLDLYAVLNPDGFTGNIRGAWDERSLVYSTGSDSPGGLNALVFVSWDP SIHLDGTLSYSDYRLFLSVPPVQHIMEGHSDVSDSGIILFQEKGKGKEFTWSYPSKSP SPFLRAGSTDSTSSSETNQDSRWKKVEPALYHQLGSGFHFAR QC762_0031250 MQSRCVSGGPTVFPSLAWAEKQSHNALNPKIRTFTPSWLLSATS RLPDLSVLTTTASGPWSFFDG QC762_200710 MVHQPPPLPLGSDGEVDLRAPLTSDTILQVRTGRMAPLAPLSIK SGINKTLRFGPVQITKLGLEGDEQDPTFHGGVDKAVHGYCAAHYKSWQKEFPQAADRF VPGGFGENLVLGFMNERNVCIGDIFEISDSTAVLQISLPRQPCFKLNHRFHLPNFAPN TYSLSRTGYYFRVLTPGPVEAGNKLTLVSRPHPDWTIERIQRYLHKETDNYDMNLELS QIEEFGAECKDNFTRRVIRARAKANKKPKEKWLPYQVVERKEQTKRITSFVLEAVDKF AEGEEKELSPGAHAKIKLGKGLVRAYSIVDGDKERFQLAVALEEKSRGGSKYLHHEVK VGDVLQVGAITAAVPIVSAASHHVFVAGGVGVTAFLAMVEFYKSIHYSVVLHYAVRSA DDVPFRDRIEELKKEGQLVLYDKAAGQKMDIRQIIQSRGWNSQLYFCGPKRLMDQAER EVKELGVYQKEVHYEAFEADLSGDPFEAVVANKGGVVIKVGEDETLLEVLQKQFDQPD SSCCVGNCKTCLVELKAGRVDHRGTALTDDEKVTSMLSCVSRGVGRITIEI QC762_200720 MYAELMRFTKSYRHKRMTGLDSVSAGATTPKRSRLLSFINFPGT RRKNVEQPPPFLEFRSSTFFILLTVCLAIFTDILFYGLIVPVFPFSLLEQIGLAENKV QEWTAILLACYNVTLFLGAPIVGFYADHSSSRRWPLLLGLVALCGSTLLLCLSKTIAL LVVGRLLQGLSAAIVWSVGLALLVDTVGRDIGYAMGYVTISMSVGLLISPVIGGAVYE AAGYYAVFYIAFAVVACDIALRLVLVEKRVAQQWVVGPESSEAESATTTTDNREDVEK QVQAASHAGADPALPPSPTRAGTSSGDPIAALSTPVLNPAKHPHWELIKNRRMLAALV GIAIEACIVFAFDTVIPLYVKQTFEWNSTAAGLIFICVMVPGFVSPIVGKLADKHGAK WFSVAGFALSIPPLVCLRFVTRNTIEHKVLLCALLTLLGVTLVTLANTPLMAEITYAI DEREAQQPGVWGEKGVYGIAYGLFTTAYALGGTIGSITAGYIQAGPGWGTTTWYCGVW AAAGAVVSFWLGARPDEVPKRQRPDEELPASTSGVM QC762_200730 MPSTPPTLGDFTVLPISIPPLPSFPKPTTHYLYLRRNTPKIPTP TDSRSLFLTNCPVDSTDIHLRSLFASLVGPGRFESATFEDERKDTAHKLSPLDAAVPV NAVHLLRAHGSTKKRKREEEEDAERSKEDEAARLPSTWTRPLRRSGSTAVVLLADEKS VEQVLKACAKASKTKKYPEWGAGVADKVPALGSVWVKQHNRLCYPDPATLQQAVDAFS AVFARREKEAAEISKRLRNEPDEDGFVTVTRGGRNAPASRSEAEEARKKMIERAEKKR QEMEGLYRFQLREKQKEEQEELLMRFEDDRKKLEAMRMKRGKFVPEA QC762_200740 MSAWDTDTVKIGKNVRSGAGGPRETVVRGQSALNAARRSGAAIA TEKKFGAGNAASKPGVEGQRLTMVDRADDIVKPKTVGKEVGQAIQKARSEFANPNGTK GMTQKDLATKCNTTPTIVASFERGDATPDQKVLSNMERVLNVKLRGSDIGKPKFEKKE KK QC762_200750 MPLSGHCLCKAVTYKVDIDAPLLTGYDHCDDCQRQSGSTYSLVV VVPKDKLEVKGPVKKWKGTADSGNAVWRWFCEECGSPIAHDPDAAPEIIALKGGSLDT ELKKDLKPDTEIWTASKLPFCQEHLAKPFVHMPQ QC762_200760 MVPSIIARALIAALFVVRDVRAAVPSTTSSHERFAAMETSHPHE GYLMPRAFYAIPGFPMEKRQVGCPQDDMHPCGELGPPGERFCCPNNQYCIINSTNPFE AACCRIGSTCDSPCAADKYQCLVTVTRTSSNTPVTTTSSACCPRRCPQISYYGCPLDF GGACCRHGQTCGTSSLCLNTIAPSTPPLLTPVPSGCTQGQITCASSLGGGCCPNTLAC SQSDGSPVCAMTTVIPTGSDISSIDRNEANVSNDLSVGAKAGIAVGVVIAAGILIGAA TWYWHRRRKGRTQAGTATSASGVPRFIGGTEDGRGGPAASEVQSGRVPFGTQEYTGPE ARPGPYSDPSPPDNLGGYYPNGGGGGYVGSPNPQSTSTTPGVDQQAYFPNMMSPIPAP SANFTGQGVPVMPNDPGEIQRPVEIAEGGVRRQATTATGGTGMGSVSGVTESDVGQAG GVQRSDSARFELYGSDPGQLSPMSLADERFYTPDERPQGQGGEGQQGGQGGRSWLQGG YGR QC762_0031320 MAQAYDDEELSISLSPSQIRRNKRQGDVGYGQTPVGSINTAIML QGNANPQLPMRDKMRTEQRIGAYNIVKTLGEGSFGKVKLAVHRSTGQQVALKIISRKK LISRDMQGRVEREIEYLQLLRHPHIIKLYTVIKTPTEIIMVLEYAGGELFDYIVQHGK MREDEARRFFQQMLCAVEYCHRHKIVHRDLKPENLLLDENLNVKIADFGLSNIMTDGN FLKTSCGSPNYAAPEVIGGKLYAGPEVDVWSCGVILYVLLVGRLPFDDEHIPSLFAKI AKGSYMVPTWMSPGASTLIKKMLVVNPVQRATIEEIRQDPWFLKDLPSYLHPPVEEFL NTGVDPNKAIRVSDIAPGAPPQEQVKLHNEVTEKISKTMGYGKRDVEEALEAEEPSAI KDAYMIVRENKLMENNRKCTFL QC762_0031330 MLDATMSSARSIASTSTGASARPYVSKVGILPSSLPAYHKVFME REKAKAEGQDNFPDQPPIPEPGAPRSQADQEETLRRLKPHSRSFVRMEDAKRPQGLTP VNPPKKNKPVRWQFGIRSRNAPWEALVCIYKSLHKLGASWIVDEDYDLLHEEDEHQDY DGRHSRKPSSSSYTDPTKHYKLPADPWHIKIRWCTDTFQKHSAASGLSETGHSQPHHV TTRGENKDHKVVATRMDVQIYEMEHGVYLVDFKVDGYETPEGKLLEDKEVTSPFPFLD MAARLIMQLADAD QC762_200780 MAVCVNMTNVTSLLTYDDLIPGTSEWHRGGKPRTNVNLPNGVSF QPYPYRKAGRQIGLDVGIGAGLTSNWSEPQRKQEIASLSFNGTESQKAELTSVFLLYS DPIRATEVMFHYCVNRYNMSISENVPKIQLLESSTKVEYHDAEHSHMYKTLVDPQNSS VTYKFGSASNHFLTGMLRDFFMENSTDRSMMGTMRDMFSVLLYQLPFSKGNDDREERD EQGLDDFGYDVVRNMSLNVMFDSTTQAVSSLVSGTAWQEERLGVPVVKSDILPAFFAV GLAERAETERGRVSDVGFSPEVKKNGAKPEESFALMGELQKTQKGKWVLEGLYRRR QC762_200785 MIHHASLRSLGTMLPGPIEASSMVFLPASPYNSPATVTLSAKRP VSKLRTAVINCGQELASIAFSLCLWLPIIIGILHVYDGRPLADWPLPITLNALIAFIS TACLLINAGSRSATVGLV QC762_200790 MRRFWPIMSAVTSAPWKFAPLKQPFVPSPNTRKLEGIVFDVDGT LCEPQTYMFAAMRSALGIPKSVDILDHVYSLPTPEDQHTAMEKIRTIEREAMLTQVPQ PGLAPLMSYLDSRSIRKGICTRNFDLPVQNLLVKFLPSSVFGPIVTRDFRPPKPDPAG ILHIARSWGLVRKSTGEPGIPVDEAEEKEKIAEKGQEEGELLHTERGEEVADASGLIM VGDSVDDITAGRRAGAKTVLLVNDVNRHLVDHEHTDLVIERLDELIEVLEEGRL QC762_200800 MSSSPTLPSPGQWPPRRPSKRSKLRNGTFIIPATGERSRRVLTL RTNSSGLSSQTDGTHSRSLSGLTTFPYEQTSFSDRFSHLARQTCEKCAWILRWLDSPV GHGVLKCTLAYTLGSLATFWAPISDWLGRPDGKHVVATLTVYFHPARSAGSMIEAVLI AIVAVVYAQIVSILSMATSVLVGGQWHCVPLAHALVLAVFIGGGFGFIGWVKQRMGNP LVDVGSTLASLAIIGVVTKETAVLTGVFSNQKIIQILKMFFLGVSATTAVNLLVWRVS ARSLLRDTMTKSSTSLGHMLALITSGFLSGSEEDVTSGQFAAASAAYNQVYPQMIKNL RESKFERYLLGQEKLYQLDRAVVRSMERLAQSIGGLRSAANTQFALLRESMMQGSGMM SPAASLYSPVLQRTLSNTLKSGKGFGILSAIDEASDESNEDERGRVKDRRRSDATTAS IPFRNSSDIFELFINLLGPSMKSLAYTLSEVLRDPPFGTAPDYEITINDHFRQSLTDA LSLFNGARADALQELYKHIELDRTRSASIQADFEEVAAACGHFSFSLQAFGEEMQKYL DVLDDLKFANEHRLRSWQWMKWWGNRRGYNNRKITLPFDHAERETLIKPIKKSQMPRG IADSMVQRRDTYAWSAVPGTNKVIATLSQRLLRVLRKVARDDIRFGLKVGAGAAFWAM FAFIPQTKDTYQHWRGEWGLLSFMIVCSMTVGASNTTGWARFMGTVAGISASGFNWTI SQGSALALIPLGAMVAFWAFVVIVAWGKAPLGRITLLAYNVSTLYAYSLSQKVDDDDD DEGGSNPIIGEILLHRFVAVTAGILWGLIICRLVWPISARKKFKEGLSMLYLQMGLIW KRGPLAILLRSDCSRSYLRSGEQAALQKYAARLDALRGSATNEFELRGPFPAEQSARL MGCAHRLLDAFYAMSLVTQRKGSLTEGERALLMYTADERAQLCERICHVFQVLASSLM LEYPLTDAVPSVDRTRDRLLAKIFQFRKEHNPSVVLDYSSSNAGSGSIGSSGMGFGGL LGKLSHLNVEERDYALLYAYALVTGQVSEELKVVAKEIEGLFGVLDEDALLLQ QC762_200810 MPGLITPRKTRSTVKGTKVPPATSSIANLTKISKLSTLGKDVTS EKKATAGTIFGRTSNIEIVLTTKKRKVQDEVEPTPKKQCREPESRPITTTSPVSKRKK TVTFDLTENSAPAKPTPKRAAPASTPSKKRSYQADDETDASSHTSALLERLNIRTPIP KRTKTVVTPAQNDYDLPRELLDLLDMQTAFLKTLNMEYAHNGTNSPIDLRNLYPSVTR TWGKRRVLLVDIQRLLGVLNWTPAKSSPEPLFILSDYGRQKICIDFSPSLPAGPIREA DLNMDFKSNLRTLWMSSNKNVTLFLGTLPKAPIKKCESLLKATIPKQTTLDSLKAGIQ ARKEAEQAAKEEAAKKLEQAVKPDGTKMTLLERIKMKEVELKNMPAGPTPQELQRQAA LHRAEDVAAVVGMLCKASGGQARVSFTIQQVLTKLKDSLRTPISREDAGICVRLLAAE VTPEWIRVVKLGAREMVVVTVAGQPSLTVLKERVGKLLD QC762_200820 MADAFAPRSMKRKNVKGLALTPAAPKPPPTAENARPKGEDEQLE IGIEFNLDLKPEDLEIIKDLGAGNGGTVSKVKHIPTNTVMARKIIHVEAKKEMRKRIV RELQIMRGCHSDYIVTFYGAFLNENNDVIMCMEYMDVGSLDRVSRVFGPVRVDVLGKI AEATLGGLTYLYSKHHIMHRDIKPSNILVNSRGSIKLCDFGVSGELINSIADTFVGTS TYMAPERIQGEKYTVKSDVWSFGLSIMELAIGKFPFAAADQLSDAESAPAGILDLLQQ IVHEPAPRLPKSDAFPQILDDMIQKCLYKEPERRPTPQELFDRDPFVQAAKRTPVDLR EWACGLMDRDNRKSHLAPQLSPAIQDLLRSSDSPSYPAQNEGGVQQTPTSAYRHDPRH AAAADQSALAAQVERMYIRDWDRE QC762_200830 MSNDLRNLIDGEAELDDEDDDASFDEEAGEGRRDKPLIDDSSEE EDDDDDEEEARRIREGFIVDEDEEEEEDEDVEERRNRKRRRRAEREEEQLDEEDLDLI GEANPDWGRKAQPQQNKFKRLKRGHRDEDRGSERRGLAEIFSDDDEEMADDRAYGRQS HRQADEFDDFIEEDYPEDDEERIQRQEDMEVARPRDKGLTVDTTGLDKEALDDMEAIF GNGEDYDWALQMEEEEEEREREEQQIELKDVFEPSQLKEKLLTDEDNEIRVNDDPERF QLDRKPFQHQQTSAEGFKEEARWITNLILPHKRLSSDLHGPLTKAVCQVLEFFVIDAY EVPFVFQQRRDYLIHAKKSRNLDRDDPDAPAFNIDAEKLLNQDDLWRILELDIKFRSL VEKRTVLEKSYNSLKEKLNIQDDIIESMTRAAETTEELQDLQDYFNFRYSAEIKQAAA MAAQEEGHQTEQLKRPGTKTALFERIRKSKAYEFVQALGISPDQLARNALQDGRRVSS DDNPQDPISLADSLVDNFFYTAEQVTNAARQMYAEELFVSPRMRKFFRKNYYGTGIVN CRRTEKGLRKIDESHPYYEIKYLVNYSIMDFVDRPEVFLKMMKAEEEGLVEIRVELSN ERSFRKNLYQDFVSDNFSSLADAWNGEREKVLDMAINRLHKVIEKGVKESIRTACQER LLQACREEYSKRLDQAPIKPKGMVLGTVPRVLVLTNGMGDPGRDPIYWACMDEHGKVN EYGTFSNLARDENQREEFASLCVRKEFDMVGICGFSADTQRLIKDVEGLISDKGLMGP PYPDPKTDESRVDLLDVVVVNDEVARLYKDSPRGVTDHPTLNPLTRYCIALARYMQNP LKEYAALGKDVISLSIHPYQQYLPQDKLLKQLETAMVDMVNLIGVEINEAMKDPYTAN LLPYIAGLGPRKAQLLIKGINANGGVVNARDELVGDFSRHKIPVLGPRVWNNAASFLY IEYDSTHPDSDPLDNTRIHPEDYDLARKVAADALGLDEEDVKAETDLNGPAAIVRKLF NDDVQEKVNELILEEYAEQLEREYSQRKRATLEAIRAELQVPFEELRKNFVTLTGDQI FTMFTGETRESLCEGMIVPVNVRVVKDDFAIVKLDCGIEGRIEAHETSHRHSVKDVLS VGQTVQAKLIDVNRKDMTCKLTMREEEMRRPYRKNYDHGRDQWDYRLEDADREELREK DRVTGRTQRVIKHPLFKPFNSTQAEEYLGGQPPGEVVIRPSSKGNDHLTITWKVADGV HQHIDVLELQKDNEFSVGKVLKVGSKYTYTDLDELIVDHVKAMAKKVDELMQHEKFQK GSRADLEKWLTTYIDANPTRSTYAFCIDKKHPGYFHLCFKASKNSKVNGWVVRVVPHA YELMKNAYPDMRALTNGFKLRYQSEMLKMQQGGGGGGGQRR QC762_200840 MPIPEPDLATRAPESDAAEDQQDSPALSAIAAPTPPPEQPQLCD NCVSDRPTPAGSGAPQGELTTLGGIDVYITKPPSYPSTPARLLLLLTGGTGLKSTNNQ LQADLFSIEGNYVVVMPDLFSGDPAPNSQVKEDDPDIQAGDGGGFLGMFKLKAVETAK SFMIDMWLARHTEDKILPIIHKVLDAAKEEFADAVGNGGGVYAVGYCIGGRYVLLLGQ QREKRGEDVEQGDVKEGPWIKAGAVAHATMVSRQDFVGLKAPVSLVNVESDPMFPDEV RVAGEDEMRKGGVEHEVKVYPGVPHGFAVVGEYEDGNIKEAQKTAYGQMLKWLQDH QC762_200845 MDSLAVIVTFLVLMLAERAQAPLPPEASAAGPVEASAQEKTMPP CSQDRTETAQTSPQADKTSTDSASTSTKSTQLPSALNEPRRASTEPPLQATKIKLTMS DQHQQSKKKHNTALVFILLGLTDLLVYFSSVRVLVECLVFPVILFALYLCFGKSACFC SWTWRPRIRKKQVKAAWAQLTGGGVGVGLETGNEERKARGG QC762_0031430 MELGRLAGGGLCFAEMAPPHVPDDTYHEGAVLEIQRHLPPEPFG IVGRIRYKLPEKRSEWNAFWSSATYWCQKSRVELALAHPPITTTEVKNPESRKFTITR IIQFNEHTEGQTVFEGVIISANGKRNEVIAKVYDGAYYSLDERFGNSCDATCPDVMTK ADLHYSIESSCI QC762_200850 MPDLMKAVDIKNGKGPASAIFINPSTPIPHPPAGHALVRIKAFG LNRMDLLQREGNYPLPPQAPSTLGVEFSGTIVSFGGDEPNQEHEHFKKGDEVFGLAYG GAYAEYISVSTRMLLHKPNWLSFEQAAGIPETWITALQALDFVLGGAEGKTILWHAGA SSVSISGIQLSRVSGAREIYATAGTGEKIKFIVGTLGATKGFNYKSEDWVKGVLEATG GKGVDLIVDFVGGSYFEKNLDVVARDGRLVMLGLMGGMQTPDRVNIGKLLYKRVRVEG STLRSRDEEYQGKLRDRLEQEYLPKFEKGELKVFVDRVLPWEEIQKGHEVLEGNETMG KVICTIS QC762_0031450 MRWDGMDAACSKVQEGGVGGSGPPARHSQKPRKHRLDMYRFVLF LKKSSSMFLVANTLMEYGHETRCEEAR QC762_200860 MKFSTAVLALAAGANAFKNVTYTTEVVTAITTYCPEPTTVEYGG TTYTITEATTLTITDCPCTVKKPVTTISSVICHDCAPVYHNSTVAPGPTTTPVGTIGQ PAPTQPPLATAGAGKAAALSGGALAGVLGFVALAL QC762_0031470 MRDDGLESKRKGLRCFVREIRYDDRKVVDKTEEKPRSTTLLNMK KRSPPQNGSHDDSAALNKLPMIIG QC762_200870 MTKDHPQNHTQTHSLTSPETFWSHQADQLHWHKKPATVLQKTTK QLPSGISHDHWAWFPDGEISTCYNCIDRHVLAGRGDAPAILYDSPVTNKKETYTYARL LDEVEIFAGVLREEGVKKGDVVLVYMPMIPAAMIGILAINRLGAIHAVVFGGFASGAL AQRIEASKPVAILTASCGIEGSKGPIGYQGFVEEAMKISSWRPPKTIIWQRDELPWRP IDRANGQRKWQALVKSCRARGWRAACVPVKSTDGVYIIYTSGTTGLPKGVLREAGGHA VGLHLMISYLFGVHGPGDVMGCYSDIGWVVSHSYTLYGPLLTGAATVLYEGKPVGTPD ASAFWRLAEEYKINTMFTAPTALRAIRKEDPDNIRFSRVGERGGLRNLRALFLAGERS EPSIITMYQDLLAKHAAPGALVIDNWWSSESGSPISGIALVPHAGHDRANPTSSASHP PLKIKPGSAGKAMPGFDVRVVSDSGEPLPPNTMGNIVLGLPLAPTAFRTLWGDEERFY KGYLKRFDGKYIDTGDAGVIDEDGYIHIMARSDDIINVAAHRLSTGQLEQAITTHPDV TEACVVGIPDALKGQMPFAFISTSHGAATTKEKKEKLFQEIQTLVRKQVGAIASLGGM IEGKGMIPKTRSGKTLRRVLKELLENAVHGEVDKELNVPSTVEDMGVVEVARGKVREY FVELKRKGKRHASIEGRGKAKL QC762_200880 MPRGRPRKIPLAPPAKPKGPTEPNLQRFDPWNSSSTGHQRAETP GPQGWRVSRFKKMNYQFKGGNGGGDEFMSDTVGCGSKEYDEKLGLYIPKEVRELATGE RKSVLEMLRNPSKKRKAEEEHEMREKKPPIPAKPPASVPPQQHEEGEDGLTAEEREIF ARMEAEEQEAQLLLLKQRPIPTTEGNDHEDEEDGLTPEERAAIARLEQEERETSHTSR FFTLPELDDEEEVVEEDPRPTGKPRFFASPKATRNSKSSSTAIYHPPENLSPPTVKPH SRDSPTKSPSAPASPEKGIFNNLVIYINGSTYPAISDHMLKHLLATNGASVALHLAKK QVTHVILGKSSFAGGGLAAQKLQKEIKTIRGRGVKFVSVDWVMESIKAGKRQPEGRFV ESTVNERQGGSGGGLFAKTAAAAQTSIQ QC762_200883 MSAWVTTGTLQEPTNQTTHCSDEAAEAAPILPHRIKVRYCALLF AGWLAGWLGHNLHEGNPGRGRGWLFFPSLCLFALLWICVFRGGPRSHSRHAASKQM QC762_200885 MIILPHSIVSTAQQVEALAPDAGLLPNGKSGSAGLDRRVSSSKL ENRKTFSMLLLSSVNGYIPRPAVSHCVPPTGSHRYFALTTPTPLLTSSFPGQDEKRHG QVVGARKPMRERQKARLQLSSLLHSHCLLRGSDSQLNLVVPSLHLLLSSAFSMLPRSR LFFADASGACPGQSFFYRQPITERDQIFFEAFRGPPKPNRSRAELYR QC762_0031520 MESTPPGTTTQHLVFGALLQALLAFSPFFSANLRPFRYCLWAIP LLSGRLASERTRATPLR QC762_200890 MQSGTEMYYQPAPHMSTGQQPPPQTVTSHYGQQQPPLLHPGPPQ YPPAPYGSQYGYGNGLASPQTGPPSVSNPMGGGQPVLPLPGVNQPGMPNNAYTAGFDT TGQVAPPGMKPRVTATLWEDEGSLCFQVEARGICVARREDNHMINGTKLLNVAGMTRG RRDGILKSEKVRHVVKIGPMHLKGVWIPFDRALEFANKEKITELLYPLFVHNISSLLY HPANQSRANQVLTATAERRKQDSLRAGQPPNGLPSIQQQPQHHHHSMSLPGPQAPLPS HSSMGRPSIDRAHPFPTPPTSASSVMGPMGASENFQWSQQSMNNGQTSQISIDTNLSN NARSLPNTPATTPPGSTLQSMQNYPPVSQPYDSSRQMYQAPPPQQSSYTSANSSTQER SIYGQSNYVKTEMAPPAGRATGQGNEQGDSKPQNGLMHGENQPASGQEEEADHEHDPE YTHDSGAYDANRNSYNYSNPQVASLPNDHAHLSPEISGSPHQASSGRATPRTAAAPQS YYAQQGYHTPPRAPGQPSSNLYSVMSSDRNAPNGTNGSDVYASQPDMNSMPNGYAPQP PVLNGSSSLKRGRDDDDDRPSSGGGGMSMDMKRRKMLDGSGSMPSPTFNPPMAQPAST IPSQRRR QC762_200900 MAAPLSPTPSAALNFSTPSGSLHHGGPYEARPYLMRDSSTSQPD RYSTENGTVIVEEQQSSRNNHHHHHRSRDGSPTPRGPSPPKVRPGSTSRIMSGNELSP LKILQSHQHAQVQAAHQQQSSASSSQPTSADSTSSGQQPEDLTSPPPPRNSSMPPPPA LQSPRKAPIKRFPIRVNQPGQLSSSNESSRRPSNERRGSDEQQQRLGSSMGSSSHRPE NMLENEGVKHAIEIFEDDINSDDHNDDEVDMDDSNDRHRRRDVDGDERMGMQDETTMD DDDIGANDTMTSTFSTFSAVPKATVMGMRSDSPTKFSMSTSSGPTPRGVRANNGTGVP DRSGAQQLYDGGGSTNLMDFTENLRFGSYGAQPMPSRRGGQASLSSSTTSGRGVGATP QRSGVANLLDFDIPPAPTPRSVPTITPRELESLKSGFLSEISSLKASLSGKEAEVQHL KTAVGDAEKRVGECMEQLREIQDVHESLTTEKDSWERRGREMEVVLRQVKEEIVMTQR EREELEFKLHESEQRREAAEMMAQDAESKMAGMRAGQAAGSHNAPGQAINANKEVELA VERVAKDLHALYKSKHENKVAALKKSYETRWEKKVQGLQAQLDELTRENEDLRHGRAN QINNLNHHRIAELEEERAQNAAHIRELEAESQKLEAILRTVQADNAELRLLLERERVE KGELVQLAEEMMNMQATLAAAAPAPAPEPEPEPAHAPAPVRTIKSPSPEPAPVVAPTP SKTPNRRQSMLGGPAKTPGTGLGVRSSMPPPSRSAGLGVTDENHAPPTGNNFRMSVGP GGFRASGLRAPGGGPQKMGLARSGSVAGPHERTKSSSSGTGGLPRPGSGQGRGLMGSI EKMGGGGYSSGYGRRND QC762_200910 MAVGSSDENGRSGSVAKPDRPSSPGQEEFEVGSHTGTSISTDEE AVIVDDVPGQGGIGLSHRENVLSPTQPTQTRSRRSSSFVRPNNPIVPRSQRRGLFGRF TIIPEVETPLEYKRGTKWTITAVVALAAAGAPMGSGIFLPALPDLAKELNATTTITNL TVAMYMLAMSIFPLWWSSFSETLGRRTIYIISFTMFVVFSALSAISVNISMLIVMRIL GGGASASVQAVGAGTIADIWEPAERGRAMGLFYLGPLIGPLISPLTGGSLSGAFGWRS TMWFLTIYGGVMLLMIFFCLPETLANKKPATAPISSPDPTANPLTRVTTTAKSIKSAA STIKRFLWDPLTVLAYLRYPPVLISVYSASVAFGSLFVLNISIQSTYSTPPYNFTSTI IGCMYLAPSLGYITASTVGGRWLDHIMKREALRAGRFDDSVNPPKLIYLPEDRMGENM WLAASLYPLSLIVYGFTASQGLKYIAAPAIATFLFGVGSMLVFGAVTTMLTEFMPQRS SSGVAVNNFVRNFVSCVGAILAQPLIDAMGNRWLCLMVGLFAWITGNGAIFLLKRRGE KWRREMDEALGNNVKVVHNGQRSNEELGQMDGDIRLEEMCDKEDKQ QC762_200920 MSLTSASPLEAAQSAKSASHILATLSADARNNALTAIHSGLTAA RDDILAANARDLELARKAAADGQLSQSLVSRLDLTKPGKWEDMLKGILDVRGLEDPVG RVTLRTQLDEGLSLERVTCPIGVLLIIFEARPEVIANIAALAIKSGNAAILKGGKEST ESFVAISRVISSALEKTQVPNGAVQLVTTRDVIPQLLALDKYVDLVIPRGGNELVRYI KDNTKIPVLGHADGLCSIYLDSSADKALAEKVIVDSKTNYVAACNALETLLVQESALS LLPDVATALAAKDVELRCDAASKAALANTPGLKIVDATEEDFNTEFLSLTLAIKVVSG LSEAINHINSHGSHHTDVILTSNKEDAETFMNAIDSAGVYHNASTRFADGMRYGFGTE VGISTNKIHSRGPVGLEGLMIYKYKIRGGGQGAGDYGEGKRQYLHQKLPLE QC762_0031570 MKYFVLYSTLALGGLSVAAAPLDQAAPVPAPVLHDVPSAGDDAS KDSLKKRQLDIGGLVEGLITPILPEEALEKRQSDHDQYTPSTVSDNSEGQLEKRQADP SLLAMFGTPEKTLEYFASFNQPRQLKLLDILIGDLSGVVPAVTRLLDSVLDLLLPPVL SPALPRAAEPTTIRQYSPEAVLSALSNIGYPLGTGLGLATTTLCIATTTVPIAGFLTV TITRLTTVTAGPVPIIIQGLTALEGAISSVLNEVIPSELLPGVTIAASANLPLGLSPA ISLTVPGLSIPAITLPPPPTVAVTLPSTPSIQLPSVSLPSVSAPSVQVPSLSVLTLPP VPTLPSISLPSASLPSISVPSISVPSISVPSVSLPSVSLPSVSLPSVSLPSVTLPSLK LPAVSLPSVSLPSLTLPTISLPNLSLTLPIVSLPSLSLPSVSLPTLPETLLPGVTIPG TPLPGVIVSPAPGLTLFVGGISANLPVVGTVGIPALIDLTVDPSGFTASGIAIPAVTI PPLFIPPPVQAVLPNILSQLSQAVATETPLVPGLIAVINGLVPIQTPAITQPIGNNIP IPTGAPILPSLLSEIGAAVGSLPSAIPSLLSSLEPLLLPEPTFSILPFPPFPNPSVQL PTFSILPFPPIATLFPNPLTALPTITFPPLPTAIPTLTTTRSPPFINLGPPISVPNIL TSLLPPPTSPATPQGGYRFNAMSNSNVAVYYGQSQASERISLADVCADPNVDLVILGF VTDISYQNSGLPRLTLAPVIKGVKTGYQRLFSPGLDYYAQLEEDIKTCQATHGKKVLV SLGGGGSSLVLRSESEAQQFANKLWELFGPVTPATTRGYEFVNGLRPFGTAVVDGFDL AKLDSSPNYWGTFAATMRFNFLQDTSKMYYLSAAPGCASPDRSIPIGYLAQANFIWPR FFGGDDTGRCEIGGENFLTSILSW QC762_200940 MAPSSKSAAAAKDRRKSNNNSAGLVTLRVPSAKLRAIVDPDYVK EDSPVKESPATSTTLPAATVNSTTENASDSSPNTPAAGTPAPPSVSMGPPAEGPKKKG VKRGAAALNGEPKVRGKPGPKKKQRLEDGTIEGGRASLGAHKLGPKANQGAINAGLRA LDRSGKPCRKWSRGGFTMKSFTGVVWEIPRWVAPPKISPETSTDSSSAPVSVDGSSKE NKDSASQQLKSDTSNNGGDIEMTSAPSISAAPSPAPPAPAPIAAAS QC762_200950 MTNPYQQSQQSQHGAAASNFPRSTSYASIVSGSQQQQQQSATRS SALGFSHILDPNPDAELDTHNPYYPELDRLFSRPNIPTFGGAGMDMDTGYTSRNQNEN GHNNNNTNSGPWPPPARLGSNFPMSRAFDMFLNKEPLSFADATDVDSAAHKGTGAGAN PFLSGGTAPNFLAPSYLRGTAYLTKLEEQHRARILAEREREAGVPGAKTQTRAGVLAT NGNSHHTLSPMSGKVHTGGGSHRGVAFDVVEKSALSQGLVEEEVNPLPSRWNKDDREP SLELSGDGYEVTFTGRMSNEHEASAVRADHPMNPACGIYYFEITVLNKKKSSTDDMPP IAIGFSSQMAALNRAPGWEPESWGYHGDDGNCFAAQNVGKAYGPKFGPKDTVGCCINF RLGQAFFTKNGKELKVAFRDINFKDVKAGKLFPMVGLKKTGDHIWANFGQQPFMFDID NYMLEQQQIIEDEINRVDTRILAPGLSETELIQQLVLQFLQHDGYVETARAFAEEIHS EKSALRLSAKEQVKGINIKDDEDANNRQGIRRAILEGDIDRAMRYTEQYYPNVLKENE QVYFRLKCRKFIEMIRKEAEMNLKLEDRNRRLEEQRGRQGLGDNDEEMQDEWDDEREF YIDQLGKLSMEALEYGQELRAEFTNNPSREMTKHLDEISSLIAYPHPLQVPEVSHLMD AKGRVAVAEELNSAILTSLGKSSRAALENVYAQTSVLLEDLAKDGGPGAFVTLEALFR QFPPSQLL QC762_200960 MGDAEQLPPVADQPTDATTTESTQEQKPDDLEAILARHRKELRD LQSRVTQKKKNATKKTRKKVNEECAELERGLKGRHEAELAQVTGDGGDAEPEPVSEPE EDGGKDEVETVTERVEEMRVTPPPSPPPPPPPQQQQQQQQQQQQPGKKRNRQKERLAR RQAEVEAASARAQEEASRMTDHGAVEKAHVDGVLKREGLEEVEVRADGHCLFAAVGDQ LFRRGVTEELLDYREVRRRAAEYMDRNRDDFEPFVDLESQSWEEYLRKIRDTSAWGGQ PELLALARVFGVGITVVQVPRNEVINREGGEKMLWVVYYWKGSNSGRHYNSLKSVS QC762_200970 MDVNNLFSIKQGKVALITGGAKGVGLMISTAFVSAGAKVYISSR DATACASACATLNTITPNSAFSLPADLQSEKEVHRLAAELTKLEPGGLHILINNSGAT WGEPYEKYPDAAWTKLLTLNLTRVFTLTQALTPLLIKGSKQDDPARVVNIGSIDGLRV PLLPTFAYSASKAGLHHLSRHLAVELGPKGITVNNLACGPFPSKMMKHTLDTMGEVIK EANPLGRVGQPEDVGGACLFLCSRAGGYVNGATLALDGGVHLMAKI QC762_200980 MDSRFTSTGSSTQQSPFTSSSASSSSSKIVPPPRQTPKTSSTDP FLKDFTLVAEAAKRAQMAVLMRDFESVGLS QC762_200990 MQLPAAFWIHPPELLHFDRWGRRCQHRTFRIPYTSSTATVTMAS ATATPPKPSARTNQPAPSLWSIPAPLQKLFNQFPLVTLDPNPLPARSQTLTSASDTLP TLYIFSSDEDALEGKPSINPTCLKWQTLLHLSHVPFLTSPSSNHASPTGSLPFLLPPR TVSPNPIPSTSIPLYISRHTNSPSPLPTSPRSEAYLSLLTPLRLAFLQTLYLTPAFTP LLKKFYIDPSTRSSLLGTILQTQLSAAASSAVLQGLGLHSQTGTGGIDSENLYADAAE ALDSLAILLQESQTGWFFGEEKPSEFDAGLYGYVGVIMAHMDTPEGRLGGLVRRAGGG GGELVGWWERIRREAWGGDGLSEGGEK QC762_201000 MVGAWETEDESTVPVASLPTPADTPYRVPSRNVSRGSRKSKRSV TPPGKNGKSRSPAPLNGERMDRASMMSAREFCSDEPVSIDSKRFTPTLHANLVSEILS LRRDQEDKLKLIESLESSLDAVRGESESLQARVVSTDKESRSLKRQLVLLEGGTSSAL GELARERDEAVDSAAETKKRLDITQKKLRNQEEDSERVHRQWEKEKDEWEEEKRKLER KIHVAESRLKAVIDEVTAFQAVQVAGENGILNHHESEGEESGRENDAASVRTMSLTSN TRFSLLASPKTNGMNSLADELDGFDEESNYGGRESVLSNGPHRTHLRNMSRDSIMSKT HRRGQSNDSLMRSGSVHRSRLAFSATALERLEGGTIQEGDETESVAPKAVYVDTGVQP SPPPSPTITAVKPTTPEPSPFMQRYERLYNMNSPPRADLEIEANQRKKRVQIGQPLAI KTSTSNLMVNGSSQTLEQPLSPPKTPRTPFQDLPPTPKPQIPAMVSSSTQTEDIAPPL QLPVLSIPSISIIPPSSRPSTPRQPLLPQLTKDFGCQVSLISSPPTASSSMQTEEIRV DKRLAKLPPHLHPSAITSRPVSPALPTPDLPTDDFRKFTLVPGDVPPPRNPRRLTSKR SIVDIPSSPPVVSSPVSEEARDVYPGNNDNGPVSSRSAAIRRPHRISSLFAGFDGNST DEGDEFMDLDVSDSEYRTALSAPSAPRTIDSSSRMGKRGSETSESAFSPKANSGYSPR LIDEPDGYGGYGSTNIISQTRETQDSGINSSGSRRGGPRPAFGTKASVMRRAALIQSG INSHSRARSPSLTDTKEPPFPIPTRASSRKPPLSGSAPSEGRSPSRGSDTWHKRGSSR SHYRAGSIRKVRSATGLPRGQRRRPSRSPSRFNESVDIPESPDLPPLPSNDITTPRHK ESNTYAKYRSHRSQPSSNTATTGETTAASQAEPKEKAFTVVEAIAQTMVGEWMYKYVR RRKSFGMADVKGGDDTSNDRHKRWVWLQPYDRCILWSSKQPTTGGALLGKSGRKLTIQ SVIDVKDDNPPPRGAGPLFNRSILILTPQRALKFTASSAERHYIWLNSLSFLAHSNQA IPESLPVPQAKPSPEQYELPKPKVRRPIRDSIRLTKAKTGAPVIKSDPIEPSSSLEVD SAPPAIGGYRPPMPDLYSIPSHTREESTDTAAPPPITRYGERGVHGRKRSNTGGHVPP PLSFRGFSGGFSTPLGGGGHHASSNSTAGNSIAGSSDVYSNAAASAITGASSAITWGT GSVRTSEASNRPNGPNFFDAIGTVRMEAFISPLAFSRFSDTPYEDAHERDDDCRHAAR RRSKESRRQASRSRQRDSYHSRGTRATTENEVDEWYTRDDPFKGF QC762_201010 MAGKMVLYKLVVLGDGGVGKTALTIQLCLQHFVETYDPTIEDSY RKQAVIDNQACMLEVLDTAGQEEYTALRDQWIRDGEGFILVYSISSRSSFTRIKRFHH QIQRVKESTASSPSYPGSPISAANPAAPVPIMLVGNKSDRIAEREVSTQEGHALAREL GCEFVEASAKNYINVDKAFYDVVRILRRQRQAASQPLSPTGSSKYESRRADSTIQSKE SRYRRTQSERRRGCVIL QC762_201020 MPDPSLTGVKALTFDIFGTVVNWRPHIISTLRALAPPTFKEVDW PLFALKWRLSHGKFCGSYLPSPSNPFKTTDSHHRDSLPALLTEFSLPLDTFSPSQIEL LVQTWHELTPWPDSAAGIARLKKRFKTAMLSDGNKSCLEDLNRNGHLGYDEICSSEDF KAYKPHPSVYLGACQKLGLEPKEVAMAAAHLGDLAAAHKLGFRTVYVERPDEERWGLD EERYEKAKEWVDLWVGLDDGKGGLEEVANRLVGEEE QC762_201030 MADMFDSHGTAAMSFSTLWKRQEVCVQCNKGQQPVCPENCDQTT HECIMIPETCKQCAHMICQPLDPAMLPSRPSQPSTNVGAIAGGVIGGIAAVVILSYLV WRFCVKSRRQQQPVAQEVWEDSAEHMDGEKNFAQRRDYRASTHTVHSIASTVLTRASN IIQIAYIPGVTNRATASPTVLVPPVPPIPASHSQAGTPSSTEDQHFFIPGDLRDSTYS GISSYTDRTSYARTSYAPRSSVASTIYGKSAVVVAPAQTGMRAKPAMVSVRSANSNNS SGTATPPVPTVDYEKYSSLRPPSPANSTFSVGSTFLNNASTHTATPARAMVVRVGSIK KLNGNSTSSKARSEQDTLSSPITVSGDTYRDSTAATIIVDSPQTNDLGPFSDPPKPSH NSSISSNNLSAVIEEATRRASQRDSSVPVKNRERSPFGDEHAAP QC762_201040 MAYHGAGGRGDGYEDHPLQNLGGPHGQNDDEVQASLLNDNQAYD NSRLGAGTPPVRPVSAYSLTESYTPNAGTTRAGIGVQPTPPPHGYTNEYAGAPQYGVS ANGGFGDQQYGNSGHSVAETDDSWIQRQNPNAAPAGGLKRYATRKVKLVQGSVLSIDY PVPSAIRNAVQPKYRDVEGQNEEFFKMRYTAATCDPNDFTLKNGYDLRPRMYNRHTEL LIAITYYNEDKVLLSRTLHGVMQNIRDIVNLKKSSFWNRGGPAWQKIVVCLVFDGIEK VDKNVLDVLATIGVYQDGVVKKDVDGNNTVAHIFEYTTQLSVTPNQQLIRPTDDSPAT LPPVQFIFCLKQQNSKKINSHRWLFNAFGRILNPEVCILLDAGTKPSPKSLLALWEGF YNDKDLGGACGEIHAMLGKGGKKLLNPLVAVQNFEYKISNILDKPLESAFGYVSVLPG AFSAYRFRAIMGRPLEQYFHGDHTLSKQLGKKGIEGMNIFKKNMFLAEDRILCFELVA KAGQKWHLSYIKAAKGETDVPEGAPEFISQRRRWLNGSFAASLYSLMHFGRMYKSGHN IVRMFFFHIQLIYNVLNVIFTWFSLSSYYLTTTVIMDLVGTPVPASNTSALHHAWPFG DTVTPIFNAVLQYLYLAFVILQFILALGNRPKGSKWTYITSYVLFAMIQAYIIILSVY LVVQAFKIPLADQIKLDNASNAMESLFGGTGAAGVILVALITIYGLYFLASFMYLDPW HMFHSFPYYMLLMSTYINILMVYAFNNWHDVSWGTKGSDKNDALPSAQVTKGEKDEVL VEEIEKPQEDIDQMFEQTVRRALAPYKVEEAPETKELEDSYKSFRTSLVVMWLFSNCF LAVVITSENFDSSFGAGRNATARTAWFFKFLLFATAGLSIVRFIGFCWFLGRTGIMCC FARR QC762_201045 MLKIWSMKKEQQKAENSDGAAAGAAGGPKKKKVTAAQLRVQKDL SELSLGTTMRTDFPDPDNILSFILYIEPDEGMYKGGRFSFTFNITPNFPHEPPKVQCR EKIYHPNIDLEGKVCLNILREDWKPVLNLNAVIVGLQFLFLEPNASDPLNKEAAEDLR SNREGFKRNVRSAMMGGSVKGEGFDRVAI QC762_0031700 MAFRSQFRVTEYPHSAKSGQEPRSRLPALSLSGGRPVQESPELV RSRLEKRWTLWPAPAAPDSPWPIRSGP QC762_201050 MSAARNLQKALRPLARQVASPAVQRRTFVAAAGAVRAAAVSRAA APVAKQQTRGVKTIDFAGHKEDVYERADWPVEKLQDYFKNDTLALIGYGSQGHGQGLN LRDNGINVIIGVRKDGKSWKDAIQDGWVPGKNLFEVDEAISKGTIIMNLLSDAAQSET WPHIKPQITKGKTLYFSHGFSPVFKDLTKVEVPTDVDVILCAPKGSGRTVRSLFKEGR GINSSFAVYQDVTGKAKEKAIAMGVAIGSGYLYETTFEKEVYSDLYGERGCLMGGIHG MFLAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGAYGMDWMFDACSTTARRGAIDW TPKFKDALKPVFNNLYDSVKDGSETKRSLEYNSQPDYREKYEKELEEIRNLEIWRAGK AVRALRPENTK QC762_201060 MSSPETLTLASVQEAHKLIKPFIHQTPVLTSTYINTLASTPQTP ESLSNTEWSDQIPSNPILRIHFKCENFQRIGAFKARGAFHAIERLKQSPDFDPSRGVV THSSGNHAQALSLAAKTSSIPAYIVMPTISTPSKIAATLSYSAQITFSGPTAPEREAA AQKIISSTGAALIPPYDHPHIIAGQGTAALELLSQVPTPLNAIITPCGGGGLLSGTAL ACSESKTKVFGAEPSFEGADDGKRGYYSGKRVEAVKSLTIGDGLRTPVGKIPWSIIYE RRLVDGMYSVTEDQIRAAMRLVYERLKVVVEPSAVVGLAVALYNEDFRKMVEQEGGEE GWDLGVVFSGGNVSLAALGELFKDQ QC762_201070 MGSLSNPVTPFNVLTDAKPDDTSLPAFMVSTTRGFLPRADPIVT LPPEFDPLESILQRMPVKTASGEPGLLAEGKLGNVVLSELPDLTSHIDKYAPNLPLMN ALYRDYSFLLSAFLLEPCHLRFIKGEPYGLARDVLPANIARPIKRCAELTGFKPFMEY AGSYALYNYRLAKPPSGLSYPNLRLIRAFEHGLDPSSSEAGFVLVHIAMVSHSPLLVS GCVNALSSATSRDRSKLNTALGEEMLTALRNINRSMETMWQKSKPGSYTSFRTFIFGI TSQSMFPDGVLYEGIEEYKGERQSFRGESGANDSMIPLMDSLLSIPMPETPLTEILKD FRQYRPSNHRDFIKYVAERQDELGIKRWALGGEEEEEEEEEGRETRRLWLRLLDQVRE FRWRHWCFAREYILKQTSHPTATGGSPIVTWLPNQLGAVLAEMEKIYGEGGGRGKLGE EIEGIMDLVGRQREMLEKEVRKFCEERGVN QC762_201080 MRPLPLPLWALISAHLSLAEQQTPLQQPTAIRKMPPNNPSLKFH SHFCAFDPSHTSPSNSSAAILLPRDGTSFSAPLRAVYNPPLPASPNPQRRGVLGVSKR QWSCPTDTLLCSNIGYPNICCYEGSTCIKVPDTGLGSVGCCPDNTQCTGGVTSCGEGG VGCPSEVGGGCCLTGWVCGGLGCVKEGIVSTPVPIPTTTTTSAPLEATTTTTSESSSA PETTSTRPQSSTTTSDDAPETSTTGEPGFCPTGYYACLARANNEGGCCQIGRDCAETD CPPLTSSTTVVDGNGVTIAVPMPTGAPPVMGDECANGWFMCNERDESEGCCPDGYGCG AASCTLVRAGETAGVAKALPGTGAAVRYEGVLWELWLGWLGWLWLFEWGEGGGGGIWF KVTGMGHDNVMVWVV QC762_201090 MALQLAYRATRPSITTSSPSVISLITPSSRAFSVSTTLQSGKFK NDGHTYAERQKLRDELKAQRPDYKIRKGRKDITEYPDKARPKSKQARFFDPEDSHGKK SLVYKFKTGQLAEEVKQLKREMGMGSADGDAFTNSRGERGRDVRPSRRDRERSSASKP LDSRGFASMMRGEEGMNPWGQDKRSNKGRERPFGGYAKTAPSRDREERSFGSRDRKFD RGSRDGDRRGKSFGDRPPRNGNRPSRSFGDVPQRVFPPREFKERSSRAFGDRPPRNFG DRPPREFKERSSRDFGDRPPRDFGDRPPREFKDRAPRDFNDRPPREYKDKPFHDSDAY ERPEPIGITYTTAASQFLYGSSSVEAALRSGRRKLYKLYIQQGDDVRESQNADDIKAE KVIRTLAKQKNVPVEVVSGKYGMRLMSKMSSSRPHNGFVLEASALPQPPIVALGAIPE DPAEYAAKPGFSVELGHQSAEDVAINGTNDFVYWRKSATHKPLVVVLDRILDPVNLGA ILRSVGFFGAAAVAITNYGGTKITPVALKASAGAAEEVTIFGINSLPEFLNASRANGW EVYAAVAQEPGATRQRRQVDLFDIEETDPLKRTPCVLLLGSEGEGLDRLVVKKADYEL NIPSMAGQSVVDSLNVNVAGALLCASFLKGMQKAVLKKEAAEEDGEEVTKETLFEI QC762_201100 MGSSSHSDGEYTPPFDDKNSGDDGALLSLTAEASNGRQLSPDDY YIDEVEYIDPEEADWNIQSGNNLPTSDFDRDYLNNFHPAYLDEHQPEHRNFVQSQQMI MDRVNQESDHAYEGDSDYASDHASEYKDSDYDSDPMDIDQIDSDDGSDSDYYDDSRKR KRDYNDNYSGAVDDFDEASDDDIPRTRGAPTKLPPVNFGRSLRKRAEVTNYYGSQDEL QEDDEDSFIVTSDIVRPGRKKLKRLSSRLSARTTQKTTSVSASAGDSDIEFESSRRRS SRANKNTRKMVDQYAEDDDDEDDEAFYISDNKPAAGPKVASVKEIFQGNIPTDFKEAH RLTCDSCGYPDDRNKGTFVFCQGCSNVYHKVCLGNRSSREQRVTKVGPDSFVMQCRFC IDVYKKRDPRAPSHGTCQTCHVRGPSCIPFSEKKTPKQEEKLRIDNGGVDPITEVNPK LINNHNNVLFRCARCHRGWHYEHLPHPNPTRDPSWSEPLNLHKLRLEEYQIDWMCKDC RDTDGLKPDKIVAWRPADRKSYIEGQTIADFDEDQIEYLIKWERQSYNHCQWFPGAWV YGIVKHNMRVSFLKRTFGEGLEGGPDSEIKADSLLRWTEKEAIYNVWVTPDIILDVHV APRTVEAEAKYKARSREDKFQEDLSRIFHVVKILVKFEGLGYEDVVWDTPPDSSDGAL WEAYQEAYREYLNGKHFKPESNRVMRERLQEFHQLDFVKDIELKKQPEGLKRGQLMEY QINGVNWMLHNFRHDRSVILADEMGLGKTVQIVALLYTLIMTKPRIWPFLVVVPNATC ANWRREIKKWAPDLRVVAYYGGRVSQQAAKEYELFPGNTRDMKAHVVIMSYDSVKDSE TRSRFSSVKWAGLIVDEAQALKNDENSLYKALNMLNIPFKVLLTGTPLQNNKRELFNL LQFIDPSMKAEQLDQEYDQITSENLRHLHDLIRPYFLRRTKAEVLNFLPTMAQIIVPV SMSVLQERLCKSIMEKNPQLIRSIFAQGKLKANERGSLSNILMQLRKCLCHPFIYSQA IEDRNLSPELTRRNLIEASSKLMLLEIMLPKLKERGHRVLIFSQFLDQLTVLEDFLMS LNLRHERLDGSQSSLEKQKKIDAYNAPDSDIFCMLLSTRAGGVGINLATADTVIILDP DWNPHQDIQALSRAHRIGQRKKVLCFQLVTVDSAEEKILQIGRKKMALDHLLIETMDN QDDAPNDVESVLKHGAEALFGEKKKDAIKYDSAAVDKLLDRSMQEETKTDDKKSAESA FAHARVWANDGLADEMKETEQQEMSLSVWDQILKQREEEARRKAEKARETLGRGGRRR GNANYAGPQFEFDEGEKPDSDQGEGDHDFIAKSDGGDTSDEEVGTPATGLSAHLRKSD NESGQAQTQSNAQAQGKAAAAGAAASLAPQNGHAKGAVNGDKVKRSQVEVAIFTAQGP GAQQQNGGGASNSRPVSTDVRFNVPDNAASQNQRRQQQQQQLGAVGDVGGAPVTHHQA GASMTASQRAGIDLLNSIAMRPAGQSGGGGGGGVMVNQIGVPTVPSSTSSSVAAAAVV LPKAEQCVVCKYSHPWSWECPEMRSMRNLRVALDELKRDGRLTEEERSGWRGFLVEKL RLLRG QC762_201110 MSSGNLHQQQPDCKQPRPHWFAGELSSPSVASSGRSPSLTSLES VSSLDSVSESALKTPTAPANWRADSNETKEAAGTTDQVVNKPGRRHNFRQGSPSSLFT SSGAATPTSSHRIPSVVNPTSQNAGIHAPKPSVERERHEEDPAGSCGARDPDCFDSVS GVGSRLDTDCEQGHRAHEFSFVDDNSLKASSGLVSPSFSPQAGYRRKYSHERDRKAHI LQQFESIRGVWPSDSVTAPSHNTSGSSLLFKGPIAKNIAEIKSKLAFAGLLASTALSQ PADRHNYTTPRPPSPSIGSDTTIRAAPHQLTTYSGQSTEVTSPAIQTTGSAPNTSEPL SSVPDPLSGGINISFGQLPNLNSDSSFSFDEQQQQQQQQKSSKHLEKEEMSGSVAGSV GAGEQMRLSGGVSGRGQLPASTAGPFGGRARGIDNWRQRAQSPPQPRTGNGIYLFNLN HLSQADQYIDTTSSPSLGAVLAPANGNFAACPSQGYNPGFSSPVAPRSPTLASFSSAP TRPAVLSPAARQQFTPFPGPSAATSIFSPGTPTVSAGLSFSDFAGGSSLSPVGFSGAP SFVDPSGFASLSLSHPAAARQGQLAHLRMMGDAGTGNLFSAPTSQPQGLYGVPSSSAG GGGSATSPTTTAPPAIPARRPQNWDLAQYQSQYLVTIGPDTQGYCFVRPDGSRTRLVP VDMLPFSLVGLPPSENDNDKLIELVIPTGMDRLCKNSNIERAVVQSPPNRHQDPIQAS SAAATTGSGPTSPSAAQPRKIKVYCDKWVHEGTCAFTQQGCKYKHEMPMDKATQHSLG LFHGLPTWWKKRQAELSRESERGPLERGGGVSVGGRFATGGSGGVTGTGAGAGAGAEG GHRRYGSSWSRWDSGSGGSGVGSASGMRRGSSSTGSESGFHSFNWRERHPAPG QC762_201120 MESTLATLVAFPSAGQLGDNEEYHKAAQAHTKTLTRLSADSKWA SEAPQLIERLDPATHSLSYLYVLQTLKSSNGYPLDDLLLKLTTFLASFDAGQIRYAGH AFTKLLTQPRLQDLFPASIAVELITTALLRLDPTGSVLTSHHTYLVRFAYMTNNIEPV LPIIEKSIVFYPGMKGSTSTRNLSDPDLPPSGFITVENDFTKSLENKDILEHDLLRGL CFIQRRSWQQAFDAFERVITYPSRDNTNASKIQVEAYSKWVLVGLLLNGKAPVLPPTT PNGPRKVYEVTGKPYYSLAQAFEKRTAESFKTECEGLSQGFWDEEKNVSLLKLVIEHY QKWQILNLRHVYSRISLEQIRTRTQSAETAAPLASVQEIEALVQGMIDEGLLQGEVVH PENGLAYLAFSSTADDLSEEEFTRRMVATAQRIKALGPVVKATNERLASNKDYLKWLA QQKKHEQQGQSQGGPVDVTGFGDVVEDEDLMTGIVAG QC762_201130 MAEQAITQGAIEAIFSDPERARAQYPVPVLQCLQIKTLDSKGGG APERYRIVLSDVRNYVQCMLATQANHVVHEGKLKRGGIVRMKSYQAQALKGKNVLIVL ELEAIESLGAPDKIGNPVGLEGGAKLEEAQPAAAAAAAPAFYGAPKGEPTQESKSQVQ RQLASRPTNNNHNNNTRTSGGVSSTIYPIEALSPYAHKWTIKARLTHKSDIKTWHKNN GEGKLFSVNLLDESSEIKATMFNDQVDQFYDVLQEGQVYYISAPCRVQLAKKQFSNLP NDYELTFERDTVVEKAEDQSSVPQVRFNFCNIQELQSVEKDATVDVLGVLKTVHEVSS ITSKSTQKPYDKRELELVDQTGYSVRVTVWGKTATEFQGKPEEVIAFKGTRVSDFNGR SLSLLSSGTMAIDPDIPEAHALKGWYDSTGRHSDFATHSNMSSVGAAAGRTNEILMIQ QVKEKDVGFDKPEYFSVQATIVHVKQDNFCYPACRSEGCNKKVTDMGDGTWRCEKCDV THDRPEYRYILNFNCSDHTGQIWLSCFDEQGRKLLGASADELMEWKQIKESGDASDEA RKEAEVRFTTAFDSANCRKMTFRARAKMDTYGEQQRVRYQLMEATPLDYKMEGNRLAE MIRQLGV QC762_201140 MSISIIDSHIHLWNEAEAPSHNWYASDSPLATRHSIAEYREATS SSASQLSGFIYIEADRKNDDSKDWSEPLNELAWMRRIIEGKPQEGEGHTADNAKLCLG IIPWAPIASGLPKLKEYIATVEEQAGEAAWAKVKGFRYLLQDKPNKTGLTEEFIDGLK LLGEKGWVFDAGVDQHRRGRVQLEELVEIIDRAHDGVEDEDKKVVFIINHLCKPDLTI ISQTDPSFIAWYAHFLGSDE QC762_201150 MSAQITKAEVAQHKDDKSMYIIIDDGVYEIANFVNEHPGGAKIL KRMAGKDATKQFWKYHSKGVMEKWGAKLKVGTLKEEAKL QC762_201160 MPVSQIAAPIPIPAARQPLGLITTQELFASLNATLQSKSNQLVT PIHHIQENDYSVPAAPPPSPIGYRDHWPASIRR QC762_0031830 MPKPSTYQALPKDDIPANSKMNAASSNHTSTTGLPPNIFAAPAK VPAGSGLSEVEKKETKETNPELVAAMEKPEAGTVVEWKEVEALRAKKREEVEALAEEW EKKKKKKKKKEEEKRKRRRGRRTAPKTTGTASRAIQTPATWRTKKARW QC762_0031840 MGRGHYTKCARKDETTQSTSATVKTPKHTATMHDAPHNEAQLPR PVVQASVTDANQASNNPDNQLAASEYNLLDLLGDKINALGSFLLDMRDSTTETNPLDR INNAAADLANTLRGLWVGQETTINDGPQTQANRLHDLTKLLFPQPSPSTETITNAQIM AKLDEITNRLADMEARSQGELTLDEFDRMMDRFDDINERFHLLNRNVADIRRMHHGDL QTLRDDFSMRMEKHQKEMEERLDEDMGVMQKYIHDRSGDFIEDMAVRVKDVVGQEREV QLQELRGSKTAVLAAVGGKGEEKTVKEVPTCRWCPKPVPFPFLQPWEHYTEMRGLFSA TSLRATLPAVRKPFCTPGPFSTTTPSSLPGASPFLGSRSTRYPGIPSGSNIYRAPIQN GPNNPQVSSVKPDTFAQQEQDEPTPLPPSLACNPCLMTSRPRNTVKAVAFRHSFPLRD QSTLI QC762_201170 MALKRFTRLLWATSLSISLVTGQSIEQNTEEAITYLTGTKSGTI PLNGATPTGTYQTFSSKITLATTSLPTSLGVLTANYTETDLVTTLTGSVTSSVATTST NGTASSTVSTPPRPTNTRPCNNYPELCERKYSNITEVGCHNSPFVRAGSAAANQQYNV TDQLNDGIRFLQGQIQFPVNGTQPHFCHTSCDLFDAGPITDWLGKVREWVSAHPYDVV TILLGNGNYSNPDLYVPWIERSGILQYIYTPPVIPMALEDWPTLAQMILTGQRVVMFL DYNANATAYPWLQDEFSAMWETPFDPLDDTFPCTVQRPPDLPEDQAKNRLYLMNHNLN AEVSLLGQSILVPAVSALNTTNAASGKGSLGMAAANCRDQWTRPPNVLNVDYYNYGDY PGSVFEVAARMNNVTFVKRPCCGSTSAGFRRVQGVERGLGLGLVLGWVVWMLVG QC762_0031860 MTALKHDDVAKASGSRQRFSVSAKADRQAGLERQILIDCRSSFL LEIKTYYGLSSELETWGRHPIDAGMEQEHQAPPAGLDPRRA QC762_201180 MGLPSILRPRKRNTTTPTSEKHASTGPSTPTSRPDYQTGSDTIP QDTLRRVTKTRRIFALTASISYLISWVFLVLVLIGNTYPKAVLSDIYFFRLDLSDIIP LSVPNARLINSIAQSIGLHDFYQVGLWNFCEGFINEGITYCSKPETLYWFNPVEILMS ELLAGATIALPTEIITILSILQITSQIMFGFFLTSAILTFLYIFLSPIATKSKWYSLP LSIGAFINMMLVVAASIVGTVISLVFKYAAEAQKELNIKSYVGTKMFVFMWLAAGFGI IGFAVHSGMGCCCVSRRDVTTGRRTLMPDGQGVQRKSVVVR QC762_201190 MEALLTDPLIISVTSYVKDYMRNYDASHDFDHIQRVLSLSHHIY AHTPPQQQPLDLKAIHLSALLHDVGDRKYLLPNQDPATLISTTLLSISCPSSLAQKVQ EICLAVSYSTEVKNPSHVQSILAKHPELGVVQDADRLDAIGAVGIARMFTFGGAKGSR SLQASVDHIDEKLVKLEGMMKTAEGRRLAGERTERLRRFRREWEEEVGFVEKLGLSKG EEE QC762_201195 MKTIYHSNIITKMKLFSLLGAFMASSLVAAGRPEPETTTTRIKP TYTRPYTETTTTHTWNRPTKTETEYKTRIYVKPSVYTETETEYHTKHHHKTVVSVQSV QSVYAPHTKPHHTDTVTIIGSKTYPVYVPTETPAVTAGAAGKQAAGAMVVLGGVAAFL L QC762_201200 MPWPYFRCSGVCINITHRNSQIDIMATQGLLALWLTAAALAHGD HEHQKNMAGPHKSLWYNTLPGDGGTQADSVFSGISTFGRLPYLPCLFHKDIDYDIAFI GAPFDTGTSYRPGARFGPSGIRQGSRRLNLYGGYNVPLDTNPFNSWAKVIDCGDIPVT SYDNTYALHQIENGHFSILSRPPTTDATKPGPSLKGKTLPRVITLGGDHTITLPLLRS INRAYGPVTVIHFDSHLDTWKPKVFGGSPSETASINHGTYFYHAAQEGLLRNDTNIHA GIRTTLSGPSDYENDGYCGFEIVEAREIDTIGTEGIIKKIRERVGTKNPVYLSLDIDT LDPAFAPATGTPETGGWSTRELRTILRGLEGVNLVGADIVEVAPAYDTNAEHTTMAAA DALFEIMSIMVKKGPLSVLDIDPPADEDTKDL QC762_0031920 MVEVISFVPFILRPVGPPPQSVVSHIRSCRAAAGQRNGWPLDST AFLPARIRFGRGHFMH QC762_0031930 MLCAGLSASSGSSLDGSTGWLVDEVRECAGGRRFSLDMLFRPGT RSRPLNLQVRYSHNGLFAWVWQNLVPLEHARLVFEAVRFQAKSLVNLGQTHNGERRSP AVYRHRRRKAACPAMVWRGERVVGRKSQRIEIGIMFDDLLTSLLEMCCSGGE QC762_0031940 MTVDGRGPALPVMGLSQVDQAFGLEADRLKNQPRMFKRHKVLPH PRKEAVVGVTDLQVQRSRSRSGPEKHVETEPPLSQTVISRASRRPRQQELHVPRQRRG RGPEPPPTPPAHSRTSSTSHPVDPSSDEPDDAESPAQSIETIQPQSPATPPNPQTPLT PEITPPGPAESQLRARPPLYDRLQSKITTESSFQSYRTAPEVPLTSPDEEDGRSSLLR PALSSAQTSRSTVRQLKADEKEKVQPVGLGLGLESGEKETEAPNKTREFEKYDGGWTC ENGEVAEEWNATRMRNVSIRKRKVSAQKREDHNNNEVLEDSTVSATNATKALRSVSLQ DSPTTYPARRVVSDRVPNRGPPSMSESSIGSDAKRSSIMSNRSTTSTVVDHPDAAPRR RKTLRHMKKQIDLRDSSSELSPASSAPTSTSAAVEEPRRGPLPASRLGDTGRDSHASM ATLSSISSNKARREAWKAGAIAVAIVPERRSSIKSNKSNTARTPSLRSSSTRRSQRSQ SLSSAPQSRTSKSVERVPIFDRPQRRGRAHSESDGGRSAGDERTIDFPPVIPKRSSSL SAPTSRNASRSGSLTAESLKAHNAIQAQHQELQKASRELNKLVNQHAESNERVREQRV GLQHPPELKIVTKSPQPEVTIQQVLPEQRAESRKTLSSPDHEGDDHHLSVDRYGDPLF GKRLSAQNTPFSIASVETAGTSHAEVSEAMAVNIYPHQSKSVVLVDHSAKPSESSSLE NPVIIAEPATPPQRRFSFEEVVDSPLRNPRAPPEPPRPPVINFIPATPSGLTPMTERQ KMLGNYFEVNGEGEKPKRSQSLLRRALTGGRKKPVGDQYGPSLSRPGLITRTFSLGRH ESKPQRPGLKRRRSTDDHPRDEHLLHPFWRPAYAEDSMSDSESDPDEDYYEERGRRYK YPLIDNRPGGAPARRTSLSQRLKRTFAILPVQDKHQDDGYEDDYYAVAAGSGPNGEVD RRTIRRTPSGNLRVMRFRKSLESLRPVTAPEQQQQVSRPPVTRIGRPLQGLVRRLSRG RSQERPVGIGVGSSLVGGSAGEEKGGWVDRMNLGRRLSEKRREKRTEELRKMISRPRE VRDGVGDVIRRMSWVEEQRERERGGGCGMGMGGNKGRAGRMTTY QC762_201240 MSAIQLSFNLRVSSGVKTVHLLGSWDNYSGQLPLSKDKTSSKSG TWKGTFRFQPTTLQAGQRYWYYYMIDGYHVSHNPSEESTVEPTTGRALNILDVPKSSS KSSSSSKSSSRKSSSRHSVSSDIPKGRPLSMSQIKAPKPVAPHATRHILDGDFDEDEL SSHFAATGIYDYDAEDIITDFGASVSPVSSVGSSLSYRSDNSSSSSGYSTPSSDCSSC TCERYGITRKGERVRLDCGGSRCGYEDSCSSSEDEQEYVERSSRRNGIVVRR QC762_201245 MPKFFCDYCDVYLTHDSMSVRKAHNSGRNHLRNVVDYYQQIGHE KAQSVIDSITNSYAAEGQAHANPMLPQNQPGGFGSNPFPPPGAGFPGMPPPGFPGAFP GAPGAPPFPPPFPGAPGGSNAPPFPPPFPFPGAPNSASPAGGAPPFPLPPFPPGGAGA AFPPPPGGGMPPFPPPNGAVPPPGAFPPPGGMPFPPPGGQFPPFPPPGAGGFPGGGRQ QC762_201250 MATVPLLWAPRGKATDCHFIEAPVERAAPPRCASCIDLNSPPTT PTSSSPSLPTTAHSIYSDAQTMVHVRSVLQNVCSALLLLAVGTEALKFDIQAGSGHDS LSRRCIRNFVAKDMLVVVTAIVDGYKGDGMQLNMHIMDAAGNEYGKPKDIAGEQRTVF TSHADAAFDVCFENILTGNKYVQNPNRHVELDIDIGADAKDWAAVQASEKLKPVETEL RRIEEMVGEIVSEMDYLRHREQKLRDTNESTNNRVKWFGFLTTFLLVGLWAWQIMYLR AYFRSKHLI QC762_201260 MASLRPATRALRAAIRPTTSVLRTARPFSSSKSSPDPGSLPNHE LDVGELQGAKFKIEPLRRVGEEPDVMRARLLYQSRKRGTLESDLLLSTFAASHLPKMT PAQLSEYDRFLDENDWDIYYWATQPNVSLPSGQTPPLEDRAVHESPRPGEWAQTVGTF KPAYRPVPKRWEGSEILALLREHVRVRRGDQSEQVKEELGHHGEEGHRHKEVERKGMG FMPSLDESR QC762_201270 MTTLVRTRQPLQVLSMSHHQPERRKSKRLAGTSSPEPEEPEFKR RKRTAAAAAVINESAAFSQQQQHAPAPATGRKKRKNDADSKGSSSSAVPAVYDEQDGD FLFTRGSKRVKTTPAPPPPPPPPEPEPEPELPLPKMSTAKKVGRPPKNSSKKRASSPA QQSAPSPPLPVQPQQQVLPRRTSKRRSSAAAQAAPQPVQDEEPVMPKAKTRRKGRESN ADKKAREEAQRRQLIEGIPEEEEEDEAQDQRHNHHRDHDHAMTNGTPQAEHPSGAASQ MISLPFSDTPIQNRNKEFRKKGGASGGRRSSLGMRGRRASSLIDNGQSALPHREVDPK DFYKYISAEGLSEPRRMKQLLIWCGERALSEKPRGGKGNSAVLGARAIQDQILKDFSS VSEFSDWFSREEAPKPPVVLKPNPRNEDYDRKIEEMEERIKRLKEVKKAWQAIAKPLP TLDPIYPLSAPPPDNPEAPPQPDSRKAPLPDPNLLSEEETKMLTFLTSPETSFGSWKR QVRSRLQNVHQELEFEVDVLADRVHKFDMRVETAGREADQVLRLGAERLREREEREKE RVGTRGVGVWEVLKSLGRILPEGG QC762_201280 MGLSTGAAGRGESKSPKQSSKKASPPRQDTQSDAKNDGPLKSAT ATTTTEPSSSSNAEATKPLAPPPRPNQQQNNQQQAGNNSPDYFSNPPGVGAALLSLEP NPFEQSFGGGAPETPGGTKLPSVAALTSPSSLLPGTGATPFGWPGSLRTGPLSPAMLS GPTNDYFSDTHHIRGGFPTPNESSLRTGLTPGGSGSMFPAPSPNTALFAGLTAGVQTP STLDFHRTALSVQAKREPIQVQQPPPPAVTSAPQEMSNGSSLKAEAKPPTNSYDTHDN DAANGLYMLAQARNGTQPPPPSQYTAVPPAQVHVHSNHQPAPAVQPINTSPQMNGNSS IGGSSARGVSETGSAMSDESEQARPVTRAKGKRGSTSAAGTRRKADDGPAAKGPANKK AKTNGGPPPSQQPDYDDHSDDEDHHINKDGTKTKMTDEEKRKNFLERNRVAALKCRQR KKQWLANLQSKVEEFSQENENLTHQISVLREEVVNLKTLLLAHKDCPVTQSQHQQQQQ QQGIHAGYIPPPPLEYNPQMAAYQMAGGMPPSQPVMAAHTGGRRFS QC762_201290 MADQPLILYHYPFSPYARRLIWYLKIRGIPYCQCLQPPILPRPD LSTHLSLNYRRIPLLAHGGDLYLDTRLILNLLDTLFPPPPLTADQKTITHLLSTLTTA TTLFFKAAELLPSSLPVMKDPKFLRDRATFSPAIFAAPRTKEDRALSRAEALLEIQSS VRLLEETILSDGRNWVLGGKEPTRADVEGVWVIHWLLTLPPPHNDNALDPAVINKTRY PKTMAWVNRFDQFINALSGKEGRVIKGGEAAELILKGKRGGDIGVDETDPVVKVQGLK KGDVVEVFPTDTGSAFKDRGRLVGVDEREVVWENEKGVRVHAPRLGFRVLGVKGRPSL QC762_201300 MSSAGAPRVPKQGQQQVAKGSVSRKPVPGGDEAGESSTAQGGPR RAPSRQQRPQQQQQQSMVPASTEEDGRLPRTARGQESTAVRGRKRSAGPKRGMPRQPS TQGSSRQPAPGRTAENVTEASFSSRREPTESAPERPAALSTQQRKLNQGPPASARNQV SPDQGAPNFSFPKPAVKEEPPQAEIQANQTASRRGPPRGPLPSAPVAAVQGPSRQGPP ILAEALPIPRGPVPPVPTSSRSGQVQSSRVEQAVPVVLRPTRQQAMAPVQSFEQAQAR RNMPQERNPAYPPPLRTANLMPDPPPRQTSVSAGGFSQTSDRLVSPQSALPQATYNPH VSAETSRSRNVVSPSKPLPPSTGSFSRKPVNSPPHQMLMNPLRSSPPNPPPPPADSSL PAGFSRNIDMNPLRQSPPNPPQKPVMSSNLSQQTINPLRQSPPNPQVAEDGIPVGLFS QNPSAPPQRPALAAPPQRKEIAPLNITSSSRNINNTYPAGPSRSGIVSPPRVTSPPQG GYLSRNITSPSSNQDGGRYPTMPRAVVSPPYQPRAVGGTPSKPAYNQHSHSSSFDSVA SSATATSTRPLNPTVQPSSSFSPPTRKNTDLSYSKPLYNTQAPQTPYMSILLSLDKIP RLHNILSTLFLFLLLAGYVIIPGSFTALSRPPLDPESAIPINLGANTTPDKKLLLTRA NTATMVVGFVFIIIGTFGTAWLGLKWRRNYVWLLNKLYLPLVMYSMVGLVGTVVGVYA VQNGEWSTQAIIAGILEAVEMVVGGLLFGVYNVWLVQRVRQEGGGGQGGYDKQEKERG SKKKGNRRRKGGLMGRFRGWRGKRSIAVGSVV QC762_201320 MNSSVMDSNQPAQPKDAAMSANAPLDLDAQSPPRPPFSPLTEAA TDSGTREPSVNPGATLDQDGQQDQNASASATADANPSLSSALVNSNSSSNFNSNSSNS NLSHLNVSQINGASAMDNVTMDMGFDAGMDMYGQGITPATNILMAIARSYTQTQQQQQ QQHQQQHQRRQSQLPSTIHPAQVSRTHSALDVPDSLMAIPEPSRAPPPVEPRLESFAR IEFADSVFQMTTYAVVIGRDVRALELARKQEKEDEAWRQIVDQYARQGLPPPPRPELN RRKFTRSYVSEEGGMLGPESEDEEYVRPAKRRRVSVANSASGDSSMAQQEAAMAAEQA ALNDKSLAANRQYVWHTPGSASVNLNALRPSPYTVPFIGIHSPGPDIAKKTKGISRQH LRIEYDQNEGVFKAYPLHRNGIFIDDKFHKDEGVTLRSGNRIQIKEVEFKFIINGVAE GKTGAEEEPQQEAPAAVNRRYSEGGKEMSFDFESSHDAEKGSTSPEEVPVEAAKESSE SELSDLDEEMPDAGEPEDAEGEEDQEVMETIEQDAEEQLSHIKPEDMTPEMLAALPLL PPKKRGPGRPPKNGIMSKREERLRKKAAMELAKKNMPPPTPGEPPVKRKVGRPRKHPL PENTPDRPEKRKYKPRKSKNGEEGEMSETEKTLEKRRREKPKTPPLELNRADYTEEQL QKPNKNYGILIDEVLSAAPPDGLTLKQIYKRIQMKYPFYYFTVDTKGWESSVRHNLIG NVAFKKNEETHLWARVPGIDIDAGKKRKAASPDHSTSIHPFGQHYQPTAAPQVQLFHS EAGAQQGYRPGSGPPPRPGYSASQSQMNLGQHPHQQLSAQPVGVGAQQALHQPYQSTA QGSGIPQMADQGAAQGSRPIPANPQATAYSSPYASRPPPSASPQAGNVPQSAQRQQLP HQNGAAPHNGVPQPSNASPHPASAVGVKPGQPISTSGTPAPIKPSIHPRLVEIIRNFR KTVTSIGAIRSNVGDAGEAIAMSVINRGLGLANQSTTPTYESIEKIVLNVFESQTAKQ LVGYEIAPKLIERLVSFKRQMINTLKDKMKPLEAEQLVLSAIDRVLGFADKSIMQGTD AQKTSYELAEGVLMPAVQREIAAHGKEAAAASMPPTPTPAPSQVRTPAQMPAPGKHPS TPHSTAAHQSATAARPSSVPAAQTPVQMQNQPPRPVYTPAQQAHMAQQQPRATVGQPA PAGTSNGNPINAQYMNQNAVSGSGAGPAGARPIDQVAPAPQSQVAPAPVAQGDVPARS QASAGSATSSNQNRPQSHGMPVQAYPHLQAAQAQYRTQASTQSQQQNPAAAQQQNQQP RVQPGQAQQAPSYSVQNQQPQQQAQNQQAAQQIQNRPPQSPAPTPARIQGQSHPVHAR PAQGGQSPLQVPSQIQGQVQGQVQGQSQGQKPVPGQGQAQSQAPNQQTPVQQTQGQYQ PRPQVAVSAQQVQQHAQQPSPQQAQQQALQQPRTQPTQAQPVQAYQTQAQQARVQQQP IPQQTARQQPVQQQPIQQVAQPSVQPSHIQQAHVQQPQAQHAQASPTQAQQPPTQQIQ AQQPLVQQAYAQSSYARPTTPAQAAQMSRAQARPQVQPQGPVQAPSQASGASPAPSPA QKPVPRPAQVSAPRVPSPTTQAQPSQAAVPSIQYAAPLQNQMPAQTQASAQQPLSTTQ PQPSKPWGPAVPSPPAAQSNKPSPQLSSQAAPSAASKVSIPAPTVTAPSASPSPIQRL APTPGMIPLPPKPPAPVATPPPQASAASADSVSSAAPASSSAAAYIPPPPTPTPPAT QC762_201323 MAAKPGNSLPKNLLSSPFLGELLAKRSVYVKVRPAPQSLTQRRA ILRVLRQHGRIEVFKSLGEPHSFISVASDSATARNLILKAPLEVAFASAPSPPPSGTQ TEEEQQGEKFLVDIFEAGGYNHKRFASHLSPLAGPWKNITAYPGDLATTVTVPGLTDG DGDKREVHEDEGLLKSFARRHLEAGYKGDNGWRGMTDWEGGGQDGGEYREDGETFEGG WRGRVMRGRWRGRGYGGLVRGEVSGEGEEGGRKEEGERKEKGKEIEKVKIRRIVSGGG GGGGEVLPQKDEVARQEKVEEGRRWTVKERSGLVGGVKRWV QC762_201330 MYGQSVHWRSTLNRDAEGDKDNRDEGRAPRQDNGHHQDEDEQQE EVEFTSRIEEWRKQRAQRQHRDHQHNGQRYRRHREKSRQASSSPQPADSPSPEPLPGN ASPPGRSTVDRLARKLSKQNLQHSNRVNAQSSLPIGPSPFQSMPPVVPETPSIAAETF TTPFPAHVEVDQQYQQPTSSQPPTILPETAAEKPEDKRLDFKELRRHASARALQARLQ AMIDNETQCNVRSEPMPPPPPPPPPAATIPRPWLAPPVGEPVVIEVDPDCAMPNWDNS LEVDESDTGDVALDGLVSSARHAHAPSGVRKQSIGGVALRYRLSVDAALRCQNVVRNR PRMRKRDKSRHGSTVSSAMTSAISSPVVGPTIPSSSSMPPPPPPYTQP QC762_201350 MAGVEGVAFVTGGLPLAGNKQSGSFYSHDMDEAGSTDFFDQFVM LDGSDSDTTAEGGGGLGQFCGASGLPMSPQLSSIEPSLSMPIAAETGSVSGRAAGGGG VAGTQGNFGPPGTIHGNVNGHSLPAGGWQGAVNSLIIARPRQFHQQQAQRLQRSTTNI GDLKIKPDTEVDGLPYTTSGFGEALGGGTVSDSELLKLEGLSMRATKVEVPQPAASVP PSPTPLASSPKKTSRLVAFCSRFRSKAASTLQGKSKQQQMEIKQESISTPVISSAQMG GGAAKPRPGRPRPVNLDLTKSQLPPFPPLTGAVPTSSQPQTISGDQLMNGSNNNNNNM NFVNGFIDDPFLTGQFLRGGQFAAPLPMNNNSNNMPHTPLQTPLIDSIPASWQLPVTS PGFVLSPNGEINTNWWDTTGIDAMDTDPITNLFPPTTSSTTTNPRDASLNLAMHFPQL LRVPPGAEDFSVSENLMLHMPQPHRPNSAVLHPQYRAQMQQQQSSSSSSSSSSRRPKP RAPSSGARHHHYTTSGPGMSPRKTRTVVSGSSTTPSPTTTTTTTSSKPIPPGGRSHRR STSMTTLPSGTLLDPPSTSQSSSAAIRKRRSWTGRRVSHSSSQINLHSLASPSQSSRG HKSTRRTASCSSLAALAGMGGVEHNGDGGGGGSFVNYTPNDKQLLMTGVAPSGSSKTK LRREKEAKEKAREFKERLARAVEAAGGDLKRLEEVEEGLALGV QC762_201360 MFGAARLILDAPLAAGAPTMLWIERHSAAVEQEEKGRSGCGGPG NNGGATRMLGSTFRPLAPWIAGWSNTRCRLGPVPVFSLTGNNKQIMAGYTPLTYQETL SLPSSSSSSSSSSSSSSSSSSSSSSSFDEIEKFIPDLETHDPLFTLPPPSPLPPRHRP PRSLLKRTLIFLLPSFLQPGYVPPIPKSPTAYLDGIRGLAALFVFFCHYLYTCYTIAT GYGYVPPPPSLDDSDGDFHNASSSGPYNESPFHSSTITTTSLPPNPYTPDHFHPFKLP FIRLLYSGPPMVAVFFVISGYALSLKPLTLLASQNCSAFSTTLSSLIFRRCLRLFLPP AISAFFVVILTRMGAYTAMGDFAHDEVYVRNVQETHYEALNSTAEQMLDWGKGVGEFV HVWDWEVAGGLTRMDVHLWTIPVEFRASMILFLVLVGTARVKRGVRRWAVGGGLVGYC FGSGRWEVVLFLWGMGLAERDVWSKDRKRKQGGGWGWVVVSLVGLWFMSQPDVGSERT PGWVTLTDMIPGWWEEEERYRYYQSLGAGLFVMAVGRCKGWQGFFAWGPVQYLGRISY AMYLMHGPVLHTFGYAVERFVWTRITGVETEGRYDLGFGLAALVIVPAVIWVSDIFWR GVDAPVVRFARWVEGVCSVKE QC762_201370 MSFSSLVQDLSLRDNGVARRRGDASVSTVNDTASRTSHISRAMS YASTTATSVSISGDISSQLHAGYSHPLARSWQAERQLTKSMLIYPLFVSDQDDEEVLI PSLPGQYRRGVNRLIPYLEPLVQKGLRSVILFGVPLRPGTKDALGTSADDPQGPVIRS IQLLRQRFPHLYIVADVCLCEYTSHGHCGILRDDGSLNNQLSVDRISDVAVSYARAGA HCVAPSDMNDGRIRAIKLKLIEEGIVHNVTLMSYAAKFSGCLYGPFRDAAGSAPSFGD RRCYQLPPGGRGLARRAIIRDISEGADIIMVKPASQYLDVISDAKELGKDLPIAAYQV SGEFAMIHAAAKAGVFDLKAMAFESTEGILRAGATIIISYFTPEFLDWLSN QC762_201380 MWLSVPCWAGGFPCFRVWALWDKYKKDIPAALDGGWISLSSHHP VILIRLYVCPTTVRLFKMARTGFIHHFGTFLLFSATVLLIITCISAPVVRSIALLKVE LPDGGTNNGGFNFLPDIADGESGRNGNDPSVTFGVFGYCLNDVLGGQCPRRLGYSPLG IIQGLVGPNRGQSGNPNFSPEQTFGEFYSDNSVRTSRALTKAMVLHPIAAAFNFIAFI LALGAGMVGSLLASLVAVLAFIITAVTCIIDFVLFGIVRSNLSNGWDSDDEDSLGLQV ERVYYDNAAWMTLAAAVLSLVGAVVVFFSCCSGRIHKRRERKRAVKGEVPATDYGTPV APRRRRRWF QC762_201390 MDPSYPPRPRPPLRSATSGSVSRISTVSDITDFDNFRDETGAVI PPRPSLVSLQSNDSLRQQFGKRPYGSVDDVGHTYGGASTGWTSFMGNGGGAYKPVSSP TLIAPPQNAGPSFAQRQQQRRHDAIPEEEDGIDLGLMKSAAPLGGEEPPAPGPRVARA PTFDVSSALGPATKADEEFWKAIQEQEAQGKLTGGLGKGIKAEATITEDYLLAITPVT ERPPSRTFSVSRRSRRLSHTDTVKKMAQNEANKRGEVIEVVMTEDTGTQSKFDLSLVG GHDPNVEDEPGQFAMRQTTFPTNASKTEVFYPQPNWKPFSMRWPYLIWLIVVSIVMAV SVEILYRSSAQDALVKFQSPNDISTAQYFAVKFLPMAIAVTYGVMWQVTNFDVMRLEP FYQLSKEGGALADESINVEYLTQFVWLRPFRAIHCKHYAVAVSSTASLLANTLVPALG AASLIITPDRNTRLDRPHIEKSILIHHVWSRLLTTLLVIIAAFGCVLFYQLQTRRSGL LADVKGIAGLAAMATVSHILMDFKDMDVATHQDIHSKLKDHRYVLRNSSLAPDDTNPP SIQEREKYTKNHLSANPHPMMLRPAGAYPLISGIFAFIALIPIFLFTPATVLTDRAPW LITVLAVCIKLSWGALETDVRLMQPYYLLSQRHAPPKTLTLDYTAMPFGWVAIRGLIN KHWLVFFVGFGTILTEILTVLVTSLATVEGRVFISSAPTATATSSDLNAGQETIPSFW ISFTLALLILLYMGVLSVVTHLKRRRVFLPRQPNTIASVLAYIHQSKMLYDFVGTAKF GNREMEGWLEGVGKRYGLGWFRGRDGQSHCGVDEEELVSEWRVGYDYSRATRPWEEEG RNWL QC762_201393 MVASFVWTAEEAKYLKAVLRWQDAPSSDDDATATRPRQPRRRPP PPPRATIQLSSQNRRQPQEKEDHPPSGELRVLVLGAKGAGKSSLLSRFSQGTFPPATQ PTTATTTSPSGESHSGSCRHPIFLLPSTTGDSKKKKYIIDALEFPSNQSSSNPLLEQA LAITEAAVVVYDTASADSFRLAKGVVEFILEHFDPLTPSPNNSANRRVYPVVLVGNKF DNDKEREVSEDEGREAAGKMGVRCMMEVSARTGEGVQEVFETIGAEVLAAKRATTTAR EVGREMVQGGGYEKAGNRPQLVRQGGSAGGSNKKGGLLRRMFWGRKLHTRQGVA QC762_201400 MRLSLTRPVAAFASLAALIAPAMAESILQSNSLNSCQENSLFSA SLFKVVFTPNNKTANVDIVAVSSVQGNVTFDVEVSAYGFPIIKQKVSPCDMGLAGLCP MTAGKIPLNFNLPVTGDALEQVPGIAYNFPDLDATVKVIFTMTDGPEAGNAVACVEAD ISNGKTVDLLGVKWATAIVAGLALTSSAIMSGLGHYNAASHVAANALSLFGYFQAQAM LGLTGVPLPPVVMSWTQDFQWSMGIIRLEFMQDIFTWYQRATGGTPATIFDSLTTVSV QVEKRSLDFIEAGMSLAKRSVAMMPRSIAEPLGQAMKRDLMRRANIETSSGSYIVYGI QRVAFRAGIESTNVFMTCVAFFCLMVIFVSLAVAAFKGACEMAVKNKMMDSDKFLDFR NGWLTVLKGILFRLTLIGFPSMAIMCLWEFTQNDSAALMVLAVFFLIGMTTTLCWAAF KVIMIARRSIVMHNNPAYILFSDPQALNKWGFLYVQFRASAYYFILPYLGYLGLKAMF IAFAQHSGTVQAVGFLIIEAGALIAASVLRPWMDKSTNSFNIAICAINFVNSIFLMIF TEVFNQPRLVTGVVGVVLWIANASFALVLLLMLIVTTVIVIFRDNPDGRYTYMADDRT SFMKSQTHLTTTTELDALAATARGGKEGFNKGMDLDDDAESITSDSLRRQTERLGVPS AHSNQSFGAHSNNSFGAHSNQSFGAQSNYNNQGYGNRPQSPANPSMPFFPADGPRSPP RYQDNGSMRAPSPFDGSPPYRNQNNSPGPGAFRQQNNASPSPWQRGAGYD QC762_201410 MFLLRRPSRFRPSRIFSHVPHQKPTPRSPPLPPPPPPPPSPQSL RSYPQPRWHSTKPPPPEEEEIHQRIIPHKRNPYNPSNNRNPYSYRTYNNDHYIRLQAA EPLRGPSKITTTAVIAIATTAGLLFYFANLEKVPVSNRTRFNVYGPDSSTLKSVAEMS YKRLLIELQDQGARILPEWDPRTVRVRRVMQRLIPFSGMGANQDWEIFVIDAPNQANA FVLPGGKVFVFSGIMNLARGDSALATVLGHEIAHNVAGHFGERLSQDIGKNILLFSLM LLGGVIGIGPLIAGWFGTSVIDITFGNPMSRLQETEADYIGLMMMSEACFDPRDAVGF WGRMEMVGQREVARGGVDVPEWASTHPSNANRIKKIQEWLPEAMRKREDSDCSTTGST ADAFRRALETGGFIIMGW QC762_201420 MAGGHVKYRHLSRTSSHRQALLRNLVTELVRQESIHTTWPKAKE AQRLAERLITYAKKNNETSRRQAQGILFTPDELMPKLFGPIRERYLNRPGGYTRVLRT MPKNKFDQGDSAILELVDGPKDMRFAITAAAVARDRKLGTGHTDITIKNIQKVTQFRP EGRDQFEEMVEKVAGLQLNMNELKDKAVKKE QC762_201430 MGPRGGAGFRGGPRGGSRGGSRGGSRGGSRGGARGGFASRGRGG TRGRGRGRARAPTEPRSAKFDSARLANDDDSQSEDEGVPEEEEEQSDVMSLVDSDSDE EEDATSAQPYVNLMKRLIETNPQKAKRRKLDHAPAEDNQPEAAPAPESGDEDERDDEQ EEQRDVDEVEEAEEDPADIQPEDLFDEDDDLDETDPFETHISNPDENTVPPRVRAAQN GKWKMQRQPFESTRAYWSYPQTEDGQELPLPAPITSVSNLHLKKRLKEVMEFKRSTFG AVEKTVAPFLFNYRDVLYCDRTVGSSQDLRNLAALHALNHLYKTRDRVIKNNARLAKA DANEDLELRDQGFTRPKVLMLLPTRQSCVKMVDSILSVCQPDQQENRKRFEDGYIEKL SKFSDDKPEDFRDLFSGNDDDMFRLGMKFTRKSVKYFSQFYNSDIIFASPLGLRMAIG SEEERKVDFDFLSSIELVIVDQADALLMQNWEHVEFIFEHLNIQPKDAHGCDFSRVRS WYLDDQAKYFRQTVVFSAFNTPELAELMRAHCHNWAGKVRLQQECPGTIQYLPVKARQ TFSRFDAPTVAADPDARFNYFTKAIVPLLTKRNAKDANGTLIFIPSYLDFVRVRNFFA NNPIVEAVTFGTISEYADIPEASRARSHFLTGRHKVLLYTERAHHFRRYQIKGVKRVI MYSLPDNPLFYREIAGGYLQKSEQSLMVEHGQGVVRVMFSKYDLMKLERIVGTSRVGK MIKEQGDTFDFV QC762_201440 MAVDQENFVHLTRPLAPTLLGFGAGSAPLTVNIQPQSVFSIIDH AVRRDINGSNSNRVIGALVGTRSEDGSEVEVRSAFAIPHVETDDQVEVDVDYQKNMLA LTLKAAPREQLLGWYTTSHELNSFSALIQNFFASPETGTFPHPAVHLTISSDAGSAIS TKTYVSAPVTVVSERAADTCLFIEVPHKLLIADSDRSALSTVSSAAHTEARAAPVVSD IENLAQSLEVVSDLLERVSGFVGEVLDEERDGSHALGQYLMNALSLAPKVSNLQIEND FNNHIQDVLMVSYLANTIRTQIDLSQRLATATIVGFGGEAGEKKEGEEGEKKEGREGG GGGRGRGGKRGGRGGGRGGNQQREPREPRENGE QC762_0032180 MLSDPQPCRMTREEREEAYNKARQRIFGSSENKENQNQDGEDSN GVSRASSVSAKDRVNGGKRKVNKQRRDDNEGFESRSQFVAWCGPQQSTWAAAGPQYYQ VAAPQFNGQYQQQQPPQPVYQTTMQPMYGPGQPHPPQMMPGNGYPPHYNAVPQTYQTP AGPQPRAPLPPQPAYQAPNAPPVAGPMYNAPVPAIQQPAWPQQPQPQAQPQPQLQQPP AFTQGAYPPPRGSPVPGHAGIPYAFGQLPVNVNPNDPKSQHPIPGSYSRQSFNPKTQS FVPGGGIPMQQSTPPPAGSYGGTSSHHGSPHPQFNSPHLSYAGYQQPIPQPMPQPGYG PVPGAYSMTRQSSNASMTQYHNVHQPLHGMPPHAPQHMGGPPHMAPKSNGPPGQQTYS HLPNYGNPATLPQKPST QC762_0032190 MTAIPSAVVTDNQRLSFARVAAASASKDISTTTTIVKSPGPSPL KEKRETTKSVDVPVPPVVTANPALEMSTPESNISPAAQKMASTAQSMDAKVVEGLKDL KLEASPSNVVVANGSLSGAVGRSSRASNGQTPADDVSQRADSNSELGTKPPSLDGKSI TSGTTFALDEKESLRPDDSASVKAAAEDDDAFSIRGSYMASSRMGSDVAARIHRIQIG DMPSRAATAHHGLVGNKNQGIATPQSGVSDKQLTSDAKLPLASGAVAPDGMANGFLSQ HPDEKLLEAMQSHKDRIFLLRLEQQVIEFVQDSKEPFMDLPPSNSFCRMLMHKLADYY HMTHSFESQAGAVRIFRTPFCRIPPSLSSIAANTPNSSSPAPAVMPRKIMRRGEDGEF GPVSAAPSKPTSEAGSDGKDKSVPREK QC762_201460 MWRRSGPSKATSTNVQCQKCLKRDTYFSFHRNYFHFPHLTLTAR HYSYECKASAQERPYIPRPSRTQQLFNPKLQPKLTNAVPDDIEKKKGVADKILAEKEA ERARKRELERDEEEELSVKGSPPLRRHRSPSYDSVSSISTRSPSPAPRRSPSPPRRER ISRDMELSPRGHPVRPRSLSPEERYSREPSAIPERDYPPRRRSPSPSQARPPRRHRDF DDEPEPERAPRHAPPGRDAEHDSHRRRGYSRSRSRSPARSPPRRDGRGRGDGPRNRFR DRDDDHPRERNAPPAQQRAPPPPRERSLSPFSKRLALTQSMNMGR QC762_201470 MSSHTTLAAATDDRKARLAKLKSLKRKQPSPSASDEAPSPSRSQ SPPTKQVSHLHLSGRNYDPETKGPKLGFEAPPTLSLEAPTLEEQAADLQDEVNRQAAI DAAQAAEKGIDLFKLQPKKPNWDLKRELNAKMEVLNVRTDNAIARMVRERLAEKKKVA EESHRGSKESEDKEADGMLDGAAIVEGIKLREREEEEEARREKEAEDEELGLARRFDE QDEIVA QC762_201480 MSGSRLSTSVPDTPYTPLATATTNIPDIESAELARDAPFTTTTR LSFQSDDSDIIPPWEKSLGKVDSHMSPDDMEETAKANPDPANNVNETSPETTPVLSSL GPEEIERNYASTAEPGVASHTFNAADRDNQVPQLEPYRFAERGGKLAWGPGHRGNWLD SWEARFRPLYRALKSGRAYSRMLADVEDFPDWGKTEMLFEAAEIEQGEFKERHCGFGS VDEYMAKWMDSMLYLLRYDPELAPKFLRATFEGFLTPRWAVNDTAEFLAKWCSLSRSS KKTTHELAETIRHILRTRTLYMLEFTQQTLFLLTRDMEAEALWNLYRDLLQYAHPLHP YTWYTIARRLARDPRYKSRALDLLEEAITSGELHGDAPLTMMLSTCILDFQGVEDGHL EQLGRLRRELTTRLLNLITPNKYTYSVMVRGMRATGDYQGAWTIYQIMVDQGIEPEQF IFSNLLNVAKRTNTIEPVLQTLEELVPEALKSRHIWNDIIDSVLVISRQHDLQLKEEG QRNAHAALTFRALLLVYARFYRYKELQSLIPVRLGPPYETKEQVFATATSDMDVVFLR KIDLILERLPERVEKPAVPGSDVISIMLRGYTRAVWKAGEVISFYKRLRAKLMQGQYT VCQICREQDSRVHDAILGSLLKIPKWNNVAWAPAENSKRAAESRDALQAGFGILEDMI EGAMCAERVLKEEEEEELLAKRMADDDSPADEEAVQTIESVLPSQEDAVLSEQEPLLI EGGDGLPTEDSAAQDIMTEEHILVEEHPPPEEEHRPAEEDYLPPSEENLPTEENLPTE ENLPAQDGLPVKDDLPVEEQNLPAEEDLSVEESLRGYEEYLQAEEDLPAGEDYLPPPE AERPATREELQPTEDEAQEPLLTTDQPQEPTEPPPLSEPSKPSPPEQPRQSAPSPQQL LPLLDPDLVTPLHPAPRPGAGWPSFKAQQAQWAAFHKDETYLYSMSPAPTIYTFNILL SGVIRASRSITNVKDCIKQAEDWIKYLADHNLQPNYHTWAILVRNWSRQQRPGAVAWA MKKMEEAGFRANNKMMEELQLLRDKERAWRELERLMEEDLGREKEGGGGGWERLGRIV KEQEERRRKLEFELVVEDEMYGNEVYRDGRGGGKEESDWDMFVKRVEEDRKGEEREKR EGGGGKATF QC762_201490 MSIDTFASNLGRKMSPSATPPTVAKGHKSVRSLATFFEEGAAPA ATTVPSSSSSNPSNLPVATSSSVFAPSPSSKPKLLWDPHEPDDNDLTLLDYKQFMANL PLGRCLDDLESPTRAAASSSRQLHPEAPNSQHAQEAKNVLATLDRLFPPLPPLDDPPV PASDPEPSSAAGQELAPEPEHTQERAKAYRDVTRRVLSIDWDEIEEDDIFRPPSFVLP PPPPSPLPAFSPSSPRLQPVTEEQEDESNESHNSYQQDDQDQQRRSREYQPKERYHQA EEQQHQYEKYQPAKEQQKYQPNERHQPEQPSQQAPLPLPIGKLALLSLADSPATPSPS PHRPPPMPTRTPPPPPPSYPPPPIPSITPPVFLSSFPAPPPAPPQQERCPPPGAATRH HERKREEFMKKYAEYMNSRYNPESGNYMGPEVRRVMGPENEETEEETEEEKKAKKLDE GKGKASDMFG QC762_201500 MAGHFSTIFSSTSSFGYANIDVPIQDLAATQAEDNLYIDPILSA TTQRFLNDTHSKTYFTSMGVSVVPPPTQQRFASPMSSHEPSSASGSAPSPGAETESYY EHPTTPPEVPSVFSPTPGQFDDFSSTHDAIIRFTGSGMMPSYGAWTDGGMNSLYNDND MDYSQGMFFEPSYTSTQCDMSTNQNTVGSDFTRLASPAEDMPMIKEEIQASTSYSSPL KRELDHDSDSSSEESSLPPTPKRHSDSGSEDEHRPAKKTKLNNNNHPAPIIQQQRIPT QVWHPQPQQQQQQPPKQQRLSKPSPRRINPLAPSSVSFKCPDCNRTDFPDRTDFDAHV KKQHTRPFTCVFHFAGCEATFAAKNEWKRHVITQHLLLDYWLCTEGVCAKTNNGGCRL PNGTIFNRKDLYTQHLKRMHMPAGAKKLCAGRANKGGVLTPGEKEEMAGWEGRLRELQ ERGKRERCKLPEVMRCPVKGCKVGEFRGPEAWDQRMEHVAKHLEGAALGREGQVWGEE DGSLVEWARSREVGIIERGGRGGRWELKKVLERQGGGGNGQVRGGQGQKGRGVREEIV VSGRGEEEDAEGDVDAEGEEE QC762_0032250 MFAPLTRPPLVTYQIPERLASTVKPRECSAGEPGMQSKRTLTGN SSRVCFDISRASSKASQPEAQRSKTTAHNTLLALLKRLLPTPSAPLPPGVEIGQGWPG NLASAPPPVSQGLFATTLATWQNPLVPDAVLRL QC762_201510 MSQLPRYSFAHLAEHAPTSDSSQMAGMTRPSGVGINNYSAYAMM QDNLGGLSTYGNRHVPPQNMMASTLNASDFLKNYRIVKNSQLAIAPVSWRDSDFTAPI YTEYRNNAPPSEAETLVSPTGGKLVSDSGYGSQTIRSVGNPSSVYNGDVDNPETQNIT QQFSRYGLSQVTTEDRPRRRDARSQRAGSTTSTANNLRCLDCPQLTFKTNSELRKHKA RHEKPFKCDMPDCPKATEGFSTNNDLDRHRAGVHRIYKSDAPVYQCVIDSCKDKNKTW PRPDNFRQHLKRVHHKENMDLSNFLYRCVTFGRPDISGASVASEHAPSEAGTHSTYAG QHASWSGLGHGQPVTSLSHHVPDEPAVIQPQHLMYRSSMSQPDYSALMNASGFHSHQS QTGLDDPRIPIEMDLQGLNIPHGFQQQVEPPVQEQTLEQPGHVSPDILTGAGSGLLPS MDEAGEMHAHPAEVIQIDDDTSPDNIMQEEEEDDDDDEFAEQQQQQQQPKNEPVTLTS ISPDQMTLDYSRRQSAAMVGDEESEAGEEDNEVTEQQDSNLEEDASRLQLLAAATPSP EPTITTTTTTTTTTTAIGQSPGRVVSIDLGDVENLKATLEMLRSRGMLDRIVKEFGYQ KSEEVVVLNNTTTTTPSAPSSVVAEVTKEVKCEIDDCNKVFSRPCELKKHQKRHAKPY ACTFSNCDKRFGSKNDWKRHENSQHFQLEIWRCTEQVFSAAAAGERGYECGKVCHRRE SLKGHLERDHQFRDEGEIERKLNDYRHGRNFESRFWCGFCVKTVEPIGVGGPAHSERF DHIDCHFMGKGGFEKVDIGSWRSLEMVGEQEVRVGGGGGGTKKRGREEDGGDVVVGGG RSGKRSRGGRGRGEMLWTCCNCGAFWNFETTNQCMDTCSHTQCRNCRTFENEATNEEM SFD QC762_201520 MCDYEEFIWSCEHSDFRLKSYCHKARNNPGHACNFVKRLRHCWD QGRPCDACLAKQAAEAHAQMMSGWYGSNQAA QC762_201530 MSGKFEPKTPVQLNPPKDDPISLSELAKANGEQADGKCYVAIKG LVYDVTGNKAYLPGGAYHVFAGKDASKALGKTSTKVEDVDADWSGLTEKEKGTLNDWV TFFSKRYNVVGRVEGATNFE QC762_201540 MPVPRIAGLRAVGAQRLLSTPRRSPQTALNGARQSLGIDQQGRL KSTTPPPGGGQGAGQQQGQGKEGEQGEAQKEQFKKGLWSTFWTLWKRDMKRGWEITKK TSLKQTWKEEPIGLVIGAVGATLSMCFLAFTFYQYFAYYNSPTFTVFPEDVAFALRKA LYFGDFKKDTKRALHYYKQAIELCQEHKLDHFSDEVMGIKLKLADWLEKIDNHRNAIH ILENLLSDCQRWIVAFEKAEKEEILPGQKNYKPQHAIKAMEGETPGEVKMVVIPPPPV EEEGGAKSEGEAAAQQQQQPPPPTNSDGTPIQPKETFRGKRTRLLMKSIGIAVKLASL YSDDHVLERETAHEKLVWAVETNLRELARRQKEPLKEGEGKWMTPEEIGGTLESLAHD YQAQAQHHLAVPLLFQALRMCDQPCHTAMLMSNISTSFAEHPLLPPGDSPVDALMEQD ASKIFATAKQQRSAYLEAAERWAQNAIAQAKRTTGEERTEECDQACAAAVINYGSILA LQGKTEEARKKFEQAREMIGKMEGGDKGVYEREVEEGLRKLSGEGEDKKKAKKKIMPG GVVPR QC762_0032300 MVFCSKPYRAPGSAVQWRGSNQLSARTRRVCRYCSVLEMISYCL LIICFVKSVTKPEILTSLSTTPNSRPLSFSMLAGMTRIADYESMEHLGASRYLLTFVS AADTLYTRRSCLDYIDMYPQPDAAAMRVGLASHRGDLLSTAVLGPVSGS QC762_201550 MEDFGSALDMPSPSNSLYYVYGDGEHYSSGSQSPSNSTYDMAST TDLTSFASVYPNTEHEEESGPQDQQQKPAAKRKRENRYKNAPPSVLSRRRAQNRASQR AYRERKDQRIKDLEQMLNDAKQRNDVLNQAYAALHAEYVSLRRSRLEDQQYHHQQPDL TYGNSHHGMGLEATGTEGLDMELFVYPDLNPGYSLN QC762_201560 MALDDKMSPSITTTPMGSLPNLRRKLTRLVLTRGIFITTVVYVF LLSLIVGVQAVLPAEFTNSFDGLGEGGSIGLTWEGVKPEYFPLSITAQVIDKGEDGSG SKVTVYKVNITVAATGSSYVWTNMPRPLRWIKSGLYQLELKPSAWTDDGEVPVLARSP FFSVGDYVPPAPSPSESSSPEPSKGDKESSSGGGGVSKPVAIGVGVAIGVPSLVGLVV VGWFFRRRYKRARAEKRRLKRSEFVIY QC762_0032330 MAPSFSKLGAFALALASGVTATQKYVLSEEYTPSNLFENFEFME FKGNDEDPNRGHVRYQSQADALQLGLIDPVNTDDVFIGVDYTKWAEHGRESVRIESLN SYSKGLFIAEFTHLPKAVCGAWPAFWLTGEQWPKHGEIDIYEGWNLNPQNKVVGHTDV KTAGVCKIDTDVSSGFVHYPDCDVVAEGQPTNAGCALDEGNNLFGNPNGGIWATEWTE TTFKVWSWARGAEPRDVASGSPDPLSWGPPSFALTPKSCDVRSAFKDMRMVLNINFCG DAAGNTWNIGGRDSCAAKTGYAECYEYVRDHGADFEDVFWKVKGISVYQLEDAATSTS TTVSSTTSTTETVTSAEPTVTETASETVSEEPTSTITSDSEEPTATETATETATESAA ESATETASETVTETTSESATETVEVTTTSETATTTDGLEPTLTTEAPETTTTANPGDE DEDDVCSDDEEETTTTDIATATETETVEQPTETAEPTGEATETAEPSAEPTDAETTGA DPTETAVPTETGSDEVTTTAQEFTTSTIFATETFTVTSCAPNVTSCPGRVVTSVVAIG TTVCPVTPTVTATPEPTETATLPDGWTTSTVYATEVITVSSCPPTVVDCPSRVVTSIV AVGTTVCPIGSATVPGEGVPTDVPTFGVPGEGVPGEGVPTDGIPVEGVPTDVPTGGVP TGGIPNIDTTLTTLLTSTGTRTVTLTGGVEQSTSVPPVVVPVPTGGAPINSGNNGTFV PQPPTKPAYTYSPEVTLPLVPINEQTPAPGPTKLPIVEVNGAGRMAVGGLMGVVAALA LVL QC762_201580 MGLLALGTALDWPEAKTKAHHVRAWGIKQLLEIWNKAKVKERDA MLWGDEVEYLVVTYSKDDPKVLLSLRQADILAALAGDKDLAKEVTPPASPGGTKPKDK YPSPVFHPEFGRFMLEATPGKPWGIDFKELLHVEPDMKLRRAIAKDHMLPNEYPITLT TFPRIGSPGVFTEPHYPVSGPKLRSQFVPDEIANPHIRFPTLAANIRSRRGRKVQVNV PVFRDENTPWPWKDPTVNYDLHNWPEDDDVRNGAAPDNFIHMDAMAFGMGSCCLQITF QAKNITEGRKMYDQLSPLGPILLALTAATPIYKGFLADTDVRWNQISKAVDDRTAEEL GEKPLQNDRWRIPKSRYASNSTYISEDPRLREEYLDPNLVIDPEIKQQLLDGGMDDRL ATHFAHLFIRDPIVIFNEDLEVLDLSKTDHFENIQSTNWQHMRFKPPPADNNIGWRVE FRPMEIQITDFENAAFSVFMVLITRVILSFDLNFYIPITKVDENMETAHKRDAVLQEK FWFRRNLFSSRPSRGSGSGTNTPRSGGGGSRANSRPPSPVGPVEDEYKLMTVNEVING DEEGEFPGLIPLVESYLDSVNVDVATRCQLARYLDLIRKRANGELWTAARWIREFVKG HEGYRRDSVVSEEVNKDLVGKVIEIGEREARGEGFKGLGRGVEKLLGGF QC762_201590 MLLQRMAYRLFLLCLTLWTTLLTFADAQQQQTKNVTSEILNFVP QCAQECFRSFIAANFDSRICGNSPSLQCLCRQTGLSGYTVGEGAVSCIIGESQLGSCQ GRDSTSDTTTTAYNMCVGVSKAAPRTHETLTATLIRPTGTGGLIVPTPSPTRSVTGTA TSTTASSTSTFASRTRPPIATASDPNETFIPADPSATPTAPPVASNPQPTLSTGQVVG IVVGCVAMVLLGVGLIFLARCIRRRRYGDLEGGDSGFAKMKESPNNGRASVFPGLQIS SPLARIPAERDPNDPRWNSTPALTPAVSNTNSERRVPGVGVGLNASLFANATMVPSPK PARTASPKVQAQNSVPKVVLSPPAPAQPQPQGSPPKPALTLAIPRPQERMYRVPASRT DSVVTEFAEDGEVETAKTAKTSVWRPPPSDPQSATAMYFADKGGNWVLRNTPSQRPEQ RPEQRPEPSVNKNKAVPPLPKSQQASVPLAQAELPSPDLHKTRAERAKDAYVMFSPNA LVSPLRIPSKESAKMLGSPIAFKDQRREPQVSRQNPANRSSQTAETLTSSPELGRRQG KQPDTYFDVMREGRELTGDRSKRRSMRRANRRNSQEGAQTPGRSPYEDDAVIEDEMQV DLSPVVESPNTPISPGKSPVSYPKIRKRTEAAQVPPVPERADRNSRSSRGSDLLPRGH QYNVWHPGHSMPAAPQGPKRPTYGASLNPVPVRNPAQMRTGSPDTRGGPTIEDQYFRS QKRLSNPASYWGGPSSSPPRPAQQTRPPYDPRQQPQQQQQRPNPQQQQRPYPQQQQQY LQPQQRRPQQPQQYSQPPPYSQPPFPQQYLSRPQQQQQQQQYKPYIPPSYPSPEGATS SSQTPIDSAVAMSNPGGSNSAHSSQSSLLLAKRRGPDRAAALTLANAGDSYQKKAQRT NWQRQDPDELPPITPGWVPELTPTRRGDDLFLNVR QC762_201600 MADLASRISKPEEGAAPQVDDPTEGANSVGIVENAYDVEVKLAD LQNDAESPLFSVQSFEQLGLPKAINDGLLAMNFKKPSKIQERALPLMISDPPTNMIAQ SQSGTGKTAAFVLTCLARVDLAKPQQPQALLLAPSRELARQIQGVVQTIGQFCENLIV QAAIPGEVSRETGVRASIVVGTPGTVMDLIRRRQFDVSQLKLLVIDEADNMLDQQGLG EQCVRVKTLLPKTIQILLFSATFPDNVHRFAQQFAPKANEIKLRHTELTVKGISQMYM DCPDEGKKYDVLCKLYGLMTIGSSVIFVRTRESANEIQRRMEADGHKVSALHGAFEGQ NRDALLDDFRSGRSKVLITTNVLARGIDVSSVSMVINYDIPMKGPGAGVPDYETYLHR IGRTGRFGRVGVSISFVYDRRSYEALSNIANHYGIDLVQLSPDDWDLTEKKVQDVIKS SRARPDYAPNALGN QC762_201610 MAEVDVAPTFGSELKDGFKPANAWVANGIAWLDDIQSFFRERSA IEKEYSAKLNALAKKYFEKKAKKSTSLSVGDTPTMTPGSLESASLTTWTTHLTTLESR AEEHDRYGNELVTKVADPLKVISGRFEELRKRHVEYAERLEKERESSYADLRKQKTKY DAACQEVESKRKKTESSFDKAKAQSSFQQQIHEMNNVKNTYLIAINVTNKQKEKYYHE YLPEVMDSLQDLSEFRTIKLNSLWTVAANLETNMLQQSLGQIQHLTQEITRNQPHLDS MMYIRHNMGAFQEPADKMFEPSPVWHDDESMAIDDPAKVYLRNVLNKSKSQLGELRRE VDKKRREVEGLKRTKQNVRDGREQKDEVTVIAQLFMIQEDLHQADRKRLTAEVETSTI TSVVGDVTLGARNHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCEMK VPAECPGELNKEERKKIKQERQEAANALLKPSNGPPDHVAELPALGRSETISSVNSGY AASAQRSMTSPSEETPPEIPSATRPGIMPTASTTTVRKNRVVAPPPAAYISELPGSTP SSNGSAEKKGKMLYTFEAGGDGELSIQEGRELVILEPDTGSGWIKVRAGYKEGLVPAS YVEMLAAPPPSLAPQHTGQSARPPSTYSNSGSSIGGTIKKKGPAVAPRRGAKKLKYAE ALYDYTAQSDAEHSMTEGERFVLIKEDPGDGWAEVEKGGVTKSVPASYIQAV QC762_201620 MRSLALVPVLATMLPSLGTATPSPTVAQPPTKRGSLPVVSVSGN AFWQNDKRFYIRGVDYQPGGSSAMADPLADTTICSRDIDQFRKLGINTIRVYIIDNSA KHDECMGKLADAGIYVIVDANNPLYSINRYDPAPSYNAKYLQSVFATIDEFAKYENTL AFFSGNEVVNDVVNSTLAARYVKAVTRDMRRYIGERGYRRVPVGYSAADVGSNRRQQA DWMNCGSEDERSDFFAFNDYSWCNSDFRTAGWDQKVKNFSDYGLPIFLSEYGCLTNGR DFGEVAALMSDKMTSVYSGGLMYEYAMGDNGYGIAKIPSVKGSSVQKLDGFEKFASAL AANPPPEGDGGFVSTTHANACPTKDANWLIDTTLLPAIPEQAKMLMMEGAGSGPGLGG DGSQNAVDAGTSSGDAEQGSGTVTSSSTPKGSENAAPATSTPANARAVVKIPLVLTGM VVVLTLGGSFLL QC762_201630 MVHADATNFSPNLTKPEAYQQLLDEVEGLCYEQRNWVCNLSNTS SLLWHLYRSLPSPSSSVNWAGFYVHDHTSPPTKPRLILGPFQGKVACQTINFGRGVCG TAAATQTTQLVPDVEQFPGHIACDSSSKSEIVVPIMVEGKGVVGIIDIDCTVENGFDH IDKEYLEKLADFLAKSSDWP QC762_201640 MARFIPNRLKPGYSNSAANSASNSRSASPMRSKGDSASPEGRRE TGLVVQVSILRAKDLAAKDRGGTSDPYLVLNLGESKHITHTESKTLNPEWNETCQLPI NGIQSLLLDVNCWDKDRFGKDYLGEFDLALEEIFADEKIEQPPTWYKLKSKRPGKKTG VVSGEVLLQFSLLDTSNKDASPQQIYEKFAAIAKSAPAVDASLTPTPSRTPVLAPTKG KVPSPAPSLGGRVTDDADEDDDYDYEDETPEDEDPTKPETAEKRRRRLRIKGLKRKKR QNPYEFINGGSDVVGIIFLEICKITDLPPESNVTRTSFDMDPFVVASLGKKTYRTKTI RHNLDPVFNEKMIFQVLNHEQQYQFAFTVIDHDKYSGNDFIASVNFPVQEIISKAPKA DPATGLYNLKEVAEFSAPAQRTRFMRLGLSRTNSTQSLSKQARPALSKNPSTLTNVSS LVATPALGSGQQLHEVPEGAQTPAINAPIPAGLVQPGESGVAAAAANTAENASPETED PDFFPYTLPLRMKNLEKWEDKHNPQLYIRAKYMPYAALRQQFWRALLKQYDADESGLI SKVELTTMLDSLGSTLRESTIDSFFLRFPHKAGDNEDVEDLTMDEAVICLEDQLESKV RTPGVAERVKNILPDAAEKVKNLLPIPGKESHPDTVQPPSESDLGGTDSITVPELATP GEEGDYLDRDDLNASNTEEHVVEIRECPICHQPRLNKRKDADIITHIATCASQDWRQV NNLMMGGFVTSSQAQRKWYSKVITKISYGGYKLGANSANILVQDRITGQINEEKMSVY VRLGIRLLYKGLKSNNMEKKRIRKLLKSLSIKQGKKYDDPASKAEIPKFIAFHGLDLS EVLLPLDQFKNFNEFFYRALKPDARPVSAPNNPRIVVSPADCRSVVFNRVDIATKVWI KGREFSVKRLLGDAYPEDAHRYEAGGALGIFRLAPQDYHRFHIPVDGVMREPKTIAGE YYTVNPMAIRSALDVYGENVRIVVPIDSPEFGRVMVICIGAMMVGSTVITKKEGDEVK RGDELGYFKFGGSTLVVLFESGKMVFDDDLVDNSNTALETLVRVGMSVGHAPSEPQWT PDMRKDDAQITEADKQDAKRRIQGQVAEESPNDDSGSGLDVDGEGDGEVTVKVPHLGT TNGPAVTA QC762_201660 MDPYSAEGELINIHNHFHQGQYQEVVDYDVSTLSSENELPARVL QLRARIALGQAENALADVTGEKEPELQAIAALAEQALGNSDKAVGIIEKLAESAADNT TVQVVGGTVLQAAGKSEEALALLSQHQGSLDAVALIVQIHLQQNRNDLAVKEVTAARR WAQDSLLVNLAESWVGLRLGGEKYQQAFYVFEELAQAPATSSVRSLVSQAVAELHLGR TEEAQAALDQAMKKEPKFAEAIANLLVLNVIAGKDGAEQKTLLEATDAQHPLLVDLAE KSDLFDKAASKYSAKVSA QC762_201670 MEITIPPRDHGPSTFRYAWDENGEVTQMTRVREDQPSPLVTPRP IPGRQTIQWPFQSIVTETEIASPTTTTHFHHHHHYHHRRATTATTWRPWLQRRRDSDG HSIARNLVPDYVVNYLRGETPETVSKRKYIHYGVGKEGKRPLPGSSHRHLQSRAAEFG GFYESESSEGRGSGDSGGDQERLGFGNEKRRGGDGRALRNTLLVGWRAGVAFNTLVGF VILIVGFICLILAISKASLLGGGGGRLAIFTGSCAAATRIDWGLHAVVNVFCVVLLAG AHYVFQVLSSPTREEVDEAHRKWQWLDIGVPSFRNLRFIGRVRVVLAVVVMGVAVVTQ IMYNAVIFTSQTAPDFKAAVVTDAFTRGASFSNATENNNGGLSRLEILSLQRQASSDG MANLTRSDCFIQLDRTLESDLSAILLVSNINSPSSLLQTAAGPRTSPFSSIVSDQSTI RYCLSAPLIQPKTCEVNLNASLLGVVALLNSFALVAGASILFKHHSRFSPLCTLGDAI NSFLREPDTATQQSGSLLSKKQDVLTGRWGAGINEPARYYIPTHHYWIKSVSFTNLTT FVAVWTVIASLVIVALAISVCHDPEHLLTSFPSTLLGAKSLVMFPGGIPPAGAAIITA LPQLGLVLLYLTTNSILTSYHLSHECSLFAVSPRPLRLSSPFPQGLQTSSLFLTLPRP LSWLLIFGFIALGFLLSQSFVLVSVTTGDMTANAVGLSGVGLLALLSLLLILLLLVLG LGLRKAPPAGLQGWELKGNPMVLEGGSCSAVVAARCHYHQFFVPGGNGTRDGTTISGG ERRREQSIWKQELIWGVIKPGVGMEVGLCGFGRGEAQDGVGRLGVGRCYA QC762_201680 MDSFKLLKRRTTELFNSLPSSLPAIKSPTLGSGNSNTMRGTWEK LQLPPLPRSGHTADIVDGTVYIFGGGNDNDVHTITLPASGAQADYYTIKAKPVKSTAS KPKDPNPDVPSISIEETPNDEPQNLSDISLTSPPPGSKDKGKSPASPTSSLPDIPPPR KGHASAVIGHRIFLFGGSSPSTPSQPLNESGRVWIFDTRTHLWSFLDPALSTPAHPSP RFNHAAVSTPKPDNFSPSSHPSGHTTWKEWALGTDTTTLHEQGIPQDPVVGFLAEKAR DLDSEGYGTFIISGGTLPSGEASDETWAFDVHSSTWQPLPSSLPPVTGGCSLALAKNR LYKLGGGEGGGGGSDKLKMEYLSLSLDSFNDVASAGEEVLVTANGGWKPILPGKEVVG YKTPDLTAVPLDDDDDDNSASFWPAARTNASLSVVTIGGVNGREYLLLAFGEDGQGGK MDDVWAFLTPKSGHEKGRHGVTEGSFVKAQDAVWDGVGGLLGGGRDRHQEGRWFRVEM GVEDEEDDDSLDGPGRRAQAAMASIGDLDESGVVIWGGVVGQGDRVKGDGWVMRLK QC762_201690 MASKLAPVMRTALRSVSRVAAPSSSPLQTRAISMSARRQSDTLM VHRNTPDNNPSIPFKFTDQNEKIITEILKRYPPQYKKAAVMPLLDLGQRQHGFTSISV MNEVARILEMPPMRVYEVASFYTMYNRTPVGKFHVQACTTTPCQLGGCGSDAIVKAIK EHLGIKQGETTPDGLFTFIEVECLGACVNAPMVQINDEYYEDLTPETTKQLLTALKES LNDASKAPKPGPVSGRDTCENSAGLTNLTSEPWGVETTRSDL QC762_201700 MKFSFVALLACGLTVDAHAIFQQKISVNGQDKGQLTGIRAPNNN NPVQNVNDQNMACGQPGSKSNTVVNVNAGDRIGAYFGHVIGGAQFPNDRDHPIAASHK GPVQAYLAKVDNAATASSNGQKWFKIWHEGFDQGSRKWGVDTVIQNQGWTYFNIPQCI APGQYLLRVELLALHSANQQGQAQFYKSCAQINISGSGSFTPSQTVSFPGAYQANHPG ILTSIYGLTGQPDNGGKSYSIPGPAPISC QC762_201705 MTDAKRSLITKMAAISTSVVARDTYAALSKRQNWAAQEPGVITV FCIVGVVGIGLICLFIYKKLVARRERRQAVV QC762_201710 MAPHAEIANGDGPVNSGGVSSSTNQKVTFKVNSPNVEYTDNQIR SKYTYHTTEVSEVNGQYVAVPKETQYNFNVDTKVPKLGMMLVGWGGNNGSTVTAGIIA NRRGLSWQTRRGEQKANYYGSLIMGSTVKLGTDAKTRKDINIPFHDLLPMVHPNDIVI GGWDISKLNLAEAMDRAQVLEPGLKALVAKEMASMVPLPSIYYPDFIAANQEKRADNI LEGTKASMAHVEKIRQDIRDFKAANNLDRVIVQWTANTERFADLIEGVNDTADNLLKA IENGHEEVSPSTVFAVACILENTPFINGSPQNTFVPGAIELAERHRAFVGGDDFKSGQ TKMKSALVDFLINAGIKLTSVASYNHLGNNDGKNLSEQRQFRSKEISKSNVIDDMVEA NTVLYAPGEKPDHTVVIKYMPAVGDDKRALDEYYAEIFLGGHQTISLFNVCEDSLLAS PLIIDLVLITELFTRIRWKAVSTDGAATKDFEGFHSVLSVLSYMLKAPLTPPGTPVVN ALAKQRSALVNIMRGCLGLQPESDMTLEHKLF QC762_0032480 MDPGPPPLNGDLNGRLVRNVEPQGYSADFVSFTAHVLQGRDHDT VKTFSNLLNSVHHPYAGARCSASPGSSADYISTR QC762_201720 MATVGRESSFMPTVKCSSCGLQVEISLMGEHECSGPPAVEETPP MPAPSLFERFNPWGAPAAPTDQSRAPPQVDTSAANRAYAGQGQLTPVSSLSSGSQPSE QNISPKTPNARPATDKPDEFFAPQIANDSPPPQPTRRSGGYGGLGNGTDFDDQLPPSN PARKQSPPNLMERLNSIAPGPFDANRRPSSSARSDINDRPGTSASNLGSLGGGQAQPS LRKNGYGGFGVPARSPSRQEDNPPPLTPSRADTFPRPNEGFPPPQRTPSAPPAALRIQ PPDRLRAPSESFSDRDGGASPDSRGSMMSDRPRRPSRGPDTSRPPPPRSATLRPTTPG LPTINLAEEFGVGNPYHTPSESTGSSVSVHSMSVQSVSAQSSFERRPSQASSRTSPPR SIASRSGRRKPSDTSSFDNLMSDLQSTMDDNQQKPPGPASLKMPYKGGRDRPSPLSAR PPPPEGGYDPRIDPRGQRRAAGGSPLPSPLEISPLGESPAIMTPSSLTPGSGAQPSPG WPTPKPEPARPREQEPPVPQQSALRELQGASPMDRSQGPQRAPPMEAPRELQRAPTME APKETQRPPVEPFRPQLQRAPTMEVPRQLQRAATMDEPRDMQRQDPSRTPQRPRDPRD ELRDGRPLHERSRSQPRNLPPPSAQPSRGDCKACGLPIKGKSISSADGRLTGRYHKPC FVCSTCQEPFTSATFYVLNDRPYCEQHYHKLNGSLCGSCGRGIEGEYLEDETSRKHHV GCFKCGDCGMALRDGYFEVNGRAFCEKDAWRRVQQPPPPPMMMGGGRGMGGPPGRGRG AMRPPGPMGLPGANPRFGSNGPYGNSRLGPGPRPKMEKRMTRLGML QC762_201740 MLTKTHIRRVLTRPKTYIPALAVFVLCWILLAHHGGYRVVPFYD RPVNTERDPDERKELALQAQRQLFEKDWRDLEKKPGLGAVYGNTLNSLTDRDTRKPSH EAKLTLGDNSTSFTKERPAIYNPYPKYNSKEWLATHARYVPCIGATGDEVEDIKVFRG RPRNFPDPGFGSYSTLGLDRNLCFERETRMGQYGVNPVMDKDGQPVNWDKVNWGELQS KCVDQNQARFATSGPPNAYVDEEEDSEPSPDLKKYDESAEKQTPKDLLATFRRFWRGP DARPLRSRSIKGVFENKRAYNSTVKAGEARTAILLRSYTGKEYNDNDKQAIRSLITEL SLRTGGEYQVFLFVHYREDEFDIWASEDLYRQAIEKHVPEELRSITILWTEGAVDKMY PKLTVKARKVHNGQFLPVQMFMQEFREFDYVWNWEMDSRVVGHHYNVLNKLAEFGRKQ PRRGLWERNERFYIPSYYGGFESKFRQTVERQVGDETIWGAPKLPVVNPVGPKPPVEN PKDDNYKWGVGEEADLITLAPIFNPVNSGWIMRNQVWGYRNLNFPWGKLPRRATIITQ VRASRRLIDVMHAEDLRGNHVASEMVSQTTALLHGLKAVYAPMPVYFDRAWSGSQLAK WFNGGPKGQSGSFGSAMGWGQEGRYLGSTWYYRAIPPQRLYNNWMGYEDTGIGGPEWE QKHGRTCLPSMILHPIKEVKPTEKGYSTDSKLPYD QC762_201750 MSPTHTMSAHLCKQIVSSWRESRQSNTSSSSPLPSPPNNMGSYF PRSLSSSSSPKTSLDNGDRHDTSVPLSRQTSNNNNSSNWRWGSR QC762_201760 MFIGICGSICAGKRTIANYLADHHGFTHLYLSPKHTTQTTPPSS ISSSSTTQTTFSTPEELLEFVTKRWRDRFVTTDIPSEEILELYTRRPFFLLLSVDAPL TVRWKRFLARQSQSNHGRFLKGPNEESELDHEVTDLESFVTLNDSHLYDPVNGTQAMI SRATVRLLNTSSSLAHLYATLGKLDLLNQDRLRPSWDAYFMALAELAAQRANCMKRRV GCVIVRDKRVISTGYNGTPRGLVNCGEGGCDRCNAGQGSGHGLTTCLCIHAEENALLE AGRERVREGAVLYCTTHPCLTCSIKIVQVGIGEVVYSHGYSMDGDTAAVFREAGVKLR QYAPPANGLVHLEKLDVYK QC762_201770 MAPVKFVPFSSEIELPFYSSLFSSKLDHDRLDDSARAVLGVYEP RVQADPEASVRMQILGNALTAKDDDSLPVGTSRAKGFIKNVNTIEDFNNVNKTAMIED IGRQIWDAIQDGTIYSVPSLLSSFAILSFADLKKYRFTYWFAFPALHFKKPLWERSGD VERLNSRESEALVESVGTWRYTVSTEKEHGFFLAKKSRGEHTTGRGPVDDSMAEEIGY QWQIGSLRDFENGFFNDIPEEDRYVAFVDPSNYPEHPSWPLRNLLVLISHRYRLKKVQ ILCYRDTQARRHEARSIVLPLSLNLPKDFDFATVPDVTGWERDGGSKLRRRVADLAEY MDPTKLADQAVDLNLKLMKWRLAPNLELDTIKNTSCLLLGAGTLGSYVSRNLMGWGVR KITFVDYGAISFSNPVRQPLFEFEDCLQGGKPKALKAAEALKRIYPGVEAEGHVLSVP MLGHPVTNEAKTRDDFNKLQELVNSHDAVFMLLDTRESRWLPTLMAKSANKIVLNAAL GFDTYVVMRHGAKPEDGSEDTLGCYFCNDVVVAADSMKDATLDQQCTVTRPGVAAIAS ALLVELFSSILQHPQRQYAPAPVPSANGEYERDPPEHPLGIVPHQIRGFLSSFNNMNI RGRSYPQCSACSKPILEAYAKDGWGFVKKALADRDYVAELSGLAEVQRQAEAAAADVE WSEEEGDDDGEGILL QC762_201780 MAQGAIKKAPKANPGLTKAKGSGGGAKKVNKPQKAKSGGSADKQ KKKFTSGMVAKTELMLGARAGHLELIGKGRDKTKKGPATENKGGSRKFG QC762_201790 MHMTNQLISNLQHAFKDPSQALFLPPVIGPSQISSEPHRLLLAP CQVSHCRELSSESNTKNTRPPTSIPPIMTTPNTPTGPDLHLDPGPDPESAFLLSAYTR LRATVTTHTTTHPVLPTPQTLTQTLSSLPSAPFDLPPSTPSSTLTHLLESILPSLNQQ STSPYYYGFVTGSCLPIAQAADNLVTALDQNVQVHFPQSSSSSSSGWVHSSATFVEST TLSMLLSLLDLPPSRFPAKTFTTGATGANILGLACGREYLISSRLSSPEKSVAKVGLV KACIAAGVADIKILTSKPHSSLLKAAKILGLGEECVLDVGQDDKPWRIDLDKLEPALK EAEKNSTACIIAISSGEVNTGRFATAIFDMPKIRSLADRYKAWIHVDGAFGIFARALP NTDEFLSLRACVAGMELADSICVDGHKLLNVPYDNGIFFSRHPSTLTQVFSNPGAAYL APPPSSSAAGSQEDGIFGPLNIGLENSRRFRALPVYAVLKSEGREGMEAMFSRMVFLA RKLASFIHNSEHYEMLPETENHVEEDIFMIVLFRARNEALNNVLVDRINQTGKMFVSG TQWQGRKAVRIAVSTWRVEVERDAKYVEGVLREVAESFVEA QC762_201800 MAESTKSGTSGVSSSSTASKPVDDDRFTFESVLDDSSHIEADSI ADFREEFGRTYHSYRAGSYYFPNDPTEAERMDEQYEIIKVIMDGRLHLAPFTREKYPR KVLDIATGTGLWAIEMGDEYPDAEIVGTDLSPIQPPFVPPNVRFFVEDSDYPPSEFDL IHTRVTIGCWADMKKEIIARAFHHLKPGGWLECQEVPGMPHCDDGTMPPDYDWLKWTL ELYNSSRLANRQVDVGEQLKDWMREVGFVDVHEAVFKIPLNGWPKDTRLKHVGMLWQR NLLDGLQGFSLGFFSKHLGKTQEEIEVSLVDVRRSLFNRKIHAYHKLYVVYGRKPEGA ATAPEAEVGGDTGEK QC762_201810 MAQFVLQPHQDPKPSYINPSLVARSLVLNHPLCSSVLFCVSFPS SHRGGLREERVALLICFFQSAPDKRSFPQISYSFVLDSYSKASQHIKIYQSNNRKVNR KTTIMSRRGGPDQPYGGKPIVLPPPGFIKQSGRRGRYGPQQNVDDFWKKFTSDNPGKV TNIIPKNEYAEKLAKRTAAKDLANGGGGGRATSTSYEEAAALCRAKVDKIVKECKRVN QKYRDPHFDLEWDLKTRTRDCLESLSNCKDDYPEYDNSDDDQPRHPRQPPEWNPRGRQ RARALGKKTKRNREGGEEEAEKEIDSVDESDHVRGGQTGYQKGSRKKSAEVRAEMSKL CPRSVKRVGDIFEDPQFFIKGPTADDVRQGRDGDCWLLAAICTLSNKPGLIERVCVAR DENVGVYGFVFNRDGEWFSEIIDDKLYLIKQDYDEQVVDGLINVERRIWDDIENRPDP EEIFRRNFQSGSVALYFAQCENLNETWLPLLEKAYAKAHGDYQAIDGGFTAEGIEDLT GGVTSELNTTDILDKDAFWKNELMKVNQDFLFGCSTGHMACGYGNRRGIVERHAYSIM KAVEIDGVRLVMLKNPWGKGEWRGAWSDGSKEWTPEWLTKLNHKFGDDGSFWMSYKDL LRKYQRFERTRLFGPDWKITSIWTTLDVPWSPQYHHTKFTFTLERSGPVVLVLAQLDE RYFRGLEGRYEFLLGFRVHKAGEEDYIVRCQASGHGMSRSISVELDLEAGEYTVLVLI DAEKHEGLMEPEEVLRANVKNRRDKILRIGLSHDLALSKAKIAETEEEKTAREAFKKR QQQKHREEVRKRYLDYQKKIHRQELRQIKQKKKQMAYEKAWRVGQQKKRDEKRSAARD SRQHMRQAAEQEQAGAEADDEADKPKGNNKARLDEGTQTEVAVEEGAEPDKKPKDGEE PMKVGEQPLAESQVQADSGAEKPKLDKETQTEIEAHEEKADDEAEAAAEPDVSTVGED TPSLSSSGALAEKNNAAEEGSSNVPANPNEEAKQKEVTSEPVKAATTDDKEGATVDPA KDADVASNSKDIDPHPEATLKLKKALEVVSNFKAELEDLLGTRPDQNNVEQMPRQPHP APMVPIYHQHPAQPHHHPFNGPHPLYQHPIIPQHMYQQHPGSQSRPSSRPPSRPPTAD QQQFQPPPLSRPPTFYTHPQQQFQPQYQPGPPSRPPSRPPSRAPTAPYYPDNQPPRRP YPQQHPPDDGGYISDNPSIMPPMSPPDLSDSELDCLVSDNDDNAANLLRSMLPRGPPG GPPGNGPGGYRSEEEDEFVKDPWNAVVAVGLRVYYQVKEEDKDVEGLVKVRVVRPNEW EVSDDEEEEKGEGVEEEKVLDVDDSAKDATVEGGLEHTA QC762_201820 MSGAMSKRQAARNEKVLQELVQTVPGNNFCADCSARNPSWASWS LGIFLCMRCATLHRKMGTHVSKVKSLSMDSWTNEQVDNMKKVGNVVSNKIYNPDNKKP SIPVDVEEADSVMERYIRSKYMNRTLAAAKKHHTGSSEDTPPPLPPKTPSRFGLRSAS SIFPLGSKKKSSPGREPTSPRDDRSHPPLRNKGSAVFGVSFSAEPDKVEDTEQKLTKL RDMGFTDESRNAMVLKGVGGNLEKAIEALVRLGEGSGRAPGGLLQPVRTSSMPLSRNL TTGTPTSARPISPASTNPFDMLDTPPPPQPLSSQSTGTLQNKNPYLSTNPFGAPPQAQ PAPSAFDLAFQNLSLAPPQQPLFPNHTGGLLPQQQQQQVQQTQAIYQQQPMTAPLGGP FTGMVSPGEQPYGQVGVYGSQTYPQPQPLQPQSTGYNPFFQNQQPQQQPLSVNTTGFN GNYGNNPFTKSPTRLQSPMLSQIPEQTQQNFYATQPQQQPQQSNPFFSQQPNALQQPQ QQQQQQQQQYGQLSPMLQAPQQQVPQQMGLPPQVTGYFAQHQPQQQQQQLPYQQQMPV QQQRPDKASILALYGQQPHVSANPYGAPQDIAQATPVQTPNSLYPPSQQPQQQQAVST PVSPAATGSKNPFAMSMGGAAAPAAAAAAPQQEPKLHNVSRESMMAVGLEWTNGRHSP DAFSGLSARGR QC762_201830 MSHTAPPTIISLKTNFLSAQTRALSNLLHPSRAWQTTNEELPDK AVNDVMAKLNNRVLQHCKRVYAPQATRHVAEQIEALYISSSYKSLELEGDGGEGEGVR EGEDLGTYLYKNKMMRERSGC QC762_201835 MPFPKLEDGPKLEDGPKLEDVPKLEDVPRIIENELSCWHADLAS LHDIFYRPGHDDVISIFVLCDDIIHALSVSSRCEGNFDHDAEDLQIEFDLISRTRLVQ HLRSAIQLRKQAHKWGNTLRELSSKLEGGPRVRSRIGLGDLATTWSVYVAACNQFNRR FLYNGILLFLLTFVSCTLLQTYWTIPVIILGYTVSCCQHAYKEHIEAQAQRVQVIKLL KDSMDEVPSLLERAQACENKITDLVRRSRVYPPTISDFITMACRIHGEPSMDERKARV NVMVPQWIELFGNPDLPQHGGLDQEMRRLAGDIADMLMWTFGDAGRYSVDRET QC762_201840 MRSQLTRNAHRRLLAGGPGAPPCPASVLSSYHARARCLAASTPS LTLTTPPRRTFFGVFKKPARELKAPDVPPGYETLLQFRALEVEDARPPEREGLVTGFK EFFNHMRKRGGAAKIGRRISVPGSINSTQAFLAVRLLRHLLSTEGGGDSFTFADLKLI LEIAVQPPRGKADNHLELVRLVYGETKRRIDHMRTIGLSEEEITQAIGISDRDQSTFF AYFITALTRFGASQEAVERMNDYQENLPKPSRVGAYTDDSVKVIQKANGMWMRVLRGL ANEGLEDELIRSFSKLVKENGVKYLAGVHEILTTFYAERNRVEETKKWFSKPLFAGSI NSETYMAVVRFALRNNEQEWLESVMEGVVNSKPTKQVWDVVFQWAVLAKGKGVEDIKG MFKVMGSQKTEDPSRKLEPDGDTINSLISAAAEINNPYLAERFATLGRELRIPPTIQT MLIQLDYRLDAGDMSGAAEVYGKLQKVVQGDEDVPVVNKYLRTLCASSQPPLERIISV TADLEHRHITLEPETTRSLCGVFLRFDQQFEVIDTLSLHTVSYSLEERAIVRQAMMDY ITDRKISTARVWDCYQLLKQFFPETSTDERVRLMDSFFDRKRPDMACYIFGHMRGHGN PAQRPNSDIYVKCFEGIGRFPDAESLRMVHNMLKMDTTVEMNTKLYNGLMLAYAACGD PIDALGFWRDITNSREGPSYNSLAIVMWACELAPRGEETAREIWKKMVRMDLEIPKVV FDGYLGALAASGKAQEEVKKLIGEMERGLGLGYGVGVETLGVTFNAIPTMEGKNAFAD WAKVEHPEVWGELEKTGRRETIDGPKYNIERRFEA QC762_201850 MSTKTFRPLALLRPTARFYSSKPTPSPALTRSPASTKPPTATPS PTSILASLFAPEQPSAPSPTGHTNYGFEAAEDVVKHQHRADMYLRKHPRRWREGDVYA PHDLSPAEAKKWKVVKSPKRDVIDMLGVNPLDNYRNFSMISEFMTPLGRIMHSKDTGL RPVNQRKMAKAIRRAIGLGIHPSVHRHPEVMKMRGRINTAMNF QC762_201860 MVRKLKFHEQKLLKKHDFVNYKQDNKHRDHDVSRRYMIQKPEDY HKYNKICGSLRQLAHRLSLLPPDNEVRRKHETLLLDKLYDMGILSTKSKLSNVEHKVT VSALARRRLPVVMTRLRMAETVQAATKMVEQGHVRVGVEQIQDPSFLVTRSMEDFVTW TADSKIKRNIMKYRDKLDDYDLL QC762_201870 MNANHPPELRTGLQMGTTGPDVPPSPESCCFTTAPVGLPDFGEG VSTVPSSSKAYQDALQNLSASHPPWLDKAAPLSYFTPGLVGSREGSPRSFLSHPPVQK PLPVVPETRRKPDPEAGHSGGGIQGRICIDPRMHPTKAHAKLHHPGLLKGADFCDAGV ECAPIEAIESPEKDKRLFPFGDFFDDSSDEEGPVVGYRFRRLTGGDICRERVDVSNHK HFGHSSALKVRPALGVTAFNLSDHEQRQPYHGVSKVRTLADIPPIKLLNPPLEIPLRD SSLADPGQVCDDLAPEQTEAPSDPSRPSSEYSSRSSSRHLGDMLLYGEGPPSHQRADS AQSMISDGTVFSPFESGQPAVGEIFHQDRSPILDETAVLSDAEPANAPEETLWANGRS FSQNEDPILRPLECGFPTVHPCSCVVPKVQPSTEDLLGVGLRPLVESPRTKSSAERRT RTRRAETAPEDSDVELSTASKKASSVDPRRATTTTVSSNSGDGPRSTGITTPRNLDLS RLASFFRDLTKPYETYQSKLTELPARENTVEFVEGNQPTSPVSGRIRNLTGNSTASTS LDTRFKRTVCNMEQLLHKAMDLANQAVDQDDHQCLDMLGTVDHEADSHNSPPSVHESL PSVYESSDDEQPPPPPPPPPPPPPPVHKRSIEKVATPRSSIKKPPHIPKHHRSAPGMR SRNVRIEIPKRVTSLRKLNAGMVHVPLSDLQRSRFQELSPPVSPLTHAPSRPDDHGQT DSLSEQGCILMSRGSKKVKKCRSCRRLPLLNHRFSFLCAKEEDVPLKDTRSRPDAKLR PAGKSISGKIRKSNSWSFDGAADHRPDDSMEDSLLHETDGAGPSAERSYPAKDDHFEQ DDPHPENGTDSRRINLRGKAHVSLRGYQGFSLARAYRRHPIARDWSTVRKRFVATVAC LSTAVIGVLIGIYAGMVPSIQYMIADLQHYAILGNVFFYIGLAIPSFFFWPLPLLHGR KPYILSSLVLAMPLLFPQAITVSQPRSPYVSTWRWALLSSRAFMGLTLGFASMNFHSV LTDLFGASLMSGNPHQEIVDKHDVRRHGGGMGAWLGLWTWCYTGSLGIGFLLGAVIID SANPSWGFYVSIILIMFILLLNVVCPEVRRSPFRRSVAEVRNGNRVSRRVARGEVMMH RVKDGPTWWGQEVYHGILLSLEMLRQPGFLIIALYTGWIYAQVVLIIVLLGALTSRSY QLRSPFVGLCVAFISFGALVAIPFQKANLFSRGRHHQQQSNEDTFDKKFSWTSHLLRR TIVCLVLPLVGVAYTFASTGPPVPLEIPTLFATMIGLLSGLAISECNGLIMETFDTSD LHPGMTGRPRGASNKSSKRTNYSSFPRVTAGFAVCHTIGFVLAAVATAVGGNLQRNLG QQAATGVVAGILLILTLLLLAVLIRFKDVQIIPVSKNLEMEKWEKYRRESIRRRSEVS APAKQNTMTDAEMWRPLLMGNPSSRMRRVNILELGSMSRWTEIRKKNRLIDETSAHLN RAALESAATALEETTTDLVRRVSSRRNNRSPPPRRFFTGSPGPSGVARSESPIQRAGM TPKAMELDSFIVVRQPPVAATAVPASPSPLPGHSKTRGAGDDFMERECVMGQTVKEEE NENGDGALFESSDFLSSSDDQKDVVGRGRAEIKGKFDDMGHQGHGHLSHFQEEESEGR RNGNEIQRK QC762_0032650 MCFGPGKRMEGEESKQFHTHTGRTAACTDYDELANRPLHDLFFF AFSSLPHFLGWVFFRGPEEEEEEEEDDDDDDDEDEGEKE QC762_201880 MEAGDSGNRQSGIPTANSNPLDHFDRRPRDQRIDTSYREGKNMA TATIISPSAPGYHQHHSSYNSGYPHSAPVTSIPGMISPVEPRRSVEEPESANNHRQSL PSISEVISGTKPGSFAPPVPQQMPPQSLTAPFSVASLTGPPRSFEAGVEKNQSPRTLH PVSSGYPRSDTLPAFSDPSRPALASRPAPPPLNTFSGLHHSPSHAHRVDSAESDHRPP QPQQPPLSAGHREQPPQQLPGLYSETGRLPPGQLPLSAYPASPRSSGPGFSSPYDSQR PPAYGEENSEYMHHRLSDYKAALDKHYETYGYQDALQIVANSCRTGFNFAEAYVAAAR EQGGSQPILSRMPTENEVGGLLNSLLLALKKLEEVREMIQRNRIQDERARDHGRKPED EDVAMYNDGMKPAYSLNEVKKRRGLTKLSKQRAAPPGRCHSCNRIDTPEWRRGPDGAR TLCNACGLHYAKLERKRQLDQRSLRPKPSEDRS QC762_201890 MFGRRHHAAPVVTTTAPRRRRGLFGGPRRTHHTTAAPMTTSTRH RGGLFGGKRRHHATTTHHHHHTTVAPVHHQQRRPSIGDKISGAFLKLKGTLTGRPGQK AAGTRRMHGTDGRGSRRRFY QC762_201900 MDAHEKLLDPANLWVDSEKDGAYQQQPLLSQPHQQTKDGDSENK RSLPKRIYYRSIIGYLTSILGALILGCALLRLFAAEAPGNWTSWTTSTPQPPPTNNNN NNNNKNNNTYLLGVGKADITGPVVEINLMGYADPKQVGSGLRQRLYSRAFIVGNIDNP SDRLVYLVLDTQSGDTAVRYGILSALESLGEEYKVYGHHNVAVTGTHSHAGPAGWLNY LLPQITSKGFDHQGYRVIVDGAVESIRKAHQSLTPGYLSVGTTKVFGANINRSLFAYL ANPEEERVRYNISIEDDGSVEKDLTLLKFERAADEKALGVLTWFPVHGTSLLGNNTLI AGDNKGVAAYLFEKSMRGGNPDFVAGFSQASVGDTSPNVLGAWCEDGSGEMCSFENST CPVDGKSQSCHGRGPGFQTPDSGASSCFEIGKRQFEPARKLFDEGELTPVRGGWVKAF HKFHNMTGFEFELPGGRMAKTCPAALGYSFAAGTSDGPGAFDFTQHDGNENTTSPVWK AVSRFLKDANEEQRACHGAKPILLDVGEMERPYLWTPNVVDVQVFRVGQLFIIVSPGE ATTMAGRRWKEAVRTSDEAGEDAVVVLGGPANSYTHYITTEEEYGIQRYEGASTLYGP HTLAAYINVTMGLLGYLNPDSPAPPPHDQKSMVYPPDNTNRSLSFIPSVVMDNAPLFK SFGDVLTDAEKGTPYVIGDVVKVRFVGANPRNNLKLGGTYAAVEKLGKDGTWERFRDD GDWSVIFEWERTSELMGWSEVTIGWDTALEGDGEIEKGTAYRIRYFGDKKGLLGGVES FEGVSDCFSFKA QC762_201910 MPSITTIHESLPYIDSPPTSTQLTAAQTLITRERTLHPDDPNHA LLPPPYHPQFLTPLLTSEFSRLSSTSPPPKLNALDLSRYNTLPSQPPNSTPHSLQSEL SKAYTSHAYISSRRSHLALLDTYGKTAWLVGNYHLEGELKALEKELAQTKQEIDLVTL ARKTRQEEVGSEMRMLEENWKMGVGRVLETEVATEGVRREVLGVLAGRE QC762_201920 MSTGFSSPFGGNSNPFGRLETTGMQRVAEEDENDMVTSPTTASF GRAPADHGAGLFRSPFGADAASDTHMTGVNRPHLDPTGYPAQYNLGRRTSVSAESLKP PTGEAYDNWTPPVHPKTPEQLERLKEAISGNFLFSHLDDEQTAQVLGALIEKPIPSKD IKVITQGDTGDFFYIIEKGSFDIYVSPTGKVEPGLDGAGRKVSTIGAGGSFGELALMY NAPRAATVISAEPNCTLWALDRMTFRRILMESTFARRRMYEGFLEEVPLLSSLTPYER SKIADALKSEKFPAGHTIIREGDPGDSFYLLVDGEAVALRRGDEAAVKHYKKGDFFGE LALLNDAPRAASVVSTTEVKVVSLGKSAFQRLLGPVESIMRRTKYVGVKTGVEEMDPL QTV QC762_0032720 MQGTQASRYRTVELHSTTHTPTVGGFVQPNHIDASCVSGRKSWY PDSVTDILAQADLKYIRLGPLFSNQIVKFSNR QC762_201930 MAPRRRRGASDDAAADDHSETDAPKNRPPNTAFRQQRMRAWQCV LTPKLIVTIFSILAAIYLGFGAYLTYLAHTVRDISIDYTKCITDAPTDDFGPIPAENI EAHFSVTNPDLDPFRSQWKRETINDVKVANYTAKREYCYVRINIPEDLKPTISFFYHL NNFYQNHRRYVNSFNAKQLLGDAVDGGTINASTCAPLTHNHEGKIIYPCGLVANSIFN DTFSPPLLLNPRNSSEDSVEYPMSTKGIAWPGIKDLYGLTSYKYSDIVPPPNWEERYK FGYDEVNNPVPDLKSDELFQNWMMLAAAPNFYKLYQKSNTSEVMAAGTYEIKIESNFD TTKYNGGKSFVLTTVSTMGSRNIWPGIIFLIVGGICLVLDVYFILSFFLWKPRKLGDP SYLSWNQPSAPQGHASTS QC762_201940 MLLTTDTLYIFTTQKKAKYLDQIKGGRFPVEVLVKGKDAAENER HFIKITDAIKAAGVSHHAREFKKVGVLTKDTSSGPFIDEWKKIYADNCKDVEQVEIAP ALSTAAFSVKDEAELRAMRSSSKACVALLTPYFLDEMSNILDQDKKIKHSALADKVYN KLEDENFWKSVQLPNRQKLPSDFDPEQLDWVLGPIVQSGGKFDLKWQQDSDDEILHPG IIIAAMGLRYKSYCSQIARTFMVDPNKSQESNYKFLLSVHNMILKGIRDGVVVKDVYS KALGLIKSKKPELEKHFLKNVGYGIGLENKDPTLVLNAKNQRTLRDGMTLVITTGFSD IQNPNPQDKNSKTYSLVLTDTVRVTAAEPVVFTGEAPVEVDATSFFFKDEDEAQPTPK KEKRDSRVGAVATKNITSTRLRSERNTAVDDDAEKRRRAHQKELSAKKQAEGLAKYAE STADQNGVEVKKFKRFESYKRDNQFPPKVRDMGIVIDQKNATIVLPVMGRPVPFHVNT IKNASKSDEGEWSFLRINFLSPGQGVGRKDDQPFEDASAHFVRSLTFRSTDGDRYTDI ANQIANLKREAVKKEQEKKDLEDVIEQDKLVEIRNRRPAVLDNVFIRPAMEGKRVPGK VEIHQNGLRYQSPLSTTQRVDILFSNVRHLFFQPCEHELIVIIHVHLKDPILFGKKKT KDVQFYREATDIQFDETGNRKRKYRYGDEDEFEAEQEERRRRNELDRLFKSFADKIAE AGKNEGLEVDMPLREIGFNGVPFRSNVYIQPTTECLIQITEPPFMVITLDDIEIAHLE RVQYGLKNFDLVFIFKDFTRPPAHINTIPVESLEDVKEFLDSVNIAYSEGPLNLNWSV IMKTVTADTHQFFLDGGWGFLQNDSDEEGGSDEEEEESAFEISESELDVASESSEEDS DFDSNASAEASDEAEMSDEEEGEDWDELEKKARKRDRESGLEEEDNKKGAKKQKRR QC762_0032750 MTILHEISFPHCDHYRRGDLCACKVNRKKQMASKYKQSEILLFQ MFHHSTPSTHNLPTLGDHPNVSVTLEYNSRSSTSLSESLSSLVSTFHDS QC762_0032760 MSSIQSFYHKDSTPSRSCKPHNPENEAFTQAEIEAARRPLTQSW RPKGVYEEVTIAELQPGPTKVRFKARIVNFSAATHGRGRGRSALPENFHTLIVKDDTG VVLLKLLSSGSDQDVLKLGTLVTVWTGFVADYSAAHIAYSNFRIPFVSLMISIHPSAG STSCIRFHNETNPSQDTTELCRVPLNYDNFINLSQVPGLMSLKAYISSGYDDNQDAHI LVCVFSAGPCLTVWSKDKQKDLELIEVRVFDETCNNCVLKLWEDKVSSAESWVANQTI LLITNPILKHSIRSNGLVELGIGSSSMVEVDPDFPDADWLRHMAAARTRKESVHIPFP VDIWDVDAAIHGPGRTLYTLADIDEYVREVPEVVFTGKLNLLITGVSIVEQCRKRQLC CFECCNIPLYSHNANATCKNCLKTHELSLNPKIIGSLTDEAGSVAAGKLIWSDRAWTE LLFGNNNTAPAEAVHTQAMHVFSELADDSHADRGIVAVKNGDDNGDVSIKKEKSDSVE IEYEKHFLGDDIFDDLDDLDDPFDDLQPQEVVAKQSYESWKVLTRLDNDSLRDVEERL LYSRVTLTFGWSPRVGRLCVLGVEW QC762_201960 MVINSRWTVDIPRSSLQKWIFGSATEPLADTKSFIDPENPDSNY ITLSDYRLLSKRVALGLQKAGLKTGERVLIFSGNNIFFPSIFLGVLMAGGIFTGANPT MVTRELAYQLRDSGASYMFVAEAALKTGLEAAKEAGLPRDRVFILGGNTPVAPELIAS TNPSPGVQGKAEGARHWTELLVGNRSQAEKWSWQEPADPENTLCCLNYSSGTTGVPKG VMITHYSYVANSVGVVYINNLDPQFQEKQKRARMVCFLPLYHAYGQTYFVATMPYLRT PVYIMQGFDFVKLLTYIQKFRITTLACVPPIVIAFAKHPAAKKFDLSSIESIGSGAAP LGLEVAREVEKMLPNADYIRQGWGMTEVTCTAMAWDPNSTEGSSGGVGEMNPNCKAKL MSLDGKTEITKAGERGELWVSGPTLMRGYWNKPEQTADTIVVDGDGTRWLKTGDISYV EKYEPGGIFHIVDRSKELIKVKGNQVAPAELEALLLENPDVNDAAVVGVTINGEELPR AYIVRNPTSKASEQDVAKWMEGKVTRYKRLKGGVVFVAEIPKNPSGKILRKILRERAA KEVGDSAPKASKLA QC762_201970 MKTLLVLILLPSFSSSSVVAGDAVVAHHQPQDCHSGGEEQHPPP KWWRASMSRNGSTPYYAGDQAYEYYRDVVEFGADPTGGKSSSEGINAAVSAGNRANNT VTTLPAYVSLPPGVYLITEPVRLLVSTYLVGSPGVFGETVLKAAPELGTRPVVLGYDP YQGQGSANKNFYIALRNVVVDMTAVPKETAARGIEWSVSQGSSLSNIKIVMPRGEGVR HRGMAMDFGGGSGKVVSEVMVEGGQIGLEINNQQYTLKGVKVKGAEVGIRVKRGYVVS IIGAKIEGCGIGLDLRGGEWQKGEVVGGVSVVDTVLRECETGVMVRDRGQGVVLDWVE VGGGENVAVRTGGREVLLRGNVKRGQVWVMGNRSPDDSQEPKTYPAPRQPSLVTPEGT YLTKPLPQYISFSPSQIINIRSDPSHPVYGDNTHDDGPSISAILAKASTPGCNTVVLF PRGIYLTRSTILIPPNTRLVGEVLSTISGTGPFFSNPSSPQPVVHIAAPPNSDVTGPV ELSDILISVQEISPGATLLQISLPDVSLWSVVLRVGGSIDTAITDSCSNPNPSTCLAA HTLLDITSTASNIYLENIWGWAADHALDVIPNVPAQNIAVGRGLTISHTSGPVTMIGT SFEHCVLYQYGFFDTRDILVIGQQTESPYWQGQGTSLRAPGPWEGEVAFHHCEKQGKG GDDRCFRAWGAYLDNVTDTTIHGSAMWVFFNGMDDNFVG QC762_201975 MFILLTSRTGNIYKYTFSPLIFIVSLSLSLSLSLSQSIGNLQKY PISPFFHSPLPLRPPPTIINLKTRQPQSSIHPYHTKHSNPNPPYQKTTT QC762_201980 MEPSSKKRSIFGLGALFQRSTTPTEQDSKKDATMKDAAPSLPRP ASASSAPESPAKRASDSQMASRKIIGRPHGPSSKLSQSFTASDLNTRTVTIGTPRRMP GDNPNKPSSFSSSMATRPNTNFTGQSSTRTNIFRSSAISSRPGLPNYSSSKPTNSLNQ SFPPNTPGRATTRAATAELNGRALPQPSTSGDLFKMRIQSPPVHLTGEMMAKEVPSEL NRTGSVYADEFLAHYCPPDLDEQQRRQFFCILDLRRLKYAADEVFNKKDWKINILNFA KEYEKSRSLIMLRYGLYEFKTVRASEAVKKEWKEKHNIPDSDDEEESAPKTNGGAKRK ASIELSPQPGARPGPGLSSVQRTRAPEVSAKNKRKADEEPEESNQPAKLQKPGPAPAK APSATKSLFEKVANNTPVKSLKASTSSLFEPSTKAKPNGLFAPSSKPAGSSNIFGHLS DTSKNSGNEGADEDSDSESDAGEEDTEEASGSEDQTDSGEGSSGDKKASVNGTSSSSS EAGESFSQGRSLFERITRDADGKPVRKLGTHDGSLFPTPAEKERAVSPVKETAPVPAP KPAPANKTWDANTPIKFAAPAAATAPGTSLFGSTAPKPAAPSGSLFGSAKPAETPKET PAPTNLFGGTVKTVDEASEETSKPPANLFSGFQPKPADSTPAATPAFGGFKPSTSTGT GSSLFGSSVAVPAASEPKKEEEKKVATVPGLPTTAPTSFTFGGKSISVAADSKAATAT ATFKPTDMFGENKKEIAPAKLLFGEAKKEETPAASTPPAASLFGSTATTEAAKPAEAK SLFGASTVAPTMETKTLFGASATAPSTEEPAAKKFAFGGTDTKSATPSLFGSNASTPV PEAPKPAETKSLFGAGTAAPATETKSLFGASTAAPGASLFGSNTQPAAAGTPLFGANT TAAPATETKSLFGSTATTPVPESKPLFGSTTPAPETKSLFGSTTPAPEAKPFTFGAAP AAETKSLFGNTSSAATETKSLFGTTSAPENKPFFGGSTIQPESKPPASLFANSQTLAP SAPPASNIFSFGGSQTTVPATQSFTFGSTPASQPAAPAGGSTFGNAGATAGASTSFNF TAGGSNSANNSFNNPFTSGQDGPPTAPTSFTFGSGAPAATQSFTFGSTPAPSAGGVPT FSFGGASDAGASQAVPSSGPVFSFGGASQNPAGGSIFANSLAPGGGTSTGTNTPFTFG GASSLATTPAAGTPEPSASGNNAAAATAATATTATQDGNTQGTNADGDDAPQEHQISL TDGGRGEEDESVVHEVRAKAMKYNTGEADENDSSTDNNSNKKKGWAVQGIGNLKLLKH KETGAVRLLLRAEPRGHIAFNKLLIPSITYKVDPPGAKAVKVVVAKDNGKGLETWMLQ VKTKEMAEVLAGKLEGEKKGNEKK QC762_201990 MSLANDKFPSSAAFDAINQAISASDADRKEAIKAGNAVFAFVLK NKAGETDEWHIDLKNKGAVGKGLGEKPTVTLSLSDADFGALVAGKANAQRLFMSGKLK IKGDVMKATKLDPILKKAQTKAKL QC762_202000 MFNTNTAQVKPSTGGLFSTNPQEEKAQEEPPSSLFAKPAADKAP SGSLGQEAKETKAPSGLFTSKPDNLFGTKPQEKPPSSLFSQPLPSQEKPASSLFSQPP QDKPPASLFAKPAQDKPPASLFANQSQEKPPSSLFANQAQEKPPSSLFANQYQEKPPA SLFAQPKPQAPSSNLFAAPKQPQAPPKPLFGGAAPKSSLGGRSAIGQHHTRQPSKLSR SVIAEEFASEEEDIAEEVEEPVLPTAKRTQFAPTTTSNAGTTAPTRGFAVPESDSEDD EGDTDMWLDMNAAAAAKRAQVGDESDLLMFATPAATERARMDAENIFRATARSSVGPS GFTKPKEFRFAALAKDAYSRMGTAEINETPQIILNTENLIEKLYDEGVGEEGENPEKM DDVLAEVAGGVAELWRDYANKLPRPYEEHPVEIGPGPHATTFEKANYLANLALRIHHT RYEEGMAEPLPQTMFQWLEEYHDMYQNQTEEILAHNPSPACHGLFWQAVFVSLLRGKV EDAVALLANAGWEAVRGVNREYAYTERALENVDRAVGELVSVLEGCPGVGGGWEIYGG EWELFRVRARASLELLRRFAEGSDEGEFGGSLGSNKESFGGMARRAESKVPWEIYENL NIVFDIVLGEKAAIMEAAQDWLEATVGLLGWWDESRVERKGGEGGKGFRGSQMGRSQA LVVRDRGVEDGNYLDRLGRAFHAAVESDFHFNSQNPVELGMACIFEDNVKAVIGLLRG WSLPIATALAEIASLGKWLPAHWPVGVFGFDDLDMDDLEVLGVDPGSPDDVDGIKDST LAQYARALSEFEELSKVAVHGVTRDGWELAIHVLGRMDSAEYSEDLMKDLVQQQIDKL HVDSSRTVDKLWSLLNELGMIPYAEDTAESFGEILARDSKRYGEAMWYYALAHRPNKV REVMNLLLSYSLIQSCAFPPAQELDNYLERLLNDRNKTLEDLAARDMEGAELLGKMLS GYASLRQFYDIRDNKDSLPGHTSPFARKQQAATALVSVIASSDDNIRGGLYDQTRDGI VSEDFLLALLGEALVFVTDPSNTNVHFGQASQPVLSMDQIDTILKAIEDLEAVGERVK EACEEFLRVVLGNVPGSGLAKGGRPEDLLGKGKGGNLMLAGAGSGMGRVVSELSKSLS GGNGGRGVGNVKRGWDWRVGEGIGRNTAGKEIMRRLRLGLAKDVAGLWLVGADEVEW QC762_202020 MAAHNAKPEIGDILLVIHDFVARSSDELSLVKGERVELLERDDE FGDGWYLGRHLVNNNSGLFPEVYTRPAPKAVVTTNTSFSNASKPPLTPLAEDNEHATP LAHPAEDTKSAPKPIPAQLPPSSLDTLAPPTISPPPFSSSLPTGAASGLDSIRPNAST DSHVLHETLNVINEHITDLRSPDGGQGHRANDSGSEYSSHVGHRISYIQGEETDEEEE TVHSRFEVESWNADQVAEYLFTVGVEKHHCEVFRDQEITGEVLLGMDQTSVFIKALDL GSVGRRLKTWQKIKQLQDEANGLGTSTGRTTQTYGSEAGSDVGRMRSRTNTITSSGPQ RYNSTQESNMSPHARRLSQTPKIEPYEPVSPVSPLVDSPGRTYHDKRPSAASIRDLHH SRRHSSTDFRIAGPTGAPTKPASTSTFPQQQTAHKKQPSFDRNWTLGGATSSSFQQRP LSSAGVRESSEPGAELQDSAVDLDRGYFSGTDADPRKRNVLKKRDSVQAGRTSPKTSY AEEQRVRSATALSRHSRFGSVDSVRESSVSAAAQKYYGLNKRTPSTITTDSMRQTSGS LKESMNPTVTRLDSNTSDASRSSPKSVNAMKRLSQVNHPDFNVSMMLRSGLGGLRAAS DAITGNEKAKLSPLDSPLKDSPMYSPARTGSSTPSVGPSFEMDSADATKSPSTATTQA SRGSRKKTKKETSAYTRGLQKITPQEAMKTADYSGWMKKRSANLMTTWKPRLFVLKGR RLAYYYSEDDDQEKGLIDISFHRVLPADNEKLTGLHATLTGATNSPAIPAGSQIHTLA ASDAERDPTSEGPDSIFIFKLVPPRAGLSRAVTFTKPTVHYFAVPNIKQGRLWMAALM KATIDRDDTQPITTTYQQKTISLAKARQMRHRPPALMGLDENARNNGENGEAEKRAAD KEKNGLGITYSEADSGVSGMGKFGLQPHPDSARAPGRFASFGSEKDSVPQSA QC762_202030 MSFTEQYAKYQYFLTSSPAPYVAHVQINRTSKLNAFKEAMWLEL RTLFQQLSSDPEVRAVVLSGAGDKAFTAGLDVTEASQNGLLNPDGNLDGARKAARLRR HIAEFQECISAVEKCEKPVIAVLHGISIGLAIDIACCADVRIAAANTRFAVKEVDIGL AADIGTLARLPKVVGSFSWVKDIALSARDFGAEEALRVGFVSQVHESKDKAVEAAVKM AAFIGGKSPVAVQGTKEVLNHSRDHAVEENLRYVGIWNAAALQTNDVQAALLSGMQKR KPTFEKL QC762_202040 MPRAIRGVLIECEPAIKSILIHIDSTQLNNDAIIEDLDETHLMV KEQMVKTLQARLDEKLKETYRVEVPLDDSDEDKGM QC762_202050 MYSLKQSSLLTFLTSGLTILAAPQVTPPPPIPSIAIPQPHPVPT LPVPQPLPLPTAPNPGLIPTPRPNPNPDNDDDDDDQPQDEPSTTTTTPATNPCLTSLS ALLSGFPSPSSSDPLFPPWASSSPPPILIISSALSSNQSFSPELGLGDSTDEMCFSAV AAITPSPTELAAAYSAYLDDVQMWRFAVEGEAYRLAEKCGGGVGLGLELMMATEGPMC TSGIRESVRPWATGGVEGGLGVSAGVGGGEKMRWGVMGGMLGLVAVGMVML QC762_202055 MTSPFATMTSFGPPPGFTPPFSSSPTSPPSSSSSNGDDGLFSAD HPWAWVLIPVALLSTLGLLAACLHNSRRRRAARKQAATTLLLNHPRRPGPPDSTQVTI RDLESGWVIPGREAARWAWPPPAMREEGLNELGEAPPPYENHKEKAAAAAVELGGEGE VREIGEGSSTQIGLMELDGREVVVREERSWVEGVDDEIDTRRVGPDGEDGEVDKEGRG SDGGSEDEEERGHRERERGSSSTDRAYDVAEEVVITQPPPAVLRETDSGRQQQRVDDG KGKKV QC762_202060 MFRSINGTRLTSQQQPPPFFGSNNNNNNLKKMLRTISTFFLDPA PPLPPGVVINTIDNVLIPLSEAHLHSHSYRSRLTSTDDTAEDTQKDDDDNDDDDDDDD DDLEGGGGRDEGTGVLLRRSSSSGELLGMTAAEREYTIGSLRREVRAGGGKRTAAGRG EYETIQDIGMGRYNWQLFVLCGFGWFADNLWMQGIALTLPSLSAEFGVSEADIRYTTS SLFIGLCLGSFVWGIGSDIIGRRIAFNATLLITSLFGIASAWAPTWPAVCLTYAALGF GVGGNLPVDGALFLEFLPDASSSLLTLLSVWWPIGQLFSSIAAWFFIAHWPVDEGWRC FVFSIGVITFGMFVTRFFIFDLLESPKFLLSQGRQAEAVKVVHGIAFRNGRKTWLTEE LLGVVADDGHRGSTGHPHQPRRLSTLAIVRSHLLSTSRLKPLFATRRLGATTCLIWLT WATIGMGYPLFNAFLPQYLSHSSPDSSSSSSSSSVYTSIILYSLTGIPGSVLAYHLVD SPLPFLGGRKGTLATSTLVSAVGLFAFVRWGRNEVLRVVFSCVEAFSQNIMYGVLYAY TPEIFPAPVRGSAVGVASFLNRVMGLLAPVVAARMGSDGEKGNDGPVMVAGVLILAAF GAVVGLRVETRGGQRL QC762_202070 MADPVNSSSYGQPEKLIHNLKPSSVIGLATSCLKGWETWQYVVT IFLGLVVYDQVMYIYRKGSIAGPSFKIPFMGPFLQALDPKFDGYLAQWASGPLSCVSV FHKFVVLASDRDLAHKVFKSPQYTEPCIVPIAKDLLSHKAWVFLTGKVHVEFRRGLAP LFTSKALSTYLPVQEKVLGEYFDRFVAISEANNGKPTAFMTSFREIQCALSLRTFFGD YISEDAVKRIADDFYKVTAAMELVNVPFSMYIPFTKQWIGKKVADEVHEEFARAAGAC KKNMATDAAPICTIDHWVLNMLESNRYRERISAGEEGVEKPANLIREFTNMEISETLF TFLFASQDASSSATTWMFQILAQRPDVLDKVREENLAARGGDRTKPFDLEMIESLTYT NAVVKELLRWRPPVIFVPYLALKDFPVTPDYTVPKGSMIIPSCYPALHDPAVYPNPEY FDPDRWITGDAETKTKNWLVFGAGPHDCLARRYVPMSFAGMIGKASLELDWTHHATPR SEEIKVFATLFPMDGAQLVFKKRKWD QC762_202080 MRHTLRRSCSACAKSKHSCDLGTPRCSRCVKRKVQCLYANEPLT APQKKTIILPLGTRFSSVDPFESYPQTRLPRGHVQRLIHSFLHKIAFQYYPLDLSPTS NPFLVSWWPQALGDPALFHVSLQTACLDEELLAQRGFQASTVLMADSVALLRRKVEDS ALAVQDGTMNSVITLAAIEFGKGNTRVSEMHVAGVKRLVDLRGGISSVRRTSPLTARM VSWVSLLVMGHPQFDTQDDAGIGDGIPPIPEWRLDLPILEDRLGNLNIDYPVKNVLTR LRCVFERAQSAPFPNTRLHDLTCFAVHRLLLTAAGAHVSSPTTECIRYGIVLYMFIIQ GPTYFSHAALMNKLVTNLRQHLEQQQFEFAAHQDVNVWLAAVGLVASANNTAHYEWFA ERARAASASLQLRSWTDTLSCIKRVLWLERVHSEGIFRPHWDMFFISSHNDVTTTDIS QPTTPRSLVAIRSLPRGSPPGKKQSGVVTSSMDVIGFG QC762_202090 MINMINMITTILYALLAVVSLAYLADYLLAWNDDPKEPPRLRAR IPLIGHLIGIIVSGPSYHSVIRNDETTEIYTLGILNYKLYTSVSTRLLPLIQRQSRAL SFRPMIQTVARKWGDANDETDRLFRETDLITEFSHVMKTSLAPGPQLDEMNMRMAQRV LVDLDLLLGDGKEKKIKLLEWARFAITQASSCGVYGNQHPFLDDKVYEAFWAWHAHLS AHISGINFDILSHGYHARAVVFAAHAKYCTSIPPDTSSLFLTRWQCLLSSGLSVSEAS KQQATLPIGMLSNTVPTFFWTIYEIFSRPALLSSIRSEILTFAITTSGPRHILNLTNL KTSCPLLLSTFQETQRTRHIHAAIRKVTTNTLLDSGKVLLKEGNYLQMPGHAIHYSQS TYGPTARDFVPERFMDPNLKRGGADFLAWGAPPHLCPARQFAATEILILVAMLVVRTD IHPAEGTEWEEKPGLEFADPVTVLNPKRDVEVVVRVRGEKEGRWEVEMGDGSRASRVP LASG QC762_202100 MDIVNRSFASVLPEGRSLPAGGIDSSTFQFSSSIATAILCGLTL WYWWAITKAKASRDFIDGNYNFDAPIIGPKNAVLGRLAFFRNGPKYIAEGYAKYKDTF FKVSGNDLLIVPNKYLQELASMPPEKLSLNTAIVDAFQRLHSITNVITDHSLQTRMLN ARLTPRLGLQVPAVQEQFKKYLPVELPANSTTEWTSINALHLARRMVHRGVATQFVDE LKENEEYIQAAINYSEHGFKHNFALRLFPDFFKPIAAQFSPTSWGVDAALRKARKMLI PLIQKRRVLEKDPDYKKPEDFLQYLMDGGIAEGDSDEITVQRLMVTYLGSGPSTIIAV AQLLFDLCVHSEYVEVLREEIIQVLTEHNGFTHTALAEMKKLDSFMRESQRHSPPTLL GFNAIVRSDIVLHDGVVLPNGCHIQMATYAIGMDPEKNGPDPEKFDGLRQYNKRKFPG QEKIHRFTTTADNNLHFGHGKIVCPGRFFADHSMKMIAANILLRYDLQFPGGKKERPG NTSMYDVLIPDLGTCVEFRLREDAGRWNW QC762_202110 MPFTGSDICKIIFAVLLPPLGVFLERGCGADLLINLLLTILGYI PGIVHALYIICKY QC762_202120 MPRDPLIGLVGKPSAGKSSTLNSLTDASSKVGNFPFTTIDPQRA IGYLQIDCACTRYNLTDKCKPNYGSCDNGRRSVPIELLDVAGLVPGAHEGKGLGNKFL DDLRHADALIHVVDASGTTDAEGKVTRGYDPSVDIAWLRSEIVAWIRGNLMTKWGSIK RRHIAVKATAVETLQNQFSGYGSTSAVVARTLDKLGLKEPLEEWSDETIDRVVNAFTD EKFPTVIALNKIDHPDADKNIAKIAKQQDPNSIVLCSAISEIFLRKMAKQGYIRYTEG SEFVDTREDLIADGDPDGGGLKELDEKNRNRIENLKDMVLYRFGSTGVVHVLTKAAEI LGLVPVFPVRNIATFGSGGVGEGMGSNKGVFRDCVLVKKGSTVGDVARKVMGDAPIAY IEGAGGLRVAEDQLVAVGKNDILSFKVGK QC762_202130 MALPIQDLPAWARLNDVSFDNVEVTTTVDKGYGVVSQKDLAAPE GTVETPQLLAVPHDLILNSLAVEEHAKEDKEFKQLLEAVGHHPPRVNVLLFLLVQLAR NSSHAHVGVSNPWTQYLQFLPKTVLLPTVWTEDERPLLKGTSLEVAVGAKLRALDNEF EMIREASSDIPCWNGLLWHSGAVSLKDWVHLDALYRSRCLELPKSGESMVPCIDMINH SSDPSAYYDQNSDYEAILLLRPGVSMSKGQEVTISYGDTKSAAEMLFSYGFIDPESTS ESLVLPLAPFPDDPLAKAKLVAFGKAPKVHVARESGSIRWDSNFAYLKCVNEEDGLDF RILQDNEGNQQLRVFWQDEDVTDQISEFQKLVAQTHPLPEVLRLRVAVSVQENLEIHL KQLKSELPRHDSSTIREECLKHGLLLRQIETSLLEDAIQALEQEKNSLLEAENVVAYL GSMETSNSDLVGEEASNEADDFS QC762_202140 MPGILPMKVIKVGTSSQSRIAQACDRCRSKKIRCDGIRPCCSQC ANVGFECRTSDKLSRRAFPRGYTESLEERVRALESEVRELKDLLDEKDEKIDMLSKMH SNRRSPAESPHRSPPPTSVRLESSPPPREDTFRVQASPLLLGVENSDSYFMGASSGRS FIESCKRKMQENGKSCADFNPEAFLHIQGCYPLAQKQPSPQMRVPPRLFTDRCVNVYF QEWSPLFPVLHKPTFLRVYEEFVADPDKIKNNHKLAQLYLVFAIAALSSEQPDLEQIA ACEQQWQRALEAILMDNTMVTLQCLLLALMYCTIRADYKRLQHYKGIAVGLSHRLGLH QSQKRFSFGVLTIETRKKVFWSLYMLDCFSAALLGLPKLLKEEDIHCEFPSDTDDEYV TEKGFQPSLPGEATRLSNALALFRGSRVLAKVLEKIYPSATSHDLSLQQMSALEAELD EWYNNLPQHLKLTFKQDKPSTDVTGSRSPILALAYYYIRTLIYRPAVTSSLGPKAAPA LLSVGESSKCIIQIIQLLEERSLSFSFCLNKYDTLILCGMVLLFQTLDLKPDSKVLKD HEKLVNAVIKVVDRAKAPGCYDFKRVAGMLIAVEEPPAVVVPAAATSPPQSLPTPPRQ SPDYAAAPHQLGQQQHRASPGAAPSPTTTTTIHKTINNNSQRHSMSETDLRMQQEKLR RISMPQPQLQQHIQQARDFSSRAQRASFDGARPPNVPLLQRDQRLSMSSSHAHHPHNM MARISTPSSPVQARPPPQSLISQAQIFAQMQKHSGASTSEWQALLTSIEGDHLNVYDA IYGGPGLSMATAGDASPVSVSATSVPTAGGWSPESWDVTGFNLGDFGGGQNGGGHGTA QSVLSLPSEDSLSCSEAEMGGPPGGGMRLDGLGDFGRGGLMGGGGCSVSGEGYLVDGL EFGI QC762_202150 MTAEEILNDNTKPPSPFPLEKPPTHHHSNHHAAKPTPQIIAHRG YKALYPENSMLAIQEAIKAGAHAIETDVHESKDGVVVLSHDPTLNRCFSLPQNLHLHL ALPLHPPYHHPPSRPLGSPLRFVKLPLNPRSVPHLDIKTDDDPNLTLVPSIAATIGSN PPPEGASWTWQERIVLGGWNENYLRALGEELPGFRRAYIGFSLLYAKRFLDDEKWAGV QFNLLQQAVVGPVGREFVQRVRRARTERRLWVWTVNDERWMRWAWRKGVDGVVTDEVG LLRRVLDGDEDRGRGKGVTVGMYIKAAMIQALTLVLVVVIWGRLRKVGRVIGGEGKRE QAKGKN QC762_202160 MDEYSGGTPEADHLCVLVHGLWGNPNHMKNVAKALRDQFPPNKL RILVAKRNSGSFTYDGIELGGERVCLEIEEELALIKSKGGNIKKISIAGYSLGGLVAR YAIGLLHARGVLDDLECKNFTAFASPFLGVRAPLRGWSDRIWNSLGARTLCMSGRQLF GIDEFRDTGKPLVAVLADPKSIFMAGLARFQRRTLYTNIVNDRSAVHYTTGITKTDPY VDMSKIKTNPLPGYDGVILDPKNPVSPAAPRDPEPLMQSVEKWAKRVPIIATIVVFVP VALLAFFTNAAIQTVRSSQRVKLHESGMAGIKIEGYRVNLWIKEMREAVEDTYEHINN SQSQQYLGLSEDDDDNSSEDRHLLSLERKQSHPHFPTLALAPYQFSAIQALDKLVWRK YPVWIHNARHSHAAIIVRMDKKGFEEGWVVLRHWARDEFLA QC762_202170 MRTSRVARETARLFETAASTSTPLRRSSRTSTLAARFSYNTNAS PSAAMTKVEESDVEVDDDVKLSLGSDIEDAITTTRAAKRRRTVTTAVTTQTINSSPHR RTTRVKVERTAVKSEGGSDSDFLSSLSDNEDIKTAIKEESKPVVPRGRARKPARRVTS PSGTTTISPPSDWEEVYNLVKEMRINGPAANAAVDTMGCERLADPSSTVKDRRFHTLV ALMLSSQTKDTVNAEAMKRLHTELPPFEPGAPAGLNLNNMLHCPPAVLNELIGKVGFH NNKTKYLLQTAQILKDKFNGDIPPTIEGLVSLPGVGPKMAHLCMSAENGWNRVEGIGV DVHVHRITNYWGWNGPKETKTPEETRMALQSWLPKDKWKEINWLLVGLGQSVCLPVGR RCGDCEVGLKGLCKAADRKKVNEGKKRREGVKKEEELVEKTEIKGDDEGGTAAKREQQ RVVKMEVVDEGMPPPPSDTQPEPTPQPDPESNVAIPSVEQDVKPIIKDEEDVEMARSV PIKTDGDDLDEDMVKKEEEDAVRIDQSSHQPEDVEMSDSPEVKVGIQLEPRRRRRIPA AIPSRGQSEDPPLEESDAEVKVEPRARGQGRSQD QC762_202172 MDASDDSEIKLEDSDDDDEIKEQGSGREIKTEDSDDDEIKEEES DNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNN NNNNNNNNTNNAPSIKEEYDCSSDYGNERSFRADALASDYSSSSDEEDIKSEYSSDHG LDREDDLLDDHIEDDGNFPDLPNATGSQSNPADAEDEHERTVFENLTASEEEVHGLPF SYHDHRLTVAAAARDRYDFLGSFPGYHELDAVTSVRIGGDLPRFPFLDGHGERPLSAE DDGLWDVLAGEEDDWRFEQDGFMGGDVLDDSVWDEFGGEDEVLRYEEEYLGVQMVGEF EGVYVEDGVTDAFELGCEGGEGDFEDEEDEIY QC762_202180 MPKKVRCSLTDCKAVAQRISGDCAFCGGHYCSNHRLLEDHKCQG LEDCKKEAFEQNAMQLNKERTQVIRGV QC762_202190 MSDTAGKTITCKAAIAWGPGQELSYEDVEVAPPKAHEVRIKIAY TGVCHTDAYTLSGKDPEGAFPVILGHEGAGIVESVGEGVTNVKPGDHVVALYTPECKE CKFCKSGKTNLCGKIRATQGKGVMPDGTSRFRARGQDILHFMGTSTFSQYTVVADISV VAVQQDAPMDRTCLLGCGITTGYGAARITANVEEGSSVAIFGAGCVGLSVIQGAVANK AGKIIVVDVNPSKEEWSRKFGATDFVNPTKLPEGQSVVDKLIEITDGGCDYTFDCTGN VNVMRAALEACHKGWGQSIIIGVAAAGQEIATRPFQLVTGRVWRGSAFGGVKGRSQLP GLVQDYLDGKLKVDEFITHRKKLGEINEAFEVMKQGDCIRAVVTMDE QC762_202198 MAKLTTSICLSHHPSTSLHHLTFSLTQTQPNNSDPHSQHPFSPT TVTNPPTQQHERRTPPLPSPNLRLQDHPLRPALPDPRFLSALGSRPARRVRAPEHRTA GPHHLLPLLHLIQVLPHPQTPPLQLPPRKRKMGRSPRHQRLPPPLRQLWRQGRC QC762_202200 MSGFLSLIAWSFLPNLVTGWTQTILYSILIRAGDPHPTPGTPRW AEHRRKIHIAVVTVYLLYTIYEADHDIQSTPSFYSSLGVPLTATEKEIKSRFRRLAAH FHPDKIKDSKIAADDANTYFVHLQLAQDTLTNGAKRFAYDRFGPEAVTGWQHCSSVAD YVYRGFKGIVPYYTLAALSMYGFGLLGYLDWGKFERWLVLALLFLFESHAVTRPYPPV LLTKFINPFVTWFPGRQPYLQFQAITLAKKLALTLYLAFSQIGPLLTADTTSGNIVVG RGGRGTGNEEQELRKGLERLEGTVRRLDTDATNLLQLELAPFAGDEKGMGEVWRRVRE WLVHNTIRSDPMVRDAMGRSLARRRTGAPVGARGTR QC762_202210 MDATPLSRSPPPSPSPILPVARSATRQFPPILEAPSHDCESSTE NMGSARRWARKIERTCCTCATYFPLAFVYGITTWAVYVLWDLCSVPSRVEWLGTTYKL VGFALYAMLNWCYTTAVFTPPGSTTNDHGYSTLPTHAAPSATSYTVKSNGELRFCKKC QARKPDRAHHCSTCRRCVLKMDHHCPWLATCIGLRNHKAFLLFLIYTTMFSLYAFLGS ASWVWEEIFANTTYVENLMPVNYICLAIVAGIIGIVVGAFTGWHIYLATRGQTTIECL EKTRYLTPLRESMHRTYINQHTPGQGVQLPSYGQQLLDIHQNAIPGVTRPEEGEELRQ EPSHPSVNPELQAGSRRFTPSEMEQYRARKRYEEFMDEQDATKLPNAFDLGAKRNLLH LFGPAPLLWPIPICNTTGDGWSWEPSPEWLEARDRIAREREQQRERERVAGWGADSDY PEPDPQPPMVRVDGGAGRHYLQPSRPASRVPSPLPSPGHRKAQSKADRILGRDPSLYV DDPVSPGLGAGQQDVNLRRLSPAGRTVEDELEEIDNEIDEEEQTEQVKQEEIRARKER IAMNVVTNGRWGAGARSPLLISSPRLGQGGSGTSSPRRGGMGSGASTPRREYDREDDD DGVD QC762_202220 MWWLINACSSAIFLFSIVLSIPIAFDVGGRDAGLAYSLSLFLFY IFYSTSKLITPEKSRIRWFFKSLVGLSQWIVIPALLIWALNRFSVDADSANWVSRTFA HVTGHHKSWREWFWGSEGFVENVALGSWDNTLSYSSPVFQLLEGFCSLLVIQAAGQIA RWLVNRGRSDTWITLLIISGAISSMAIYFLWRVMCFPQIGNFDATLIGVAMTSAFFLC GFGIASGRGNPIESSLLFAYTVLCVYQIFTDYQPSPEAAAAAEAAAAAQPDFPPLPPI LMASYTTLIHMLSSLPSAFGLSFQFLYAAFQTITPSVIISLTYRTIVFYCATRIIPAV RELGAQAIMEEPTLDESDGANRVLGFLSWFSPSILIAVYTSLLLQHFTIADGDELGWT LRAGDAGGSTWRWINLTATMGLYAIELYLGGDGDGGAHWKTD QC762_202230 MRPEVVSVGPSASSMEIVGEETVDPPRPSPPSSSSTPPTPTTFI LETEPQPQQQHQTSGFFARIRSSVMASLEKRKLGGVKVEYTEIPSSELEHEEQGLETV NGEPASCSKCGQPSSRHGRGGQRKKGLWKSWGLQLRVTVLGVGLLALILLLNLLSAIK DSLIGARHNDYDPFVNWGQPNTGTEDLSWYPTDFLRDVIPLPCHSHNDYWRRVPLFDA LYAGCTGVEADVWLFNNDLLVGHDLASLQVNRTFQSLYVNPIVDILEKQNPKTPYYNG TLNGVFDVDPAQTLVLLIDLKTSGHETWPHVLRQLDPLRERGWLSFYEDGEFHSRPVT VVGTGNTPFDLILTPPYPTTTTTTAKYSNSTSPPDRDAFFDAPLDKLLPDSPYNSSNS YYASVSFFRSIGITQWWKGGEPTAGQLAKIRGHLAAAKERGLVSRYWELPAWPIHVRN KIWEVLVGEGMGMLNVDDLKGATSEDWRRIRTWRRILRLF QC762_202240 MAKKGAKLAAKAPIAKSQSNGVTDKKNIRKSNDPPVEAPIAETN EDEEWEDEDSEEDSDSEEEGGGVDFSKLEDINDSDSDSEINNSDSENEDNDDEEEESE IDVDDLNLDDMDPEEKEELAATTRVRQTINNKDALLAALKRISLVPTDIKKAASVPFA YHMTLVTSKPTQDVIPSIDDDLERELAFLNAARESALKARNLLKKEGVPFTRPTDYFA ETLRSDETMEAVKQKMIEAATAKKASAEARKQRDLKKFGKQVQVQKQQERAKEKRATL EKINELKKKRKDGGSASLGAREADDMFDVAVDNELGGGNKAGGKRGRSGGDHGPPNAK RQKKNEKYGFGGKKRFGKSGDAISSGDMSGFSAKRMKNGGGAGDGKKTTFSAMSAKAK GSKPRLGKDKRKGGAGRR QC762_202250 MFWTFSRAQDCKKPAARLLQLNPDNPASHTIRHGEPIRHTPKHS LHHRIFSSIRPTATTQDRHVHCHQQLAREWHSRPTPTPSSPSRLRHLVGADSDYPHFH LCNALFLHLRPTINYIPWTFLRAKMREVNFSIPNVNKASVGITTALYDRRALDCTSTL PLINSLNHLAYLTTSSARIRDILTVDGGIERLVCILKQGRSKDMMDMWKWNLAFQCVV NIGVRGTEAVRTRVVEADMVPVIATILDNYIKVIENVRAKAEEAKHKDRHHHHRVGGS SRAHKSSSFSSRSGALETFRRQAPPPSIDVSAPFAGPSTTVIQSNSEATPTAPQFQVT PPADRTPLAGHHPHHHHTSRTHEARPPMALTPNVILAPSVPSMDAADGFVRPTRDADR LVGIVPLPFARPPLTSQPASPTTPLPPPQIRSPTVRPATMLTAAARSRQRPSIRHQHS TAAESDDMNAESVASDETPDTEMSETAEIQPAVGIQDIAMEDGEGMMTGTAMELTTPT VSETQEAGTFNITHQGPVDGSIVTNPTPTPVPAIGLSPNRPTMVSPQQPSMPNATVPR YLLDRQVTPNPQMLAAMPREEDVLMSLQLLAYVSKYCNLRKYFQKSHLVPKLKIGKEI QLLDGADPAALEAELEEEDETCEEEYLLPNDLNIFPLVEKFTVRYHSTDMQYWAGVVM RNLCRKDDTRGGIRQCAYYQCGKWEEYTRQFAKCRRCRRTKYCSKECQKSAWAFHRHW CIAATQ QC762_202260 MDESSSVTGAGADTPSSVPTRPAPGRKRSSSGAGGLLSKLPFMR NSGEHRPRSRRNTNETEITTFPPTPSFTSIPADTPPRHAGAPNGAPPLLPHIIQYQTQ QPQSLKTRRRRGSLRKVALLGRGAQREKRDRGLTIDTKLNVYGEPNGTPIQVTAGTAP TSVTSNTLHLQNNSGILKNSINTSTPYGLGISDLTPRPSMDGYASRSGSQPSDPDATP TAALAPINANTPSSRNSITGSRSPSISYNTTDDEDALHMAVPTGPGSSTSNLSSTSSS VNLLRPERASVSSASGSESYFLNLPHSPHSPPRPLGLGSSTSGSSILPSIQRRRGTVQ RAKSPLALTSLAGLSTTPLPQPDSDWDYSETEWWGWVVLIVTWTVFVIGMGSCLGVWS WAWDVGTTPYAPPELEDDPTLPIVGYYPALIILSCVMAWVWVVVAWVGMKYFRHARVS GD QC762_202270 MAPGPKPSLQPPGTPPTPVFAITGQHGEPSFHFVCDDFDLDQQQ QQPGRNHPNNEPEATVRLEPEAAPFSSPVQHYLAPSPRSSPAAFLDPYSHHPSLRPPT PDHLFNSMSSDGGLAANGGDAPAMKNPFNFQTQIISSGPVKSNIGQRRGHRYKHSSIS ATHQIFQEPPPRPPPVLPASLPIPTLREAWSSMQRDQKARLWWCSLHALIALYVFLSA EGSLAMTALSHLVFFDVGSAAVCVAVDVLGNFEVWRRSSIRHPFGLQRAEVLAGFAMS VFLVFGGFDLISHSMKDVLESVGHHAPHHPVSATDDSSQPAGGGHSHGARYITPGTLD LASFAAFVSTLISAYGLRNHGRIRRVMRVPLPYLSSLLPESTILSNPFHFLTLFFSGI MLVLPLVYIPHIIWLDRLICATISLSMFFLGARLAVGQGFMLLMSYNHVDSKKQKGDS SEVASVIKEIESEPQVQRVEEAQFWQVHYGLAMANLKVKVKGGEMMGGGQGQDGAVSQ LRQRLGRVVQNRLGEGYGRGGSLRWEVTVQMSSD QC762_202280 MCRFLVYKGSDEILLSKLVLDPAHSILKQSFDSRLRLDTRRGQN NADGFGIGFYTDPKLGAAPCLFTSTTPAWNCVNLQRLASKTASHLIFAHVRATTEGSL SEDNCHPFSHGSLIWMHNGGIGGWKQIKRRLGERLADKWYLGVVGGTDSEWAFALFLD TLERMGHNPSSQPADGFGPTVLRKAMLKTIAIINELIDNIPESVIHSENVDTRSLLNF AVSDGHSVICTRYVGSASDEAASLYYSSGTLWETRAPTPDKRDYQMERSDKGADVVLV ASEPLSFERENWVNVPTNSILTIHNQTVMVHPIKDQYYDRNPQHRRSAAFVRARGLSA NEKTTLRAGISGPIPTIAGPSTTCGPACAPQPQENKQNHPQKRLLGPTIPTFPYARQE SSSTVPRTRTPLSHSESSSTLVGGAPPAPEVPTVRQTQPSQGNIKKKRASLSAVDAGG HAGMLGPYLSDTSPVTPEPTPVRTEYGNPDKIARMFPELALR QC762_202290 MSAQLRAAGAIAPVARRVGLRAVRQFHQFPTGGIQRADLAARDL RRSVQFPAKLYHNAVMVRNASFVRLLPKLAIKFVRIPALFGGLMLGAAGWVQYQAIQL GNSATEMYTNIKTGVTDTAFAIWGTATDIANQTKNGFNEKKGQFTMPEWLENIMKGEG AAGEGSGGPNGGREPPKQSRVAGGAAAAGASAAAYGYGAADEEDDRSPEEVVKDDHMM YITKRMIEIRNLLSRVGQSSSVTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMIT RRPIELTLVNDPQAKVDYGEFPDLGLARVTDFSLIQKTLTELNQSVPESQCVSDDPIR LTIHSPRVPDLSLIDLPGYIQVAGENQPRELKRKISELCDKYIRGPNIILAISAADTD LANSTALQASRRVDPRGERTIGVITKMDLVDPEKGAAILGDKQYPLRLGYVGVISKMP VQTGGLFRRESSNLLASINKNEKAFFNSHPEEFGPNSGAATGTITLRKKLMHVLEQQM SSKLTETTDAITRELEETTYQFKVQYNEQPMSAESYLAASLDDFKHQFHNFANTFGRQ QLLELLKDSLDQKVLDQLAARYWNKPIEDLFIAPAEPDSLVDLPKADPKSPYWHRQLD TACSGLTRLGVGRLAATVASNAIQANIDKLLDKSSFAKHPSARQVISEAAALVLADRA YATSDGIEISLKPYKFDPDIQPNEWEKGREHVVGVLQGELEQCQNALKSLESSVGGRK KLREVMGFVDKARKGEIIIEGDHPSGAGGFSAALLARGREAVFLRDRADIINMRIVAS KSRKCKSLENKYYCPEIFLDAVATKLAQTAVLFLNVEMLNDFYHRFPREVETKLNEYM NSHGGNGLEKFAREDPKIRRHLDLVQRKELLELVLMKIQDLHRFDAAGNVKADRDYLK KGRQQRGRWSF QC762_202300 MADSAPRLAALDDSIVSRLPSYSQSLFAAKTAHELSFSAIAEHL GRSEVAVAALFYGQATASPEDITKLAELLSLPEAQLRKDLGAGFPDRGRSGPMPPVEP LIYRLYEVVQNYGYAFKSVINEKFGDGIMSAICFDTKVEKETVEGADWVVITLRGKWL PFTRF QC762_202320 MPHKHTRREKDENTFDLPPTQIAKPLPPTTISKKKENEKNNKKR KPQQPKKGDDPNQQNDAPKPKKRKRGDKNDDAPRAFKRLMAINEGRLPRSGLDNGDAP KKKKKGGDIRKPVEKAKETTAEEKREELKIMPGESMAEFNQRVDAALPISGLVTKTKL KDGKDPLGLKVKRTLKEKKMHNMYAEWRMIDAKIKEKREEELEELEEKEMENEAMGVS WKLEQEAGKKKKGKKKTKYIGEDNGPEGDPWAEIKKKRNEGKVGLNEVAQAPPELTKP RMNSLVRGAKVQVADIPKAAGSLRQREELQGIRENVVEQYRKLMEGRRAALAAEGKER DD QC762_202330 MSPVGFEVFIEGLISQIAYCGSEGITLTQLFEFIREYHGTLARE SGKTHPEVPIKSEGDDGEDIESTLTDAELASARLAWDWLRSRPQILINGHPKRYWNFL EFDQVLALPKAAPTGGPSESSAIDPQLEAPAAKSTTAKGKGKGKGNGKGNAQVEKPKK SLAVRPRIVPSKEVVWQTLTHHGIDYKKVPPLEWACLQGIATAKHHGILQSNLRKLVD QDKRSLPKRTDSLFRKGYIVKRTVVVQKMKTSMLWLADFAPKTVDNDTFGLDLNPQAL EKLAKDTKTVSWHSKWTGTNIDVAALGKTIVGVVKAFGVMRYADLRTKLGVSGKPWQM KTVAKSCQRFADLGVIKYTAACFPTTRKVFKDCLKFVRDPTDEEWQRFLATGKKTSQY SDPSRHREPKPNALALYENSGARIQNHWTPEKPLAQTVFEVIKSAGPRGASNPQVSVA TVGYQHRRYLSSYLTKVAETRQPAHLTQFQVNSEMIRIGKTSAYMYRATGGQSTGGQP LLTQTAATDNPGSAYGFGEVRPKMFAPDEKNSLSALSLMAQPPRQANRKQSHVAAQLR RQEQALEEARIASMQSIAEETPAADTDTADAAPKEAAIEVVEEAEVAAPPRPSLKRGA DEISGDDRPPELSPGTVYKVRIGESHSLNPQQRKRGAQSDSLVIVFKRQGDTPFVPAS TPQRWSELGNNGAADNMTVELGEPSPSTPAPEATVEEVERVTPTRGRGRGRGRGRGGG RGRGGKGQTTAANGQKQFVCEKCGSSWKNSNGLQYHLTAGQNACNTNFDPSHNFNKRR PIEPVSTPPVENSSTPTTRGVRKTGATATKLRRGALRPSMRKRPADEARESEMEFRGV EVAAIPATAQVGTVIPDPDPPVQPFQIQSGLLTQRNFVQQSRMPLGNKAAPAAPFTFQ GQVSAPPQPMDLDTRPISAAKPATQALPSMQLTAQAPAQHVYNGTADVEMPDKQHDVA VPDEQQDAEMSEQLDDQPVGVSQYPDVPDPNAHQAFNPEDRVLTLKTEAHPASTAPAP AQDSQGIKPFVPNYSSYEQLATNAKVRTAMVFDIVQYLLDNNNGVFPGEKAVFYAALR VYLATFPGTTPPSLKNCQTAINSLQARKLIDMHTYLLRNMQGRMETYSVLVRHGMDPS AEAVKFTARMIKEAFPGLYIPPAFSPTPEEMADLQETDPKYPKGERDPRPNANGQKFR ARRRQITEVEVFNAPYYNQNIAGQPVKDTLRPNHLRSHGNVGQKRQAPEDGAGAGAQS SSPKRHKSNGGHATHPENQSDSLVDPELFKASPPQQHYPLGNINHIDSIHRPSIVEAV KHFRLLPTRGGSKMHHNARPSKSPTKIPESLGRIKNPGLNSMPTWFFKKGSYFYNLNA NPNPELVSPTVRFLEPNESLEVDDGEFESDEEGTSWTPELESNDGESTGTDCDEITVV LDEEVEKPKEFTFAESTTLTQVTDGVWHEHSQKHFKKLDTSFTVDGYMPTRKWQLEQN IPRSIETMAKKSDLDAHTDWKDKKYALFCTLIDKVSDWELSKNGSTLLESGSVAPDFI ILNITPKHGMSHMPVKARFNDQKQFTMDTLDYQELESDDDHQELLPGAFAHRKKRLGR PPGSKSQTTRTRSGKIKLKEIKTKREHTGFPQCPEDFLRQPGDRAEGLDWSSENVRLA TYVAIGTLLGGTSRSVDWGLIMRIYPEQTLSQLRHYWGMLRKDRASSIVILTAKFHKK FLKAYEEEELPPLDFDDVLAYDWLKLIKWTTRLDWEEKTALPATSQALEENFTLTPVR HEDREWREAYYHPQRSVFNRFQDATSEPLAVSLDNQPKPKLSQDMMVAMAWIRSLCVT PVQEKLDEKLVQKRNGLYPHMRAVEITELVERAIEQLQRMHVISKSSRDCSNGRQWRF NTRVFEQLVKAASQEKFEQAYRYKKKLDEAFRAKGKSSVRFKADDKGMTMVLVNMQAM GRVRTVILDQKNVPMGHEPGNYETRKYPKEYMHFKMDITPTDKYVYDDDAELVELREK ILAAKPPAAGPGGAVPVWMTCHGDLNVDMWIQYLSVVMITLASRGSMRPDELVKTVKP VIMEFEAELIMDWLEGVGVLKEQIAGMARGLGEWWWTVVEVSKEGLTAPAEKDKGKGK EVEQSATGNKPRKSLPSGRPTVTA QC762_202340 MPTPATPKINSKSPTIRRILKEAQELTNHPSPFFLASPLETDLF EWHFTLLGPPSSPYENGLYHGRINLPPSYPLRPPSFRFLTPSGRFEVNREICLSISGH HEETWQPAWGIRTALVALRSFMETDVKGQLGGLEAGSEVRRRLAGESRGWICGVGCSI GQGGRRNEEVMGEHVKMCREMGVVEQGEKVPEELKMGWRDEMGEKGKEKETESDGEET ARLAEGFVQTVAAPAPLGTVDNERPAERVPTPHPGVDVRGVQQRLLAEARRGDTSQDE GVPVWLDRLIIAVAVLLIAAVARVMLG QC762_202350 MTYRDTMPPGQAYGGGHQPQHPQFHPQQHAYGQHQAQQHQQRPQ LPQPQGQPHPRLPPVSQTAYPPYANGYQNGNQQQYQHNQYVHPAYPQQQYPQSQPQLQ PQQLLLPHPQPPAQQHRQHQPQPPVQPTAQYYPASNAPQPQPYVNYSNGTYTQPSPSQ PPQPPIPTPSAAPVQFVNPSYLQQSPATPRSTSHVPPASQPPARTMSPQISQLPPIAR PPQRTPFAEDSPKLNERPPPSRAAPSLKIPKDQRRPSVGAGVAKSPATPSSSAHTETL PLLISVAEDCFEKANTASQRVAKSMSEAEVADHHKLVATGLGCLDVALKSNKLWPRLE VRLCLRYASVLIDETTNIMEAETTLTRGIAVCDKNRFLDLKYCSQFLLMKTLFQRNPK AAFKLIEGHISDCGTYKHVHWIYAFRFLKASFHLQAGSPAEHGAIDNLRKIAGVANQR KDFSIFAMAMLLEGFAHMTTMKDDWANRVQLCVAQVSKIQLESSIQIPQIDILLRLLD LACSLHQKSHQVAAQKLTVLQRQLEELKNSPDWPLQNGEVLLSINRMSNTAPTVSNDT RAVVRQGEGNADYLVLSTLGKQEAWAIAWVFNGIVAHYRATTPGRSATLWNEGLRVLD DTKVSTAPQPLPEALRQHDWARELTCYTHILIGLQAATVTDWARVKQSLDAIHEQPHT SPSPGSSVDILTLYLEGCQQQGMANMDEALAIWKNPRFELDPTGAYKNGSRLETELCI LAALNRVYIMQEPNHRDSAETAELIDLLRPICEDNPDLEIRTAWNLVLASSTFEPELS LNQAKRHIQGGLSGAQQLNNTQYLSMALNIMRCKLFENVVGEQALKSAKAGATQARKS GNLLWMSVAEGMLGQSFEIEGRVGEAGRARGEGVRLANEAYEKTQLR QC762_202360 MAATKETPRSGIATGLNKGHKTTARVAKPRVSRTKGHLSKRTAF VRDLVKEVAGLAPYERRIIELLRNSKDKRARKLAKKKLGTFGRAKAKVEDMNRVIAES RRAGH QC762_202370 MASDTPEPSATQLAQALVEFSLRGSFPEENVSSLPLKPDALAEA IKALADARAKLQAEIHAINEDTADDVRAWQTNAQSVQDDMIRSKALANEIIKTSEAPA VSGKDTHDAEAKAEFLVRELNYNAQVQEALKGIRTVNRTLDEVEKARDERRILDALHL LERSWKELDAIPVNKSCRAIKLLDIRAFELKSDVHEVFDHVWNTLINVDVEKHTVSMS SGRDDEPMSLSDAVIGLQAYKEVDRRTAQLWASLNAAVLVPRMDMERETLPGIQIRDN TLEVKGTTDKSVESLFTDLEKVFRFLVERLPGDLVETISPTLLPGVIHRVTSIWLDSA VPSSLNDMARFQQIIALAKSFCATLRKLGFTNLGDLQEWTESAPRVWLSKCREAALDA VRTKLSKGFGEPTRVEKIEKQMVSKSEGQQIAANGAAAVDDDGEGWGDAWGIGDDEPP KEEPKEVEKKAAAKPADDGDEDVADAWGWGDEAAEEDQVIEETRKKTEIEDEDPADAW GWGDDTNNDAPTATATATKSEPEIRELVLKETYSISSMPHPVLDLIAAIVEDGAALTQ DRHASSPVAAAAAGLFGVPTLALALFRAISPHYYAPKEGGNMFLYNDATWLSEKLADF SATWKTRHDISTRAQNMLRLDNDVKALQGFANRAYTNELSLKKMMLRDRLGGEQNLLQ LDDTESYVASAVSMVRSIALEWEPILAKSVWQQAVGSMVDALASKIVSDVMDLPSIGQ EEAYNIAKHIASVEELDDLFLPSKGEVPLTAQFAGSWLRLKYLSEVLQSNLRDVRYLW MEGELSLYFGVEEVLDLIGASFEDNARTREVVREIRGNPRPRGE QC762_202390 MSVFTDSPRPLLSSTSSPMTPDLLQSRRSSRPSQVRTDLVPPSH AQLVVIPPSAVSNSSASTMWPSSQHGSPTQSMEAVASLTTASSLQGSPDSLEPGQEKG LELVTPLQLPEAIVSKQPDQTTTTLSRRTSNTSLGSTLKSPLGSTTAMAEASKSMSLI RRTSSSLRNRANGLFPRRGSSTHPRSRDGSVGPGVMRRRGSMSNPGTPYDNISPFETD SDDDVVLVGVDEVFGGDGAIREPSPLASTQLAASFGSVPLSLRQGTPLTKITKKNNRK RIVLTLDPDSAKIWWDRTKASKCVYVDDIKEIRMAGDIGQYLLDAKLEEKDRPRFFSL MYAVPGKPGVTKWLHLITDTDKAFYDWTQTLGAFSQYRQDFAASLMAFNDDAVRVYWD REMEKRFGAVRSKEAEQIEFADVERVCRNLHIHASTDSLRKIFNTIKGKPLSYSQSSN LGLNFDEFLEFVRMMKAREDIRRVYQEHTASQESGMTKPEFLQFLRLVQCENVDEDLA TWEATFARFARRGKAKDAESQGDAGLTMSEAAFASYLSSSSNAVIPKAPQKYDLNRPI NEYYISSSHNTYLLGRQVAGTSSVEGYISALMGGCRCVEVDCWDGADDQPVVSHGHTM TTRISFLEVIKTINKYAFVKSRFPLWISLEVRCSQATQVNMAKIMIEIFGDKLVQKPL ESFTDRLPTPSDLLERILIKVKKPQQPDPVERVGRRRGNSMPSPFQRPLPDNGPVPSS PLLSPTAMARTNRINTITEGKVHDTPSSSPSECDSESEKDSARKVVNSKISPVLGALG VYCVGIQFDGFDTQEAKSFNHIFSFKEKTFAEKNQPGPSKQALYRHNMRHLMRVYPNG GRITSSNFDPLIYWKRGVQMAALNWQTFDLGMQLNQAMFAGGTDQSGYVLKPLEGRQI QLMPNLTADECVGKRPRKRVSFDIDVISAQQLMRPLNLGEKRTLDPYVEVEVFLADDK RNKNNAVSNVTVEESKRTYRSKFVRDNGFNPEFDMSCSFDLTTKYPDLIFVRFKVKQA ESKGYNEKSPPLATYTAKLSNLKQGYRTIPLLNKEGEQFLFSTLFVKIRKGPVEVFMA DYQEEAPKNGNRLKNIGRNVFNQSNTSPKSSMDSGRS QC762_202410 MVSSSNTMDEMEKPEESKFICQTCKASFDDKQTMINHWKTEYAK GNRHYFCEKCMMMFRTEGAAEMHYKQFHQIDQKMGCPGCKATFVRMSGFVDHIEKNRC NTISNERFHEEREKALRFARQLQMVPGQHENKVAIFTAWSGSNKGPAHYTQYLSTTED ETAGDTLSSLRPLVDSSHKPDPVAFQMMSFGQKGDLLTGPGSDHSEQSSEAGDMRVLF PSYTGPATTPAVAPARPAPAQAQGWREPEKRPVYDRHDPRNPNWNPKQYYNQYTRKYG CPRDRCMYDSSKRPNLISLCSDALSSKSFPTSNGLRNHILTHPTTGFKVQCPVCSKWF DTNAALAQHAESEGSKCKIRKSEDYARFMGQFTAGMVDTKLGSAGMTVYTVSAQAKKT FGNKKDDEEDKGVNGWGEEKTEEKAEKKNDALEGQW QC762_202420 MSSSPPESMNRYWIPNLDIHKKVITQELQYYLGPDASVRPFTRE GEDGFLITTPGPCLSDEQIDDICVKSKQLWEKQAAARAAGSSSKSLKRPLHAPVSLGK SGGTSESSSRRRKPRSHRDDRR QC762_202430 MSFGPLPSQLCLLSSLSQKDIGDKVRFLGCVTSYSTESGVLTLQ YKGSEDRQLTFASVDVNLVLQSLKAEQTRVGEWVNVIGYVTSTDSKKLGDTNPVVEVQ ATLLWSAGPLNLEKYEASVQALDGEES QC762_202440 MSGIWGWFGGGAAQKRKDTPKNVILDLRTSLEMLQKREAHILRQ IEEQEKEARKHVNTNKTAAKNALRRKKVYEGNLEQTMNHIGTLETQINAIESANINKE TFEAMQRASEAMKSIHGKLTPEKVDEAMYVPKVWFLFLCDGTDGGYREKLQEHNQLNE EIAAAIGSVNIGQSIDDGELDAELDELMAKDLEDKMLETGTVHADRLPSVATGELKNN KGKAPAVEDDEEAELNRLKAEMAM QC762_202450 MSLPQDVEFSPARTTLDWAQSDYDYDQVQYADFSQIQEAKYGDV KYLQVSESEMDDQEIEGNGEFTHQHNPRDSIASLTNSEPSSAPLSKNAKKNKKKAAKK KAAKEAEKEAEKEAEPQPEAETVPTPAAEPTPEPTTEAKEEAEGEASAAKKKNKKKKK KNKSSVDSTPATSSDSLAPAAAEPTPEAPATEEQPKVKEVKERSLEAPAAESKQNEEP RTEEKVEETSAPAAAAEPTPVAEVTETKETEAPAAEVATPEVKKEEKPTEDAAPAPEA AAPVEKVEDAASSTPAPEPEAAEEAAPTSTVDPTPAEAAAKVKEEPAAESRSEDVAEA PAAETVVEAEKSFAEVAAPDAIEGAAPVVIDLKSEPEAEKPQEETKEVEAPKVEEPAK EAEKTEEESAPVADATPEPTPVEQSTPAEEVKPAESEKTAEPEVEPTPETKEEPATQA KEEPVAEPVPEAKEEPAVVEPVAEAKEEPTVAELVTKSKDEPVAEVAKEETVVETKEE ASPDVKEEVAPETEVKAEAAPEVKEEPASEVKEEAAAIAPEVKEEDIPELKTEDAEVK TEDIPEAKEEAAPEVKEEAAAEVKDETPEVKEEAVEIKTEEADVKIADIPEAKEEAAP DANDEATPKAAVEATLEVKEETATETKEEAVVEPTAEVKEEPVVDAPAEAKDEAPVEA VPEAKEDVAAETKEQSTSEEKDEPVAEVEQPAAETKEEAAPEAKTEAVPEVTDAVPET KDDAAPEAKEEAVAEPKTEVVEEPKDEPAAEKTVEDKDVSYAEVAAAEPAGEAKEATP ETEETVPETEEEPAVEFKEEPAVETKQEPAPETKDEPAAEVKEDTTTETVAESKDEPV AEIKETAPEPAVEAKEESVPEPAVEAKEGDAPEPAPKTKESAPEAPVAEIKEEPAVGT KEEPAVEAKDEPAVETKEESYAEVAAAAVETKEETATETKDEPAVKATEAQVEESAPE PVVEAKEELTPAPVEEAKDVPAAEPSSEVKEEAEPTSVEDSKQEEPEVKEESYAEVAA APAPEETVEEEKAAVEESAAKEDAPVTEDKPDPKTAEEPAKPEEAKEGVAAEPAVEKT AEPAAEPAEETVVESTPEKVAEPAEKSAEPVAEKAVEPVGEKVTEAADEPVAEPVAEK AAEPEAEKAAESTNETVAELKEQVGVAGTVAAVAGTVAAATEIVAETATSAADTVAAT FSKPADKAAEEADKSTPVEEKTEEEPAAVQAESASVAEPVPAVEESAKAAETVEEKAA PVEEAPETTPEPAAEIKPETETEVAAPASTEEVDSTPASVDRAIVEETPAPVEEVAKV EPTEEIKPASTEDAKVEVAEETKPEETKIEIVEEAQPEVAETATEAVEETKVPAPVEE AKAEPAEETKTPEPVEEAKPAPVEEVKTEAVEDVKTEAAEAPKADPFEELNAVEPVEQ VIAPAAESVEAPKEESQPEPVVERSLVIEVPVEAPKEVAAEPVVEVPIEAPKEVVAEP VVAAARSLPETVEKVEQEESKDTVGPIPSENKDEKASGEEHPIPVLLDTPGGLAGEEI SECISEPKDTDTDLQQSDTHDTPITHDTHDTQDASAAISSVDNNDTLDTPNTDNTPGE EEVVPETTDETEVTVDDKEAVKTVEEDKSDVTPSDEDLAAAESKEPASSIQEPEAKDD KPETEAAPEPEIAHAETAPVAEAEPVVESTQIEEPTLPEVKTPSADVEEALEEPPSRT KEPTEDSSPVVTDDASITVEEATQQSEEEKEQPIIEQSSTENPAVQESVDVTSTPEVE KSVVEEVAVPKKEEVAPEVESVEKTEEVEPVEVSDVKVAQSVDDAQAVNDKFDSPVAA VAVAAGIASAAAIAATAASIEEPAAVEKAVVDEPEVSEKTEEPIKVDEPVAVADKSII ADEPATTEEIVIAAAEEPIETDKPTSVSESRSIDEPPVSVEEHVDAEHVVPAAAVPSE ISARSVESAIDTASVVAENEAPVVVPAQEPEQISDAASEDFVLIEAESIPKEATEEEA VLIDQSIASLKQVASEVETEAPAAAVEEKSVNETVVEPAVEVTAQQAAEPDVESTTKP DAPKEVSVSDFAIPVAAAAGAAAFGVAAGEATKEEAPVTERSLPKSLAQVERDDVVSD YDPRDTNLSFGTQTTYPLPTDTSFTLPTDTTYTLPTDTSFSLPTEKTASESLSRGLAS TEESKKEETSEGKSSAKELALSAGVVGALGAATAVAAHTASDKESSDAVKRLEAYAAI FDDEPKDKVSSRSLPQDGAKNTTVESSEAVSETVPLTESFHMVDKKDGQEVARGGVEA KSDGEDIVAARPAIIAVTSAGPKETITDDAVLTTQRSTIPGSRGPDETQGEEVIVDFR VPTPALILPDLNDPVARELGRMRSLRRQRRNTIKQAEEMVAAAVVLYATADILSPPGS PTTENPGADVLGLTEMHSDVKGKGKEVQVFTDGERGRRRSRDEQEVSALVTDLSADKD RSRTDEGRRRRRSYHSSRSYRDLESRDHDLGDAHDYRDLSKDGSRHSYSRRHRSESYN SSRSLGADERPRTPPAQDKDSSYPPEHRSPRKQRTPEEQAAHDKRKEERRRLRELEKA REEIPASPTSPAKEERVKERSAPRQRSERDLSERDRSDRDRAERSEHRRRRHSHSRAS VEFDVPSSSRKTEPVLNSREGVPMPPSASRTFPPELKRSSTGRSSRARRSERSERSER SDDRLARDDDYRPSSREHREHREPRVRRSEDRIVRDEEVPRPRSSKRSTANVLDTKDS AASVGDASIGKGAASISSTGEHESGSHRAKRQEKREKVRESETKEKGGIRGAFKRLFT RG QC762_202460 MPPMIDPSLFDQIKSKIEEDTTVRKELEQIVDELHQHVSFTQGL LSKIHSTPRSKYATLLPQVEEGIKSQVATVGKLSAFASQYPYYKYNHKWTRPLQDSLS TCLLFTWLSTHTLLTPLQLATYYSVPLNLTAQDDAFHITTEEYLLALVSIIDDLSRLA MNSVTLGDTALAVEISAFIRDLHAGFQVLNLKNDILRKRVDSIKYAVKKVEDVVYDLS LRGLIPAAGAEDEKKGE QC762_202470 MPLVDPITMASAVSKKGAASQTVTVESSASPTAETYNLLPVSIN QTPLAQTLRHAQPLLLSGVLAFGFSSLVKDPVAAMSSTTLPLTAALQAVYAVICLPVA GRGGNDTAKKAKPRPGEKTGKKRAKEGGNNAYVLAALSLLITALVTPFIYASMVLFGA PFLTHGSHTLLCAAHLSLLGLFPLFYVHGVDASAWAAVGGFRAPLDETFGGLAGALVG AWLGAVPIPLDWDRDWQRWPVTILVGIYGGYVLGKVIGGTAAWGKKF QC762_202480 MTKIPTPVLPAPPPNPELPLQPVPSTSPQQQQQASAKHYKGFVA GVFSGIAKLAVGHPFDTIKVRLQTSSSTRFSGPLACLTSTISNEGIFGLYKGATPPLV GWMFMDSIMLGSLTFYRRLISTNFFASYHPPNDPFLPPSVIPLPTYAHGLAGILSGCT VSFVAAPVEHIKARLQTQYSSSKSDRLYTGPIDALRKIYKYHGVRGIYHGLGATLIFR GCFFFWWGSYDVFSRWMRNNTSWSAPVINFWAGGLSAQVFWVMSYPSDVVKQRIMTDP LGGGLGDGERRFRRWREAARAVYKENGPKGYWRGFLPCFLRAFPANAMALVAFEGVMR ALPE QC762_202490 MAPIAKKSTKGKGPKVTKKFVINASQPASDKIFDVSAFEKFLNE RIKVEGRVGNLGETIKISQIGDGKIEIIAHNELSGRYLKYLTKKFLKKMQLRDWLRVV STSKGVYELKFFNVVNDAEEEDDE QC762_202500 MLPLVQMHPMVQPQLDLLGPHQPHVKHDLEYVSHHQRPQFARPS PSLHNTDSTPRAFAIRSAQPAPALHRITTSFIRSHAHDHSSEHSLRRKTPSGTIDNGY DGSVAHLASGPPPLKHMILPASSRIFPTAVSQRTSGLTGVGYHRSTLSGSSWPYQAPN PTGRLDGGMEPLSSPPVTPGGWGMGSTNMITNPAAPEQTNFLQPIPQQSYNSVSSLQP LLGPGYHQPLSQTVYSPGGYQQPQQPQQSMVWRNGGLGDYRTPIPLANGYTPQNAVVD SAFMPSQSTIHGMPNAPGLGQPHPFRLPLSSYPLDDGYARHAQAHMAHHGAAHQGHES LAQLAGHIAQGGSGGDVASRARFKELALQQAHKTYNDLLLYLGNAKKAHHSRTGSLSR TSSKMVVYPKPSIAPLASGTKVRPSPALSEPTVSYAQHMAQKQAAARSMAMGGQGMIV GGFNQARNLYSTGLQQRPYFETRSPLHNAKNSLEMLTNLCEQSGWKWVDGMLLGGCLH YGLEHYEDALEWFKRIVSMDASHVEAISNIAATLYCMNRQDEAEREWSKAIKLRPSYL EAVEHLVGLLCSNHRSQEAVNIIEYIQKSLRMSGTNGTVHEVNETASEADTASTATMR ELSPDNFVLDDLNNDSPAQHFARPADNSHVPGFGSSGYAIAGTENGRMILLIHAKGNM LYSLKNIEQASDAFEEAVLISAGRQVQGVRSLIRRIQTVLAPRDMHSGQLRGAVQANL SAPLLLSPDRAKLTAQRVFASHGGLLPGLQYVPEGTHKKSVVVTTSNSLLSLAKIFQD TMSNGGPNTGMVRQPAGVGDILALYYLSLSLQESPSTANNVGILLASVQQTSTQVHSG PPMDLGNLPTIPGIVPGSGLSLALAYYYYGLTLDPKHVHLHTNLGSLLKDIGQLDLAI QMYEQAVQCDGSFDIALTNLANAVKDRGRISDAIGYYKRAVSANPDFAEAVCGLSTAL NSVCDWKGRGGVLLAGGKFDRWHVDEQGMLQDVKSQGQGSGLMKRVVDIVGRQLKESS TWGVGALQDQTIVQLVAQLRDAGAGITDRGLDVETELRKWAGRSWEGSRILRLIERST RAAMRCWYVDKHVKGIKSSAGYRRPRPPASLSIPSAPTVLPFHTFTCPLTAKDIRMIS QRNALRISCSTLRSPWVPATVYEPPEPPAPYFKVGYVSSDFNNHPLAHLMQSVFGFHN PNKVKAYCYATTASDKSIHRQQIEREAPVFRDVSTWPSDRLVEQIVADGIHILVNLNG YTRGARNEIFAARPAPIQMSFMGFAGTLGAEWCDYLLADTTAIPPSTLRPHRNNLNLG DVFRDEAYTESEDWIYSENIIFARDTFFCCDHAQSADGHNERHMSWEDDQKRRWRMRK ELFPKLPDDAIILGNFNQLYKIDPTTFRTWLRILASCPKAHLWLLRFPELGETYLRRT AKDWAGEAVASRIHFTDVAPKQQHISRARVCDLFLDTPECNAHTTAADILWSSTPLLT LPRYEYKMCSRMAASILKGALPKSEEGERAAKELIAEDEKQYEEFAVGLVNRMGYQLV RGQDGEVYGRGEGRLAEMRRLLWESKWGCALFDTRRWVRDLEEAYGRAWSDWVNGRGG DIYL QC762_202510 MPPKVDPNEIKVITLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATGDWKGLRVTVKLTIQNRQAAVSVVPTASSLIIRALKEPPRDRKKEKNIKHNK SITLDEVIEIARTMKFKSFSKSLEGCVKEILGTAFSVGCQVDGKSPKAISDAIANGEI DIPEE QC762_202520 MDYEQLKESWGEVEDRDGVRLSWNVFPSTRMEASRLVVPIGALY TPLKEKPDTPLLQFEPDSQVDTRARLWICPFCLSRNPLPPHYKDITANAIPPELHPAN TTIEYRLSRPAPSAPIFLYVVDTCQEEDSLAALKESLIMSLSLLPENALVGLITYGTM AQVHEIGYTECAKSYVFRGSKEYAAKQVQEMLGLTAPALRPGVPPHQQTRAFPTGPAA RFLLPVAQAEFQLTKALEQLQKDPWPVASDRRNLRCTGVALSVAVGLLETSFQNAGGR IMLFAGGPATEGPGMVVGPELREPIRSHHDIDRDNIKYYKKALKFYDNLAKRTAHNGH TIDIFAGCLDQVGLLEMKGLCNSTGGHMILTDSFTSSMFKQSFIRIFEKDADDNLLMG FNAVLEVLTTKELKVTGLIGHAVSLNKKSTSVGETECGIGNTCSWKMCGIDPNASYGI YFEIAQGGPSQPQQAAQRGMIQFLTYYQHSSGQFHLRVTTIARDLSGPAGDPAIARSF DQEAAAVLMSRIAVFKAETDDGPDVLRWVDRMLIRLCSRFADYRKDDPSSFRLEKNFT LYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDVSNSLIMIQPTLDSYTFDQEGG QPVLLDSTSIQPTHILLLDTFFHILIFHGETIAEWRKAGYQDQEGYENFAALLEAPKE DARDLITDRFPLPRFIVCDHGGSQARFLLSKLNPSTTHTSGAGAYGGVGAQSAQTIFT DDVSLQTFMEHLMKLAVSGTN QC762_0033370 MHAPQQPAWTWNLSFSSEGTTSPALQQLAKAPRLPYPPHCYDPG CHLALHHLEFPRQDHVLDFGPHIPSHTSTSTLSISATP QC762_202530 MAAKSFLFLWCLLTAKISLSRRIGPRQADGDVDDQVFLRRAFHS SAVLNGWVYIDGGEFSYKSGSNIVYQYSNTLLSIDLSKDWSNDTIDIQSSSKPEGVPN LRNGGIWIDEKNGVLYTGFAGTEPFFGDGALCSQGLWSFVPNGSGGGDWRNLNDTADP GFVNLPRPYKGQTSSGDGRGFFLGGVISNETGQETTLSNLDTYDFATNKLTNQTVSGV FTRGLERYGGMIYVPNFGNRGILINVGGEQGGRGRVETDELISFRRVQVYDPESQTWF EQKTSGNTPQPRKQFCIAGLASNNHTYEVLVYAGWEGQYGSSSLSYDSAFVLTLPGFH WVKADYPSHNPRHGLTCNPVGGSQVLIIGGVDTTQQADSSGAQQQRQQRNGSSDNISN YISAFSTPDPFHRGLAIFDMHAMDWNTSYTANPAVYAPAPEVQDYYNTRGLKPEAGFA SPELEHIFSIARFDNNPDESPASYARGSNIAAIAGGVIGGVVVLAAVFGLFLFFRRRH KKQSLPSTVVGQSISSHTAYDKPPTLSSLHWQELAVVGNEQPHPELPVEGHEQPHPEL PVTGHERPRIELPPEVKPEKQQETVPAPSAEPEPVELHGDSLVLSELSPRSQDIRKNF KFPTEHIQKPLSRTKSL QC762_202540 MPADLDFPVIFLLPSHLGSEELQELVEQIPTLTYDINEAEVILG KISRKERALFELRKRKLVTEEVEKDERTPPASPPRKRIRLSATPALGSSDLDSDTCSE GEIQRRLVGPVSVPKVEPTVKVAKLSWFTDSLSAGEVLPLDDKYAIYHGRKAMQPPPA PASVQTTPTKSTSNILTRAHKDSHPRTPPSSQKRYSSQGSSQKPGSTYASHPVTKTPK RPALLRQTTSEHDLDTHLPPIPPCLHTTYSCQRSTPANPPNQEFISLLLQIRLARTLT GDKIGVRAYSSAIATVAAYPFSFQTQNEVARLPGCGQKIALLYQEYKTSGTLKEVEEY ESDPKLQVLKLFYDIWGVAETTAREFYNKGWRDIDDVVEYGWDGLTRVQQIGVKYYDE FLLKIPRREVEEIGGVILKEANKIEEGFGMVIVGGYRRGKKESGDVDVVLSHRDEEAT RGFVERIVVGLERRGYITHTLLLSTANTERGQEPVAWKGDSRVAGSGFDTLDKALVVW QDPNYEGEGRNTNPHRRVDIIISPWKTAGCAVMGWTSGTTFQRDLRRYCKKEKGLKFD SSGVRSRKDGAWVDLESDPVTGEPAPDMLTAERRVFKGVGLEWREPEERCTN QC762_202550 MAPITLTLPSDYGYVLLAASSTFFINTLHAVLTSKARKASGIKY PVSYASNDLAEKDRKAYLFNCAQRAHNNFTENLTPFLGSLLISGLQYPKFAGALGGLW AFARVLFALGYTSKGPEGRMIGSLIGSLTDFVLKFTAAYTAVGFALQW QC762_202560 MLHLARQARQTLKLPFVCSACRTLLAQSPAPRRYLPVAINHLGA TRWYTDSTTPPPPPPPPPSAPATTTEDATPPSSDPPAENNENPEETSKKRKPKNKPKS AKEKDATDLSTSTDAAGTEGDQDMLRDAVKKVLKEGVLKKRKGSKTKHLGKKSKPAAA KKKKTADSAAAAAVGEAGEDRGDKPKKKKAKKEEDEAKPDLDIKEIDPRYLRLKPIEL RQPPVPTLAYGLDRVLFNPGVSYLQDPRSKVYNHDPYLSQIMPTHEFDFGALKEYITS SRDKTLIGVAAKKKKKYSGSTSSMTATLAHFHFLLSSWRPINTAMLSKQFDPEQSSED FNRILRSPAAIFLHHKDGTYAIDADKEFDSGNILAMLGKSMEKFLTMPKEEFEKYRKS KSDQLTDEEKNGPESYHYTTMGDFMMRSQLDAFDPRLPGTGMFDLKTRAVVTIRMDPE GYEKGQGYEIRGRFGTWESYEREYFDMIRSAFLKYSLQVRMGRMDGIFVAYHNTERIF GFQYIPLQEMDVSLHEAADTTIGDQEFKLSVHLLNQVLDKVTAKFPGQTLRIHFETVK HATTPPYMCIFARPVTQERIDEIQKSAQERIQKFERVLRGLDHEAEEQVEGQEEVEDQ ENDEGLVDDTRPEEDEGEVESAAERTEEDDDTREDVWDDVMLKVEHSLENEEHGATSI RESIQDALQQSGLLKNTTQEEAEHYVQALFEVLTSEANNEAPESELAEEGEETEPDAV EESEAAEETIPAEPAVVENEAVEVSTEVESAEEGQEIESQTSPEKLNLKDLILRLASR LQEESGETPSQTEEGEVTGEEDEDAAKLAQFENIMSELLVKTRNFRSIDEAEASEEDQ AEATTRTTATDEPSEELVEELAALSEEEIDPPIDSEFTEPIYGLILTTKNKIDGKYVD RPTVTVKNTKWTVEYTIEEMTDDRAMNLYKRARARRKKYLMGPENRKKEWYRMFGGQL PKKTGAGRFYRKMEDRIAKERPVFVYGHETPYTYNSVFPNIAQGNMVPYKTWFPTDDE LKEWSKSTDKMAWLERYRAVHFAKGKKKATPLGKATPLGIPRGPPRGPPKGRKKTWR QC762_202570 MSTPSGSPSPLQVAANSFVDETKMPKGHCRYILLLPEIKGQRCA CVGFSLNKGIPGASCDCGHLACFHNKEPEVATDSKQELELLKKRIQQLEDQMTRGQDG VTETLVSRMNEMEEHLEKSKEEFSEQIKGTYRNITISWRSIEQAEQKSKQQDEQLRQI YEKLRDHNEQLERLDAGQLELRDADLSLEERIENITEILEEEEERQRSSPARRPRRRS TSDTSRPNLPLGQGAVPSDDYRRPFPPTAGPRNPGHGEGLERGGTAAFQLMKPLPVSA RSTGPWTVHISLLPHAGVPMPFERNTNAYQRCLSRGLHRMVAVHGPDAESFNRAVEEA FGSFLKGREWMPLQAKLCDAETLQGLPMLRQLDPQLIDPKKYDQDFLRHQCGVCDSNG IIDSLYIAMKSHTISWHTLRHSPVFMAGLESCWAYDALLDRDQYNGDMAIDDEDRPAA GDITTILPPIQPSLKRPLTEMSRSNSFSAGAAEGEDTRKKRACPVPPPPGPIVEIRRR GVGAA QC762_202580 MDLDIEMDVDDVQEVPQHIPEAYTHDIITGEEQEPGEVDDIPDE QNGSSAESVRAPNKVHIRGLDTFTPNDVKGYLSEHYGMMEFERVEWINDSSANYIFKS ESAAQNALLSLAAVEIADLSQLSPLEMLPAKNFSQKPESNLLVRFAVAGDRKVQGAAA YSRFYLINPEYDPEERRRRGEFNRGKYRDRDDRPRRRRRESRSEERNTFDVNLYDDDP KALSNRVTTSPRPRYRSRSVNSADFRRERRVRSRSRERPNRNKELFPERQRPAVGLRD RSASPVRDRDGDAQMDLEEDARAVAVQRSRERGRELRERLSRDTKAKELFPSKVTAKK ELFPEKAGLAIGSKAQMDQVTNSSTILATASLADRITTRPTASGGEGGLNIRGMAGKQ GTGQGIAIKGTGAKVKELFPGKFGSGGNAGKELFAEKLEGRGRRRQRAEDSWN QC762_0033440 MANNNNNNNNINPAAWFRTFGRSKSSLQTPTTTSPSRPASITTT IGHDAPATPTPDTSPGRLRARPAAAVRRVSSLFSLVGGGGGGSILSGGSPKQDSFPDH GLPGRAPPMGALPLSGFHDGGGGGVGLQGLMGGLGVGGKRGEEESIVWSRPTMMQMVE TLRGVMMGVMRMPDRGRGVRKMKGEGGREGDWERIELDRDRERRWGERGGWGGLPVE QC762_202590 MPSSEGHPLGGLYLHGSHCLWELSHLLAVLGGLSPPLARHPTVA WTLHTNLLPTSSSYPRSTESERPLTKSCRYNSHVLVLLEGFGHLVEQLNKTQEELAEL KNLREKEVEQFRGISEEWIQRENGYKAEIKRLELVLAKESKNGVASVALARHGSLINR SGTKRFQARLKRLSSSQDAGKFSGPP QC762_202600 MSLMNGFIHHQTTSSSTSPPTSPPIKHHSPDFYMLYYHPDNSTA HPCASAALSHYTNNHIMTAPSNNHRRGPWSAHEDAYLMSLVQHQGPLNWVRISNALGS RTPKQCRERYHQNLKPTLNHDPITPEEGVIIETMVQQIGKRWADIARRLHGRSDNAVK NWWNGSQNRRKRQDKRKATMTTTSLQYHGREVSPLMSPMAPLPSRPLPLRQAHGMASQ RPLPPVPPLHPSSMHDAQYGLETPIPSPVYSPDSEAAPSLMSDNGSHYGASPQAPSPP QRYYDSRPESTMLAPLKTAPEDGVYSYQTSASAADNSNNGNNSNKLPSLTDAAHPIHS PDFRLNMSPVFPRSDYPRQPLAYGAPAPQTNDYSTGRQHYHPLTAPSSPNAPASTFKL SDPGLSAVSRIEERVNRLSVSNLVDPSLP QC762_202610 MINHHLGQETAQILSSSCSSVFLSSSSYRLSLCPVSTTVPSAVS ITHLGDLPLVTTTTTPLPAKMLDPLYGLATLSSWTPTFDPSSTTIITTTTTLPEQRDP AMLDNTSSSSDCYWIRLFLKVSILCGWFSERIRSYARLCQEACQEHSEERRLWTLNPN HPSSSLIPIHSSSLLRPLPRGSPTTFPKFRLLPAELRQQIWAEALPKSRIMLLQLPKQ NNHISNLTGDWLHKFSSRPRRDTTTTTTTTRLARGRSFSSREGEKYSFATTSSSYPSS KSNIFTCSTPPPVLLSVCSESRLAALKRYRLGLAPRGHPQPRIYVDLSTDVIGLSNEV MSSTSGKNLIRLTPDMRLVRHVCLAGQNAGGFMSSRGALVLDSVESLLVVENGLFGSG MVPRVAGLDWEYWVRWQCRKGLAR QC762_202620 MANFRRFRPDDLNKLSKCNLDPLTETYELSFYLQYYAKWPSLFQ VAEDENGNIIGYIMGKLESSPDVYKFSEHYLPWHAHITAVTVAPEARRLGIGKLLTEQ LEAAGDAGNAWFVDLFVRKTNYKAIKFYESMGYSIFRVVKEYYGDHSTDPTQDSEDAY DMRKPLKRDVKKEHIRADGEKHEIDPTDVW QC762_202630 MASYTPRHSRHPSLDLSQTTSYSPSRERRQSKASSFADRPGTPL RNGFASSDMDLGMMNGDGGGNGLGNLADELAGLDDDYDDYDDYDEDVPEGEYDDDNEL QKSQITTRGNSPHKTPGTNFEDTDDTDLDQKGGQQVRDSGVDVEHSPSRGSSLPHRLR NNSLGVPSPSGKHGHRRKGSAYDGSEYGSESDLDNGGMPPRLIEKMDEVESLARRGTE KTGGPLDGTFQRVTEGLRDLGSQAGVEGGATRLITAHSAVTTHLSHQNRQMHNLSFPL LSPLVAPPDPEAIEELLPMLISLSELMPRPSTTALQSLSSLHSLTTDLVNTLNYLSDT IHMSRQTTNIATRRLKSAKDLVAEIKHDEELREEGERWLAKGKWGERLERRECANVCG EVVGGFEKVCEGWRERLVELARAEEMGQA QC762_202640 MTRKTSSNMVRNISILSGNSHPSLALSICNYLSISPSERILCKF SSGESRCEIQDSVRGKDVYIIQSFGVGEDPNYKVNDYLMEVCIMIAACKGGSARRVTA VLPLFPYSRQPDLAFSKAGAPLRGDKGMVVDGEGKAGSGKAGAYTFESVPVTPHPNIA RTAGLGSKGLDMTDVVGRVTNSNNNTGGVGYRGGTPSGIQTPPLQLITYGTGNNNNNN TFPPPPPGQFTTHDYENPSLMLSLHPPKPNYKQWMAQSGSLVADLLTCSGTDRILTCD LHEQTYQGFFDIPVDNLHARPFLCKYISQHILPTTNSVTIISPDAGGAKRATAIADVL NLPFALIHKERRHPKFTPGKNSAKATMMLVGEVKGRTCLLVDDLVDTGNTITRAAKLL KREGAERVVAVVTHGVFSGDALERIESSALDKVVVTNTVDQTAHLRSCGKVEVLDVSG VFGEAVRRVHFGESLAGLFEGEGML QC762_202650 MVMPTLLADRFVSLDYTELTNNHVFLKSCNNGYFEQHNHHHLGE HHHHHEGPTAKELIGNALRQRVESIDHEDCEPGEEDTFFVADLGEVYRQHLRWKMNLP RVKPFYAVKCNPDPMLLKLLAALGNGFDCASKAEIEQVLRMGVDPSRIIYAQPCKTNS YVRYVAQQGVRAMTFDNADELRKIARFYPEAELYLRILTDDTSSLCRLSMKFGASLDS TDGLLALARDLNLNVVGVSFHVGSGASDPSAFLKAVRDSHMVFQQAASCGFSLKTLDV GGGFCADNTFEQMAGVLREALDEYFPAHSGINLIAEPGRFYASAAYTLACNVIARRTI QDTALINNGTTTPDPSYMLYVNDGLYGNFSSIMFDHQHPEAKVLRAGGQTLYDTPAAN GMDDRSGVEYSIWGPTCDGIDRITESIRFPVVLDVGDWLYFEDMGAYTKCSATTFNGF SNEHDVIYVCSEPGAKALLDL QC762_202660 MLRNTVKRSAKAATELSQLPKIGEKLHGFTLLRTKHVPELELTA LHLRHDKTGAEHLHIARDDSNNVFSIGFKTNPPDDTGVPHILEHTTLCGSEKYPIRDP FFKMLPRTLSNFMNAFTASDHTFYPFATTNAQDYKNLMSVYLDATLRPLLKKSDFTQE GWRIGPENPQALASGEAQAKPEDKKLVFKGVVYNEMKGQMSDAGYLFYIRFQDHIFPD INNSGGDPQKITDLTYEQLKQFHAEHYHPSNAKIFTYGDMPLADHLREVDAQLGAFEA IRGDLANHRPIDLSSGPREVKLYGPIDPLVDPSKQFKTSVSWVLGDTSDVVESFSLSL ISALLTDGYGSPLYKGLIESGLGTDWSPNTGYDSSAKVGIFSIGLTGVQEADVPKLKT AVQDILRQMRDKGFERSKIDGYLHQLELGLKHKTANFGMSLLHRLKPKWFTGVDPFDS LSWNDTLAAFEERYAKGGYLEGLMEKYLLNDNTLTFTMAPSPVFAQELAKEEEFRLKG KIAQAAESAGGEEQAQKAFETQELALLSEQGKSNTEDLSCLPSVHVKDIPRSKEPVGL RNETVEKVKLQLREAPTNGLTYFRAINTLENLPDELRQLIPLFTDSIMRLGTKDMTME QLEDLMKLKTGGVSVGYHTASNPLDFKQASEGLIFTGMALDRNVPVMFDLLRKLMVET NFDSPDAAPQIRQLLQAGADGVVNDIASSGHAYARRAAEAGLSWDAFIREQVSGLSQV KLITSLASRPESDQLVDVIDKLKQIQQFALAGNIRASITCDTESVSNNTAALSKFLGS VPQKPATFPARPATQFARNIKSFYPLPYQVYYGALALPTVSYTSPDNAPLQVLSSLLT HKHLHHEIREKGGAYGGGAYSRALDGIFGFYSYRDPNPVNTINIMRSAGQWAVDKKWS DRDLEDAKISIFQGVDAPRAVNEEGMSNFLYGITEEMKQKRREQLLDVSKDQVREVAQ KYIVGAFEKQAERLVFLGEKRDFVDDFWTVNEMDIGGSA QC762_202670 MSNASPLVASPAATAPRPATPAANGVPSQPPPADTVPVANGHAA HTHENRPAPAPPSAAAMTGKKGKQKKPEPTEASKLIAQRISQLELDAAGEKDQEAEIE REVKKANRELHTQTSRMNDLQKIDHLTKRCSDLLAEMKRHERESIKNKKRGDQLQKDK DNTRTELNKTTSLKEKLEKLCRELQKENNKLKNENKTLSDTQIRSQQTWDERYSGLLR RMDDQQEEKDNPRKQVVDMNTEKFFRDRFKSMIDQYELRELHFHSQMRTKELEVQYNL ARFEREKKNYEAELTRSRQLNAQVQTFSQTESELRHQLNVYVEKFKQVEDTLNNSNEL FMTFRKEMEDMSKKTKRLERENETLKRKHDQVNSNIFKMAEERTKNLSEVEDLKKKVD KLNGIIRQMQQQGRGIPQGLTNPVENGYAEGDLEGDESEYEDEYDEGEEDEEVSDDGD EYDDETEDESQQQQQQQPQPYGPERPPPAPAATTTTNGHR QC762_202680 MAFIQDPRMRQRWNQISHTTEAVTETAAANIWTFGHTYITPCLG SIGQALDSCTSVCLGDREDRARRARERDHGARRTRAEYTFDFYDDWDDYYGDVEDGPD HRENGGGSGGGGGWGRWGVNSEDWDRLLAGTGAVRGGQGEEVDQQPRRKRGMSYGTSR IRRKGTGLVEEEDPNVIPRTAPLGFLGRLPFKIVGSLRYKPSAANLREHPGGGTFGRG GAGDEHRPLLGAQEEEHDGKRQRKRGGEESSTRTRSNTTESGDTSSSYRSRGDLFPSD GEGDEDAVPLDENEFTVALNRVGVDDRSSNRTRSSKGKRPADRDSKKGLSRTVSRTTL DSAYTPDSASPFPTYEDGDAVPLSMQELQQEEERAKKEEDEEIERRRRAASQLAVKRG LSVDDYQVESEDQSPCSINQSFPNSDPDEETQPELEAKIPVEEPPKHQQQQELELPTE PKKMSEVEPAVRFVPAKLPHFG QC762_202690 MASSGPITTPLTTLLGIKHPILLAGMARTSSAPLAAAVSNAGGL GVIGGFMYTPDQLRGIVTELKSLLAHPSLPFGIDLALPQVGGNARKTNHDYTNGKLDE LIDITIESGAKLFVCAVGVPPKHVIERLHNAGILIMNMVGHPKHAVKALDLGVDMVCP QGGEGGGHTGDISGTVLIPAVVDVARKYKPQMLNGQSAMVVAAGGIHNGRGLAAALMQ GAVGVWVGTRFVASVEAGCSEQHKQAVVECGFDETERTLVLSGRPLRMKTNDWVRGWH AKPDMIRELTGKGVVPIEYDLDKGNEIDVPHLMGQVAGAIQKVQPAREIVEEMVEDAV QMLRLGGQYLAGNKGSKL QC762_202700 MDWFGRAKIDFAHAATPLPLTRKDGSQTDLLKVVEAAVPKCQMN PLLFNGHLQTMYTAVKEHGPQIYYKRKIFDADHKTYAGTFAVDFVVPQHKDFDEELPP RTAFYSEEEFAGIGSDDSKPMLIALHGLSGGSHEIYLRHAIAPLVMDGSEWEVCVVNA RGCANSKVTTGVLFNARATWDVRQFVKWARKMFPNRPLFGVGFSLGANIMTNYVGEEG ANCPLKAAIAVSNPFDLEVSNKGLQRTWLGKEVYSKIMGNNLKKLFEQHKEQILKYTN VDYDRVQNVTYLHEFDRAVQTVTWGYPTENAYYRDASSCDAILAIRIPFLAISALDDP IAVKEAIPYQEFAVNPYTVLCTTSLGGHLSWFEIGGGRWHPKPICNFLNAMATDINLE AVSREEKMVGDGKYQFNTDFNPVRRKLNVLE QC762_202710 MSTEAQKPRFRKVQSFQSDYAPTGITQYVSERSGMQVIVADRKG PKVNGYFTLATEIFDDSGAPHTLEHLVFMGSKSYKYKGLLDKLAGRAYSNTNAWTAVD HTAYTLETAGWDGFAQILPVYLEHVILPNITDDACVTEVHHIDGEGNDAGVVYSEMQA LQYSSSELMDLQARRLLYPENIGFRYETGGMMEALRVLTPNRIREFHKAMYQPQNLAV IITGEADHKDLLKILDTFEESIKDDIPPPNPSFKRPFVDSPQPPPLEKTIVQTVEFPE EDESTGEILVAFFGPSCIDQIEGTAVNILMTYLCGSSVSIIENTIVEREQLASSVSYW WDSRPNSVIWFQPTGVATEKLAFVEQRLVDLLKEVASKPLDMNYINECLQREKRQVKL QAESSEQFYASNIITDYLFGKRDGSTLRDLETLKEYDVLEKWTDEQWRAFLKKWVSDA HHVSILGKPSHELAKKLKAGEEERIAKRKEELGKEGLEALKNKLETAKQNNEKPIPPE VLDQWPVPGTESIHFIESLTARSGKARALGASDNKAQKIIDAAPQGKPLFVQFEDVPS NFVHLTLHVGLSETAVKYKPLLSLFTDNFFNSPVIRDGKRLEFEEVVKQLEKDTISYH ISSASRLSDYEGLAIQFQVDPEKYTTIIDWLRTLMFDIVFDPVRIKAAIVKALADIPE LKRDGRTMAQEVDMAIHFRPEAYLSAKRTLVKAVYLRRLKKLLEKEPETVLGWFEELR KSLFSFQNLRVLVTADVAKLSNPVAAWDALTSHPDLNPTKDVLPIVKLSAMLNEEGQS PGSVGSVIIPMTTLDSSYSVSTTTGLSSYSSPLLPAFLVAQAYLEAVEGPLWNAVRGN GLAYGVSFSREIDGGYLQFKVYRSPDASKAIEASRATVAKLASGEEPLDRHLIEGAVS SIVFGVADEQSTMTAAAQQNYMISVVRGLEQGWNKKILARVREVTEEEIREVMREVIL PVFEPGRSNVVVTCAPIMEENIVKTLGERGYKTQVQTLAHFYDDYGLKADEEDGEDEE EEDEDEDMSDGSYESGSEEEDDL QC762_202730 MASNEYYSQTSRADSQYYGGNDQYRTESPSAYSAPAPAYSSHHN LVGPGKDRPPAAQGVSPSPFETVFDDHVYPAAPSSSHQARRLSQQDTSYRPLSRVPSD EMPAYNHAPDDIPLQDNMQKHPSRQHIEMQDHVYDTSSSQHHQPPNKPPSKGRVRLGE LGMLGSGKKKIPFVVYFFTIVQVAVFIGELVKNGTSTGSPIMIKPQFNPMIGPSPYIL INMGARFVPCMHNVKAIQEAEGPVSWPCPWSTTNENTCTLSELCGFGGVQQPGQPPEP NQWFRFIVPIFLHAGLIHIGFNMLLQLTLGRDMEKHIGSIRFFIVYMSAGIFGFVMGG NFAATGIASTGASGSLFGIIALTFLDLLYSWKDRVNPTKDLMYLFIDIIISFVLGLLP GLDNFSHIGGFLMGLALGICILHSPNSLRRRIGESEVPYANSQVSSGFLKEGTVPPFF KNPVGFFKGRKPLWWGWWLIRVGALILVLVVFVLLLNNFYIHHKPVNNWCELGNLTIT RDQETAQQQQAAKRAVEALMGMSRRVLRG QC762_202635 MEREREWDYQHHHHTPQLSIPAPQINPHHRFQLPTTHHGLLRPH PFQIPSDSPTPPQGGLLTPSPKYHGTPPLQHHLQLLAAFSSIAALLILRRQTKLSLSS PLTHPPPPVWQKALFYSATYLSFSGLAHDYTGKSIYKRTNDRLDSLFSSSSSSQSSNS IFNPLPEQAQRTKQLIKEERERRRLAEGKPPTEAKEEEEKKGLITKIWMGGEKDGWQE RRMEEEKKALEEGKGYGDLIMEQIYEVFGWGGKKDDEKKEKPEEKKKD QC762_202740 MSDSHSLAQILIRSVIRAFHTTQEVLAIEALVQHSCLRDDELAY LMKMNTKDLHRLCASLRDARFLTVHTRPEVIEGKTRPVNRTYYYIDYQQTVDAIKWRV YKTDKDMQGIAKPQDESKEYSCPRCKSQWTQLEVLDSVSIEGFLCLRCEAVLERSQEQ EQPGHQQLSRMNNQFKFMTDMLQQIDKGLVPECSFDKAIAVARPIVREAMHEVLASVP VEDAGGMGKPSAVKGLANTGPKTMQVTISDGNEEAELIESRKRKERFLRENALPSWIT DSSVPVPAATAAPPVVSFEMRDADGGDGGRAGKRVKVEGEMSFKMEEDEEDDLEFEDV V QC762_202750 MGKLLRLELFNFKSYKGHHTLLFGDSYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSSHLRDLVYRGRVMKTSKIQDDGTAAPATNGHTNGVENGDDDGS LQRATRNDPKSAWVMAVYEDDAGDEQSWKRTITSNGSSEYRINDRVVTAQQYNEALEA ENILIKARNFLVFQGDVEAIAAQSPQDLTRLIEQISGSLEYKAEYEKLQAEEEQALEN QNFQLIRRRGINGEIKQYQEQKKEAENFQKKTEERDEAVITHILWKLYHFQRVMDESS AQIQEHQENLKEFRRNVETFEKRLEAARKEQTSVAREVHKIEKTIKAKEKSIEERENS LVPIDEKITQSSRDMEMLRKRIADLKKLRDEKTAAVQKYTKDLSQVEKAHRQFEKQWA ETLKKQGKELSDADRKEYDKLQAEAMKRSTDNRQKLDNLRRQLKSDEATFNSLGGRID NFEASIDKLQGEVRGITERRDACQDFIRQITTEIDSKKKEYNNVQSERIRINNTHTEL EEKLRDVLRKLEDADMGRRQNERETRTRNIISDLKRIYPGVRGRVGELCKPKQKKYDE AVITALGREFDGVIVDTEKTAVDCIQFLKDGRLPSMTFIPLDNIKVNTSNSAVKGIAG ARLTIDTIDFDPTLERAIAYACGGSVVCDNLEVAKEIVYGKKIQVKAVTLQGYVIHKA GTMTGGRLNEDKGNKRRFEQVDVENLTRLAEKFKDDIAKLPRAGRRGTEDNLQNEIAS LEQRLRLQKSELAAFEKNLKSKLKELDNAKQELASFQPKFDDKKGELERTRATVEKFE KAIQGVEDKIYADFCKRLGYENIRDYEAQQGTLEQEAAQKRQAFDIQKKSIQNSLSWE TSQLSSSTERVKNMEQQLKRHQQEVQSYQEEKNSIEEAMGQDQDELEALSESLEVVRT RHAEKTKKVSEAKADLQGRSKDIDARLKEISNLESVVQKNSAGKFALLRRCKLEQIQI PLKQGSLDDIPNEDVLLQKDQDAMDVDMDEDAEADEVLEAAMDDYGIEIDFDNLDDDL KDSDDDFEDKLQERISSLTTELEKLNPNMRAMERLESVKTRLQSTDKDWEDSKTALKE ARDAFSRVKQQRFELFNKAFSHIQEQITHVYKDLTRSDAYPLGGQAYLDIEEDTETPY LSGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALDNA NVEKITKYIREHAGPGMQFIVISLKPTLFQHSESLVGVYRDQAANSSETLTLDLRKYV QC762_202770 MAEPEVASTDSEAVPTPENSTPLDDASPLEEHVPSIDTPTLAPT SPESRLSRNPSFSGSSSTYQEDWDSNFPPLDRLTVLELLDNFTLPQQLEKLQKGISAQ TEKVRKSREAFNTKSRQARERMVDEWRRRVPSAEEQLDRYRKRMRNSVDKLGKRWNDT KAITLREKISFIFGVMNIFVSGYLIGGWPEYMHWWYTIQILYFMPIRFYTYHKRGYHY FLADLCYFVNFLLLLSVWVFPKSKRLFTAVYCLAFGNNAVAIIMWRNSLVFHSFDKVT SLFIHIMPCATLHCIVHLISPEHQASRFPAIYTIKHSPAGSPTAYANVLSMLAWSTIP YAIWQLSYYFFITVRRRDKIAAGRPTSFTWLRQSYSKVWIGKFVLGLPEALQEPAFMF IQYAYAVLTMLPCSLWFYYRWASAGFLGVVFVWSVYNGATYYIDVFGKRFQKELEAMR KEVERWQGEHEGLVGGGGWSDHGEEKKVGLGEGISGKVLDERREGDEDSGGGLDNIPL LNDERPAAAMVTGLEVGDGGARDVARERRQGRGGI QC762_202780 MGINGDVSNGAALSPTMKRPIQESHSSAAASPRLSTPPPPGERL KVEPSHAGSLRNGRSSSRSTDIGLDAVDHALRREIGRQHRESTPGASPSRKRQRINGD RFIPTRSGQDLQASFSLLHEDGSPATPSRQKKRTPHGELHYQRTEEANRTFSRLLRTE LFENSIPQVSPPSMSPEHNRLSHGHATRSHTPPNGPPPSSLPSNLTPSTPHKNLFSYM SPRHSIAGHPTPSRTPQSRHGPNLDTRSEVYSLSPVRYGSQQLLLSPRRQPRAVSKVP YKVLDAPELADDFYLNLVDWGNANVLGVGLGSSVYMWNAQTSRVNKLCTLEDDTVTSV SWIQKGTHIAIGTGKGLVQIWDAERQRRLRTMVGHTNRVGALAWNTHILTSGSRDRSI YHRDVRAPDPWMRKLVGHKQEVCGLKWNCEDGQLASGGNDNKLMVWDKLSDSPLWKFS DHTAAVKAIAWSPHQRGLLASGGGTADRRIIFHDTVRGTVVNEIDTGSQVCNLAWSKN SNEIVSTHGYSQNQIVVWKYPSMTQVASLTGHTYRVLYLAMSPDGRVVVTGAGDETLR FWNVFGKRGGRMGEDGEGGGGSIRLQEWGVIR QC762_202790 MALKVLKYWNAVLGSLKRLEDHAFTQEPSAPTVNLVLQEKMDTA FEALVREELPALITRRWIQAASLTIRKRVTGTLPEHLQQLSEGLAEVFCLTDPPREDN PINSTRTTQYGVKHVIRRNCRLLQGPKTNPASIDRIRDKLAAGKEHHETILNYRRRRL PVHEPPHVRPVARQPRGNAVQDWRPDSDNSPETNPFSPQLALRHLAEVLTAPEIEIVR NHGGGRHSPAHEDETPNWAKPDDNPIPRPLFQFPLSADMEELSDLSGGIFQTPVLSRI GGFDRVRQELEQGFKEGGGVVTAKMRWLTRSAEGRTRWVHGTPLVGSNGRVGVWMVVL VDDQEMGVGDREGRGRVALVVEPGFLRGMTTRGERGGEGGYDAISLASLSHLDLNLPP GHHGEKGGAITGR QC762_202800 MNMASHIRQRDAEPSTSLTPGAIAGIACGSGAIFIGAAGLFILY WRRNRQYDREDDLYRTDSEEDISRGGAIPAVSYTLDYKIHSPQQQQQQQQQQQQQQQQ HQHHKASSSCSYSPEKAAYPFSPLSTNSSSGPGSAMPTHPAYIPRAMVRGMPVSSNSS PQPTPPSNPPQQEPLPHFTFPQEPENPTPGSQRPSPLNLNTTSPSLPSTRKQPPKLLL STSNSNPLPGKQNATISGPLAFPSHYQPPPNLPSPPQKHPKPKRIRNPHDQIYDDDSS SGEDDNTHPKEMESYEESDIQYYHQHHHYHHQDKRTFRERSLSGSGGGSQPSSGGLPP HEQGKKSRRKRFSGGSSSQPAQQPAQQQRGNRHYAEIEIGRGSDIW QC762_202810 MRHSKAFLPLSLASLGAAEIVGQWTAWSLARTCTPEGSSCTYHL VLVPGPESDFITCDWTVDSTSNFKPAYQTDFAEAKCGDNLSLNGGWSSMGFITIVPTD KAANVYAFFGFTDAELADGQVASSRQRPAYRVGTFGEKEHPDLGLGMSKKMVRRLSRG VVQQHGGSLSSSKPTKEKRRDITMSDQLRKHISVMYASSQQQQSSSEIDTCSQNCLPS GDAYDALACVETCRQQLHGPKINNSQERHRLGTRNDNPETWQIHSLTRLTNHLLNQTL FTFSLYSSTTLQLANCGISIPSVEPTHSWYGQRCDKDGKFSVGWGYKADTDSAVMTVC ERGRGMAWFGWDGVAERDLDLVEVRFGDSRGEVVHETVCT QC762_202820 MPAATTKKPEANGESSTAAYKAQTANPSGNPTYELPWVEKYRPV FLDDVVGNTETIERLKIIARDGNMPHVIISGMPGIGKTTSVLCLARQLLGDAYKEAVL ELNASDERGIDVVRQRIKGFAQKKVTLPQGRHKLVILDEADSMTSGAQQALRRTMEIY SNTTRFAFACNQSNKIIEPLQSRCAILRYAKLTDEQVVKRLLQIIDAEGVKFSEDGLA ALVFSAEGDMRQAINNLQSTWAGFGFVSGDNVFKVVDSPHPIKVQAMLKACYEGNVDS ALDTLRELWDLGYSSHDIISTMFRVTKTIETLSEHSKLEFIKEIGFTHMKVLEGVQTL LQLSGCVVRLCRLNMDPKRFESR QC762_202830 MVGFSRCALSQLRQPKAQLVRSFSHIPSRAYSAPSSSIPAAKKK YIPTSGTYPLGFQVSGTIVGVKPSNTTKPDLALLTSEVPCAAAAVFTKNKFQAAPVTF SRALLQKKGNKGIQGVVINSGCANAVTGKGGLEDAAKMAQAADKCLGQSDSTIVMSTG VIGQRLPIDKIINNVPKAHSALGGSHEHWLTLAKAICTTDTFPKLISRTFTLPSSPGV EYRIAGTTKGAGMIHPNMATLLGVIATDAPISSSALPSVLKHAVDRSFNSITIDGDTS TNDTVALLANGMAGGKEVVEGTPDYEAFREVLTKFSTELAQLIVRDGEGATKFVTIKV VDSASEEAARKIASTIARSPLVKTALYGKDANWGRILCATGYSLISEPSEPINDVPEI VPEKTNVSFVPTDGTAELKLLVNGEPEQVDEARAAEILELEDLEILVRLGTGDKQATY WTCDYSHEYITINGDYRT QC762_202840 MSDAMDAYWQLQPLARTLATAIFVTSIGGHLGLIPTGWLFFHSS LAIFHMPPQIWRFLTTFLLSGPQLGIILDPYFVYQYLSQIESGNPKFQRKEDVLWYLI TVSGFILLFTQCFLGFQPFLISALIIALCYTASQDSRGMKANFFFFTVPAQLVPYCML GMSVIMNPAALPQQICGILAAHLHDFLVRTWPEFGGGRNWLATPAFVSRLVTTPRILQ REYGTGFRPRTQTSGSSTGASAGSGPLPDSWKTRGTGHRLG QC762_202850 MAPTRTIKNKHAAGSKSSSSSSSGPKRSSSSGVKKPSGGKGAPK GKIPPKEQKSKPNFGQDRKKKPRVYTEKELGIPELNMITPVGVTKPKGKKKGKVFVDD RESMSTILAIVQAEKEGQIESKLIKARQMEEIREARKAEAEKKEQERKAKLDETKELM RKKRKRGDGEKTKKEDEEGDKIVKEAVLRGTKAGRTKKKSVSFAAE QC762_202860 MATAEHPPRWAAFARDTNETKIQLSLNLDGGRFHPDTDARLFKG QDHASQTSKSQTISINTGIGFLDHMLHALSKHAGWSLALNCKGDLHIDDHHTAEDVCI ALGYAFAQALGTPVGIKRFGFAYCPLDEALSRAVVDISNRPHSVIDLGLKREKIGDLS TEMIPHCMQSFAQAARITLHVDVIRGDNDHHRAESAFKALAVAIREAISRVAGKEGEV PSTKGTLSV QC762_202870 MMPTSLNNAKHEEAVDASWAATRGAVSGALKWGVATAVLGGLGY AFSPVYRGLTIQFKVYIQMSGMVLGSMLEADARLREYEAQMRLRRRLMKEQAMWASFE ERYGKEEEDD QC762_202880 MHVASRLSPESTSCSDLSHTTRVVRALRPAPTTTTLVIPLSQCC ESRTPPVDFGPRTTLSTVTATSVTTGVRGPSNTSPPSPSPPTTVGALPGFAIAGIVVG ILLVLAGAAFIFFLCRRRKQRRRDSGAAREAPMYHFQEYQTVPVNQPRSSPSHSPSNS SVATEALLDLGLYESDDANEYTYGPVTPPQPQYVEHEMVQIRKATPSPRAVDRRSVDT VFALWFYATTELDRRRSKGSSNLAELPSAPSPPVPALPASPPPPVPASNPDTASTKRS SKARRTYLTPAGTPWEPSKPPKAPLPPPPPVSAPSISPAPRKTSNPRFSLFPAPPKPP PKNIMRNINSSRSPIPAPLKITPLTNHPLRTQRSKPQPQAQQKQPETRPRAPSTGMKS APLPSNKPLPLSPPITSETRPPQPQHRPRARSSQSRLGRVGLGLQTEGMVNIPLNNPS PSNRRPGTSLSSCPFGGGRQQQAQEAPRPGTSLGTTTRPRTPGTAPATSTRFNEGLLT PPMGQGAFPPPPGASHKTRRSLPGTSGSKWFGGFGGWRSPKYIS QC762_0033750 MILNSVGKVLSVLIPSSELKLRRSHIVTAQFALHPEHHGHDLAT QPRKPGRTEANTHKPFPNGPSTDFGATNCSVSRGYRFLAFQSTQERTIGTGLPRDLGW CWL QC762_202890 MKIACLQFAPQVGDVDNNLNRADAVLNKARTGDLDDLDLLVLPE LAFTGYNFKSLQHISPCLERRDSGISSLWARTTALKHDCAVVVGYPEKVDVSARWPTN PEHYNSALIVNRDGDTVGNYRKLHLFYTDETWALQGRDGFFRGNVEGLGNVALGICTD INPYELETPWEAFEFGFHVMEAHANVVIISMAWQSQQDPSQYTRRPHEPDLEALVYWV QRLEPLIREDSEEEIIVVFCNRTGAEDDVMYSGTSAVLGIRGGEVYVYGVLGCGVKEL LVVDTDQPPLSKLTDASGAEAENPYAEETDVETEPVKRRAQALEIQIPAKETPKELPT SHPISSRIEAVSATPKSASSARLPWLAPAEPDAQSPGNPRSPTRLQIPVTPVRPSAEE FTLIDSAIAEDIAIPTARSSRTPSPDLKAASRPSRLSIPTTPWKFRDKASPYPWQYRD GSQSALFGGGACMTPITPFEVEEGWLGTPIDAKPPNWYWKHDHSLSAVKEAIQEEEEV SPGSSSKPIQKVGGLEPAKTQQDASKVRDATPIDTEPPNWYWKHEPQLSSLDEILHEE AEGEQTETGESPRPEQAAPSKPTSTTVAEDVWVATPIDNEVPDWYWKHEQTLPSLNET AQEELEEDEPEAKQQESSRPRQVSAEDVWVATPIDNEVPDWYWKHEQTMPSLDETEDD PPQNAPQPATITTPAEEEEEEEEEEEEDHTPTPIDEEPPDWHWKHEQTLPPLNEEHPS PSHSQDWADLSSVLASFKIHPKSALSNSSAAQDFGAERPSSPKSRNASRSRQIYSSME NYDWEQGQQQARGGGMQVLGSGGGGGGGGVRRQSSRLRNVVMIGDDVSDVEEEEEQAH ARGKVGSRYRSVSRGRQPGRVRGAGMEVERSGSRHRVLLQQNSLRYGISLPRQSCQNL QDKRPEQQQDGSYRQHKPSIDYAALPNWPFPPSPEDIIKPAGTLSNLSVVTVDYDHSP ETPKMMGMGMAISPVGEEYEEFEYGYRHGEWDGWEGSKRSLLNEEWEGRRGRRGRV QC762_202900 MPSTAARLALAALVTVTTSPLAANALLGTPGSPCEKHCSNQQDH TARDEIVCDRGSIGKTAAGIVWENCINCQLRSNYTSGTMSDQAALLYNLRFAMDTCLW HSGDSTPCTGSTACGPLEDAVEFRRNDTSGRTPHGFCDLWEENFIPRCSPCLVGEQRG RGLFLNNYLWILEAACEQKPDPGYTIAIQGEVFGENTVTIVPPETTLVGVPTPDYGPV SLGARVGIAFGGIALLLVLAGFFIVCNGKRRRRAFLRELEKRHAQANHRYGGGGGDMF ETPVSQRPLRGWENESPVSAATEATERTLPRYISPYTSTYNSPVSGPGGSATVAANWP SLSPQQMHSQRLDQLLQQQSPAHGSPPPAFTQWPSVGQEKMVMQTYTQHEKRQNEIAI GLALGGDEASLRSKPSNGTMNNDRYGYPVEDKGKQRDEVYELKEVESPYNGQGGEGMV HGSNNPYYQMPSPPQAPVLHHPGYGRAHGSRPGSGDKGATGLGLQSVPVS QC762_202910 MSVSKDVIMPSSAPAPPVNDNELPLLLKGYNDMLVRTNHWTPIP YGCAPHKRDIKSYISSGVINLDKPSNPSSHEVVAWLKRMLRVEKTGHSGTLDPKVTGC LIVCVDRATRLVKAQQGAGKEYVCVIRLHDKVPGGEAAFAQALETLTGALFQRPPLIS AVKRQLRIRTIHESKLIEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVGAHMQEL RRVRSGVMSEDDGKLVTLHDVLDAQWAYDNGGDETLLRKVIHPLETLLCTYKRLVVKD TAVNAICYGAKLTLPGLLRYSKDIDVHEEVVLITTKGEAIAIGIAQMSTVEMSTCDHG VVAKVKRCIMERDLYPRRWGLGPTAIEKKKLKSDGKLDKYGRANENTPAAWKASYQDY SESQQGAEAAAQEAAAPPTPVKAAEPATAPAASSPVREEKEKKRKSKHEGETAEEKAE RKKAKKEKKEKKSKKDAEDSE QC762_0033800 MGGRGSGILELEGKVMKRLDGIFSSEEGFSLSTEDPAQSIGRLR HDRRFLISTVPICAVAEEYSFSLWPPLSGELRDGCSA QC762_202920 MLPTLVTLLLLLLLLHLSLLPLVTATASPLLNRDFPDPSILHDS SGTYYAFATSLLTGPSPKNIQVASAPSPLGPWTYLDIDPLPNPGSWTSGPGSLTWAPS VIRLSDNSYVMYYSGQLSGNNSAYHCIGAAKSTSSVTGPYTPLSQPIACPLSQGGAID PAGFLDPLTGKRYLVYKVDGNSLGNGGSCGNSVAPQVPTPIVLQPVADDGITFVGERV TILDRTEEDGPLVEAPDLWFDWGTGTYVLFYSNHCWSEEGYSVNYATSGEVTGVYKRA SKGSLVATGDGLGVVAPGGASVVRRVDGDGGGNRTSIVFHGNCGEGRCLFGVDVSIGL S QC762_202930 MLLLPVVLLIPQLVSALQVTPNSPCASKCLDSDDLDASDPNSSH TRNSDVVCEDNKFSSAKGQKWQTCMSCLETSTFSQGGESDTMWFLYNLRYAAAFCVFG YPNGTSIGLDVCTTTKACGPLRDGVEHGLLDQKNMTAYSYCKAGAGGANDLSHYEGCL SCVAPHGSTDYLTNYFKAIEAGCAQQPAPGVPLGLNETVFSNNRITLVDPSAPKDDGD SAPAVGTTTIIGIVAGAVVLLLVGGGFAFVCLRKRKNKTRRASAEADFYSNFGVGGHG HRPKSSISFQCQTHMMSPRFWPGAEEGISPATEQSPTDTQLQRSSIYKPPMGGIDAIS SYPTKENRYSVQQKQQDDSIATAPHKMGMPLHQITTTLPPASPPQVYTASSEKVYYSP SDFKSPLSADSVRSTAALLPAIKPYIPAEYGVVGQAQPYPQPQVPSPAPTVTSFGQSP TSAVSAPGTGMTPLLRGNPWSAALEKPQRPVINVPPPPPQQKKGRESVMMVGLGIDAG AVPPKRKGTPTPTGSPVESVEIKTAFKAPPRR QC762_0033830 MHGSIRSLQQIGLHKHIKKTFAIEDLCIGHDGLLTINRSGQKSG WMNKEFADAVASITTQVKASQAFTALKARITAYLTDTDHYPLVCLSQALQEIERGCRS VMHLEKTLRLFPEVLEFLDAGVASIYAFKGYMKEPGPMPADRWERSGDAIGVQALLVD LEYEDFISGR QC762_202935 MADEEKERAEKLAAAKKRVEAMKKKAKKTGKKTKDTGDEKDTAT DTPATGTPEPTADAVAPPPPPPPPAEEEEAVDSAGSPTLSAPPSSLAQASKARSTSFR QGSISLGSGAGGAGGLGPLSPGLGGEGGETAMDIYRKQQAKIEELEKEKGRWEKEAKE LERKLGRVEGELEDLREAEGGKNDDGEVEKLKNEIEGLKRQNQQLMAQRKGHGGGGGS ISVASPPPAAELERARETIQTMELEMGRLRAQVERLSAGGGENEQVAALEEKLGRAER AAGLAQREVGDLKVALERVSEKVVKEGSARSSAETKASQLEKEAKEVREEKEGLVKKV EGLEKKVVTLTTLHKEHDARMQGLKREKEKLEKELGEVQGQLEKVEAENLKLRKKDAQ EGGGDDEGVDELIDEERARLERRIRELEGENADLRRGIWHEKRKEMQVGPEGEMYENV DLGGGGPGPGQGHGQGGGFGQLISSGLSALTGGGHQHHGHHHGHGHQDDGFLDDDDDE LEFDEEAFRRAQEEEGRKRLERIKEIKRGLKNWEGWRLDLVENRRGGGEGVGVGEIFE V QC762_202940 MGFTEVDTKTINTIRVLAADATAHANSGHPGAPMGMAPVAHVLF NKIMRFNPKNPKWLNRDRFVLSNGHGCMLQYALLHLYGYALSIDDLKAFRTVDSITPG HPEAHDTPGVEVTTGPLGQGISNAVGLAMAQAHTAAVFNKPGYDLVDNYTYAFLGDGC LMEGVSSEACSLAGHLQLGNLIAVWDDNHITIDGDTNQAFTEDVLKRYESYGWHTITV EDGDNDLEGILHAFKKAQEVKDKPTLIQLKTIIGFGSKQQGTHGVHGAPLKADDIKQL KEKFGFDPEKSFDVPQEVYDHCRKASNAGAAAEEEWNKLFAKYSEEYKGEAADLVRRQ KGDLPEGWEKNLPVYTPADAAVASRKLSEIVINKIFDAVPELVGGSADLTGSNLTRSK GSIDFQPPATGLGTYDGRYIRYGVREHGMGAIMNGLAAYGTIIPYGGTFLNFVSYAAG AVRLSALSQVRAIWVATHDSIGLGEDGPTHQPIEVLTHFRALPNCMVWRPADGNETSA AYYVALTSKHTPSIIALSRQNLPQLEGSTIEKAIKGGYVLHEQEGADITLVSTGSEVC IAIDAVKLLAEKHSIKARVVSLPCFEVFDTQPKDYQLSVLPDGIPSLSIEVMSTMGWE KYTHEQFGLNRFGASGPYKDVYAKFEFTPEGIAKRALQTIDFWKGVPVRSPINRAFQQ IL QC762_202950 MVQAKLDTAPQKARSKQTASKPKRRRQTPEQHKLKPNPNNEKVI ISSSELTHYQLILDIFTRTFNETLTDADFSKNLQTLKQSLFDRDFATAFTNTPSNLPI YSARWSPPRALAYSSIFTSLSRYLPRLYSPTNTLPCLAIGGCSAELAAFASALTLLPG SPSGSLTLLDSAPWSEVLTNLSTSLTSSPPISKYASKLTVQQPPFIPAEKLTYTFLQQ DALTTPFNKLFSSDTPQLVTLFFTLNELFTSSGIGKTTSFLLNLSSAIPLGSLLLVVD SPGSYSETTVGTSHKKYPMAWLLDKILSSVCPDVKPSETPEGRIKWKKLESHESIWFR LPEGELDYPIGLENMRYQMHLYKAVDPAAPEKEESGDEEGHDDEE QC762_202960 MPGLARKVLVAAAVDGLLLHPLNPVPSKDGSRPPPLPLVKIKYG SNVSVSTVGRDHAPPATSESFEAYGVVGIITVFHYSYLITVTRRQQVANLFGRHPIYV VTEVALTPLTSRQEAADSIGKTALALKNRLQKEGSTSQSTSGDEETGGLGIDELPRDD AVESATEDDAIAPPENTSSSSIAKDVISRRGSYGRFAQRWFSRSGWAAENKRQMGLTP DSGTPPAQQNRASNKNVPTRFQIPDGADGSEAAIELLPKLLRTAQILFGSSRTFFFGY DVDVTRRLADGGLRGLGESVEGEYFWNGHIMRRFREAGVEGVVLPLMQGFVGEREFTV DESPAQEDEGEKGGKESVEMRDLSPSEEKREGEGGIGGKKGGTERKFVITVISRRSIK RAGLRYLRRGIDEQGWVANGVETEQILYEVDGGDKGRVYSFAQVRGSFPVFFTQSPYS LKPTPVLQHSQEANFAALRKHFGRLGERYGGLEVVNLVEKHGVEAPIGEVYERGVERL NEELVKEGKEKIGFEWFDFHSVCRGMKFENVSVLLGILGGRLEELGSSVVVDGVVERR QKGVLRTNCMDCLDRTNVCQSSFGKFMLDLQLREEGIDMEKQKDQENSWFNGLWADNG DAIGKQYAGTGAMKGDYTRTRKRNYRGALTDAGLSLTRLFNGMFNDFFLQASIDFLLG NVTSLVFEEFEANMMTKDPAVSMQNMRQQAIELCQKRVIEDEAEEFIGGWAFLTPSTP DTIRSATFEEAVLLLTDSALYLCRFDWNLDKVSSFERVDLAHVTKIRFGTYITSTISP AQVDELRNVGLVIEYKPGLTDVTRVNTRSLSSMSDHPKPTNDDFASAALSGVAGFFSG KNVAAAAAAVTTPTRKIALKALYSQTSAAVSGEGGAGNVKIGGEEDEDEDIARLTEIQ QVVVIAAEIERLALLNQPREVKGEKEEGEGHLIEKGDIISVAEAKKNTGLLETLGHRV KKLVWA QC762_202970 MSGQNDSAAWPKAEDPALVQELLDCVQQASHYRQLKKGANEATK SINRGTSELVILAADTAPLSIVLHIPLISEEKNVPYVYVPSKIALGRACGVSRAVIAV SLTSNEASDLNSKIRALRDKVERLAM QC762_202980 MGCTAAIVFTCLGASYGTAKSGVGIAAMGVLRPDLIVKNIVPVI MAGIIGIYGLVVSVLISDALTQDSYALYTGFVQLGAGLSVGLAGMAAGFAIGIVGDAG VRGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNSKATINTVCA QC762_202990 MSSGTRYSLRQTPRKKELFDGMVETPARRNTRRKNQPSIAESDA ESTSASETVASMATKSVRQRGVAKFTEHVDEADEVPTAPTTPESDSSKPLLDKKVKTN GAAKKEEKIVDGWKPGMDPKVDLSGEYEFGGPWFVSVMMIGFPLLMWYMWIGAEYYDG KLPLPEAGQSWTDFGKHLYNLCYTGAFPHAKAWAIYWIFFVVEGAMYCLMPGVWAYGK PLPHKNGEQLRYYCSAYASFYTTIALVAGLHFSGIFPLYTILDEFGPLLSVSIFSGWL VSFIAYFSALYRGAQHRMSGNHIYDFFMGAELNPRMFGILDFKMFFEVRMPWYILFLL SCAAAARQWDQYGYVSGEVGFLVLAHYLYGNATSKGEELIVSSWDMYYEKWGFMLIFW NLSGVPLSYCHCTLYLANHDPSTYRWNRYFLVALYVAYLFVYWVWDTTNSQKNAFRAI EKGKLVKRKTFPQLPWQVVKNPKVIETGLGDKILADGWYGKARKIHYSCDTFFALSWG LITGFESPFPWFYGVFFTIMIAHRAWRDIHRCREKYGEAWKEYERQVPYLFIPYVF QC762_203000 MGETNDIEREAGVNGDSSGTTSTAASSNANILIVDNNHPDAPIR EARNADEADSSPPTPRFIQDENSWKRFKWVPYPVRRWIKAGVEWTEGPAVPRRFRIEP LFPQVQQFPIVLLDRYLPNKLHRAALVLVLYVVWIVSFAFTLKNGQAVSEIAEWGRLV NIGCGSTYWIAGNGCGLDGIDCRPFDDGGFPFRCPGNCGSYQVLNPRAVGDQEVIYKP LVVGGPPADGDGPAIYRGDSFICGSAIHAGVISDATGGCGVVRLVGLQSNYNSTKRNG ITSVPFDSYFPLSFTFEPDVECSSRDPRWSLLAISVVFTTVFSLFVSHPGLFFFTQFS GLFWTVGMAMDTPNYTDLASLFSREIGLYLPAMFVAWVMYDKMGIRRTLKGLTAHVEK TILWLGGAWVGSLTNYTFDFIPIQRLNSHDINQQPGARAALAIIIIVLFFAVVFQIWF FRQEARLRSHLKLYGLFAVCLIIFLLLPGQNLRIHHYILALLLLPGTSLQTRPSLLFQ GLLLGLFINGIARWGFDPVLQTALALRGDAPVQSPLPVIDEPKISPNTSITFSWEAPP APSYDGISVLVNDVERFRTYFDDGNSAPGAGSSGVVSNVTWTRPKGLVKDEPEYFRFA WVSGGTRGDYTKAGRWDGGEGWEEMKTGPSLRVRDEVGGREGQGMEEAREVMIVDLRK RGL QC762_203010 MTVDTEPQEPESTAMRFEVVSSALKEGTAAAARVGRLALPGRRP IDTPNFIAVTSRGTLPHVTPDNISKHLQVSGAYFSLEDFVEKSQQNLSRPPPIFSAPT STNHPTPLYSFTGTPSHITTILAARRLPAVPSPIGNSTKSISVFTNTGFQNLSTTDYL SAASTLEPDITIPLADLTNNSPPGTSPTSKRALRMAERTDEWIVDWFSSPLSTSTSTF APVLPIPYPIQWEYLSRLAEDYIPSSQLSGLAVHDPDIIPDLATHQPSLLSLPLLSLS NPSNPHLILRHIALGTDLFALPLINAVSDAGLALNFTFPAPPSPGSPPLPLAVDLSLP SFSTSVTPLSESCTCYACKSHHKSYIHHLLQAREMLGWTLLQIHNHATMSAFFGGIQR SIKDATFEDERLSFSRAYDSEMPAGVGERPRMRGYQYKSQGGEENAGKKKNKPAWQKE LAVEDDKVEVPETDGGRELQEKGVGEVVKGSGR QC762_203030 MAPPPPPPPPIGTSGSDRAAAAYHQGGGNGGKLQQQQQQQAVVG SSKTPGTPRREQSYRLEKPVQDPGLKDYRLGDCIGKGAFGSVYKAFNWGTGEAVAVKQ IKLVDVPKSELRMVEVEIDLLKNLNHPNIVKYVGFVKTAECLNIILEYCENGSLHSIC KAYGKFPENLVGVYMAQVLQGLQYLHDQGVIHRDIKGANILTTKDGTVKLADFGVSTS QFMTGNDKEAQVVGTPYWMAPEIIQLSGATSASDIWSVGCTVIELLQGKPPYHNLAAM PALFAIVNDDHPPLPEGVSPVARDFLMACFQKDPNLRVTAKKLMKHPWIIGCRRTDAP VSRPPANFNQAVEEVKQWNKALRSSEHNMRVSIGSDQSNGPISQRPNLATNIRGPLTL VTKQRPTPDAFRSPEVPDDDNWDNDFASAISPTALHLPHIKGQDNFGGLLSSDRLKAF ASIDSHQDSENWDDNFEGELLTIKGPKHWSESFDAQEQTIRPLPKKSSDRLSEKHRRQ RSRDSRVSKSPTKQQLLGNNGKFELPPRPDLLYREQSGDNDYSDLFADNEGVFDRRLG VVKEAPQLFHPSDLTSPPPRSATQHQQSPLGASIRRPNSSRPPATTGSSLQPPEPPVR RTRSQVEITKFAEDEQDEDFSDIFGVVPGTDNATSFLKNEATAEESDRGSEDGQQQQQ QLVLSKLSNSSWLGDDDGDEDDPFAMMEEPGWMDEMDLAANIARDRHARMAEKVESLV KSLSKMRQVEDEEVLGELAEDLLAYLWEGGEEVKGLIMGAHGLLPILEILEGCGVKSR GGMVLGLLRIVNAIILDDVELQENLCFVGGIPIVTKFAARQYSNEIRLEAAAFVRQMY QTSTLTLQMFVSAGGLNVLVEFLDEDYETSQDLVLIGVNGIWNVFELQGPTPKNDFCR IFSRSKILDPLAAILHKVLDEERGDELSELVEGRIVGIFYLFSQAEAYVKEVVAERQV LKTVLKDLRRMTPAHQITMLKFIKNMSQCSAVLDALHSADAIDFLIDVLSLSMKKGQK HFREISNQVLNTMFNLCRLSKERQEYAASNGIIPLLLKIMKTDRPPKEFVLPILCDMA HSGSKGRRYLWQNHGLEFYVTLLADQYWQVTALDAIHVWLQEETAKVESHLMDGMFMT AIVSCFNPARANAFDPNLLEPLLKVLRLSPAVAASLAKAEMYAGIAQKLGHKKAVVRL NLLRLVRNIMDGCEAHNLSMSSNSSSNTGKQLRALFDDIQGLADKDPAVLVRNLAGEL VRAHFGNDLQHEALALSGLGLGNSVQGSMGGSVGGAGVGAGRSRSGPRRNTSYTPPGG LHLSGSGGGPHTPTGHRASQSSSSAAAYIEVANSTPKRTVVGLAQDREAALFRPRSRE GAVAATSIPRRVSQDATAAGLAMSPSSGSGVKSRLPRTSHSHFTRPSLSTAASMPITA MRAERSDSSLSSREHVMGRLRSGSSISITGASQYSASPTSSSFLSATGFNLVNPSSSN RPSSSSAAFGHQARRDSHSQSRSYFSQSARQDSSSSERFSQSARQDGADRLGERLERI ERERDASGGSGSTSLSTGSSGTTATTATSGQSGNKVRRARAPSSISRMESVSDVNLPA GGRDGGDNKGRWP QC762_203040 MGLRLYQAPVESDIQSKPAAEKSSAEARSTIRRHRLVRGYDSTR DHAREQVRERRRRVLEDAIWEPRRSPTLEPPPVPAVAHIAAVPVAGGNPNRRRLVNDT HWDPEQPRRRSPALEPPTDAASRYGRRRAYVGLDDRVITMFGERWAHLHAGSNPSLTQ TDDDNSPILPRPTDSQLAAQDAYSNFQRRDRYMSRMEPLPMVSSLRSTQAPNHPSRSS TTSPAGAAPPFSRPASNTRGESYIFGDETSNPYTAGRRWVESRRQERAQRSGSTLLVP PAVGHGAAGIDGLGDRSRSLSPDGDNAWETLLTTIAPDPQPPSVGSSFASATASAAAT QSTVAASSRTSFTNPQTVEVELASGCDNSDTDEDELEDDIRNFILPRARSTGRSWADV AGRSGSSSDDPSVFGGVEVMQRLVRSLAQREDIPDDWWAEVGLSRTLSREASAN QC762_203045 MIDLGLARIGRLIKHTPQTWKAIHVAGTNGKGSICAYISAMLNA KGISCARFTSPHLVDRWDCIAINGKPVSESLFLEVERQVKQRDVSENIGASEFELLTA TAFEIFNRQKVEYGVVEVGLGGRLDATNTLKQKSVTVISKIGLDHQSFLGNTIEEIAL QKAGIIRQGVPCVVDGSNQQSVLQVIEQHAKETGASLQFPNATAVAEELAKSGETFEP HQIQNLAAAHLAFRLACPEHDAPLTSLLPAIKQLKWPGRLQKMSLENITGRQQDVLLD GAHNTQSAEALSGYVQRHLRSSGNPITWALAATQGKDMNGILSLLLQPGDQVVAVRFE PVDGMPWVKAADPKELLDLATQHGVDQSSVYSAGENVKDALIKASEMAGERPVVIAGS LYLVSSVLRLLREKSQDSSENAIWS QC762_203050 MTTPNHLVIVCGHGIYLGGPQNGHDESEWLIEPYKKGETPTFMA HIKAGADVVNSDPRSVLVFSGAATRPETHLSEAKSYCNLALDNNYFPPTLVGSRVLLE ERALDSYFNILFSLILYWRQHPSNHWPERITVVSHEFKRTRLVDGHCAAVGFPLDRVT FLGINPPSLKAEDQASNQLTLGQWEQDPHGASLDLLTKRQKRNIWGVSQALFLDKNEA TKAGLEGQIRTSKDGSQTLLDYKGCIRPWAT QC762_203060 MSNNSGNQNTSGLPPCPFISVTTRPITGLQVDIYGLAELSPSVH HVSILWLHHQRTRNKESMRDIASRCIAAWNSFSHHQNTHSPHNTPQTERRGLIAIAYD QRNHGTRLVDDRVNGSWREGNENHAVDMFGGIRGMVVDQGLLIDVVEGCLWPRGEKRV DQHLGLGVSLGGHSVWELMFADRRVRAGVCVIGCPDFMNLMSDRARLSKLSTYSAQDD GASFLGSRDFPPSLIKACNQYDPKAILFGPHPVPDQPQQTRQELIRQTILYERLQGKK LLVCSGGVDKLVPPRCSEPFMNWLKSAAVNPPSPSFDKEQRFWVDDRIYPGVGHEFSS EMVKDAVQFIVGAVMGAGEDRYNPETREEQRSGREGFVPSPNI QC762_203070 MSVVYEARNFMHESDYPPMDEHHHEHHEHHDAAAAAEVDRFTET HDAVVNELAHVASFAEQAAPFVDAKNFVDVTVSTEETPSLDLAPQAPAPTLAVKEDSP VATSPQRSKAIPKPHREETKNHEGKFICTYPSCGEETRTFSRKCEWNKHMDKHDRPYK CLAPGCEKLPGFTYSGGLLRHEREVHQKHGGPKNSFNCPHQNCKRATGKGFSRQENLN EHLRRVHTQNGGSPEGDADDAASDHVSTALVLGPVKRKRDDQNDETERLREEVKRLRQ EKEELQKQVQMQNQQTADFLARIHQLEAERAVAAAVPMEASSLVAATSQLI QC762_203080 MADVVKLPEPFASIPRTPLTLGPSPIHPLPRLSSHLGGKVNIYA KREDLNSALAFGGNKTRKLEYLLPEALSQGCDTLISIGGIQSNHTRQVTAAAASLGLN VSLIQEDWVPGWEDASYEKVGNIQLSRLMGADVHVIKTENFGIGHKESLKKLRRRLEG EGRRVYYIPAGASDHPLGGLGFARWVWELEQQEREMGVWFGTLVVCAVTGSTLAGVIA GVKHQELKGGGRKRRVVGIDASARPKETFEQVLRIARQTGVKLGLEEGDINEGDVTLD ERFHGGVYGVPDGKTVEAIKLGAGLEGFITDPVYEGKSLAGLVGMVKGGEIREGENVL FAHLGGQVALSAYGDMV QC762_203090 MTSHDPNPPSPPADLKAGLGGTGHINIASPAQEPIQDYPIERVE QVYRKLDLRIIPAFWVLYFLNSAIRSNIGIAQTMNASVKHDLVSVLGLTPKDVSTALA LFYVSYVLFDLPSNLIMSRLSPRVWMARIVIAVGIIGTCFTAVNDAWSLKLLRFLLGV VIAGMWPGMAYYLTLFYPPSRTGKRIGMYFTAAQVSAAVVGLVSAGFQQMDGLGGLVG FRWMFLIYGLLGVVLGVGLLWWLPDRPLAPGEVREKSWWVKWLPPSPEALTGEDAMVH YHDLRRVYHARPWTLKDLWFVLLDWRLWPLVLMYFGVVGVGIGTQLYGSVIIASINSN FTGVQVSLLFAPIWIMDLIAILLVTPISDRFHKYRPLFFSAAVCVQIAGLLTVTFALD NQWGRYGGLLLIGFGLGPTVPICMAWTNEIFQKRHREVGVATASALVSGLGNLGSIVT TYALYTGWPEDAAPGRYRYRNSNFVMIGILCMSIASSFVMIALLKVFGNEPSNKIVGS DGNDSGEEILDGAARREVHQRGFSRLIK QC762_203100 MPPRIPSPSDFSQFPLIQLHPPSPPESTTTFLIVLHGLGDNPVS FCNFPKSLNLPGTYAITVRGVNPLPQGLVPEPVPENGCWHWGDDLLLDQRTGELDQDP GFQKAREALWELIGGVIVGRLGWGWGDVILFGYGQGGSVALGLGSELRRGQEKRVVDV SDGDGEGDGEGDGEGGKRELKGIVSVGGALPVSMIPTVGGRGKVTTPTLVLCGEGGEV IDDDAEEKLKEEFEDLRVVRWKGRRDDGMPRNREEVLPLMEFFAERLKHF QC762_203110 MFEKSLYDLIRGLRNHKGNEKEYIQNCLKECRSEIRSPDMDLKA TALLKLIYLEMNGHDMSWASFHVLEVMSSQKYHQKRVGYLGAVQSFRPDTDVLMMATN LLKKDLASSHPTTITLPIVALPHLVTPSLALSLLGDLLPRLTHSHASIKKKTVVTLYR LALVYPEALRAAWPKIKERLMDKDEDPSVTAAIVNVVCELGWRRPQDFLPLAPRLFEL LVDGGNNWMAIKLIKLFATLTPLEPRLVRKLLPPLTNLVKTTPAMSLLYECINGIIQG GILGDGEDFSAREEVASLCVSKLRGMVSINSDPNLKYVALLAFNRIVTTHPMLVAEQE DVILECIDSEDISIRIKALDLVQGMVSSDNLLSIVSRLMRQLKASSSALAQQQDGQED LDDSSEDGSGRRAKSQEQTAPLPDDYTIDVIGRILGMCSQNNYANVIDFDWYIDVLTQ LVRIAPPPSPRDLDSDSSSSPKSVDISERIGNELRNVAVKVKAVRPAAVRAAELIISR LSTDTVSSRPVVTGALKPLAFVVGEYAFQLSSPDDTLRNMLGLMPRVDYPEVLATCLQ SIPKLFAHVAGDDRALWTPERKSSLSLLMARVIHILEPFAQHPYLEVQERAVEFIELL RLTAEAASGQAPSTDEIQQDAPLLLTQAIPSLFTGWELNSVAPGAQYNVPIPSGLDLD EPIHPNLGSLLSQADSLMLPTQGDDEFEVYYNQRPAPTSIYSGPAIEKLVDAPEEVGS SYQQAGEESYLDADIVARRKAERRERNKDDPFYIPDMSSSRTGTSTPIHNILQKENGP DLDIDAIPIMSLDLEKLSVSGPSRHQQSQHPRQARQKIVIEADETLGGSGGNSGVSTP GGAARPGYDSENNSDSFNLSKAAKKPKQKSLLQFNSSVLGGLSLTDEQRPDDGFAHER QQKEEAEMALAMKEVQRLRLEMQRANERIQVAQGVPSEGVAVVKKKKKKTKTVVKADE GEEGVLKKKKKKVEGGGGEGVVTKKKKKKAARVVKLDEGDGPDGEGMGGE QC762_203120 MAPSLIRSPVQLPPAEALALSQQAPVVLQSSPSTVSSSALGSLF SATEKPELWIQYENLILSCLRTGDDRAAQECYDRLAARFGNNDRVKALGGLIKEAQAQ NNGELEKVLKEYDQMLEENNTNLPIMKRRIALLRSMGRLSDAGSALMQLLDFSPTDSE AWSELSDLYFSQGLYPQAIYAMEEVLILAPNAWNVHARLGELQYMAATASGATGAPYQ KQMAEAIKRFSRSIELCDNYLRGYYGLKLVTKRLLKDNAKPAKQSDDEDFSLPDSNTI ERLNELATAKLAEIVRHSSAQDRGWRGYDAAEVAAARELLSEDAPSGMER QC762_203130 MSHAAPSTSSDSYYTDEDSYSQQSHEYSESLADDDDEEEEDDDD DEDEWGGEYDDIDPSDSASTAHHEPYKRPISRNHSGTGRPHSGSRRHRLPPPQGRQSA SYYQSPQAPPMSLDPSDEYGSTYGRPSYAHGPPPPNNVGAFYGNRGNQPPAGYAASHV GGFGASPYGAGQMVPYGDYSNPFAPMRGANGPPQNDYYNDPRALGPHGHQPGPGPQHY GHGMMAPYNPAGAAASMFYGAHQGYGGMPPHMQMHMYQAPPPPPTEVSPRPTTPAPAP PPKPAEPDPAMLKLQAELEAFKKAQKEKEEAEKQRALEEKIRQDAAEAYRRQMEETER QRKKAEEEAAAARRKAEEEAARQKMEHERQLALAKAEAEKATLERLEKERREAEQRRK EAEEERKRLEREARDKFEAEMRAAEERRRREAEAAALAEAEAKARVERAIREEQEKMA AKIKAEEEAKAAAAAKAAEEAKKLKELEEEAKKALERAIKEQEEKLAAAVKAEREKIE AAQKAEEEAKAAAAKKAAEEEAWKKKLEQEAQMKAELEAREKIEKERQAAEAAAAAAA AAKAAEEALKKRLMEEAKVKVEEAVMKKEKPPIRFKDAVGRKFSFPFHLCATWTGMEE LIKQAFLNMEVIGPHVQEGHYDLIGPDGEIILPSVWERVIQPDWAVTMHMWPMEKHPL RMQHPGMPPPGMQMPGMPPGMGRPQPQGGHHGHGHRPSMGMPYRPPSRPTGGQGGPPP PPPPPGGMAWPPAGSAMGGIPMPGQGQRIRVPMPGPNGAVVVTADPPKVKKSKGAPTT VLGWMAGKPTKSSGKKKK QC762_203140 MNLPQRTSLVTMRPSLRLRAAPLSRLSRRPLLLLPSQQQLSLSS PQSRQPPPLQSRKPQSRPHSRTFLTSLLPPLPTTAPLTTLSATKVLPYPPSEIYSLIA DINSYHRFLPHCTHSLITSFTPKTNLPKTGDLTVGWGPITQSYTSRVYCIPCTTVEAV SGNASPTIPLDVLRKHGYETTEGDKKGLEGGVFESLCTKWTVREVKGGVTEVKLVVRF RFANPAVGLAVRAVADEMVGRMICAFEGRAREVCGRGGRPQVGGCIGVATRGE QC762_203150 MIIVNGDIVAQGSQFGLKDVEVVTATVDLEEVRSYRAAISRGLQ AATSDARYQRIQTPFELAPEDDDADIEKRPTLPMQPRMHPVEEEIALSGGCYLWDYLR RSGTAGYLVPLSGGIDSCATAVIVYSMCRIVMDAIEEENQQVIEDVKRLCQYSQGVLP KTPQELCNQIFTTIYMGMKKQSSRDTRQRAKDLAEAIGSHHVNLDIDEVYEAQKKLVV NTLNFEPRFEVEGGSNQENLTLQCLQARIRMVTAYEFGQILPTARGRPGGGSLLVLGS ANVGESLRGYLTKYDCSSADINPIGSIDKADLKRFIAWAEKEFDLPCLHEFLTAVPTA ELEPITENYVQSDEADMGMTYEELTTFGRLRKLNKLGPFAMFQRLVHDWSIDRKHVEG DTAPHYTPAQVAEKVKRFFHFYAINRHKMTTLTPALHCNDYSPDDNRFDLRPFLYPNF WKSWSFKRIDMELKKIEKKRASKGK QC762_203160 MTVDETVVAVAGSNDGQAQLATDLSTLEIKNGTKQTGEQPAHRS HDPQYNQKRSDPFQFGSRLLGEEDDPFEFNAWDHVEVDDEFKEYAEQQYEMQRQAPVS EFDKFRFNSDPAKWWNKFYKNNTSNFFKDRKWLQQEFPVLDRLTQEDAGPVTILEIGA GAGNTAFPVLSRNKNPKLKLHACDFSKTAVDVMRNHEAYNTDLMQADVWDVAGEELPP GLGEGSVDLVMMVFVFSALSPLQWKKAVENVHRVLKPGGEVCFRDYGRGDLAQVRFKK GRYLEENFYIRGDGTRVYFFEKDELADVWSGKLNIEATDGDADADSEGVKPKFEIEEL GVDRRMLVNRARKLKMYRCWMQGRFRKV QC762_203170 MASPANNPFGVPPGNPFGAPGQNPFGAPSSNPFGVSSFGAAAAT PPQSTPSFGSQPAQNQFGGSFGAAPSSFGSQPAAGFGVPTSFGSSDGNNMARRGRGKM SALNASNGPQDKGGKSNQFGAQSNKDTRRTNLFQGPKGSSLKREVAKVAAPAASVPSS QRKRNERPNGSQPPTQHSRRGKQGVTQGPSEATRQLSPFAYDYANKLYDHLKKEGIKA PTWPAQVGNPDKRGAVETLKESYKKYRTRAYASLRKADLIDDPDKRRRLEDALPFKGI CEDMCPEFEQVSRIAEYDVKTEEKDERGWPDTAKMVKKFGRSAAGQDAPLPMDVRSVA ALRRSTDYLFNELLQSENNLASMHNYLRDRTRAVRKDFTFHSKKTNEEMKELVYCFET ITRFHATALHLLCRKGHSYESFDSRQEIEQLGRTLLSLIEAYDKCRKKGVVCENEPEF RAYYLLLNAHDPSIMKRILTWGKEYWFQSEEVQTALALIQVMDDIRETKGPLKPKRPV TLSDTSFANFFAIVEDSRTSYTMACIAEVHFTWVRQNILKNFVRGYSRHRDAPRTITA ANLNKLLRFDTDEEAVEFIELHGFEMSTWVPPNRPPVTEPYLLLNNKKKVVPSPRVPQ AFSGKLVERKRTTQSLPYVIYNTIYEGSTGGDVGMEDELFVTQNNTLGASSAFGQPAS QPAAAISSFGFGSLANGVPAQPQAPASTFGSSNTPSGATPGFGAPAPSASPFGQPPPQ ANNPFGQPAAPTPTATVPAPTPFAGFGSNPTPAPAPTPAQPPSQKEAGKSPFSFGTPQ TAIFGSGAPPPSLAEPSAPSFGFGKPPEPAAPATTPFSFLNKDASPAQPASVLSSTEK APIFGNIAAPTASTQPTPAPASGFSLAQPTQPTSSLTFPNLGAKPESPKNVQPAPAAP AQQPAVPSVSITQPTPTTSGVFPPQQPVQPAPAQSQFPAPTPPAVPPPVQNAPLFTVT PSAPQAPSPTPKRDLMGGFTKWYVTGDGGLMEQFAENTVQNLVWDVFQRYQLEEAERV RREEDEESWRAAREFMCYRLGHKYFNRWRETARRLSMRRRLREGKRLMREWRVMQKER EREKEQEEKERVKERKRKAEEDVRLLGRLVKGSGRGWGGGSVDGVFSHDGGGGQEEEL TRRSGMFGGVVGNEGELVKRAVMGGFGVTTGTGTGYEGYEGYEESELELVPAPKEMTA GSPDSDATAQREGWKTRSLRERFVSDHGRRSVSAHSSINGRASLRSTNFSGVNNNNNN KKRRSAELDGLLREPDAKRQSFAMSTTSCGSNASASRPGIRSRHWEMRLRGFVQGADG GWVAESLANSSGNDGQPQRPPPLTELEIRLARIRRDHSVGRGGSRSRAASQSGGMGMS PPPPPPPLWGEGVGKRKRDGEGEEDRGRKGGEFSPSERERGRGRSGAGTTTTKDMVED TQRMLRELRETMELLERDRLVLPGGEGETTEGWVA QC762_203180 MRIACLQFSPQVGEVEKNMSKADAVLAASASEQLDQGLDLLVLP EMSFSGYNFRSRSQIAPYLESPSTGPTSSWAKQKAQSFRCTVAVGYPELQSHTNGEYY NSLLVVNPSGNQVANYRKSFLYYTDATWAREGPGFYSDSPESTVFPGKTTAMGICMDI NPYNFTNPWNLYEFARHCLTVKANLVMISMAWLTLEMRERFLTGREDEPDLETIAYWV GRLEPLIRGQGGHGEEEEIIIVFANRCGWEDEAVYAGSSAVMGVKGGEVSVYGVLGRG VEELLVVDTDGEPRGRLVTKKRDENEEEEEEEEEEEEEEEEKQQENPNNNEKSPNGPS QPQSNSSNSNKNNNNNNNNNSPSQPPSSTHNQNHTQIHQKPKLTLLTDPHTIPPFRSA PQSNMLTTPQTLYRSPTTPSPLWSISPYTSNPFSDKNATSSDLTSFPVSFSSSFCFSP LSPHSIPSLDDADEFFEAWLVSPVSPKRQEKPRLGYWEEERLQSWKWPNGNRARFAGD VDDGEEDEEEDDDEDVSPKTSKREEMIRIMVSPSCWADLQMPHRCISGLVGGTKRVEV KQITRIAPNL QC762_203185 MSSQTASYMGVVVGSVTSTFSALISLTSPTWIVYQLSHPRVYDH IGLFTRCSRSACVPFPSTGFCSSLTIPVSHIPLHPRGNPPTPPFCTKWRSAGFLVNIS VGLNLVSLVVAALLLLGNAHEPHSYHMPRKHGTRIMAVLMMIAGAMELGAVAMVSELK EYEEMFQVPGYEHGQAWWVGLGGGVLSLIMGLGVGLVRLMNLPERTGEGVDGEV QC762_203190 MRVFVLVWLLCLCEVEGRKGWKGPWERYIRAPEDKRRIVPRGIW KTEGDVDVDVEEGTVRIGEGGWVSWEFGENISGRVCLVVEGVEGGLEEVSLAYSESYL FAGPVPDATTDRRMRDLPLRLGVEGPGEVCVGREFVRGAFGYFTLHVPKDGDGDGDGD GDGDGGQGWFWGVGMGGRISGLGRKKKRIAISEVRVSCSSFPSQGDNGREAYTGYFSS SSDLLNKIWYAGAYTLQLGSIDPREGGALIDYNRVVDHNRSPAGSWYSNFTISEGGSV TTDGAKRDRMVWPGDMFIAIPSIAVSTSDWVSVKNALRVIFKNQYFDGRLPYAGPPMG VTENREFSDTYHLHSLLGVFGYVLWSGDLGWLGEIWGRYLFALHHSISIVDDMGLVHV TSTADWLRPGMTGHNLEASALLHTVLSRSATLASWLGRDVPPLWAATQTRLETGFSRL YCPETGLFSDNIGQRSCYPEKGQPYSPVLPQDGNSWLLISGANLAPSGLPFRSPKSPS RAPSPLPHGPPTRRQISQKLRRRWLKHGAPCPEFPNTISPFASGFELLGHVHSGEVDT AVELMLLEWGHLVNGDGFNNGSTLAEGFRIDGDVQYPAYPSRARNSLAHGWASGPTWV LSEWVLGIEVKTPGGGEWEVKGTLTKWLGWVRGGVTLGNGGRVEVKVWRVVSEDEKGV VYEVRAEGDTKGVVAGMKIKGGERVVVLVREGDKGEGIDLGEVKVVGVEEDEWYRNTV VGCGGEEWVFDEDWKEPKMEEREQGVVDWGVMEENFRTEVWEGWEAHVGWVKEASDL QC762_203200 MSERYIPEHRRTQFKAKNTFKPEELRRRREEQQVEIRKAKREEN LAKRRGIGTGADRPGASLGAAPDSDDENPPSESQLNEDLPQMVAGVFSEQIDAQIQAT TKFRKLLSKERNPPIEEVIKTGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSAAQTQ VVIEAGAVPIFVELLGSPEPDVREQAVWALGNIAGDSPSCRDFVLAQGALRPLLALLG DSRKLSMLRNATWTLSNFCRGKAPQPDWATIAPALPVLAKLVYSLDDEVLIDACWAIS YLSDGANDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIINC GALPCLLSLLSSNKDGIRKEACWTISNITAGNSAQIQSVIDANIIPPLIHLLSNGDLK TRKEACWAISNATSGGLQKPEQIRYLVQQGCIKPLCDLLACPDNKIIQVALDGLENIL KVGDLDKQAAGDGPESINRFALFIEECGGMEKIHDCQTNANEEIYMKAYNIIEKYFSD EEENADESMGQPQQFGFGANGAQQGGQGGFNFGANGTESMDM QC762_203210 MASQQEEGDLPQGFVRLRDVLDQKFVPGHIVNVIGSIIDTQALF KTSKDWKTTWSLMDWSVEDECYGVKLNIFRPRETDIPQIAYQDVVVVHRVKVQRYGGN MSFITNHQTTIRVYESSKIPRPPESASVALRPCTKKDERPELTEEIHHFVAAFYHKLD KTNAPSEEVFRQAARQSANVKDKFSLLQDVQAERFYDLIVRVVRAPHFDFDNKATLYV SDYTENPAFHDHIPENLDASQDGDVYGYTWEEPAPVAERWIAPEGKKSLQVTCWEPHS RALQEDVKLGSWIGLKNVQIRLGHDYKYLEGFVREDRTYPNRINVYPLNLDDRENMDD RLTAALRRQQAADKEKKKVIKDIKSAQVAGQKRKASQVQAIEDQPALKAKQRRAENRA KKKEEKARQNVVSAAPVAPSTKSDPPKQNPTQDVTLAGNRQIFCEKSNVGLTTIESML EPSFMKIKIDADTVEVQVPFVNKKYLTFVRVVDFSPSCIEDFAVSRKITQYQDLSDSE NSNGSSLDEDESSGGDNDPTVKRAWEWRFSLLLEDALPADGSSPARVWVTVNNGAGQC LTNLDADDLRKNRKCRYQLQDIMHILWGNLEEVKAANGEKQQASKQEVNSKPGSRGSN GNRTQMPDLDSDEEKEEASGRGKESSSRLNEIRLVSKPFTCPIKQYGVRTGKKDEWMR VFAMDGVRIKDV QC762_203220 MLSGRQPLMETSDNNATTGSPAPTSSARRSGRVSKAPNKFTPDA PVASKRKRNSDNDDEDDEDDRDNDDAENEAPDDEEDNNNASDDDDDGSETAAEEPRRT KKKKKTPSSSSAKPRKPATKKPKTNGDVPGHDQIVGHLPSRPKKAVRLAITRPTDVGL YADIFASGDSSDKVATEWYHKYQADDAAAVTELVNCILLSAGCDQQVTPDDIRDPENC SNRLADLQNVYTEEGITDYPLVAKSKTTRSFRDLLVGFFKSLLTVLHETDMLYKDTAL MENIARWVASMSSSTLRPFRHTATTVALAMEAGLVEIAKKLDDRVSKMTQQLDAEKKR KGKNRERLAVIEKNLKEAEENQSICQAQILDFFDTVFLQRYRDVDARIRCECVEALGG WIWNLPTQFETPEYLRYLGWMLTDAMPQTRLESLKQVTRLFKRDAEKLGHFIDRFRPR LVEMATKDADVGVRVAAIHAIHILKDTGMLEPDEIDSIGRLIFDSELRVRRAVIDFFA GCVDDSIEGKVEEMGGSDVIDEVLGEEDDDDYSSPRRDWISVKCLAELLAAYDAQLEE EGSTAPPRGLDIAVGMVQAVAPETRISLASQVLYEKIDQVKNWEILSGYLLHDHTTST KSKSRSKASRSNSNEAAFKAAVAPEGNEEAIILEILASAVKLGLSPNTEDGHRRKART DAADTAEESAIHLATNIPRLLNKFGADEKTAVMVLRLEHSLPLDIFQQLRQDSTTFSR LLDEICTQFDRHVDRGVLAEATAALLHARKHDELQEITDSKIADLWEIVINALRHFDK NNELSVRGNMDPATITGLGNILLKMSKLASIANPTEFLEGGDQPAIELLIKIVHRGKL DVVDEALDDLEDEAVSFAVSSVQFYFLWKVRALIGSVQSRADIPRDDILTLNSLRQDY SRNLIWTLSSRGTNDELRLFSTGGLCDLHICFATLRTAVGQQGSQGAASPKSYSDLDV LLEPIRADLITELIEIFDAAERAYAKCLRRRLNEPAEDEEPVEEELSDDEGGEGEEDM TPVERRGKELRLERALCELAGKYVLCILAKMLDQGGRLRKRMLRNQSKLGGNLKEVVA YLDEGRLRERVERYTNKGRKVAKGVRKGGAAAAAAAANKGGSGSGGGHQGGKKLSEEI VVDGEDDSELSEVELELEEDPIEEEAEEGGEEDLKRRGLAEEEVIDEGGEEGEGERME EDSVIGD QC762_0034170 MNSPFPKSTSVPGQGHLVAKLLPEGISGLETFTYQYPLKLISPS RPSEDESVLVFLLSYGGGLVAGDKVNLKVDVRAKAKLTIVTQGHTKVFTSTSPDIVTQ QDMHVHIASEGALCLLPDPVQPFAGSVYEQTQIFRLEEDASICLLDWVTRGRTARGEN WSFVRWVGRNEIWTIPAAQEDGKTDSRERLLVRDAVILDSNRSHPELAKLRDSMHGVG IVGTLLLRGPLMKSLGDFFLAEFAALPRLGARDFRSDAAKQAAALAQATPREKWRAER LVMENNSKLLWSAANVRGCVVVKFGAPEVEDGRLWIGSMLQEEGSISHHFGDHALMCV R QC762_0034180 MHILLRDDVGGGGREHLGVALCDDGQLGLGSHVDLKIDLVASHQ SAACRVDGVPRGEGWL QC762_203240 MPPKTAPRGRGRGRGGTARGGSASAPVAGDGSAEPATAVVKSET DTPASASTSTSAPPRGRSATATPAGTRVPPKFKPKNVRRSSAERERLARELGQISKAK DEAEEKRKARLAKANVRGRGRGGGFRGGARGTVALGPLAGGGAFGGGGSSGGGRFGRA DYIKNEAGDLFGSDGRVNADLLHDYVQDYDDDNKDRPLMPMGIRRVQPKSQEDSTEDN ADEEEDSDEEGLFVNDKERAAKDRLAAKQAAKVKTEGGGQDVDMDSIPPRYGEADTAG YEDMLLVDTSQKLQISSGKAEELRRQRRLFSEPLDDRAFIFQFPVRPPLYVVKDDGSL AKTEGDDEVVTLDGQQQGNAPVDLTTGVKEEEVAEEESKEEEEKPVVPQAGYLGKLIV RKSGKVEIDWGGYPMDSGTGVAPRHLSTAVLLEMEDAKPGEPPRGFAYSMGRVEAVFS SVLRTSDMEPWVVDGQVPGAAGSA QC762_203250 MVLPSSDGPKEVPIPDTTTETKTQDEGIHVIIIGAGLAGLAAAL STKLANPLHQVTILEAVKELQEVGAGLQVTPNGTRLLSHWGLTPILAPLAAVPTTLSV HRYDGTKLLAHEPNLQERMLERYGFPFWDLHRVDLQREMVKKCSELGITIRLNSRVTS VDFETNTVHLGLVQNPARNTLSGDVILLTDGLWSSIRPLFLGQPSPAILTGDLAYRIT LHASHLTGPDADLLRKLITNPAVHFWIGPHSHCVGYSVSSSQTYNLVFLCPDDMPSHV ARSDASLREFRSKFSGWDPLLQKLISQIQSVQKWKLMWLDPLPNWTNPQGTFFLAGDC CHPMLPYLAQGANSSLEDGAVFGHLLSKVRKSTSSSQLPKMGRLYEHLRMERGRRIQL ETFNQRKDSHLPDGPAQQARDELMTSQLNDDDVRPGFPSRWTDPEIQAFLYGYDAYEE AERAYQESPY QC762_203260 MNVDIEKVASAPSPPPPAAPSLSHPNTKETKLTTAQDADLSDSS DLDSPTTTPDEEEEEEEFIPPDGGLTAWSQVLAACLINMLAWGYPTAFGVYQLHYRDT LRLPEAQVSWIGSLQVFLAFALCIFSGRLADAGYIKSTIIAGTFLVVFGTFMTSLCKE YWQIFLAQGLCTGLGLGIIFMPPLSVVNSYFKRKKALALAISATGTGLGSVVFPAVIQ YLIPKVGFAWAVRCAGFVALGISVVSVVLLRPVLKPRRSGPVFEWVAFREGPYLLFTL GAFLFFWALFFGSFFINAFARNIVGFSTTDSVQLLLITNGMSVVARPITGYLADNYIG PINMHAFQMFLLGCMFFFWLAVDSATGLYVFAIFLGFAMGAAQGVFGGALASLLKDPR KMGTRFGMVCTLCAFSSLAGPPTAGAIIDRSNGTYTWACVWAGTVVVLASFTIMSARI AVTGFKLVVKI QC762_203270 MAAMSPTGIGHGRPGQPNGSISPRTVAEPKQVTFVLIFQASRGR LPLRVKIYPHDATESIVSTVKNFYGLYTTQTISKGVSFEDAEGNILIPHYENFAHDMT VSVRVHDELPRPYSYDHPPAPQHNFYTADTFMSQSPLPPPPRPDDHLFARPHSPPASR LRSPSPNGGRGRRSGSANNNPGSKKVRSRSAKNRSQLNGDSHGESFNGYSSGDGAPGS SSSKSKDHLGNTEISVDNIVEGGRRKRPKFDSSELPLYAPLQMPAATSNPSVSPARRA DHQHRPSLPYIQSGPNPFSNPRPSLHSPHSYNNGFAHPSTYPTPSSDMRRSRGSFGFA ATPGMPMVATPDGTANSCMSEEDKDVAIQLMRLGEISTHGRTSASTMDDTFSGRADAT SSTGATSEADSDHDVPAARRQKLDAAGHHKKVLHTTDSHFVGPADGADTSGEDGYCSD SAPKPAMAAPKPRSKLKANTLHQAKAAAAAAAAAAQSKPRPQKPKVKKEPAAVKTAAV NGGTAAALGPMSPASLPASRKQSVASNTGLSLAAGDDEHPDLSTKPRCQRCRKSKKGC DRQRPCGRCKDAGLGADQCISEDEGNGRKGRYGRHMGVPLVKEEVAAAPVAMTTLPPM MGGGFGGGVTGGAEYASEVGGGDKGKKRKR QC762_0034230 MQILCDSSVLFLAHNLRIAQPGAIKPTKFLCSVPPRHHRFPNLA IQQLPNTVRHHPSSTHKSRLGPCGTGGDLNQG QC762_203280 MDAKPQRPLRIYQAAPPITEHIHSNKPSLHQRNKSVGTVKIMAN TGALNAPPKRVILHDLSNTNRPLADEPIGKAVRARAKSVVNAAAPTVAGAGRNDEKEN HQVAPAPVAKSYNVAPVDVTKPTARNANSLNQQRPVGALAQPPLKNGVPRNIKPTMIY RDSNEQEVAGRVELLTNVDDLVAMVDKQIKHPRQYKSQPSLKAEQASQKPTQSKAAVQ TQKLAELDDESDFDDNVTEAAYEDAVEQISYDTAGIGNRNLAELESDVSVSIAQVAKS LPTAEEDEYSDEDHSGVYEDHGYTTAHSYRSHGDNTTGGLTTMVAPASSLNAQRELAI AKDWVLSTQTEEEIEEEAWDVSMVAEYGEEIFAYMRQLEDSMVPNPHYMDNQTEIQWS MRAVLMDWLIQVHHRFCLLPETLFLTVNYIDRFLSVKIVSLGKLQLVGATALFVAAKY EEINCPSVQEIVYMVDSGYNVDEILKAERFMLSMLQFELGWPGPMSFLRRISKADDYE LETRTLAKYFLEVTIMDERFVGSPASYIAAGAHCISRMFLEKGDWTLSHVHYSGYTLS QLKPLINMMFECCREPRKHHSAVYDKYSSPKYKNASTYVEAKMLRGVTLSYLYSAMAE AASSSGSECEAFRTNHHLPVALEA QC762_203290 MSKVTVVKEVVKETLVGSTEPQELSAQTKARFIKHAIKEDGAEP YLGPDEFINAVAPPSEDYHKIKREQYSILFHVADRSNKGKVTLADWGYFENLLTKPDA EYEIAFRLFDIERLGKVKYEDFRRLYELNKGPDSIPFDWECDWAKLYIGSKAKHSMDY QQFSQMLRGLQGERVRQAFQHLDKDGDGYIEPEEFERIIKETARHKLSDYLLENLSTL CNISQGSKISYANVRAFQNMIHEMDLVELIIRRACAKSTDGKITRTEFLNQAVKITRF SLFTPMEADILFHFASLDEPSGRLGLKDFKKVLDPSWRSRQGDEDAVRAVTETTRSAG QKFLAQGLESAYGFALGSIAGAFGAFMVYPIDLVKTRMQNQRGANPGQRLYNNSIDCF KKVIRNEGFRGLYSGVLPQLVGVAPEKAIKLTVNDLVRGWFTTKDKQIWWGHEVIAGG AAGGCQVVFTNPLEIVKIRLQVQGEVAKSLEGAPRRSAMWIIRNLGLVGLYKGASACL LRDVPFSAIYFPTYSHLKKDLFGESQTKKLGILQLLTAGAIAGMPAAYLTTPCDVIKT RLQVEARKGDTQYTGLRHAAKTIWKEEGFRAFFKGGPARIMRSSPQFGFTLAAYELLQ TAFPFPGKGKAEVATGVADVVQTLKEKHPDSPFYRSRNALKILLDLDENFGRAPLGVN AKGWQTLPGWMQNPVKSS QC762_203295 MPLLLSITTTPPPPPKPKISLLSLPLELRLEIYTHLLHLPLPPH SGEESPPYRSTTPPLSTFAEKPKIWTAILYVSRQIYIESHPLLYKSNTFSAHPTLLTS SPTLYPSSKAYKTPLAIPLPPLPPPPPTTTTPQQDRPFTPPSQSQNIITIPLSPFISA PTNPPSISPAYIPLIRKWRLRIKLDSPAPWSEDLVREVFTGVGELTLDVWQSSFWGGV GVRTLKGFEGVRGVGRVRVRGMLGGFEGYRGWLEGIMGEGVGVREGEVYEGRDEEERR RLRGWS QC762_203300 MSLLEFLSSVFGWIYFICWSLSFYPQSMLNFSRKSTSGTTVDFP LINCLGFLSYAISNYAFYYSPLIRAQYASRYHGLTPTVQFNDITFALHGLLLSIITTS QYLSPRLWSFTPSKGNKPSRFILGIILGCIVGVIFVIFIVLSSPERNDPSGGGHGWVW LDAIYAISYVKLIVTLIKYTPQVLVNYRNKSTKGWSIVQILLDFTGGVLSIGQQGIDS WLQGDWSGITGNPVKFALGNVSMMYDAVFITQHYVLYKGADGKDGEGEGLLGEGRDEE RRIE QC762_203310 MKRRIVEGALALGWAGLADAKALKWGSDNEPRWEPAKETGCDHK DYMMGISPTVTSPPEGTKTDEVILRLQGKRQISVTGTRSSSEEELKGPTCGYVSGLAS IPLYCDITQSCHYNALLTHIGCCDTTTSNCPVPTRCLDSTDRSLFTTRNGFTLWCGQT EYPHCLTHIYADLANGPNSYTLMGCGVAAGSDVVYATVLPRTGPSSSTTTETTTTTTT TTSTNTPTNTPPPENPPSTPIGPIVGGVVGGIAALAIVGLAIWFLIRRNRRKRPAPDP QPPVAQVNHTSPPPPSSHLSYSQPPPSSHLSFSNSQHPSNQISEYYPGSGGQGFSPMD PRGSVVKPSFMSTTTAGGESSPGFEGHGTPSPPPPGGNAFQNQQPGQFGQGQFGQGQF GQGQQQFGGQQDQGQFGGQGYNNLNPVTPPQQQFGQQQQQFGGPPVYNPYGQQGAQQG GYVPGRGAELPTERGDGEVRELQ QC762_203320 MEGPATAGSSLTGLPAAVVRWEQLITRARLQRLDPDQFGVFSKI LLVKHPLPPGLIAELLLRPTADNNVALDPRAPLYLTHLIKQRRVDTASVLKALYNYST IHTKIHPQPEDQPSKERDASAPRRKKLQRWTSSYSSEAILFWRLAQTVNQGIGIKSGR DVVETSRMLVRWMALFTEAATIFSQDAFGSMHNLNASKLEMERSRESFIMFLNAFSAH PTVPKTFQIPAAKGIRKQLSQSLEAFLPSIMQVNPSIASQLDMFRAQSLATHDSTEKK DPAVSDMNSYMDNVMGLESFQVPEVPIANTRAGLYVYLSAALVGRPMIDDAALFTYLH NRYRGDVQQAAVQLILASFDVLANAVFRNEGAKAGHLLKSFVVNKVPLVLVSLAQSLP LYTFNPEICITEALGQVDTNIFPTFSGMFDMSSNTGSSFQDSVRQDFCFACQLHGLLS QTAIENLLGDITYQTLPDEGRYVKDILVQSCLQDSDRTQKLIGELDNMNGNVGAAAQA VVEVIGSLCRNTETMALKQLCGQLASKPLSLDILLLFSPAQKILHPLRELIDHWGGYD EEQGEYQPVYEEFGSVLLLLMAFVYRYNLSPADLGVRSPDSFVGKLIGGGHAVRLLSD LSPQEHSHLNGWIQGLFAEGGLGDDLMASCPPQDFYLLMPVLFGQISAALSAGFLNEE TLKSGLECEFHFRSGIWMMLTAADLVEVFLLPSLVPAILYLSNQLWAEGPEGQRSIIK ILQLLIRPNSISNEASAMFQSVLNIVAKPLEHALRSYQRSDPKSQEVEPLLRAIKENL TVSRRTGGADHAELESWTTTHGNHTPGSHPNATPLPGGLAATNRPPQAQHPDGGISAA VRHTVQSLANWAHQAPLGGNTTPTAYTHRQTLAALKLLGARQLLTVLLDELKTLTESG QGSVAYDVVCSLVCAPDVTNDTSLASSDTPNDATAGGAGAFAAAPPPPVQRRLTLREV LKHEADDWKRIQKQDPVMAETVVRLYRQVEGQMEMPQTAVAGLLGQQDMVSGLGVVGG M QC762_203330 MDPYSMQPSAKRQRANAVDDDAGSDVNQHANPQYYQTYQYSQAP AYSQAPQYAQAQPQQAQTQYYQSSQHAQNPQYRQTSQDLTGAGYPQASQYQAAQYAQA AQHSQYPQYMHASQASQTSIYAAQYSQQVHQAQQAQQAHQAHQAQQAQQAQQVQQAQQ AQYVQQLRQAHHAQQVHQAQQAQQQAQQAQQQAQQAQQQAQQAQQQAQQAQQAQQQAR QAQQVRQAQQAQQAQQAQRAQQAQQAQQASQSPHTSQAAHNSPASGAPTESRTPLTLQ ALLQERASRTPQEDSRGPGSHLTLDDVSPMLPRSTEAELSKIITSLDLLAAQKFLAVA AQMHPDIGYMIAEYGKTSDRPVPPAPTKEPVKPSVETVVYEQDYDVNDEYDDERDDED DNQRNAQGTSLNFDHLAEEAHYILNVKYDRLNARQQYDRSGDAAESLERCVVKILKQV KKNPDYGTKLSGVTNLWKIIWYLLTSPGALAHEIKKDSQDWDQQFVKLHKFMSKDDIE RLKVQDVDGKRWPEKAKELADEADAYGLFEEIREVLDLLQK QC762_203332 MSLHDHFGSEREADLVKKLGREVRPEQTEMSAALGDKKAKQLGL SSRSLSPSESEIQPSGPQTITQAHEEETLDEEALDKWDTLQEEADAIFEMLYSLKTVD DVQPYTLDAALDQITRFHTSIVKAVQPASSYREKHRTAVLLIQVLSWIVTSNKLIGQD LREYVLGQSAEEEYRQWVDPMSDVLSCCTWAERRRIFKSRRWQWEFDLFVGLVMNCEL FGEIFGLLEVAEHREEMFSDPAPAQQSDTEDGTIEDGMIYDAIAVFEDGEDEEEIVPL AGSLARSRSQVQMDVAQPREEVAAQAGREAAVQPAAGEAEPRNCQGEPRLAGKATGKR SHEGVDAGQGPRKRQARSSGRVSVHLHFHGTVQNVYLGSDSRS QC762_203335 MPSSKASKKKQRLKKLPLRETRASEMTQEDITPLVSGLEPKSYE QVIVDAAREFDDVADMVVEQHQSLVKIRAHEQTLVADFARMTKAIERSLGAKYHELLA PTTAEDSPTRVRKYAKKLANDIDAALNYMTAEITPDSLYATKFAALNGMRAVLESILR CPKPLLSALSRQPSFKSWDIKLMVVLKHLNCDDICKLKCGKFRCGEQETPTQWMKDMK RLHWIDHAFQFKYERVQATTIPQAVKHEVTIRQKSPPVPSPAEASSSQSPSLEPDLAG PSESASSSEPAKTEPPKANLTKGELKNMVSPLFPSILEQIMTSTALAHASVIPALRAV RKIKAAAESSMAQDWARVAGVVHSGLNDRYRIRKIYRHGFSVHAYANESAEVTDEALT KFYMELKNLYKPLLATKIAALESCRKVLEDLLCCPDELRDAICFHVGSAQTNTWAFNM VKILDCFEDEEIYKLVKGETDEPNEFWTKRSVPALMELVKDKAPVGHPDRKEFFRGGL REVWLRVYAAVIKYGAPPKTLMFEGLCVCCYCDDLDEEDYCSCGNGEGFDDEEDESFH EAMLALLSPNPFLL QC762_203338 MYQYQASPAELALIGRLTEFDSKAPTEKTKNIPDILESANTVLA QIISTSSLSLYGNFAALETIRKILTALFCALVWCRAGANPEASTLVQHMRGCTGAEAL NKVFSGLVLWQMDWLIFKDGGAWLEDLGVLAAEEEVGLVWGEVRRVWQSMIARKRVVL FEKEQTEAEMREFRRIKEGGRRRGGRRGGW QC762_203340 MHVVPRFGQARAAIKRIPPKRPNAVAAPIHHPPILSPDLPRVPL VAIFHAVSISSCSAVPRLINVPSDLYQAVVADGSVQIHTRAVDASILPTFEKRSESED DQQTTRCSGCLPFKNCVIRHVGLLVLHFRDLEHRTPPVRPTKPTPTMAMPRPGDNFQG EQPFADAQFDIFEWHPYFQSCLRYFLDHAQYEGPIQALAAFVNIQLPFQRSTNPILSS RANSPSGGGPGLAAGFGAQGSSGRAAGVGPGMAGPGGAGLLGGFPSHISLLPYIRRLV ATGFDFPAVLHGFFGDDWQRGIGQFHEQERRNYLFATKSNSWLDVKAAYDMGAEETIP FLKPLQNTTEKEIQAAEAAWSEWLAMQDWMVGPRGLTAINTPPPGGHGRRGKPYIKRE EN QC762_203350 MVNFKWSSLALLALRAATVFAQDDADAEPNVSTAAETPELKADI ETTFPDSDIFGVKLVNNRINKALIQITNNEATPIDVVYIGGAFKTTQPLPEDAPAWGA IIRNLTAVKVEASIPPGGKHQIPFQFTQDMNPQDVILDLMAVITHAESGHVYQVLAHS GPATIVEPPTSIFDPQIIFLYLFLTGLFGATLYFVYKTWIEALFPQTRRVPAVKGKKV KKVEVEPLSGSESAGATSTGADKDYDEAWIPTHHINRPVAKRVKSSASGKAK QC762_203355 MASTAPDSGATPVKRGRGRPPKPEHEKKPKPVPSGRGRGRPKGS GGGVKKSAAATPKKTETTARAKAMKANADKVEAEAEAAKVKAGNAGVTGKKRGRPSGG AATVKKTESVKRGGPKGRGKKKEVSEEAEEEEAGGDEGGEGEGEESS QC762_203370 MAKHKKTPAPAPPPPAASSAGKSSSSSGKKDTKKKPPAAEDDSF IVFTNSDKDPKRRTGGGPSKGGPSSAGQQQESIDSGPPKPTVKQIIGGASWTGKLPVN LLSEHCQRQKWDRPEYNTMKTKDGFSVIVSLSARNPKTQEVTKLPPFKLPPSHVHLAI KPTALEAKHFAATYALFRVCSMKNIHMTLPPDHRGYWKELEQLKREDVKEGRGWMYEA DPFQALREREEAKREAEKKRAQVQAAREKQLQEQASFGGVPMRGPGGGSGAGGGGGGG ASNLMRGWATVPKIEMGGKTRTQLEEILRRETVWNPHGVVMTERQKGEIVRELKGLGF RQSHVEEAVEECKDREETLEWLLVHIPEDDLPRWALPEKYSAGVTVAATDLRREGAIK RLAESGYSVDLVRKIYDANGGDEGKAACALQELLLASGSGEQEKAQEEELDTWRDSDE CWEEEMATLEASFGDLYSSSSPEVCKIRLEGVVNGTHKDVETYLQFRKSPEYPAQVIL AIEAQLPAYIKLSIIKKALAYARESLQGEETKIYFIVDWIQQNINEIIEKPGALRDVA AVASAASEVPRPVVKSSRKRQRYPKPINWTPNPQSKQEWLARTEAPNYKKMAAQRERL PAWQVRQRVVQTVQQNQVTIISGETGSGKSTQSVQFVLDDLYDRGLGGSANIIVTQPR RISALGLADRVAEERCTQVGQEVGFSIRGEHKTSPSTKITFVTTGVLLRRLQTSGGRV EDVVASLADVSHIVIDEVHERSLDTDFLLSIVRDVLYKRRDLKLILMSATLDASSFRD YFMVDKQNVTVGLVEIAGRTYPVNDFYLDDIIRITGFSGGNLGGRNDYYDDSANQASG RDVDPVNKIIQRLGHRINYDLLADVVKAIDEELSSLQKAGGILIFLPGVAEINRACNV LRSVSSLYVLPLHASLETKEQKKVFLSPPPGKRKIVVATNVAETSITIDDIVAVIDSG RVKETSFDPQNNMRKLEETWASRAACKQRRGRAGRVQEGNCYKLYTRNLEQQMAERPE PEIRRVPLEQLCLAVRAMGIRDVSHFLSRAPTPPEVTAVEAAINMLRRMGALDGDELT ALGQQLSLIPADLRCGKLMVYGSIFGCLDDCVSIAAILSTKSPFLSPPDKREEAKQAK MRFARGDGDLLTDLRAYQEFDAMMSDRVPQHRIRQFCSENFLSYPTMSDISSTRTQFY SSLTEMGIIPRWYNPQASQQQQQQSMVLLRALTASAFSPQICRIQFPDKKFAASMAGA VELDPEAKTIRYFAQESGRVFIHPSSTLFDSQGFSGHASFVSYFSMISTSKIFVRDLT PFNAYTLLLFSGAIELDTQGRGLVVDGWLRLRGWARIGVLVSRLRGVIDKLIETKVEN PGVDFDKQKQDVIRLVVKMIELDGMDA QC762_203380 MTTPLPPLPGNYATALNLIDAAHAQDPRPGPNDVPYELHYAQKM TRWLARRKKDASPALQLACRAQHFRRWEIPRSTYPMTRPGYLTWRAKQKSVAATQLTE LLSSDEIQPGLDKEEIERVAALVRKEDLKNNEETQVLEDVACLVFLDDQFDDFESKPE IDEDKIISILQKTWGKMSEEGRGLALGMELSERAKMLVGRALA QC762_203390 MSRKISPAELSQHDSPSSLWLAINGLVYNLTAFAPSHPGGLQIL LQHAGQDASVPYNKVHSPSLIRTSLSPTAQIGSSDSIQTPVPQIFSSVPTKPPLSTLI SPHDFPLAAIPSLTPKATAFISSAATDCLTHRANSSLYSHLTLRPRILINVSTPTTRL VTTILNCPVSSPIFISPTSLGKIIHPSGEKAIALACSNLDMAQTISTSASFTLSEILS GQNTSHPAFLQLYVDKNRVNSERVIEEAVRNGVRAVMVTVDAPVPGKREADERIPTAA GGETLAPMAGTAAAVGDGKGAALGRVMGGYIDDSFSWEDLGWVKGLLPEGVKLVLKGV QTAADAVRAMETGLVDGIVISNHGGRSLDTATGTILVLLELQRCCPGVFDRMEVLIDG GVRRGTDVFKALCLGARGVGVGRGVLWALGGYGREGVERYLEILNDELVTTMKMCGVT SLEELHPGLVNTRAVDHLVPERVGEEHPYAKWRRKSKL QC762_0034400 MVIAWMCCQCDFGNRDGRYCGGHIVGGGQIVYEVACGHGRCEGC REGGRGPQSLLEAQGQYQGGYRQYYPQQEEVRQTATLQPLMSDQQPPALSSWFWKTGS IGLTMPSSEFRPAGARDTEGDEEYWDNWFTQGIRPPPEPACDPWTNPDHNVSGPFPQP NQSTPLPHPNPPPPPPPPPTHETTTTTTSPLQTYLTSRLKSQSTKPCVMTIGEYDKMM SSAPAYTPAVGYLADYAILTANSSHHHKEKEKDRKKREEMMRREEKASFGFAERVKME LDEGDYARLQQISRRKKRTGEEVGERSRKVKGKGKEREREQVGEGTRGKRDKGKGREK GQDYFTETVQGGQTWGFGGLDGGMDVDEAEVEGGGGDKGCYQATVEDGEEEL QC762_203395 MGGYHNVEFPYEEPGFFPRSPPFHYPDGLPDGIADFSITDPALG LEQHGPVSVPLVQPILVPPQPPAQETPMAAVVDATAPPGGTNSGINGGSGAPTDRNRG PSPACSYGSSPAPAASPAAVKNDGNGGSNDKQGSDGNDESNNTGGQSTAYWSIVHSLP RLTRWLSRRLVFMFQGTAYVF QC762_203400 MASSEVDQKFLGKAAKAVEHENPLLASVLYKILGLSINLSHQLV KAKKQRRPDGTPTSAQLDLSFHIIWLSREGLVLLEQYVVPMVGAYTELKVLAYKLRAS FYHIFVLFHNTPPVSSMGVNTPDPQNTPQSRLDKGKGVATDDGGAPSSAKPSRNFEGG PVGPPPGFGPESPSAFLLKPGDYLPIAHQYFKEAAELADKILWGSHSLRLSVKTEYAA FLYECVHDFEGSRKLAKETVAQVYDATEGIDNDMFNDACELVTVLGKMMKRGLNSNNK NPASSTGSQPPARTEQGEPSAPPGMI QC762_203405 MCKYYAHAFLCKHITFSFATFCDPASMIQTRCGERSIWQTIRME EYCDDCKAYYPAPSSSAHSSRRR QC762_203410 MLWYFLYPLRGTTDAPKLAPEHPLRVAFQLYAKWAASHVKIVLP SSTALIFMFLYIFPFLYTTDVTNITSGVSNLPHHVWTDAQPLELDVEPDVIMRSIWVH GSYMKALEKDVLLGALELQDELLGPTTNFNPRQPANRPEISEPEVADGDLTPRQRDAY HIINGLTNQSWFFHSPLQYWAGSAGNIQNDQDILETVNARKTQSTSVNVTLRHSIVFS GKRFEERRLVAADALVITLIHLRDSPVGRRWVRKAEELANERSDTWKIIPSDGRSLSD QLYEFQFRPLSWPDVGLLTVAYSISLFYLLLHLRKLRALKSKLGLMVSILVQITASIV SSFTVCAIFKIDLSRVPSYAYPLVVLAISLENSLRLINAVIMTSSTISNSDRIGEAFG ETAHIAIANRVQNLLILLGLSRYSNPGVAAFCTFVAIATVFDFIYLSTFLLSVLSVDV RQRELLELEKAFLSRTKSSEKDKNKSAWTELVSQLRVGETAVSTRIAGTIVVICFVLT AQSHYATEGNRQWLSQLFSLPWNRTVRNAPKPSLLIDIHQARSPTSWLRLQDHETARE VINVVKPWAHSYVARVYDPIIFVLKGSDRVPRTREPMFLPAVYDFLHHEVPNFVVLLM LVFAAILLGTKYLLRDEYEDLGSEHPDDEPLISVKSLTKGHKLDVVMMAASPGGSLVS VGLDRAIQVWDVPIGSGHRVASDHECPLENPFPVLSMAIDYGSKWLALVSWQRVFLWN LEEQQWAGTRDIDLGGHKSEALFFCHKGAGTTPTLVLVRRNGMGLEMELEVDESRDFT ICKTPLVWAVQFPDKSHSFNNNHHPPIAILTASRKSCVHLVRQQGNEWVSTEVNFGER EARDVHCVLPIPALSAYLIGRSRSVDLVDLESSTILRTFSTEVMQPRTLKHIPQMRAH QPNLTSLTLSYVSTKTGDLVIQTYLPEKEDDNLVSYCPSDPRSSGHRRLGELTERKRR IPNPGVWEALPGGNILGVRRKQSPPTTNRPRSLSNNNTGGMMMRKRRGVVGSQQQQQQ QQAANGGHHHNPPETTRWEAWVMNHPESTCGFETRLLDEEDGLLKDQQQLMISEVGPM VKFGNMSVAVALGNVVKVVSVGHEHFDVGLGDGFGGGLGGGMMMMMESKMGLASRRRK VGGGRGVGSMGNGGVRVVG QC762_203420 MDGGLIEDISHNPDPIAELIENYNELNSSVIEELTEEPSPLEFM RYVATNTPFVVRGAAKDWKATKEWNVDFLKDFLKHETVNVAVTPHGNADAPTPHPTPS SPLVFAQPHEEDQPFPVFLDYLTTQSSLPAGGEPIGEVRYAQTQNDNLRHEYLRLFSH CLPSIPFARIALDRDADAINLWIGNQHSTTALHKDNYENIYVQIRGRKHFVLLPPICH PCVNERLLPSAVYSRKTTSPTEAANKEELASSPEADGSTSSPSYLELKVSEQKVPFPT WDPDHPFQNETEYSCLACPVRASLNPGDMLYLPALWYHKVAQSVDNEGVCVAVNYWYD MDFTGPLYPLSTFVRSVYKKELRGEEEEKEEEKEEEEGEEKEAVNEQDLVDNAEQHQR LT QC762_203430 MAIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYGFLEK SKVVLDLCAAPGSWCQVAAETMPKDSIIIGVDLSPIKPIPKVITFQSDITTEKCRATI RTHLKTWKADCVLHDGAPNVGTAWVQDSFNQAELALHSLKLATEFLIEGGAFVTKVFR SKDYNSLLWVLKQLFTKVEATKPPSSRNVSAEIFVVCLGYKAPKKLDPRLLDPRTVFE DVADTAPNNEAKVYNPEIKKRKRDGYEEGDYTQYKEIAASEFIQTTDPIAILGQYNAL TFKQATNGDVALAALDKLPETTEEIRTCCADLKVLGRKEFKLLLKWRLKVREIFGFPT KKSAKASLADEVAEVEPMDEEMRIQEELQRIADKEKGKKKRERRNANEAKTKEIMRMQ MHMTAPMDIGMEQEGPRGEGEIFKLKAVDENGALRKIAKGKMVVIKEAEQKRRGFDSG IGSSGDTDDESDEDGDRLERELDGLYDQYQERKSAADAKYRAKKARKEHDDEEWEGVS ADEKGNSDDESDLEMESGSDSEDEDEMDVDEKPLISDLDGKGKGKEGLSKRANRFFEN EVFADILGEIEEEEEGEEEEVQVLQDEEAAESSDDDIPSIEQQKKMRKEAAAAAKKEK DNTFEIVKRPKAEEQDSDSDWEAVEKKKKKDAKPDIDIVTAEAMTLAHQLARGEKTVH DVIDDGYNKYALKDRDGLPDWFLDDEKKHDKPHKPITKEAAQAIKEKLRAYNARPIKK VAEARARKKFKQAQKLEKLKKKADMLMGDEGLNEKEKASSISKLIAQANKKKRKAPVK VVKAAGANRGLQGRPKGVKGRYKMVDPRMKKEMRALKRVAKKKK QC762_203440 MNSLRHNGSIFCFMKDCLLSPVSCLLSLVSCLLSLETVPLPSRS SLRATFPDISLCISVQFSRLHLLPPFCRRQAIDQPTMTDSTPSTRAAKASGSTENNDP LLSSPPRSTIGKERRVPSITPRKFQRFFTPRSRVSSKPSAVRKALHDLTAPALNRDQA PASSPLKPISEEQLGAPIEDELPDYRTAKRRKTQHTPSRSHLPSPLPTSPGLLATPDI RPGLSSPIRHVKFRPNRRMDVDQHDGVSEDEDDEPPLPSPKLKRIVPLHTRGLGGQLV QRMSGDACLGRPVPDWRTDTAKFYSKPEDVHLSSSHEGAPRAIPFCTTSSHKSDLVAV GDEEGYIRLLDASKEFSKIHLSFQAHGNAVIDLAFSGDDKLLATASGDQTGRVIDVET QTPLNVLGHHTASLKQVRFQPGRGQDCVLATSGRDGSIQIWDLRCKGGPVQDMSIINE DRLRHGAPKPVNPGCVVNSIYYAHARTQRQTKSAKNSSTTDVARTGEVPGRMGEVSVT SLQFLPPGREHLLLSACEADASIKLWDIRAVHTHRHHKTSTPISFTPPPPSHAAWRPF GIASMTMNTSGSRIYALCKDNTVYTYATSHLILGIANELKATLPGQEPERRRHYPHVA HEGLGPLYGFRHPLFHATSFYVKAALRPAANGNSEMLAVGSSDGCAVLFPTDERYLSF STPGSEEESYFVGESTALLPTATPSRPGLRSGGPPGLVRTNSSSLFGRQQHDMGVSVT KRGTPLVRGHDKEVGALTWTADGRKLVTVGDDYLVRCWRDGDEVAKDLRRGGEGEGRR WGCGWGDLGDGWEGDGGEEEDW QC762_203445 MYNSLDRRSRDAIADIKDTLIGIAIHPELMPDSAGYYCPSSSSS SSSSLSSRMNQHQQGGGGGSRSFASTPSLRSRDPNVLPLLAKKNAGANVKVVVRVRAF LPREIKRNAECLIEMDPESQTTTLHPPSSSSSSSERKSRKILESKSFTFDHSYYSHNP SSPHYATQAHIYDTLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTMMGTPSQPGLIPRT CEDLFERIHEAQREMPNISYKVKVSYFEVYNEHVRDLLVAPKVDAAATGPYYLKIRES PTEGPYVKDLTEVGVGGLDEILRLMRAGDGNRTVASTRMNDTSSRSHAVFTIMLKQVY HDFETDQTTERSSRIRLVDLAGSERAKSTEATGVRLREGNNINKSLTTLGRVIAALAD PKKNNRGGTSVVPYRDSILTWLLKDSLGGNSKTAMIACVSPGDYEETLSTLRYADQAK RIRTRAVVNQDMMSMKERDERIAGLEEEVRLLQMRLDEKKSVTVAERSGGEAEYKKRM VEQEGRLEEYQAQVRRLNQMMEEKQMVAEVRVRAVEVENEALKRHLELLKGEVRGYKE REGKGVGGGPEVTVIEQPATSEEDEDEGVWVDEEEQERERREIEELEGLLDMGYGVLE GVRGLRRKLEDDRERFGVGRGEVWPEGRASREVKERVEGVLRETGLGYLVKA QC762_203450 MDVTLFVYDLSRGLARQMSAGLLGFQIDAIYHTSIKLNGLEYVY DGNVVAIRPGSSHLGQPEQQLHLGTTDLPMEVIEEYLDSLREIYTVQAYDLWKHNCNN FSNDFAMFLLGKGIPEHIVNLPQTVLDSPFGQMLMPMLNQQINSNRRQGGILGIQQST PGSSVKPKSQLHQHTGKVHNVATLAELDQLLARHQHSCAAVFFTSATCPPCKLVYPLY DELAAEVGDKGVLIKADISQAFDIGSRYSVRATPTFITFLKGKQENQWTGADPATLRG NVQLLVQMAWPRHRHESLDLPTISNRNAKPVLYTRLPPLAKLLAKLPAETSSNPSVPD MKRFLETRAAEGPAEATLPNIPGFLSFVNDSLAKIEADKLFPLIDLLRCALVDPRVSS VLAEEQDCKTVLSILRLVNNAVESCSCPYPLRLVTVQMSCNLFSSHLHEDAILSHQAL RHAITELTTACFRESETATLRVSSAGLLYNLALANSKKRRAGPGDALPGEEQAALAGS VLEAIGRERESAEALEGMLNALGLLVYLLPQEGEEMGEMEQLLVIMEAGDVVKGKGMV EGFGGLKRLVGEVGELLGKGLRKA QC762_203460 MRRTSVSLPTKHVARDPHEKPDRYGFDHREPGLVAKMQSAWAQQ SQRARYIKTGAIVFTVLLLFYFFTSSGDSYVGGQGQVPSDSSYGTDRCSRSYSKDKPI VQYVSMIDAGSTGSRIHVYKFNNCGAAPELEDEVLFKMTAKIEGQSSGLSAYKDDPLK AAESLDTLLDAALEKIPDKLKSCSPIAVKATAGLRLIGKEKSDKILEAVRHRIETKYP FPLVSREENGVAIMDGSDEGVYAWITTNYLLGKIGGPDHSPTAAVFDLGGGSTQIVFE PSFEGLTDGGMPAKLAEGDHKYALDFGGRKFNLYQHSHLGYGLMSAREAILAELVTDL YEEHKGDKSWMEKPIVNPCYSAGMSKMAKIVLPGDHPLGSKLELNMTGPHWAAPAQCR ALAERILKKESACNLAPCSFNGVHQPSMAKTFAREDIYFLSYFYDRTQPLGMPESFTL REMSDLANRVCGGEREWDVFESVPGAMEELKDRPEHCLDLNFMLALTHTGYEMPIDRE VRIAKQIKGNELGWCLGASLPLLSKSSGWQCKIKEVHK QC762_203470 MADEQLLYKIRSLSDLELATLLCLVAREHCLIGTLPDYVDELAE ELCLVASKTFNLTPAIINCHSHATLDEFATGLLVPQPARGGPPSPTHTRSASPYHLRH EPSSTTGGPSSAGGSYFPSPAPPSRPGAFSPRIGPAAIVPSSPSTTTHQPAQQPQIAN FILAKNLHLAPRAVQIQALELLRTRRIFTRTSVQTAPKQFLFIAIIGAPSPTQARVTP HLNDFFYLSHWHDAEKDGLDHLNAELSRPDDNISVASSDSIIKRSSSGLGPLPDHEPA PQPHMTEDDISLLSQLTTLASVDVDVLRYQMNVVSHLRIHRAVVGGVTPQATRCLGYL CKSLAALHGLGFVTASLVGLAVRKVYGHRIVMVGEGGTMERERSVQWGSEGEAVGVVM GGWGVEEVVEDVLGMVGVPA QC762_203480 MASRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTREVLVDDRQVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFDALDSW RDEFLIQASPRDPDNFPFVVLGNKIDVEESKRVISTKRAMTFCQSKGGIPYFETSAKE AINVEQAFEVIARNALLQEESEEFSGDFQDPINIHIENDRDGCAC QC762_203490 MAPPEPLLPAALAPQDHHHHTHHRPSGDFGRSNKHDTTDDEEDD ISPRSSSSDRRRSQHYGARVMLGGMSADHSPASAGRLSPHTEQPQKSRLLGGVARKTL GICLLLVVVFFWTVSNFLASYIFSDGTYSKPFFLVYVNTSMFAISLVPMTGKYIIQNG WRTTLSQARELWKGRSAPLLRNDRDEEDEERLLVVEDEGSLEANDLPPREEKLSLAET AWLSLEFCMLWFFANYFASACLEYTSVGSVTILTSTSSIWTLILGALKGVEGFTVRKL VGVLASLVGVILISSVDLSGANDDGRGSFPHKSTWEIAVGDSMALFSAVVYGIYVTVM KLRVGNEERVNMGLFFGLVGLFNVVLLWPGFLILHFTGLEPFEWPPTGTVWAIIMLNS VASFFSDIIWAYAMLLTTPLIVTVGLSLNIPVSLVGEMIQYSQYSSWLYWVGAGIVVL SFVFVTHESQEGREGNKEQERMGV QC762_203500 MAPDTSPTTSTSPLPHLGNSINAATRTQHTKLNKSILLRLPLSV PPVAYNPTAYLTGLLHMAPIYIAFESAWDKILASHGAALDHPSSSLLVLPENQTLPPF PFGTTADPIGDKKCERVHAVLHHLKISGMARSGSLKRDIRAMSGWDETEVEEMLEQVK NTGRLGEFVRHIHANIARQPEVVIAYAWVLYMALFSGGRFLGSCLEAAGEGFWMRKSD AVLGKICKDPISIRELLASEEEEGDGGGGGDDDDDDGLARIKDDGLPLNFFRFATPLD GEEIKTKFKSRLVELEGLLTAGEREHVVREGVCIFDVMNGIVGQLDGLFDRHPGDDDK MEEENGAVDTWASMLVPRAAMVAGGRLRDSVAVAKERGMRALRKATFSGGERSTLVEE GRSRSHTHRRKSEGNLEGLNTSSSPATAETSTSGLHAHGTITSVTELVEKTSVGKGKA TAVEGGEAVKVVRFGEEIALLQQRRNGAKSVTAKAEAVQQDMWTSPKGQCPLARIRRQ DRGSVTKVRDARVEGIKNPFTFWSLVLLVALVGFGWGYAYGYITGR QC762_203510 MRSIPDSHDSHHLHDILLPQFPLGRLAPTPPQYFPLASTQPFMR EAPIPSHSHPDSWHRVPIGCFHCNQPHLLWNTTQGSEQQQASEHTSPDQNWADRYYKG YNYAFKRPTALLKQYPNLFNMNTQAHARLEQQTPAQTATPEPESGDPREFRRWERQAI PSEPFPEFTEPLLASDELINEALEMRSCRSSGGDAEASKRVDAAMLCQSGLLEKLPEF LGQLARVDLDLETRLASNPESDPVGDNVEITEKPTSELEVPTELTETQARRHGRRVIL PGGRPFKLPGEEIEDGRSAGKAGGAESCTVVSDSQDPYGSGDETDASTSTTASLRVKR KRRMDTVSDEDFQPNKIRIQYTAPPTNLARQYKDMLAEHARQNPDGSSSDALADLEFK MPTPERSPSVASLTSIRVIKIKIPEGWSTPGSSRSGTPTEPSPPKVIVLVNPRSRSPS PPAVVSATPSRITKIKVVNSRGNPPTGSVKRSASPTKITTIKVTNVRPTTPVDSASRS TTPNRVPRIKVINRKLSPEALEKAFEVAQERSVSPESSGVPSPSGSTSSSNLEIARSS SSCSNTSLDSTWSATSKKTTRIKWANKRSSPAPYQSAPDLPASSSSERSARPMTFKIA KRPRVKSVGEADVRASKLRSSRVFLVDELDMALHDL QC762_203520 MSQPPNPRPGLGLFTRGLSSLSQSTTDPNSPSVTTPAEQRDDAK RNFLKAMRPLPTQHYWNVWFDRPPTSTNPGEEYHSNLEQLGTTIESVQDFWRYANNTP VDQIRMKESIYLFKVGFKPIWEDRRNILGGSWTFRVPKGNGPDVWTRVQLLAVGEKLQ SVLEEGDQICGVGLSVRFNSHLISIWHRDSSKKKSIDAMLECVLEELPPELTPKPDNY FYKKHSDHAGFKVPPELQAVIDSQKAREKAAAEKAAQGVQAVAGEAPEIREVPPSS QC762_203530 MPPKQSRLAKEHNVTPQEEAEIREAFSLFAEPMEGEGREGVIPT HDVRRALIALGLPPTSPSELADLLSILDPDEELGYATFPNFFAVCALKIHNKSHSSEE HMAEVDEAFGLFASKGSNAITLASLKKVAKLLKMEEEVSEEVLRDMILEANGGAGVGR GVRKEEFEGVMRRAGVWR QC762_203540 MNAFNAARRVIFQSHKKSFFPVVNTPTYRKMHIRSIPMWSDNYA YLVVDDKSKDAVIIDPANPPEVLPVLEEAIANKEINLTSIVNTHHHRDHAGGNEALLS KLPSKLPIIGGRDCAHVTKTPAHNETFTIGENIKVKALHTPCHTQDSICYLMEDKETG DKVIFTGDTLFIGGCGRFFEGTGEEMHKALNVVLAGVGDDVRVYPGHEYTKSNVKFGV SVLQSEAVRALEAFADDCKETQGKFTIGDEKQHNVFMRPQDPAIQKATGETDPIAIMT KLREMKNNFK QC762_203545 MPANFDFTSHVSPPPPLPLFIITHLSTNIYILLCLHRSYNFTHR ISSNQKGKGKKKMVQYIHTPYPSHSSLLSLRSQFYPPPSLPLEIATQQKQEAVDRVAL YTHRGSCPHLVESTALLTAVILSDQAGDTNTSTLRAAYVAAFGRFVTGLLDGCQDKVR KQSMFDLARVVGLPAKFVELRHAGVHEGMPGLGRLRRGVEEGLGWIYQYYWGRLEGGD GEEMEMEMEMEMEMEMEMEMKMEGVEGEGGIGGKEKVEGLVKRYLELGDTVGEKIRRD ILWEQIRGCERGVVKMVVEKVAGGTSDGKVVRRGMALSRLLEEQGEGLVVKGSDSIPQ MRETVPGTNEDVKMAEAEVEAVPEPTTIQQPPREQQRQQSSPSWVLYDEKEWVPKPIG VV QC762_203550 MASQHKVLMLGAGFVTRPTLDVLSQAGIPVTVACRTLATAQSLS SGVPNATPISLDVSDPTALDAEVAKHDLVISLIPYTFHATVIKSAIRNKKNVVTTSYV SPAMMELDAEAKAAGITVMNEIGLDPGIDHLYAIKTIDEVHKAGGKILSFLSYCGGLP APEDSDNPLGYKFSWSSRGVLLALRNTGKWWQDGEVVEVQGKDLMKTAKPYFIYPGFA FVAYPNRDSTIYKERYNIPEAQTVVRGTLRYQGFPQFIKVLVDIGFLEETPLDILSRP VSWKEATQAVIGAPSTSAEDLEKTILAKASFESEEDKKRIVSGLKWIGLFSDEAITPK GNPLDTLCATLEKKMQYEEKERDLVMLQHKFEIEHADGSRETRTSTLCEYGVVGGYSA MAKTVGVPCAVAVKQVLEGKISQKGVLAPMSWEICEPLMRELEVKYGITMVEKTIS QC762_203560 MVPAMLNAHMGGVLPHQLSQHQVPQAPQPLDYPVDSALLNELSR QLGSTKRYSQHVPQYAQRPNNAMRVSKPGSANNSPRSSMQSRRRTLIGEGFHGRFPPQ QQPQAVDPTYLPTPVPEASNESFYGQEVRRARPVSWHPSPQYSAQTQFYPPQTSSVLC SPYPAYSEAEMLATMHQLPPTPAVYSGYASPAEGFSPLSLPYSSFSSQQPVYSPQIQA QQPAPMYQPAPPVTTGAMGWNAYPTAGPTHNNTMMMPVQRHTAPPTPEDFACPPPLNL NNYGSLETTPSKVESFVSAQAVQVQEDEDEEEGEILYGMGLYDPPSHAQPDVHRSTIF SLLGGPAPTADENKGLGLKLEESWEPPASDDEEEEEDGEGDDE QC762_0034620 MTLLSYLGRCSHTQYHHVLWMRVIAKDATTTTTTTTTTIITITT SPTHGPMSHVPRPTARNEVLAFAPATLSRDLSSLSVKPRLLLPTSASGHLPISPMSLH TTSGLQLDKRPKPFHQTLSKGRVG QC762_203570 MKHLVLTGACALDTILTVPAYPPEDAKQRASSLQVRRGGNCPNT LEVLHHLFSPSDQANIKTHLISTLPAQNSPAITKIHSSFGPGSTKIDFSPCIHREGHT ESVSSYIIRSLETGSRTVVNYNDLPEMTPDEFERILDTLLLSNSKEEEDSWWFHFEGR IPSTTLQCIYYIRTHLPPNTTTISVECEKPNREGLTSLAAEADVVFYSASWAESRGYH NPEACLRGEAPSTRASLMLCTWGASGAGMLTRRKLGGGSGAKELQEEDEYIHHSPATP KDGKPIKVVDTIGAGDTFIAGVLYASLSSSSLHMVDASSSSSQKAKLAFAVELATKKV QDEGFAHLAAQQQQL QC762_203590 MAERDLILPKRVSFDDTRQHESSSDDGDAVEKKVSFDPSNPYRR KSSLVTSSRSHISPGVIQRIHQQQQSNPNHRCPRRQQSKPECLVHQFLESHKLNLDDT APQPAADEDVEVEDVSSSSGLNEVVDSKTAIPVNKPPKRRPQPQPSPEAKCQLLSATE DDEPATDEGQPDQTIWQREMLAMPRTTSSLSLSLSSPNPEDNHEFLNSRLLTKKQLSD MAWGVRQLSRRLGSVRLKFKVKNVFLLTKIYDKDLVDKTRELVKWLLDEEHRGERYVV FVDSALEQNKRFDKEGLLREIAGDRKEDGDVRGRLRFWNEDMCRRRANMFDFVVTLGG DGTVLYASWLFQRIVPPVLSFALGSLGFLTKFDFEDHEEILGGAFEEGVTVSLRLRFE GTVMRSIPRRQITEGEDGEEDGERDLVEELVGEEKDDERTHRPDGTWEVLNELVVDRG PNPTMSNIEIFGDDEHFTSVSADGVCVSTPTGSTAYNLAAGGSLCHPENPVMLVTPIC AHTLSFRPIILPDTIVLRIGVPFNARTSSWASFDGRERVELRPGDYVTISASRFPFAC VQPHRPHGRRSGDWINSISAKLGWNTRQARQKPMKGWEGS QC762_203600 MESAATSKTASFSLPLPHSLDNRIYVRLSLKSKALVVFLTTATS EEAGQATPLGSFVYALPDRYNPSQPLSTALCTVEPTLEFTTRMARLLVRKMGNERPVY VGNSISFASTGLGGVMEEEMEGFKAVVVGIMGVLKRWEEEEGGQNGVREGVEGLSVSS QC762_203610 MSWQPNQESLGTLATCLRDSLSGFNKTAQKQAEIMLTQAKASPD INNYLAFIFSSATPAPGTPVQQPTEWHVVRSAAAIMLKNNIKSNLKGIPESSLQLIKL AIPLGIQDTNSQIRSFAGNLATEIVRCGGLYSWPELLEVLLKMIGNEGNQYSNEAQEG AMAAMSKICEDNTKVLEREQNGQRPLNILLPKFIEATKSPLPKVRALALKAINEFTPR KSQAMLNVIDTLLQHLFYLSEDKYPDVRREVCRAFVRLVETRPDKLLPHIGGLVDYIL TQQKSDDEELATEAAEFWLAVGEHDNLWQALDPYLGKIIPVLLECMVYSPEDIALLGG ASDDEDEEDREEDIKPKFAKKNLKRGAAGAEEGESQEDNGYEKMAEEGLEEGEIDDED EDDDGDENPDEKWTLRKCSAAALDVFAGDFGGKVFHSILPYLQTNLKHEDWPRREAAV LALGAVADGCMGVVVPHLPELVPYLISLLEDPEPVVRIITCWTLSRYSSWAASLTDGA QKQSYFEPLMEGILRRMLDKNKKVQEAGASAMATLEEKAGKQLEPYCGPIIQQFVLCF SKYKDKNRWVLYDCVQTLAEHIGPVLARPELAGQLMPTIIDRWQRVPDQSREMFPLLE CLSYIAMALGDAFTPYAEPIFNRCVNIIHQNLEQSMHAKTNANFDQPDKDFLVTSLDL LSAIIQALDNAKAAELVTRSQPAFFELLSFCMEDPSDEVQQSAYALVGDCSKYVPEQL RPFIHKIFTILVKKLDLDDILDEEIDSSFSVVNNACWSAGEVAMQFKEEMAPFVPELL RRFVEIISNPGVPGGVVENAAIALGRLGLFHAAIIAPHLPKFAHEFLTVMDEVDTSEE KATAFRGFCNVVAQNPQSIENVLLAFFSSIARYQDLKLRNPIKQELHEAFLSVLKIYQ QLIPGFVDFLNKLPPQDQQALKTTYGL QC762_203620 MVLIIGGGVSGLMTAWILLDRGYRVSVAAKEWYGEDANKDGSMC SQTAGALWEYPPSGRRLSENRAPAVAFPEPAQARLWAMHSFLFYEHLAKKSQLEEFGA RMIALYQSSHHSHKDSRQGADSQTQENAREHHRKLQAIARLDTGYGDFRGKFKAGYRS LPFEDWNTFEFRKWEDAPSATNYLGPVRLK QC762_203630 MAGPGGGPPRRSHTKSRKGCESCKRRHIRCDESFPQCRNCTKHK VRCPYNDITQPDERSCSPDKPDLMWTPQIEEEINQWKITGVFPFPHIYPAPTPCDLTL DQLRLIHHVASISEQMESLNANGFTLWTRRIPTIIQIGATYDYVLHALLAFSATHLAH LTDCPLVGNMAYEHRGIALNRLQEAIGCFSRENSDAILAASLVLSWQATDWKSWTELM QGTSTIIDAMESWKHESLFGDFIAESSTFPTGPPSPTPDHQPSQPCAADMDSYQRTLK QLQKLEHHLKQSHESPKPITQLIAFMKGSRKIVLTQPLHQQFERLRALRTWLFWLPVD MLQQSSCSPNSLVVIAHYYTAALLMERLFPDIGAAYFGSLTVKPIEEIHQRLSSSERG QTSLSFMDHPLGTVYEFRSRMGWAHPVDTPAFHQYTAPPFYMNDGSPAMVPAASPEYF YGDSVSFSYSTEDLSVPNSAVSPLQLSSPFPHSGNQQYLNIPSPYGAYSPVSSVYGDF GDAGHSDFEDSGNWTVPYSAASPVMGPASHRYSVGFVSSPIQSTWA QC762_203640 MADYNSMKVPELKKVLQERSLPLTGNKADLIARLQEDDNQKAPE AAEPKADTKPAAAAEDEIDYDDDDFPPMAKKADAAPAATQDKPAEEKKSEDAPAVVET KADETAAPATTTTKDDKPAETTATEEPAAAPAAPLFSANLAATNAQTEAEKRAARAAR FGITLDENSEEAKAAARAAKFGVANDQISALDSALPERGPRKRGREAKDDGSKVKGAE GGNKRPQQQQPSANVRNNSNNGRNQQGRGGRVRGGPGGAGRQQRNGGGGGAAAATKLP QREEDPAERAKREARAKRFA QC762_203650 MTNNRHSFHTMDRYDHYPSNPNPAPNGIIIGLLSSFGSAIVILC VFLIIYYFKYTSSGRIFLDRIGRPGEYDDEQAFAREEAEALETMDDMQRTEYLRAKAF IAANPPESVQTDISLSQYLAIQEKGVSAWEFEPELEIANCFVEARTEIEFFDSECTVM SNLPVPKQNEVYYWEAKIYEKPDNTLVSIGMCTKPYPLFRLPGFHKHSVAYLSNGSRR YNQPFKGNHYGPQIVQGDVIGVGYRPRTGAIFFTRNGKKLEEAAHGMKTQNFFPSVGA NGPCIVHVNFGQAGFVFIEANVKKWGLAPMTGSLAPPPPYGSEQGSILLETGRKDGYT GSYTRGHGGSQSVQLYPRQGGPGLDPGHTRSRSGNFRVLPPTSPGPQRSPTDISLSHL VPTEDAGEPSSAAAAETHNEEGAQNAGLGVHDPNHPPPPEYTSPEHSDAEHDDSDDEN RPLINISRSRNGSLATVRARSTSNAQGRPIQQRSSPSPPIPSYQDAILQGAGRDRSGS AMSSRSARSGRTGR QC762_203660 MAPTILTLLRRHLPSDIQSLGPLAAHTILLARDGDDTKDDPAVK VTHPGVIHPNEVNNNAIFAVFGLLGAGFVIVGIWFFFWAKNGGFYFKEGDWEDYKSTV LRRPKIGPNGTVYSDVTASTVLGGGSVYKDVDDRTVVTGVTRDGGGRDDDLTTVVSAT TGITGITGGVSDFSGREKRRMKREQKEREKERKREDKRREKERKSRRKVGADGEVVDE EAERLAEEQLRMYRHEKAARVGGINREADGSEWDGSTNPSWSEVSPSGRGMAESTADG GSEVTEGLMAGQQRTPKKEEKKERGIRKVYSTADKNAVRENEKIRAEARKLREEGRRA AAQEKALQPRESRRIKRDFSFTAGAEEAVALRRIDEGNEVASEGTSANTYSDYTRARS KSRPRPPPSEVSSSMPGGWAQSEVSAATASTDDSGTKVYTHSHHIPIASSVSDFAYAE DKRKRRGGAGARRERERTRRDDTSSVD QC762_203670 MSGRNRPSAACVEDADDAGTVFEGTARYATSCAPGSPIKQPANT GRVRREKSRKSETSPINAHTDSDSTLPRRERDSTKKPSTHRDKSASASKKALMTSRPA LKPTRTAPPDARYSRKSVDAHDAQYFGVNEPAPAPAPAATRPRSKTTRPTSAYYGSSP SRPPLTHQNRYYSQTPGPQMNSGFAPPPLPNPPQWSGPPPPGPPPPPAPMSTPMQIPY PQPGSSPVVMNGSGPEYFSRPLESRFGSYTRPQSAMGYRPQQPPAIEYGNEWEEPQAP PSKQLARRPSTNRRMSKVETDDKRMMPPPPRRPQSARPLPSSGFQPPAPSTPISRRTL YDDIERTEDDLFNLSPMGPADYNNAGHSTNLAFRPKPPRMSSGLADEAYGDYDYNPMP VEPYGKHLRRSSYFGESAASSSAYEDQARNGGSSRSSGSRDESDYRQSYTTRTTHTSA HDEDFTIRVKGNTTLKIGGAEMECHDGAEINITKNGATLGYRGGGSDASYGDHDDRHT RVDLDDRRTRVDFPVRPRTRGASRARSIPRAPAYPAYPDAASPEYEYVGADDYAPSIP PYPQYPTSYSSSRPDDHYFGR QC762_203680 MDTTNSTGIATRGPLPIRLITFNVRYATKTPVPGEEPWSIRCPK LCSQLKFITSGQDSPFICLQEVLYSQLMDIQDRLGNAWHHIGQGREDGKQAGEFSPIF FRVDHWECERQKTYWLSKTPDLPSKGWDAALERVVTVGLFRHKDTGARVVVMSTHFDH RGKVAREESAKLLLEISRTWTASASRGTQVPAFLGGDFNSTPSDGAYKVLAAPDSGMT DISQLVQQDDRYGNQDITYTSFGEPEETPKRIDFLFVRESQQFTSRNFGILPNRFDDM VYLSDHRAVVADMELIST QC762_203690 MSSSRYSTTTSSTNPSKYSYSPAAQSSYSSSRPGQRPSPFQPPS QPALKPTHLSSPLPDDNDDFSLTMPGIDPLSFFLTPPTPSAPYPQDDDTAMLDFDYFD YSAGIDDSSSADVRSVSPSSLAGFSRPDGPRPPTPPRVSSPAVSTPDLEFDYGSLGAS PEGDTLMEEYYRHRMGGYGGVPLLLKDFTNAAGGNKKRGKFTKGSGGERHAGHHHVWR EPSPDVWSIEEDPREEETGVVDVKKKGKGTTRGGGKGKMVKRVRFVLPGEEEGLY QC762_0034760 MIHRLNPHSSAVLHAVAVTAAPRGPPKLQRPARPIHPSLLLCTT SLHLSSGQELPTLPFQAHGRLHFSPY QC762_203700 MTPPAKEEEEDPSKTPIATAARRHSTPAKPTTTAKTPKSAPATS NNASPQKKKPEPSLLTDFFLGRPSPARLAAMKQRRKSMAADAAHVREELRQEMRAAAV RRLQQPNGVTDRVKAWQKKNAAAMKEAGGPPRAESVASEPTEFAANLPPESVTEEDRE RIKWRKKAKKKKPKDDKIEVIEDDDDDNDDDDDGDGEETEKEQQPSGTKAGPSASKPI PAKDAPKKRIISDDHWMKRRQGRSPPRTGAPTTKAEGSPTPIPKDFLQKTAKNPTIQS KIKDWAARVEPPDPGQAPPPIPRVKHYRHKSGATIAVEEDIASMAASEPSFRPKSMSS FDDGIRVKPLNIKKQTNETDDGIRVYPLRKKRDSADEASQPKKKEPDDDGIRVRPKKS DNEEGVRVKPAETKSESSARSKKKEPADDGIRVTPSEGKEDEPKRTKKKDLDDDGIRV RPVTDDEMKHPKKKEPPSVGMRLKPADSLKLKRKKKKVPEDDGIRVRPVESNDDGIRV KPVVRDSSHPDDGIRVPPSEASSSEAPTRRSRPYSSSAEKLKRAQSKRREEAPEDVIE VIEEAETELSVEPPLKRKNSLRNSRRRKSQSPPAGTKARSRNGRDSPEVVPIPTWPTA ESDEESDRVPPTVLGNKSLAEIPFGYSAFSVLDLPSSGNPDRSIPKKPKAQRNPSFKA VPKVFKKVVEGAKEIIQESLEPPRPPPAANKPASIESWLNNTVDPFVQASPTTETATV ADTQISSEPPIRESRETLEPPVKPESRPAAEKRPKEEEKKAKEKEAAAPAPTPSSKRP KTPKSDSSKGKASSQGEDSDATAKKTKSPVNQEGLKRRRATRGAPVPAKSGGKKPLRE ILKDAFRGESGGYKLPPAVYPSCEASEYDDEYSEYTESEVSTERPGSSHKRPISDYSS YYSSNYDSLLSSDVSAQEPPRRRPPPVNGGGHELSTIISEEASTVSATDTMSEISHTT VTQPTATPLTERTELSRHRSHRGSRKGGSLKRRLTKHSDMISVLSLPDTGEIVPPSRS RSVKSSRSLHRRPSRVDRGRIDELLDEFADDEYFYQRELKTLVDGVIPVLLTHVLRGD SLFGRSEAGRNKADAMAKSVVNMGVVLEKLKSSHKQVPLENIYHLLTWMEDVSPLYDS YVDVWRLGFQGLIINLAPKLKGMNDNDSLLNALPINEDGDIIGENGERVDVAFLLKRP LIRLKWMTKFLRAAVLVVNTSDTEHLLSVFESLQEKARKRHREENARITDEDARNTDT TRARDLRTLMPLDGVGIEQTRQVAALDVFALDLDHSTGQRLECQVEMIHRDKPDVPAD KGDVLIREIGNDSRSWLLFPPVPMAYISARPSETDQALVVMIRGTHNGVEWYELLKLS TNSEEQITFWLDVLGSSPMPPLTRRRPTSAVMGSTSPKPEDIPVGERKLGSDRPTTPS RYRPRNVVESLPTSPAHDDADVSPGRTPTRATFAQHHPPEERDEARWEGPSSYDTPKT SRPAPNTTPFREDGAPPPPIHRTLSKKSNKLAPPVDLKVTRVKRRGSSPLKHEYHPSD VSSDSSTEVSEDSESSSSSSDELDEDEVPDTIPGYSIKTAPQVASVETVVSDDNSITP DHSASQVGVSSQAAEKQEGEEGQKFVASVSYWSSKKGMWKDIVSGGMTSIVVRPGVME VHRLSKEQSKAYPLQSSGTSEVDISHQDAGSSAPLIALILTPVVMIRRSTALDLEVRS VASPESRLKTDSTMYRFRAGTQTEAHSLYEAVHLSRLNNARYIQLAEEARVRSFGTAT GVPGEGSADGDGSSRRRSWFGRKNSYRASTRAPSVSQHSMSSTISAGSFLRRLLGGGN NTFNIEESTLDKPPRMSTGTVGYSSGASSGEGASTPPRSVNMSLSGSASRAWSTGLAK PFSPDQPLEIRCHLNVQNNRWLDKGDCILHISRPPPGVRQELSLYHGLEKRIIVTHAS KKVGDKPLILLDAVLGSKCFSLLGSKGVMCSVWEDLRDEEGNVGVAPRMGGLSGRVTK WCFQCRNSQQADWIMWLVTAEVEGLVV QC762_203710 MKSIFLAAGLLAAGTLAQDDSILGLDPNSATFSLESFLFGPRCK CFPGDSCWPSTNEWNNFNRTVGGKLIATVPLAQACHDPNYDPVRCQQLRDGWQMPDIH MNDSASIMAPFFANQSCDPFTAQGKPCTLGNYVRYAVAAETAQDIIATINFARRKNIR FVVRNTGHDYLARSTGAGALSVWTHKMKSIEWKNYNDKYYKGTAVKIGAGVQGFDLLN EGLKVNQIVVGGECPTVGPAGGYTQGGGHSALSTSFGLSADNTLEWEVVTASGQLLTA SRTRNSDLYWALSGGGPGNYGVVVSVTLKTFPDSYVGGATVSFFAANNPTETFYKGID AFHAALPAMVDAGTMVVYYFTSNFFMIAPVTAYNKTAAQVETIMAPFLANLTSLGVNF NAAYSESVNYYDHYDQYFGPLPIGAIQIGIAQYGGRLIPRDTFTKTPAKLSQTSRYIA EKGVTFIGVGTDVSSFGRNSANAVLPAWRKTLVHATLTTDWSFDPAKWNDMIANQKLM TEDIMPAIESITPNSGAYMNEADFQQPRFQREFFGTNYARLMAIKLRYDPEGFFYARN AVGSERWKVNEQTDGRMCKASIWW QC762_203720 MGWTFNTRDPDAPTIGPLITGVSAALTFVSLMTVCLRVYVRAAM IKAFGVDDYLILITWVCAAGFAVVTIVQTKWGLGLRNIDDLPPENIYNFGLIQYMGAP FYISSILGFKLALLFSYLRFIPKGIYRYMTIGVIVACCLFHLSFLIVQINLCQPIALQ WDPTITEGSCIKGVPFYTSMASLTIVFDVIVMLTPFPVLVKSRIQNRKKLVLLGLFGL GLFITVIQIIRIQTVKQLANYLDSAPLILWSAVENNLGIIVANVPTLAPLVKYYNEKS TGGGSGLRSKKTGYASQDVGSRYAMRTWKSNRSKALELGSVHDATDGSFEGHVMKGNT STEMILDHEGITKKVEVVITRN QC762_0034800 MKDARIRDKLADEKGVLCFEMEAAGLMNHFPCLVIRGICDYSDS HKNKDWQGYAAMMAAAYAKDLLRQIPSNKVEEERRIGEVVNSLQEGLGCLHQTTNETK AEVETMRRNHHLAEVERWLCPPDASTNFNEARRKWHEGSGLWFLDSPAFNEWKCGSHH LWLHGLAGCGKTVLSATIVDHLQKRNDCIVLQFYFDFNDTSKQKVDGVLRSLVFQLYK LGSSSNELNSLYQSHFDYQRQPDNPSLTKTLHAMMKDSKNIYLVMDALDECTERGELL QWMMEFFNAPDLGHVRMIATGRPDEEFLRRIPGWIGKNNCLQLDKEAVNADIRSYVTA KLEQSPDFLEKELSQDLRERIRNEVGDRADGMFRWAACQLASLAKCMSPRDIETALKT LPGDLNETYQRMLQNIPANLKKDAIRLLQFLVHGKRPLTLHEAVEVIATQTDEEPRGF DLKRRLFRGDDILQYCPSLVSVIDVLAYNGARKELHLAHFSVKEYLLKEGQFGLPLAS IVITRTCLTYLTDIEGRWWEIKKRFAMAQYAAMYWMDYAALAERSEDVVQISIKFLQD ESTFQRWVQLYQADNMLTRAPGSRQELRLYYACLGGLAAVSRALIDGDADVNAQGGLY GNALQAAANGDHRDIVQLLLDRGADVNIQGGLYDNALYAAVNGGHRDIIQLLLDRGAD INAQGGYDGNALYAAINGGHRDIVQLLLDRGADVNIQGRLYNNALYAAVNGGHRDIIQ LLLDRGADVNAQGGDYGNALQAAANGGHRDTVQLLLDRGADVNVQGGWYANALYAAVN GGHRDIIQLLLDGDADVNAQGGDYGNALQAAVNGGHRDIIQLLLDRGADVNGKGGWYG NALQAASKGGHRDIVQLLLDRGADITALDKHVWYFSWVDDLY QC762_203730 MTRRIVRTIVQTSTAAIFTFIVIFFLDRHYRVLPNAIHTYLPTH HHGSVITDITLTTCSSLNPFSSCKLDPKKWHRVEKDLYLKQSVLSSAYLHVQRKREEE LTSEDKVVIDVTVGRLNPSKSSENSDSEEKWESRPGGLWIKRSSKRGVSDSKSAVTAV DVLFGDDAIEARAGWMLTGSTALLLDGGRKFHAAHITVRRGAPVEITKPVPRVKDNGR YKIMQLADIHFSTGVGKCRDSLPGGWDEKHGGKCEADTRTIDFIERVIEEERPDLVVL SGDQVNGETSPDTQSAIFKYAQLLIKHKIPYVSIFGNHDDEGSMSRAAQMELIEALPY SLSKAGPVDVDGVGNYYIEVLAQGSSGHSAITVYLLDTHAYSPNERKYHGYDWLKQNQ IDWFRQTAKGLKKAHKEYRKHHMDVAFIHIPIPEYRDMNLTIVGEWMREASTAPAYNS GFYGALVEEGVMMVSCGHDHVNEYCGLKSINAEGQQPKPALWMCYAGATGFGGYAGYG GFHRKIRIFDFNTNEARITTWKRSEWGEDVGKKIDELIIVDGGKVVAPMQG QC762_203740 MASRRPGPKALGRSGDLGVRNTGAQMETPRATIGSGTSSKTKQG PDARREVLRAIPQPKMSSRLPMALSHRRPSDGQLEPSRLGQQSHFRASIGSPNTLGLT GSTVTTTITSSRLPAPSSKPRNVLRRKRSGLVQDTANRSDLHEESTRTNSSDSDPFSL ASPDLSGLQLDRELTQSPMEIHVAHQVEIAKTTAQPVTIYPELDRYGDVVLHRLATHD LPPPTPLFSGNSSQVSGSPSTRWSESPGPGPYSRDTTPTSMCSQSPGLVAPFRIAPPS TGRLRQAELVQTRPPVTKRRNGSISNEVDCASVDPQGLPVVRELSNSSSSNSTVRAGA DGKEKKKKKIVLPPTPPPRKSSHKSNGSRDEGQSPFRPAREAGQPRTASPPPAKATLN SRPRDVQAAQPVTSPKSTPPVRPSRDGTPDLRVPLVQPIPVVHSNLSSTSLSDRRHSA LATQGPASRPPPSSSLPDRPSYLSRRPPVREATPAPRAATFGDVPASSKPEVGRTTRT PSPGVSVFKSRFTLFGRRTRTVPEPSQEQEKKDKTARKGPAAGTGHEGYGRLGHARRR SSTTLPGRVIPGTMSSQEGKPGDSFLAERMAPVIIAGGEIIENRNASAELTRTESSQS MSLRRPSLESRNSSQISLFSHEQPRNTLWPSPIPRGVTPSPAPSSRRPSESSVSEAQT LKPTLALRRSMQRLKSGEQEPPRLPKPIVTRPQVVSPSLTSLDASIMSDDSVFDPSVG LAKAKTEPATSTNLGPKKLIKRSRSPRKWNFFGRSHSQPAVEKQVELEKPTVAAVEAP PSKPVAFYTLIDSSEAEDAETPDLDEVLREAKRADTPPAQPPVKVTEDKRRSTQIPVP QANMTPSSIIPKRTVTSPINPAPMSAVPMSPPERQAMPPRQAPPRPSRLPQVGRIPKV VSARTEQLSPPSFSRPFNRRSIQAPAVKSIVQNVEPMATAPMSSKDLTPELVQDELTE RSISNISALIYGIPRLSPVDPNRSHHEFLSFSPRKNSQCTTTSSSSSGGFFNYAEATA VVPDPSAPLAEDEIWDEYNDLLGEDTPRFSAIGGKAWPKPLRLEATKRIEPALESPTL SPPPLPSLVQALRQGMEQTSSSVYTTEMAEEVRRALDTGMSICVSIPTADMPTTAAEP QKPEPAPQVNSTPAQVQQTRISSGSCSSQSSEEITPTSQVNLRVGSMTVSKWLTFGHV LFSPIRDELIPEVGSLKRPSILVIDGLGNDDWSFYAAETYPAATFFNLSPRAPLPAEH QNRSSTSSSTTIPLSPPNHHQIQYRSHLDKFPFGAQSFTAVVFRFPAAGPESHYRNII SEARRVLKPGGFIELSILDVDFNNMGNRGRRAVRRLKERIHARTPDTSLGSTSDLILR LINRKGFTDIKTCRVGIPVASPATRSSRVSFTQTNTNKKDERSLPELMSEEGPVADES ITSMVAKVGRWWYTRCYESAGVANPGMGWPGSKGSMWRDRMLVKECEEWGTSLKLMVC YGRVPDGNRARVASI QC762_203760 MAETFEFQAEISQLLSLIINTVYSNKEIFLRELVSNASDALDKI RYESLSDPSKLDTGKDLRIDIIPDKENKTLTIQDTGIGMTKADLVNNLGTIARSGTKQ FMEALTAGADISMIGQFGVGFYSAYLVADRVTVVSKNNDDEQYIWESSAGGTFNISPD NGPSIGRGTKIILHLKDEQTDYLNESKIKEVIKKHSEFISYPIYLHVQKETEVEVPDE EAETVEEGDDKKPKIEEVEDDEEDKEKKPKTKKVKEVKTEEEELNKQKPIWTRNPQDI TQEEYAAFYKSLSNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFETKKTKNNIK LYVRRVFITDDATDLIPEWLSFVKGVVDSEDLPLNLSRETLQQNKIMKVIRKNIVKKA LELFTEIAEDKEQFDKFYTAFSKNIKLGIHEDSQNRNTLAKLLRFNSTKSGDEQTSLS DYVTRMPEHQKNMYYITGESIKAVSKSPFLDSLKEKGFEVLFLVDPIDEYAMTQLKEF EGKKLVDITKDFELEETEEEKKQREAEEKEYDGLAKALKNVLGDKVEKVVVSHKLVGA PCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELKQKVEAD GENDKTVKSIVQLLFETSLLVSGFTIEEPAGFAERIHKLVALGLNLDEEPEAAADAPA ADAGVAAAETSDNAMEEVD QC762_203770 MFRSSIFQATRSLRQLTTPATRNLSFTAARMGIPADAPEGLKIE SQNAGSGPEVKKGDSVDVHYKGTLEDGTEFDQSYKRGQPLNFTVGAGMVIQGWDAGLV GMQVGEKRKLTIPSNLAYGERGIPGVIPKNATLIFETELVKIR QC762_203780 MNSLPRITVGLKAAAERCSNSAAVITAPTLSMRSAKPIPMRRLH IQSQQHINKGHVPSCTILPHPRRAPISQPLTHQPPHNRTLSTTPHPLRQFTTSPPTPP SIHPHSSSSTSLPLPEQFRSLMRLITHSVVVCTSSSSGPIPRAMTMSSFTSLSLLPTP IISFNIATPSRTFDALSSSRLFNIHILTDDPSGARIADWLARGNAAGLEVFEQLQSEC GCSYETETEGEAPILKGPGVLYALRCELLQEPMGGLVKVRGHYIVLGEVKEIVEVNGG GKEDKFGLMYADRKYRELGGCIIPGEGVEEGKDGEE QC762_203790 MASKLPPSALQTLRRPSLNINNNHLPSPIPQTQLRHATFIPRHR RPYKFTQLVQLSDGSTYTVRTTSPVALYKSAKDTRNHILWQPTDKSLRNVEVDEAGKL AAFRERYGRGWDAEEAAVEQQEAAATEKKAEESDPFDSLVGLISDFAAGSTSNTAGGL SAKEQAKKDKGGKKK QC762_203800 MEDPWADSAGTSQATDDTTTTTTTPSTTTTSTAPAPATAAPTSS SSSSRPSRITPRRLIAKPTQLQAVEDDPLGPLGAATPPATTPAAAEDVSPPVPPSKEL PLRTTMPAPLGGAKRAGPPDPHRIDDDDDEGSLFAASGPRQPPPVQGALPSPVRTTTG APPSVSVEQAAKPVFSITVGDPHKVGDLTSSHIVYSVRTKTTSKAYKNPEFEVKRRYR DFLWLYTTLHGNNPGVVVPPPPEKQAVGRFESNFVEARRAALEKMLNKIAGHPQLGLD GDLKLFLESESFNIDVKHKERKEVNLGGESKGVLGSLGFGGGGSKFVEQDDWFHERRV YLDALENQLKALLKAMDGMVLQRKAMAEAAGDFSASLHALSTVELSPTLSGPLDALSE LQLTIRDVYDRQAQQDVLTFGIIIEEYIRLIGSVKQSFLQRQKAFHSWHSAEGEMQKR KAAQDKLLRQGKSQQDRLNQVSAEVADAERKVHQARLLFDDMGRLMRAELDRFEREKV EDFKSAVETYLESAVEAQKELIEKWETFLMQLDAEDDETVFYRPPVVQANKPAGNTAV DRARARIDEDSD QC762_203810 MSNPPPQPTGQAPPSASYSGQPQSAGGAPAPSQPATTSTPSAQN LNQIVTDYLKKKGFTKTEAVFRIETAHLGPDGRPANRGQDPADPKRYLKAFILIKNWI DNNLDIYKFELEKLLWPIFVYSYLELVGQGYHEDSKTYLATLRPHFETVHHDTLRLLS TVTLPQHMNEDPTIKLYKENKYRIPLNNTLTLNLFQFLQRDSDSGGSTIIYILQTFCA IDNSSRGPIEPYSFEAVYRRTQNRELDEIDAQEGIPGVFTGVANRDVLDNTAKLKLGP LPMEPELRDDVRIELEEEDQSHPPVDGRPSLVEVFDKRIKQEEGDDVPSRADLPLPQS RARDVVMEMQKVRENRDRFLIEGRTGGVGVPVSVCMFTFHNTLGNVSCMEFSNDHQLV AVGTSDSYIRVWDLEGKPLRTTLDNEKDLKVNNRKLIGHSGPVFGISFSDSTASLDRN PYLEGSGKPVDTSAKLLLSCSMDGQVRLWSLESWSCLCIYRSHDGPIFRVLWGPHGHY FATAGWDKTVRIFTQDHASAQRIMVGHDTPISAIAWHPNGTYVFSASDETDKSIRMWS LTTGGCVRIYTGHADYISALQCAPNGKILASADTGGNIFLWDIEKSKRIKRMRGHGKG GIPSLSFSAESNILVSGGLDGTVRVWDVELPADPSKANPLAGGSAQPGQQPDGITVAG DSIAVAGSGDNRSITVGGQAAQVTGASTSGAGSGSGGGGGGGSGKKKSKEVQVTPDQI SAFPTKKTPVLKVAFTRMNLVVAGGCYDPDR QC762_203820 MNPPPPNPQELPIDIHTPFTLPEHLSHLASTDRDITSLLTPVIA SLTALSTPPSSTSPNAPQEAFKSAQTSYFRTIDRISKHLNRQIYALEEANIISLAATS SNQQPSQSAENPDSQPGASQPDGTKDTKKVARLDPDGTGKYGKLDVGRLNLASSTTER DVEAETWERVKAHFAGMAEAQGVNTGDRMQE QC762_0034900 MILKALLLATLAAATPLLSPKLISLNPTDSDTEIYKSVPSSPPQ EVYRSFHPHSSRSYLETGTAAFPAPAACTTGPAASSNDDSGFNIGDYTLVTPSNKTTS YQVEKTWYKKHLVSGPHWFGYSSAEGQFGAWKCQFLCNAEEECNGYFVWFEARDEKGR GEMMKCNLFDAVIPESVLVSTNATGFVAGGAYDRICKEHVKST QC762_0034910 MLLANPIIRPASNKASSIGRNQDRLGDAIIFSLSTKYPLHSSSA LHKNWHFHAPNCPSAELYPNQ QC762_203840 MINPISFRPGPVTFWTTLVYLALLIPIVIINETVPPAPAAADDG KIEGVNLTEAWLDLTRITRGYHPYNSKFNEEVRGYLLGRVGEILEGSEVGGKKGNVTV FDDLRSNVTGLMAGSVVPTPGSAQVAAYFEGTNILVYVRGKGDDEGDWWRSADGGEVE GVRKNERGLVLVNAHYDSVSTGYGATDDGMGVVTCLQVIKYFAHPDHQPERGIVVMLN NGEEDYLYGARALGQHPLNPYIHTFLNLEGAGAGGRANLFRTTDREVTAAYAGTSDPF GTVIASDAFGLGFIRSGTDYSVLYDVYGQRGLDLAFFKPRSRYHTNRDDATHTSKASL WHMLSAAIHTTSKLSGDTGDTFVGARPDGARNKVRNGSPSNGVWFDLFGKGFVNFGLR GMFAWSLTVLVATPLILVLATYILHKLDKYYFFTSSVRTYDQPDFEPVLVGGWKGAFR FPFAFIVSGALSLAVAFLMRKVNPFIIYSHRYSVIAMIFSLFYFTFWSIMRGANFARP SALHRGYVNIWLFILGWATLVAVTVTEDRFKLGAGYPIVFLQTAVCLTTFLTLCELFA LPKKTAWGQQVREDHEVHDYYQPQSGNNNTRTESPPPLPQIPHQPSLVPPATRDSNAS TLRQGDGNDTDDEDAAVPTERTPLVGGGNATSEHFRTTFATTYRRSITALVNGARKYG QDGDEPFEHEQAWSGRLPSWLWFFQFLILGPFTIILAAQTGLMLVDAVHQTGADGSNL LLPYLIVFAFTVLVLLPITPVIHRISHHIPVFLLVVFVGTLIYNLVAFPFSEESRYKV YFVQQIDLDTADNRVCYNGVDEYVHKIIAELPSASGRNVSCGESKRAELVSCCYDGVD VAPRLGSETPEEDTPIEEIYKSLATVTATRGEGNKAQLEIEADNTKACFLEFKQPISG FNVQGGSEWDDRFGQFPEGGIKQLKLWHRQRGERWVVDVEWKDAEKLEGQVVCAWSDA NEAGTIPALDEGLRYSPVWAAITKFAEGLVEGRKGFSV QC762_203850 MSWRNQGITGSNNIPLGKTRRLHGDGDGNDSSTFSPPPASNNGS VTNGDRDVKRGRSPERGNNDDGPRRRKKRNRWGEATENKAAGLMGLPTAIVANMTSEQ LEAYTLHLRIEEITQKLKIDDVVPADGDRSPSPAPQYDNHGRRVNTREYRYRKKLEDE RHKLIEKAMKTIPNYHPPSDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKM ETESGAKIAIRGKGSVKEGKGRSDAAHSSNQEEDLHCLIMADTEEKVNKAKKLIHNII ETAASIPEGQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPEKQNFTAN IICRVCGNAGHMARDCPDRQRGASWRNDGPMANRTAGRIGGGGGDAVDREYEQLMQEL GGTGAAPAMIEAGPGSNGPTGPAGGDSRPWARGPSAPTGGPAPWRTRNNDRDDHHGGG GGYGGPPSGPSGGPAPWARDRGDRDRGDRGRDYRDDRGDRGDRDDRGYRGGRDGRDGG DSYYGSGGGGHNSYGAPPPPPSAPGMAPWQQPAGGHAAYGGYPGYGGYGAPPGMPGAP PSLPPPPPGGAPPGLPGGLNALIQQYANAAPPPPPPPAGEAPPPPPMDLPPPPPPPGA QC762_203860 MTDPSPSMQRISQLASHLTKTHPKTFLRNFTSKMASNNSNIHLY TVGTPNGIKVSILLEELGLPYKVTPISFSKNEQKEPWFLEINPNGRIPALTDTLPDGT PINLFESGSIMQYLVDRYDTEHKVSYPRGSKEGYEVNNWLHWQMGGLGPMQGQANHFF RYAPEKIQYGIDRYQNETRRLYSVMERQLEKGDYLVGDRATIADFACWGWVAAHHWAG VSLDEFPKLEAWLHRLLERPGVEKGRHVPSPHTAFDMKNKSEEELEKEAEKSKAWVQA GMKADAKK QC762_203870 MYPTKPHTASSQRTPPIGSRKQFRCPGEVNTASTIRLSTLHPLR RRGTSPVPSPREDPPLFTTVSHPPTQTGALLLSLSYLLVHFLIPRMRNGANANMESTE NGGTFRSGLRAPQVHTSTTTTMAPSAYLQEITNSDQNARPHPSGLLPSKRTYNGAVPD RDAKRKTLAERAGEPISKSQLPAATPSVSRMTGIGLKPTSIASLASTHQTATATSSRP PTTLPGTSRHASTNSFSRTMGAGSRPPISGRAPSSMGFNPSNTRGTTRSRPATVMSGR SAEEDGGPADQKKSRNFSASLQPRTNKVRTQRGRSTASEQSVGIRARMPSKEELTLSK RMGNLSLNDDVGDIASGNQVISKAKEHSLSLMFQPPDGQEQRSSTLQNRRGGATSPDP FRTTNSQANFPSVPVTPPEIRNGRVALEKLGTTVRSIAQTPCSPTKSPSPKKLPFLTK DSHLKTFTGWDVDGRLHEFETQFKEMKEAFDTTITDRKALEEAIQFAKNRASDLEREQ QRLMDQTAQLQGQISSLQHDNLSMQQEKQSLILQFESERQKHKYELQDKSREHQHDVE EIKRGFKAEVEQMKWEHQQALEAMERQYRAEMKDRQAQNSKELEELRAKLGSKQEDMN LEVLRKDRQIQELRSLMEGLKLDLDREQTVKGNLQQKLTEMSTTNMTLEDRLRGLNAK IEFLESDSKQQSDSFAHMEARLQDALRVAEEAREKLIKEETERRVLFNKYQELKGNIR VMCRVRPVLGNSEGNPAQISFPDEKTSAQIDVTQEEKNSMGMVSRKVVPFEFDRVFSP AVHNEEIFGEISQLVQSALDGYNVCIFCYGQTGSGKTYTMSSPDGMIPRATHMIYETI TKLKEKSWTYTMEGSFVEVYNEELHDLLTPGRESDGKKRLEIRHDDSRKQTTVLNCKT VALDLPDKVEMMLKQAQNNRSVAATKANERSSRSHSVFILKLVGENSATNERCEGTLN LVDLAGSERLKHSQAEGERMRETQNINKSLACLGDVIEALGRGSGHVPYRNSKLTHLL QYSLSGNSKTLMFVMVSPLEAHLKETITSLRFATKVHNTHIGTAKSTKKLVKDRATDY QC762_203880 MEKFSQFRDRGSGISPFIPTSSPTSIFSNLTSTILFLIRLPIFL AYTLLYFLFLHHFPLPAVAHKLLLWTYLSIPGIWWVDLQLDGVKRGSLSQQPPSRVPH PGSLIAANFTSPVDALYLAAVFDPIFVVSYPHSRKVQRISLIAAIINALSPAPLSPPP GSSLTDLRTIIEKNPNRVVAIFPESGTTNGKGILPLSPALLTVPAEAKIFPVSMRYTP PDITTPVPGTWIQFLWKLLGRPTHCIRVRIAEGMVNTTKAVNGVSSQEESTPSGEDGV TVEEQKLLDNVAEALARLGRAKRVGLTLKEKDAFVKAWNKRRR QC762_0034970 MLPSLLRAGAPRALATGRALPMRNQARLLSTTARRYADEKLNRV SATITQPKSQGASQAMLYATGLSEADMNKPQVGISSVWYDGNPCNMHLLDLSGLVRDS IKKAGLVPMRFNTIGVSDGISMGTTGMRYSLQSREIIADSIETVMNGQWYDANVSLPG CDKNMPGVLIAMGRVNRPSIMVYGGTIKPGCSAGGEPIDIVSAFQAYGQYLSGEIDEK QRFDIIRNACPGGGACGGMYTANTMASAIETLGMTLPGSSSNPAEDPSKKAECESVGE AVKTLLREDIRPRDIMTRQAFENAMTVVTVLGGSTNAVLHLIAIADSVGIKLTIDDFQ AVSDRIPFLADLKPSGKYVMEDLCKIGGTPSLLKFLLREGIIDGSGVTVTGKTMKENV EAYPDFPPEQKIIRPMSDPIKPTGHIQILRGSLAPGGCVGKITGKEGLRFEGTAKVYD YEDGFIEALERGEIKKGEKTVVVIRYEGPKGGPGMPEMLKPSSAIMGAGLGKDVALIT DGRFSGGSHGFLIGHIVPEAMEGGPIALVQDGDKIVIDAEQRVLNLEIPAEELERRKS QWRAPESKAKRGTLRKYAQLVKDASHGCVTDA QC762_203900 MNTQRTAFHLLRRLGASHCRRTSKFSTFPGGIPPTSGGIPMPYI TEVTAGGWRTSDIFSKLLQERIVCLNGAIDDTVSASIVAQLLWLESDNPDKPITMYIN SPGGEVSSGLAIYDTMTYIKSPVSTVCVGGAASMAAILLIGGEPGKRYALPHSSIMVH QPLGGTRGQASDILIYANQIQRLRDQINKIVQSHINKSFGFEKYDMQAINDMMERDKY LTAEEAKDFGIIDEILHRRVKNDGTMLSADAKEGKH QC762_203910 MLQRFGRGLSRPVALESYTSSCGSLRTYRQFHNTTRRKAEDSRD NDEKSAYERSVESLNIDPENKTVTTVVGNLPLSPIMDPEFHKARNNFTKPKPKHAVRP KDKFRRQLERNPYARMLAERVRTCDITGTPLPKPLLQRFKLGQDPTTETTWWMPQDLE SKVPKEGGEVPAATELPGPSAYILNSRLFLQELVTPKGMYSSSFSRLLRATESGTGRY TSALNNAQWRKDMDTYLLETMRKRVFEGLIHAAHLAEKGGSDGRPRKYVTKLSSWNEV SELKQRQCVLFFGPPEALSPDPAMASVPSAISTMAIEGSKFGEKIAVHDMRVVLGREH LAKLRQESTLLQDGSLYMLRGQASMRLNMLIWKLQEYIAGSEDSVGEVDDGETVPQRS EDSAAELVQPQEEDWESLLDDDLDRAEDLRD QC762_0035000 MDSRLGNMLKMPPIWNWERLTDTIWIAWSWFWSGAAALCSDVLY SKGPRKHTPWLCRLDIWVKITMSVIGLALTCTGIWAAVSSVIEAKTANELAQWTSTKD FVEFCESHNFNASNCMSARNKTLPPPPGFSLLRWRSLSVSLWGSNSTPEESQHYSLDI TSILCFVIGAIFLVIVIGTALRRISFGPSHHSSNLPLARSSNELTENNDRIVQEVGPR HRKSTGRRRREARQDRISRYRTIESNHTVDDDTSSDELYTHGEDTRRSRLRLRRRAKR VVTDENV QC762_203920 MNRIDAKRRNVVDHRKKQFAEATYQPQQYPHRLNFYTTPPTADI TLEQFEQWAIDRLRILAELEACSFRNKTPAETAAHMEPLLKKYLPLDNNSSNHSQLFA QRQKDHYSHFILRLAFSSTEDLRRRFTRVESMLFRLRFQGDDMKERNAFVRTLNLDWE PVSEEEKTELAAELAATVGFSGKRGQPTVQDEEWSKVDWERVPDLIEHRKVFVKRGKA YVPSREQQSMVMTEFNARLETSLELTARALPRLDEDDRLTPILNHLSKNFIAPDSVYT NSSSAIEGAEISARNIDNLSQHFPMCMAHLHRTLRRDSKLRHFGRLQYTLFLKGIGLN LEECLVFWRSSFNKMTDDEFNKGYRYNVRHVYGDVGGDSNRRSGGYSPYSCQKILTEH APGNGEAHGCPYRHFDEQNLMTLLEGVGISDKGVLQGVREDKQKQKFHMACNRVFEYV HKNELRRAKDEGIMTAAQLETIVHPNEYFKRSYLLKNLGKMKKEGDGDVKMEG QC762_203930 MSSYRPKAPYSSLSGSSSSSYSASDSDLSSSSSRSSVRHSMDST QRPVQVSVIRCLRCARAEELTSTDDPNSSGMVQIGTNIYYCNRCAKMVGYT QC762_203940 MSASLPATTVSIPPQLAANIESWGVTHARVYLHKTHPRPSRNEP TSNFQTISRNQSQHNLVLPAALNLQTWSMTDPISIRPGVQQILWQRSSSSPNTRIVES PPDLNYPWPGLDRDNNKAGWISDGTD QC762_203950 MAAVSQTTTAFSPSAAHDTGYPWDLAVPDEAENDLHDGKRLVHN ENAYSSHRQPLAPQNGNSTLHSTATGLDSISRKYANGDAGSDMTAYATQNHHKQSKPR SIQDHPSSLESTEAFDSDTRGNGSDGLQRTGPRDSTPPIGQEDNSKWIHRDKLARIES EELQAAGIFLPRQRDRARSKSQNRTRRDQSQDKLNGSGRSIGGTDNASRSRKNSGATT TEPKTPDLNAIPSWDLRRPEEIVEEGDGYWVSTGSGKNTSKIPVAKVSPVPIPSEHIE RDTLLARKRDGSPGEDSISYSRTRGRSGSNATSLGKLTPSEGTAQSTSQPNKRTDPSP KKPAGIRKPKAANGAAGRPKTRGGPSKDSTSSGTRPSTRSAEREFSSSSKPMEGEPPW MISAYRPDPRLPPDQQLLPTVAKRLAQERMEREGKFGNVYDREFRPLTDEGFLKPPEN IAGQEERDPEKEAQDEEQGDWPLRPEVRSPTLGGRASSYSTMPKISDKPTMSPLPSPR TPGPQPQTQPQPSSTIKVPEPPEEDPAPKKGGCGCCIVM QC762_203960 MASSQSLPSQTRRTRIVCISDTHNSTVKLPKGDVLIHAGDLTNQ GSYSELSKTVQWLEKVDFEVKIVIAGNHDITLDQGFYQDHGQSFHNKKPQNTAECLKL LTSSPTITYLCHSSTRIRLTNPKGPRTEFNVFGSPYSPKNGLWAFGYDGNGVEPDPSG TQTSTDLVSQEAVNLWSEIPLDTDILVTHTPPRGNCDSTLGCQFLKKRLSTVRPRLHV CGHVHPGRGVQRVRWEPERDEFANEQYHEAGVEYWDDPHPDIQSAKLSLVDLTPRGGN RALAFGNSAETTTNVSGGSPRDGLLRAPSVSCTSSSRQDPECRVSDGQPRGLGPGPGL GPGLPTLDDVQPLTSKSRELNSSRTGRRETCVVNCAIVATNWPHTGGRRYNKPIVVDA DLPVWT QC762_203970 MSTLPADPWKTLGVEKTADKSEIRSAYKKLVLKCHPDKVQDPEL KALKQEEFTKVQQAWELLSNDVELSKYEEQLKLAELKAKAQAAMKNAANTSVPRTTST RYYDIRTAEPPSKYKSHSTSSPSTGKVYTHYASPHTRSHEEVPASRTYAIYEDGEKTA RRTASYEKPSKRDDEKLEDIKRREKEELRRFKEKEEERKKERLIAKEREKELERQEKE REREREKEREARRAEKKRIERLEKEREKERRRDAEEKTRRHKPYVETYPEFAEQPWAE DEIYMTSRSDKKKSSSSSKKYDDPILRERERERERERDRERERERDKSSSRRAKSPHA AAMEVPERKHIDHFAEAASYIARAGGSAPKETAFWKSQTPPDHILEIPVAPTPPPADP EEESIMRAARRAARRPSHEASKSKEKLKYDLDASPAKSRPIPNLTKSYTTPPVSAESP PRVSRTNTTPHDYERSAARGERIVPIPSLMRSTTWAPGVAAGRSDPYDDYYESDEDRE RRHRRRRNRSPEAIHYKVEGGKTSKMSYGYGESPTSRRYADDGWSPHSPSAAYAQTAF KVKEGKSYGLNDIKYAEYTYTQADPYGAAVAS QC762_203980 MSSTTSADVSEGPDRILRPRPRKPVHTQLSHISNLSEPNGALDP NTNGHATATTSGRSTPVPPDAPQSVKALSSARKQVRAEHRRRLFPTIEFASRVSHFDP NSDYRDFHGFFNLFWIGLAIMAVTTMLRNVKDTGYPMRVQIWSLFTVKLWHLAIADFL MVASTAVALPLQKLFRDAPAGSSLTWAKGGTAIMSIYQVLWLALWIAVPFLFGWTWTA QVFLILHTMVMLMKMHSYAFYNGHLSEAEKRLRDLDDPSTASRAPAYLYPTPENPMGT VATSPRSANGSAQQHQLLEKDDHHESSEESDELAQLREVLARELTSPIGNITYPSNLT WWNYLDFLCCPTLCYEIEYPRTEKIDWQNLLSKIAATFGCIFLLTIISEEFILPALTD ASLRLNDTVAPPSPSEILLILSETISWLLFPFMLTFLLVFLVIFEYVLGAFAEITHFA DRHFYSDWWNSTDWMEFSREWNVPVYSFLRRHVYSASRPYIGKGNATVITFLISAVGH EIVMGCITKKLRGYGFVCQMMQLPLVVLQRTRWVRGRETFNNVCFWCSMILGLSLICS LYVLV QC762_203990 MASRPPHPDPINLPQSAPSVLVSSDRRDDTDPHHGRPYEPAASI AAARGREQHDFFAPTTSAQPKPAPDSASPYTETAAGVTLTSGSVPRFSLSPVQFHAHT TAPSPPPPLPARSAARSPSSSTQDPFAPPSHSFSSQRPAHLGSNALRLQTELRPGSPP HSNSHHGFTAPARNPKPSPKLSLRRVPSASSLRSITRTPSFKAGSLSGAIGSASAASS TVASPVIAAMGDVTPLPSPLLSTHSPGPWKRHASEASALRSPVDDGLPEAIPEDPKTA TTPTNVKFRGYAALSSQHAAGILAENAVAERPGYLAKPKSHTRNRSISDYKPDPMLIP KRMSTVSGSRVKADHASLSEPHMRRERNLSEARGLTPIEKPPTPPPSESSLSATESCS SSKSSTASLTSTRKHPPPEYFEAFGRHDSKRRRWRAIGQLGQGTFSRVMLATSQTSPA SDDEDISPSTVPVTPDLSAQHERRSLVAVKICEHGALGGASEERIEMSLKRELEVMKC IRHPSLVNLKAWSIESSRAILVLSYYPGGDLFDVASKHRDLLSPPLLSRMFAELIGAV SYLHGKKIVHRDIKLENVLVNLTPEELCVKDVNWAAYPYPVITLSDLGLSRQVEDDEM LTTRCGSDDYAAPEVIMGQPYDGRATDAWSLGVLLYALLEGRLPFDPHPGAGDYAMQL RMRSRTSHRIARIEWRWIEYGVKDGEDGEGDHEADLAKFDAKGLTGAMEVVEGLLKRA KSRWSVAKVAETKWVSDAIQVPDGIKFREEEEGEEV QC762_204000 MFPDNPSLIQTGPSSPSSSLWSKPPTPLVLIHDGGGTIFSYYCL NELDRPLHGISNPHYDSGEPFPGGIPEMASLYIEYIKSVVPRGNLIIGGWSLGGLLSL EVASQLAKEEGDGSRLNLLGIVMIDSVCPLAWRRGGSEGFLKIIRNGAAWGPHTKEET KRKVTRCFSESSRMVGEWELPSWEGRKPPPVILLRAKDKVPVPGEVEGTVSRVDVCRE DKHLGWDRYRKGLITKVVDIPGHHFNIFSEMENVDVVTEEIGRACGELEGWHMRRFVS WGEEKR QC762_204010 MDDNPKVENPTTDCDVLIVGGGPVGMALALELVLHHVSFRIVDK LHAPSPTSRALIIQPRTLELFSRYGAAEELIPRGRILQGMALCLDGKPAGAIALNQFK ASDTRFPLPLNISQAETERFLLESLSSHGVNIERSLTATSIVQDDKVITTKLQSHDGK VEAVRSKYVIGCDGARSVVRQAGEGMVWEGSSYPQSFLLCDVQIRNNLRRQDQGLLQI SREGMFAIFPIRQDLFRIVVSGPAATFDQQGDTSSVPTLEHFQSLFDKFTPPGSGTLE DPVWISRFRLHLKGVNKYRDRRIFVAGDAAHISSPVGGKGMNTGIQDAINLGWKLAFV LQGQVQDPDAFLDTYHIERHPIGQELLSSTDRMFTFMTSTNRFFIPIRNFAVRYILPW LTPTDWWNRAFYKFLSMFGVTYRGVSPIVGTAAGFDGRIQGGDRLPDGKLWLTSRNTG IISTTVQALCVGSSHHLLLFSGEHIEEQTNALEYAKEKVLSAVSFRLDVHFIYRKAKD EPAIALNDYYIWPSELVEETLLKRFGFSEQSLPGYMFVRPDGYVAHIGPLSTLDEFLS FLRKHFVAPVEEAEYVAEYHSTFEDDSSDELGLETRNDSTLGASEWTTDCASSTQLNW STGHDSTSERHFTPLSFSSPSA QC762_204020 MELGKSRHAPWNRGKATPPTVHSSNYRSTSLTVNPAPTSSTTTT TIYLSDNNIIANPIYPTPQSRSHPPPSSHTPNPHKSTALRAALELSRYRKILRRLQWK SQFLDSGYQLATHRLSQDPQQVAERELMFKLDFFEYYMLIERAVVHLLAVFGVDIDRH TISESSRDVSPVKQSQGQRLGLSGSRWGRDGNNRSNHRYHANVLEALDKPHNPLHGIL GQGEVRKQLGRAKDLRNRWKTAGEEIDDGGFLGQQQPREWQMTKKIAAPLETYDLSNM LRAISGGFGEAGRLAEEYVMSFESVMMSGGEGSGDMVMADENGEPMLDWGAAIRNEET QWDFMVDAMDWEAV QC762_204030 MHFSKIFSIFTLAAAASATTVSYDIGYDDPNRSLESVACSDGVN GLIWKYGWKKQGDVRNFPFIGGAQAVAGWNSPNCGTCWSATWNGNTIYVLAIDHTGSG LNLGLRGMDALTNGHGQELGRVDAVVAQVPISRCGL QC762_204040 MSHKMSPPFPDPLILPPLNPPHSFTLVLLHGRGNSPSSLLPFCS PLQQSFPSLKIILPTAPKSRATIYARSLITQWFDGWHLDSPASVLNPGQDEWRSIDGL QQTTSYLHDLLRQEIALVGGDSRRMFLGGLSQGCAASLMALLLWEGEPLGRCVGMCGW LPFVRTVQRATKDFASRKGDTEEDEFDPFGGNGEDDEDKPQDVEAAAVQALRESLELE GNSPITRPNSFDTPVFLAHGTEDDKVLIAHGKEAASTLSELSVNTSWNEYPGLGHWFY PEMITDITAFLKSQIPQHRR QC762_204050 MAPSLRDIVSQATSFISQLPLGGGSDSFQPPSDVSFYDPITSGP SCPIDGPVSCHNSTPIAEPNSCCFVYPGGKILLTQFWDQQIHAGGAEEDWTLHGLWPD LCDGTYDQFCNMTPQYHNITAVLEQHGQHELVQFMDRYWLASSGPNSRLWEHEFNKHG TCINTLAPACYGDSHKPGLEVVDYFQRAAALFRTLDTYHALQTAGIVPHPRKHYPLAD VQAALEKYSGGKVVLRCGGRRDVLHEAWYVYFIRGSLQTGDFIPAQDRGGKEEDAGNC APWIKYLPKRHPRGADL QC762_204060 MTTAVKRACDACHRRKVKCDGINPCRHCSASQLACTYNAIPQKK GPKGSRAKVINELKENQRQTSLSSKQNPSNSPSLAPTPRLLTKEMINACVEFFFANLY PTMPILDRQRLEQDIMYMEQNIDSYCLLTSLCAFVSLQPGMVMPNMGMSSMSDPFNPD MMFGGGNILTCTLLMEETIRVRKSEDYAASRTINTLCTDFFLFAVHHGLEMHDKAWFY LREATTLAHLSRMNEETQYMQYDSNDAARCRRLYWLLFVTERAYALQHRRPMTLEATI NLPAHADPSNPHSHHIPSFLRQIQLFHSFDHGLLSMWMKTKRECSDSYLTSLEKQLQE VLPPYLNDTQAQLNEMSINLHWLKDMAWKLGLANNNGHDAELSYSFLPMVAHFPGNLG LQGFGFLEKLLSITYDLSEALSMQPAPRTPFTPGPHDQLRKILNIVTTLRNGQHHFLP LLLSKIHAALPKMASPMLQNAPESAACNIDIFDGFGNAGMAQPPVYSHESYDNKFSIP RIEDHNSSDSNSPNNITPPSSNDMNSPFVSSPPIMSPGGMDLPHGMPSDFTSMPEMVM SPMSHAPPTSLGATVGMNNHQTQHTPLSPFPNLGSQMQGMNNHNINPPPNIGLASQMH LPQPMGGTIGSNIGSNMMSRPQAQQRTSSFALGPTPIRTVGDFQALQRTNSDMTPMSP LHPMGMGSMGNEMDFNTPLR QC762_204070 MASNNTSPVPVATFGKDPKVAEQVREKLLPDIEVVHCSLTLDSA LAELPALCSGDTSVSPSSGLGTNAGASDSSSRKVPQAIFFGGGFTDDEYEQIVAAVQA RAPGIHMVKVQKRDVLAAGSFGPNPDTIAKIYRKKMAALAAA QC762_204080 MADGDRHPIQAFSSPLSSNFSSSSSIANGILLNSLLSHLVTAQP TAHSKTSASLGQNLRGMYGQGPQQGHNNRLSGAPGRGQPNIPPVQYQQYNYGQQPQGH PHQHHAHHHQNLQPDPGVHGLPAGNMGHSSYSTGAHQASSPFAGGSHPSGQTATTRGG SGQAISDSWGEQLKLYKQAQEAHQTMVEQHLPHYYARAKASDNKGVVYASEGTTTTED QEVARNRPSYTTNKLVKRQDWHNLDLSGQGVRKIARALFSYDFLVELYIASNKITVLP PDIGKLRCLKVLEASHNELHELPPEIGMCTNLQQLILFNNHITSLPYELGFLYKLEML GLHGNPLMNGPLKDEIMNKDTKSLINSLLVDAPVPPAPAPRLPITVQDDVASSLERVS VLTWNILCERYATKQMYGYTPPSALEWDYRKQLILDEIYDRNPDIVCLQEISRNAYEN EFSPSLAKHGYRGIQWSRPKVKTLPNNMVGGVDGCATFWKTDKWIVLQKEMLDYSHLT ITRPDLKQNHDVYNRAMGKDNIGTIILLESRVTGSRLIVANTHLAWEPDLCDVKLLQI ACLMENITRLGDKWTRTPPMAIDKKQAIQGILEEGEERQELPPPGPSQEYRNNTDIPL IICGDYNSTPSSGVYDFLATGRLSHDHPEWLGRKYGNFTRDGVEHPFSIRSAYAHLRG GPHELSFTNYTPTFREVIDYIWYSTNTLELVSLLAPPDKQALTRIPGFPYYHFPSDHI QIMAEYVIRPRKDKRAVVEPDFGPSSRRT QC762_0035180 MTGMKSPNTEARPNQEVYCTCGPKAGHGKQLNKKNGPLASGQNC LQLVHPVVLESFVLSSVAVITLILILVAILSPTSEYDTLLYSVAPH QC762_204090 MEFLSGYAAAQSPFSAYTVEPPPNSSHRSRSKQPGRSNSTSGTQ TKKRSRIHGHARTNSASTSSTGSGRTGDSNQQPSHPGHHHGTATAAASNNNINNNPAW LQHSGAPDAARPGVDAAAASPAAVTAPPFGARRDRHRERMSYQDKQLPATPRLNTVDA SSRSPGSGSASEPASAQTPASASTAFSDRRMLHHHAAAMYDPGSKPPGPGPAGLPRSE SVTSVGGVTTASGRTMISTEPPSSQEAAAYQLRPFVVRNGRTYISDQSLPYPLPVDLE ELHRQSLKTMLLLQLYGRPICDPTFINKPPPRILEIGCGTGFWSMTCYRYYEARGQHA NMSFTGLDILPLAPPTGGPGVSESAESAPSALPGASAIRPDKDMNWTFVQHDLRKLPL PFPEGSFDFVMVKDMGLATSMVMQQGLVDEYIRVLVPGGTVEIWETDHTLRMLRPHVP ESPAGSGGAEGEAEAGGEVENGNDEQNIMEMGAYLMTANTPLSQPLNNFLLEYNGWIS RALEARGLFCMPCTVIGPLLIQEAEVLTGVGSTRLAIPLSEVRWEREGVGGVVTKDGK SYIDTKARRLGKDGAPVGKALGPVATAVRKTALTVVVQQIQSMEHVLREVSGKSQDEW DAWLGKMMNDLVRENGPSWGECLEVGAWWAKKR QC762_204100 MSSTNPELRQQVVSIYKQLLYLGRDYPQGYNYFRPRIHRAFMAN ASLTDEAEIRQAIARAQFVQKD QC762_204110 MTPPTPLLTSSLRSRGLLSLPSFTKTLQRPLSTITNQLPPPPPK QWIPDLRARLGKCIIFGCTHSQITRASSVLKALSTEWKSLVAGSEGFLTGGRRGLDGR QIAWGEMDSFQHVNNVNYYRYAESARVNWITNFAVHVDPKHRQQWAELMTPKSTGLIM KSLKCDFKFPMVYPDKISVYHKLRCRPEGDPAPSNFMLDCIVLSHQHRRVAARLEEDI VVYDYKAAKKTSMPGFMMELFQQTWEMQQAEEVRARTRIWELIGEVEGLEKETWDRED AVEDLGSAGKSSA QC762_204120 MRMPRAANSGKRQQGASNQRDTRHENGLVGPGKRVVKQKSQNQL DGISKPTVDAASVPPLPLQVNNNNTTTTTTAAPPHPDDMAPEHRTTEMLRRGSLDAYS ESSSADSLPAAVSLPIPDEGHRQINVNDAKNTNVHRDPGLMELAITVLRACPLQDTIA ILIILMQASPAVLATIYTLFTLLTFVPPVTTSSGLSIAEIFDGSQGTPSLTTLVGMDV VMLGIWLFLWAPMQQFILDLAQVVIALTLGGGGTSRQGTTSNILFCVAMVGMSQWTRH ARWSGLSRLSSVLGSHRFLMADSDSNMRAFEKKGPDGWLRNILGIHILTQGLVRYIRE WYLRRERRDLQLQSLADPEAGKSVSFTAEGSSDAALMAADSDAHLQTSAGNISTKKRR KQSALVRIRQPLWAALASTKIVMVKEYELSHAASESTSSNATDIHNLGNAPFNKQPEK IWICYVGCDEVCFNTSHFPDPLSEDEASEDESHPGIDMSKPFYVRVNKAIWQPTRMMP IEIGEEEKQQGTCWTGDIYGLTPLSNYECEFVSTRTGEVIFSTSVRTIQAKSKDLEVA SKTTNNQRSHARHDSPATTLRASIAAAEAKLADEKARLKSVKKDNNRKLNALRKEIEK LSSAVQSAGGDDEKLRQKVAQNKVQEKRAEESINELDAELKELEALPEELLNEYRAKQ SAWTSEKAQFDKARSSFKSWKASIDKELKLLEEERASLQAKRNKIATRIAKVDDEHAR ITDANARGLNEAERRRQERAALEADMARTEQHLRDRIQTLRAGNITKQAQIHDLNTQL EVYMASFQDDLAYDTGAVPGHFQPSAQWGPPPAPVPYNAHAHAHAQPQPMWPPTSMAG PAPSLLPPPHLSAYPNPIGPVANPPHAKTRGRSSSMLSDVSGFTQSTEELDREFNSNV GVIGHPHVHANHHSYGTSGSTSLRGPPGFHISRQRSDGPGVGSGSGSGSGSGFGSGSS SVRSGMSGGSVKEAPSPA QC762_204130 MKYTAALLALAAAVSAQDISIFPECSLDCIISGIGSGTSCELTD FACVCENTQSLITSATPCVLEACGADVALNEVLPAVDEFCAGVGGGDDDAPAEDDEPS VTATPAPEPTDAPAEDDDDEDDEDVEPTPTGSFVSNITPAPTSNTTIPPPVEETTTPP PVTAGAAAVGYIGSLGMLALGAIAAL QC762_204140 MDFWSRLLAHTPLSSASSRKDFAKDPARRLHRFEKEYSQLLHTW RHSSNLAHDDEAAEQIEIRLQELTSLLSDESRRPLPHPCISFAAAKQVYIPVAKIATS SYNEWIIKEAVLFFATLIESEEEAFVENDNFSGSLTNLLVRITGANSIRLSAEIEARI VELAFNITTKIRLNPEILPAWFKSHHHGPHDAKHVDDHDKFTGKTQKQDFPLFYLLMD YIHHEGKVGDFARTGLLYIIEAASSSVLLEQWIVESDLSTLMATGLGALYSQLSRKLV IDHPSNEVPPCLALSDYEHPKSNYEIVSSCSPEFLSHVDTFLSHLLFWQDVLNHCKSV EVKSTLLEHFQVIFLQQLLYPSLLESSDVDGGSSVAVLTYLRRILESVDHPDMINLIL HYLLALPDVVSPAPADSGDIVSAARKRKSLDLATMMASKSEEAADPLLFNLVDLIRAC LRSQNHQTVHVTLQLVSTILKRHHRYAVTTLLDTEGVLDESHHRTAGAQAQEIDYLMA LAGTIGGDDIFNELYENLLRDTLGRVENHPCSTPLITPKTSTNNHQLPAVPDSLPSAP RSVRSHTLRPEDPLLNIMLDRLETFFTNPVETNLCLTEAVIDLAVCGYMHLEGWFLRS PQSYHFDEDDEEDEELPLPDPSLDPESQEYAEYVQMQQLKECRRRPKWNQSSLPRLLK VLQLLCDQVEAYREQVPRFDDLLQQRREAFQIADHAPPIPTPSRARTPSSQAPTVAGT PSIEKGMFDEARNPSRERPSGLEVFAQRILSELGTPSRSGSPRGRKETRRVASERVVP MNDATLLRTPIPNRSFSPTSVASAPAPISWDNRGDILNSQAKAFQAIDQSILARRIGI PEVKPPVDPITLSYDRKPATVSADSAEGGEDASDDAEGEGEGGGEDALLAPSEDGETD AGTVVEEKTVSVSHVLTNVVLLQSFLFEMASVVQVRAGLFDEVRYT QC762_204150 MGFFTRSKKAKKDTPKPPSKHQSSRASGQRQLPPPPPSATPASP HLQHGRPYLPSSPQFQPAGLLPPPSGWEPYQLPYPSPPIIVNQHHYYLGPPPPLPPRD DHSSRSQPSGSNHKLNLDSAVDLAKNLCQEAGITKMFDSALSQSPLSSYGAELVDQSN ALLNQVSNQFNDVLTMIDRDHYSSHEKEILAWQPQQPPQSQELVRVSDSSLSEKSMHK PTKRSHKRDHPKGQTTAAATVVSGSIFSKVELYANSRLPMNLQPLKLYIPTYPLLCLA AQYSERVYDKPEGAESDAHVDADWRAGTKAMVIKSMPMDYMNTIVFSIRGTATFMDWT VNLKTDPASPSGFLDDPHNLCHSGFLSVARKMVIPVARRLRQLLEEDPNRASYSLLIT GHSAGGAVASLLYMHMLSTSKAAESELNIVAGFFKRIHCITFGTPPISLRPLTKPEDY ERRPQLRKSLFLSFVNEGDPVARADTAYVKSLLELFVAPAPPAIISSRDAANKKVRTK EKAPSKSSKSSSGPIWKVPPNRLSSAGRIVVLRSGDPKARSKGKKKTVEERLNEGVVA QITSDEQLRGVIWGDPVAHVMRLYAGRIETLAVGAVTGRGY QC762_204160 MDLDPKIPQYSTGDPNSFGWQTGRRRWPIIITQAIDDAYRAVAH CPDPEKQTEGKRIVEALTKLKYEVQHDRALTPLPDDGYPDITTYNAELKQLNSPTWLK SPWLYTECYLFRRIATSFALSSHWKNYDVFARQKTSTFRSSRPAVLELAARYKDLMSQ LQNHPDQPREAQELLFAEMAEICLWGNATDLSLLTSLTYEDIQALQGSEARKKAEANI LVNDLPSCFTHLLEAKQSGKKERRVDIVLDNAGFELFVDLVLAGYLLSAGLATHVVLH PKSIPWFVSDVLPSDFAALLNALAAPRWFYETPSGEEELQGKTPEKLSDKEVEELGFL FQEWSRFHAEGQLVLRPNRVWTGPGSFWRLPKEAPELVEDLKGSEVVIFKGDLNYRKL VGDAAWDPTTPFTEAIGPLGPGSGLNILALRTCKADVVVGLPPGKDEELKALEDGGGD SGARKWAWNGKWAVVSFSKGS QC762_0035270 MCHKVYYRHKKCGHHGKLKVFCPLAPRDLTTKKPLGKFCRKSKE GGKVYKKRGKIQNVDWKCPKEECRDYERLGRYWKCCSCKKGPNWDTFCRQVVLRQRFE SVRRRGASLGPSLGPRGTEERGKEEKRGSRRSVSMYWRTCAHLPCSKCTWFGRTIPVY DQGAGCWRQNGSGEGLKDIDVGSSQTLPEEYPEDSVTDTEFDLDLYDSEDGWEDKDGS SSEDEMDVDAEATQTTRGNDRCRAPGQRHQGAEGHQR QC762_204170 MCTYFYLHHHHMPPCTRNIDMVVHYSFCPNSTIDSAGAQQPCDS PHFDNTQSVDYNDPCASGGCLVSADCTSGGCRLEQLNGRWVCCQCNGRGNEYRWCRHR MRSSPDTFCYHVCCSGCKADNKSSSSSSSSSKRKGAK QC762_204175 MCHQVAYTLPCEHVKTQIVYCANAILENSAEGGEVQGSSAARSS SSFAKPKHKKKPVSEPSRSDRKNQGSGSPKAMSYKQPCANLTIQSLPYPMPPSFAENP DFFTSSLPSPNCPLSDCPFGMKGRCWTCCWCGKGENRTGRCGCVMLVEGNMLRCEHLC CNECEPTSIRDSV QC762_204180 MCHGHPHIHGCGHQSMTWHYCPSALIDLETGYETACSNVTFAAP QPSNAACVLINCDYRSGGTGWTCCNCGGRNTSGWCKNMSPNPKWEKNTITNEWEWIET CDHGCCRNCAKDSSSNYGEPSRKDGKRSKDSRKHRHRTQGEAGSSSAADPMASYNITL DYSSKESRGLSRKEGKSSSGHKKK QC762_204190 MSLDSASRPIMAPSEKRYSTYSMAPSDAPTTLSTDSMTAEIRPI VDGLERLKNPRLADQRVYLNEEKTTNLQKLALSAKLERALDRRMSSQDAVMRPRKPSV VVTEKEKA QC762_204200 MSDQDHFHLLPLTIDPKSKSISSLGPSSRALEAELAALNNLHRT ILTQIEPPHIVPPPPMPVNPKRSANVSKLRESGNNEYRKGRHAEAIKFYTLGLQMALT RAPWEPSQLVREEVHALYSNRAQAHMQLGNWPEAAVDAEASVAAKSQGNAKAWFRRGK CLLEMGRLQEARGAVAKALEFEGEEKELAELLKEIEGRIAKEGN QC762_0035330 MGGGDVPQYVGEVPLELPMGLATNQPVSSTQYVNPTEDWESRPG RPAPQSLHQPPGNTGNGGLGQSRYCWESVALPLPQKEDCFPEAFHRTFQSGSTPTHSL PPPSLNGAHSTSRDPTNAQGQHAYLSQFHAQAAYPDGVFGSSVEITQEHRFPYCLPRV DSGSLRASPGNLPDTEASSICSAYVHVTKEGNGHTWDELQKSEVQDVDEQIIVPMETA LVGGWVAVEQALMLDVTQQGAAAAVNQGLATKEEPELEEPEEQRRKRQKENLRKQTSD TRTMRACIRCHNQRVRCLPNVDNPNDPLAPCVTCLKVRRESKKTIHNLPCLRYKLASV VLQRDGGLGYTKRFDHTQLINIRANDWADNHIKVIEMAQGLCETPMTLKVRAFRAVEG DQLVRNFVGRDGIRIPAYGLVNVKEHGRAFQHYIAANAIKGLEDSAKDSDDLVKDMYS MIAEHLRQSSRHKQNREENRDKKSVDLTEFLLKAVRLWFAIRHQTGSAWICGQESLGM ESRELKTRYIPRMIVAQFDSIRYHTVFKSQVPQFLSMFEKILSSGPELWKDSKDAWFT AFLVVFLFLHNVACICKDRYRHAKENSKGRPLETRYGPRDHPLTTFVEDVQHGAGVML AYWTYFKRCDLMNFEWDAGSVSKSAIKYLDTRQLAFLKGTIDCLKDKTTSIPSTPQEG CWEHELYWISLMFVSEPSMTSSWKPPVVFSTVNPSVGNEQ QC762_0035340 MESPLLRPTGGLSKPPRCRSPIDADTRQPKKRRQLGAILDEITP TLPVNPFSLIPSQDSDLYGGSLYHHPTFAPNLHGYGNWLDGAAVPTWSPYDYKQGTNE MALQSKVRLGSDD QC762_0035350 MGCISQQHLRSRSFSQKPSADLRFLNSTHNFGTPLNIAAANLPE RNTCPIVPRYRTHEPLSEYGLDVGDQPRLWKSDRPPGEVGLD QC762_204220 MAPLRPRWNPMSALGLEQIYSLSLYWKSQEYSTYSLKLPMDQVS SLFGQSRAGLGWLEHHAMAWVPEAISSDSYVVDIGPRGVQEVQEGLQRFKELELDGHE VSRHNFHLPTLEAQLEQACHEVHRGRGFVILRGLDPQQYSVEDNLMIYLGIAAYIGDQ RGFQDKKGNMLTHITASKDWKTPPELRHGIHTTKGLSWHCDIGVDILALHVRSLAEHG GDTFIASSLTIVKELEALYPHVIQSLQEAAWPIQISGNPIPRYILAPLLHMDPENNHI ILSVDPGRLGVHPSTTRTDGTSPIPPLTPTQLETLRILNQVASKYRLRLDTKAGDIVL LNNFAHLHARDAYSDPGQGQGRHLVRLYLRNAALAHPVPESMRVPWEAAFGPRNGEGR YPVAPALEYTAPRYTAGSAAFPLDDNDDVNGDGAA QC762_204230 MESNTDTITLTAQCHCRALTFTSKPVPTTSLPLKATNCHCNSCR HLTGSLRGSTDILWPGPPPQPSDDLKQYAFSPRLNIYFCSHCSTTLFWEDNSTPGVTN YLAFTGVLTPSSPLPLGQNLIEWDAHMFLADTIDGGAVPWLNGLNPPSAAKPRRWLGW REKSKEITSEGYWPEDKNIFLSHADNLLHLPEKEGNIPLKCHCGGVDFVLKAGDAQRD FKERQSKGGQLPWFVDPESYKLLGSLDGCSDCRLVSGTEIFAWTFAELKHITFASDPT SPLPLDTTALQSAIGTDPRLGTLSLYPSSQGVQRYHCSTCSAVVFYACDSRPDMVDIA PGLLHAPEGARAERVISWSWGGKLGFGSDMAGTWREHLPAAVEEETEQFRLARRFPKN FRRVVKEQGAAQAVPGGSGQV QC762_204240 MAFATQAESIGSSSLLRYVPRISPSIARITVAAALLPMALSHGN HGGDNIPEGSTISLDPIDTTLWIHIILQTFTFGILFPLGMVLGRRAGADNGAHTQIVK SRWHVPLQVGSTVLALLGYALGHLHKGREFNPENAHAKAATPLFFLLVAQIVLGVYLK LHLERGIHGRIRPFIRILHSVNGKAFPLLSWVQMLFGGITLLGFCQGDHLGQCAAHFI MGSAFIAYGVLLTIVLLVGQVWLRRTGRSQEFFDSAVIAAWGCVNTFTEHRWGTEWVR NDWQHTTMGIIWWSAGLAGVWLSKGRDGTPKRNFVPGFVIFITGWAMSAHPQELMTSA MTHAAFGYTLMAVGVTRIIEISFVLRDKAGVSEDGTQINSFQYVPVFLLYASGFLFMG ATEEQMAFIAGSGMDAVAYILIMYSLAFLVFLVVMMFINVYDRAANPPSKITLNGHAR SGDEAQVQDASEFELDGLMTDDEDDDKAASRKLLKNEGSQQNGFASPSAIARNDERLA QC762_204250 MAQRWTSVIENEIQARNAEISSINHQIHSNPELAYEEFKAHEAF VTILTSLGFKVTPHAFGLETSFSAEFGSGGRLVIFNAEYDALPGIGHACGHNLIASAS FASFLGVAAALKASGLPGRVRLLGTPAEEGGGGKLKLIAAGAYKGASAALMVHPGPGH NLPSHIRGVSFVRMLANVKFRVHFTGKESHAAIAPWDGVNALDAVCLSYNAISMLRQQ IRPYDRIHGIFKSAGDRPNVTPGNCCVEYYIRSQTRAQAEALWQRVLKCFEGAALATG CQMHTEPLNSYADVRPSASLCKAYVEAMPEGTVSYDEPKDILAGSTDMGDVCYECPGF HGVFGIGTEEGSPNHTKGFTRAAATEDAFARAVECGKGMALVGWRVLSDDVFADEMQK EWEADMKLAAQGK QC762_204260 MRREDNKIKMGRHLNLSNSGTRLHRVGKTKKMSMSSAEPRTGTR SKNGCLTCRARHVKCDERHPVCLKCVKQKAGCEWPPPPELQTHQPEEDIPQAESRSLR RLAPAVNDTHDQLIQAQASTWTPDVDLSMSDLPIVPDFSFLTGLGCSDFMPWFPVPYP EPTLDMHISSEALLAPLPLGVSPSQAEREALAWYRSSATFGFGSAKNPNWSTHAIVWE TARESKAVLHLLLAATQNEMAWRAGSQGALFARADENYRLGHQQLEAEIRSREIDPLN AMSCFWFLYLHQKRRHAAGNRMLMSELSKMMEEYLTAFNLHQMLTSADAENPAWPEPK KALLARLMVWLFWIDAQAATQGEGGRISRLLTSSASRQALVDLYKISRTTLESFWAGR YPDDEVVDDMKNSSALDMIHDTWVLVQEVNNAADEQLPLDPKASDEILSKIQALQCEP GPLRVLRLTSSNAALRDRVMLNADWAGVNFYTLRIYHFRCSLTEEHLAFSSPQSQTVK IADVVDSLLLLIQKSLATGREDQPDRMQWPLFWAGIETTDPFKRIWALGELKDEGLVQ AMRCVLLLQEGGSRVGMAKIRDIFQASCLGVPVAGFGGMWGMRG QC762_204270 MPPSPNLLLSQPLTLPVANLTIPNRLAKASMFEDCADPTTNLPS PQLKAISSSWSTGSWGLILTGSVAIDPLQVTTNAVLANQPNLPEETLLSSLQSWAASF RPPASSTTPPSPVIVQLIHPGKQLLRFASKARSMFEPPLAPSPIPLDLGPGLLPKIAR TLLFAHPREMTIPEIQSLITQHAHSALILAKAGFNGVQIHAAHGFLLTQFLSPHSNKR TDAYGGTAAKRARVILEIIAAVREATKEYKGFVVGLKLNSVDHQQTDRQGKEDSIEQL RLLAKTELDFVEISGGSFEDIKPREMLAESTKKREAFFLEFARVAKRELAGIPLMVTG GFTTRLGMEEALRRGDCDMVGLARPSIFDPLLPRNVLLNPEVKDEDAKVTRVNVPVPW LLQKIPLKLVGAGVDGQFHAKKLQALGSTENKKA QC762_0035420 MTLFNPPVMRSDSAGEGLEGFYTSDILSAHPPSRLRQGIPQRRG RAAIYTTTWQDPDQPPLLPHECLFITTQYRPTGYTHMNKYGHGQTPQTAQLPGAARN QC762_204280 MLTLAPFCALLGLAATSYANPFDKQDALTDCLVGSGVPINAPGS ADWKLDSAPFNLRLNYTPVAIAVPTTAKHVQDAVACAAELGIKANAKCGGHSYASFGL GGEDGHLTIEMDRMNKVVLDNSTGIATVEGGSRLGHVAWELYQQGRRGFSHGTCPGVG VGGHALHGGYGISSHTKGLALDWIVGATVVLANSTIVNCSKTENPDLFWAIRGAGSSM GVVTEFRFDTFEVPEKVTYFIAPVQWPTEARALVGVRAVQEFAKTMPMELNMRLFIAK RFINLEGLYYGDKAGLQAVLAPLQKITNATLAVATTGGWLDQIKHFGNGVNIDQGHNL AQHETFYSTSLYTKALSEEKLEQFVSYWFKQAKSNPRDWYVHIDLHGGENSAVSSQDD DSSAYAHRDYLLMYLLYDRIDKGTYPADGHTIMSNFARNITEGLPKEDWGMYVNYPDS RGLMDQETAQVNYWGKNLPRLQAIKKAVDPNDVFHYPQGVLPTTDARSL QC762_204290 MTRISVLLTTTTLLATTTIAQSNREPPSTGLHSLFLSAGKLYFG TATETNNFADPTYQNILSNPLEFGQLTPENSQKWGLIQPQPGDFSFNASDQVASLANS YNHLLRCHTLTWHSQLPPFVSSTSWTPDTLRQLITTHITSVISHFGSACYAWDVVNEA LNENGTFRNSVFFEVLGEEYIAHSFEVAREVAPPETKLYYNDFNLETAPEKQTAAVEL VKSLQSKGVKIDGVGLQAHFTVGQTPSVESLIATLQRFADLGVDVAWTELDVAQEDVE NASELAVEQQASDYVVAVRACLEVERCVGVTVWQFTDRYSWVPETFPGKGDACLWTKD YQRKPAYWAVKGFLEGWVARMNVTRAFVGGNETTVRTVASPRGPLTEVSSGSERLEGS LVKVAFISFGVWLVLNMM QC762_204300 MTEEKAASPAPEAATKAQPPPPAAASSPTPEPAQPEPAHIQADD EEPDETDVDSSWGEDAVSSTASISSSILDYRKENGRTYHAYRDGKYLIPNDEQENERL DFQHHLWYLTLDGRLGFAPPAHPEAKFAGRVLDVGTGTGIWAIDFGDEHPDAHVIGVD LSPIQPSFTPPNVHFQIDDLEDEWTFSQPFDYIHVRAMSGSIKNWSVFLERCIENLKP GGWLEVQEPGRPIAEDDTFPPDCALAKATALFAEGLAAAGSPLLDVFTLKDLFNGAGF VSYDEKRAYWPSNPWPKDKKLKEIAMWSNTNLSAGVEGFLMAVATRFLGWSLPEVTVL SAQARADLNDRRIHAYWPVISAFAQKPE QC762_204310 MEKAEVEEKVTPFPVVNSSSSSSGSSTHDTISLASNHDDNASSP TPPDQQEYAVVSFSPNDPENPYNWSMKKKSILLLCATLTCLNSTMGSTIASANLFPLL SAEFHVPVGPQSVLPASLYLMGFCFGPIIFAPLSETYGRKPILVTGFLLFVASTAGAS VAPSWWSFLLMRFLCGTFGSPPLSVFGGVIADCFGDEVQRGRMLMVWSASTFVGPLGA PVLGGFVGGIWGWRWVFWIALIFAGVTLASVLAIPETLAAKILKRKAERLNKEEPRED GRRWVAPAELSQKSVFVTLKTTLLRPLELLCGEMVVVLTSLYIGFIYSVFYMMVQIYY AIFVGVYGFSPGVSGLLFTIIGLGTIIGCFICWWCDPVTLRLSAKHPTKRAEYFRLPL ACIGGPFFVISILWIGLSARSDVHWAVPFIATVPYGIAYNIMWVAMINYVADAYGIYS ASALAALGTTRSVAGALLPLAIEDMLKALGIAKSCALLAGISAALAAVPLCFVAYGDK IRAASKFSAALKIEGQREEAALGRTMSHISAV QC762_204320 MTVNTKAAASAVAPAHPSGKEKHGKLVQFLRGFSFFVYFMAGCI AIHLTQLIGSPLYYVDHDIYYSYMALTKQSFGLLITTSTNWWGPTTIRISGDASVADQ IKKTKDGRVEFSFPERMVMIANHQIYTDWLYLWWIGYANSPQMHGYVYIILKESLKYI PIAGLGMVFYGFIFMSRKMAVDQPRLAHRLGKLKTYNTTPDGTKYLNPMWLLLFPEGT NASQNGRNKSAKWAEKIGVKDPEHMLLPRSTGSFFCLNELKGTVEYVYDCTVAYEGVP RGKFGEQLFTLSGTYFRGQPPKSVNLYWRRFRIADIPLDSAEKFDVWLRERWYEKDAL MEQYISTGRFPASPPTAANKNQEGFLETEVRTRYKFEFLQIYAVVGIVALLINLVLRL YNRLLSIVS QC762_204330 MSRLCCPAQAFALLNTTSKVTNITRCRLKLAAQTWRAFSVSTNR RQILDVSTLSNRIVPHYQQTKTASLLALHWPEPPRNILLMPKLHAPHVTVSAVEFAKH IHNNYPGLNLVFESHVAHTIHKDLPFPIYSAAPADATALYADKIDLVTTMGGDGTILR AASLFSSHFGVPPILAFSMGTLGFLGEWKFDEYKRAFRECYMSGCSVSTEDLGDPHTR TATTRAIDGLPDPEGWDSVRGNGKCMGLNRTSKILLRNRLRVGIYDSEGRNINEHILP TSTAEPSLGLEGEPVFTAQSSTAGAAGGRGGNSPYLHAINEVSIDRGSHPHLAIIDIF VNGHFLTEAVADGILISTPTGSTAYSLSAGGSIVHPLVKSLLITPISPRSLSFRPLVL PLHTKVVLRLSKRNRGRELPVSIDGKRRLGVTIGMEVRVEGEKLERTEDGWKGGVPCV IRASNKNDSEGFGEDDDSWVGGLNGLLKFNYPFGSGS QC762_204340 MAPPITLNRNFPPTPNHVWSPFVNKLELRLRLSSIPYTTASGSL PQSPNRKIPYMSYQPSPNHTPELLGDSTLITKRLISDHLLPDLNSALSPAEKALDLSI RALLEDKLQFFNTREQWVDNYYPMREGILGQGGAGLPWVMQWLIGGKLYKDIIRTLYG QGTGRYSSDEVRVLKEEVWEAINAFVVEGRNKTLARRRGKGDNGDDKPFWVLGGEGPT EADCTLYGMVAGRLMCSSAAPETGRLVRSYPALVEYARRIHDRYFEEYDLWEEEI QC762_204350 MRSAVYSIIAALLAYQFYALGPLIQRAVTVLGVFRSYPAGDIGT TQQVTSIPDTTHCEDLHHHVPSGLLFTACEDDPSTRFQWFPPLGNLDNPKLAAESRGS IHVIDPKGLESRRLKFENFDGAFITHGIDVIDDPELPTGQAVYIFAINHLPDPTPTAK GEEAKARSQIELFHHKIGTNTIRHIRSVWHPLIRTPNDVYATSPTSVYATNDHYYTGH SIMRMLEDVYYGAKWTDTVHIKLDSLSPVKTSDAGLSASVALAGVHNNNGLGHGRAED EILIAQAASGTLHIAQLPPKGSSNYNITILDTLEVDSVVDNPSYFKDPLATGPSDDRS GFLLPGISKAATLAETSRDPAGKDPVKVFYTKPSAGVKAQQWETRVIFEDDSSRIRSA SAAVLISLGKSKARLFVTGFVSANVIALDADL QC762_204360 MEHLFQHRRTDTPPVLLPTLARSSRYMADRLSDTEAPSARTASR LLDQLESPLFGKLPAELRAVIYTEIFGGQRIHLNFTTHPIRADRVGLRKRWRHAICEQ PVAGPFSEVTSRQHHCFLASRRRVLDINLLFTCQRVFEEGIPILYQSNTFHIVNIGTE RLPSDDLRSLQVKIPKNWGLIRSLEFKWEVNIFDRNHPRFVPHHWGRDGYEAFWDGLA DMPLLSQLRISIIMPQILMLASPEELRKLYFEPITRLKHLRICEVILPRSYSSHFGMS PEDQRLPIDGDGDYRISWATVDDGRPLAAAAANPASIQLLRTATSHTLSAPPGWS QC762_204370 MSRNLAESIPLVNRLFALLESFWQQQLDPALPTPPAEIKAIVPT QQSILKHLSEREYLKQLAPKSVKDASLLSFDPGVFDNELLKLKLYSTVDPKDPSHAKE PEGDIVEGTYMGTQIALTQAYAKIEQTFSALFDVLGIEPTLPRYIPLEEQKKLYNYSA YPKNADGTPAQYPPHLQTIPPEHSYTPFGIFNAIGLIETQVILKKITPTEDGLVGKTK EWLLEKARAAAFGGDPEKGIKIQDVVDYNKYHRKFGTDISNGGNIGLLDDWYSDRRFA DQQFTGVNPTTITKASPAWIADFTKAAKDGGYNKWLDFFAKVDKSSLFVQDGSYFRKA FGISNPEQVLHHKQPGSDDNWCVGAVTLFQLHDDGKLHPIAICLDHKGSMEKSTTIFN KRMTPYDSTAGEKEDWPWRYAKTASQVTDWMRHELAVHLTLSHLVEESIIVAINRTIP MDHPLYRLLLPHWYKTLSLNAAARASLVPQVVADIVGVTPDQAYSFIRDAYDTYDFVG SYVPNDLNRRGFPNTQEGLNHTRYKNYPYAKNMLALWHVLRNYVNSMLRISFPTDETI ANDKYIQDFVKEVKTAGFMPSFPELKTLDALVDAVTMCIHIASPYHSAVNYLQNFYQV FVVSKPPCLCKEPPTTIGQLRQFNESDLVASLPINRQRQWLLAAQLPWLLSFKVDDER SLLNYAASQWNVYRYKKGANELKVKEASQKLYEDLQGLQRVFFHNSTGMDKGSIPYMV LDPGLTAVSILI QC762_204375 MPSVKNPNKPSKNRLAAKAAKARKEAQKSSAAGRLSKIEKTDAN RFGARPGLMPTSGPRKPVSAKKQRKLEKKMGYALKRKMEREGEVEMKDAVVVEKKAEG GEKEEVEMDIS QC762_204390 MRFSKTSLVSASLLLGQAAAELPPIVMKGSKFFYENGTQFFMKG VAYQQDSAASGQSTGSSKYSDPLADPTSCRRDVPLLKQLRTNTIRTYAIDPTKNHDEC MKLLDDAGIYVISDLSEPSLSVNRDDPKWDVELLKRYTDVVDALSGYSNVIGFFAGNE VTNNATNTDASAYVKAAVRDTKNHIKATTKRWLGVGYAANDDADIRENIAKYFNCGNQ SEAIDYWGYNIYSWCGESSFTDSGYDKQVEFFKGYSVPVFFAEYGCNIPHGAEGRIWE ETTALYSDKMTGVISGGIVYMYHQEENDYGLVQIKGSTASKLKDFDTLSTAMASVRPS PTQMDAYNPTNSPAACPTVNSKWVVSGDRLPPTPNNDLCECMYKSLTCVPSDNLKTTD FGKIFNYVCDQTPEACDGINGNVTSGVYGPFSMCGDKQKLGYILNEYYNSQNQASGAC EFKGQATIQAAEADDRCKASLAEASEAASNTQGGSETSKNIAVPIPMKHLFTIGDLAV GAYVVVAMGVGAGMVLL QC762_204400 MAPSSSKEKRLAKRAAEGKEKKTVASRSKANSKTASAAASVNGD EPELDAHGNPIVSDEPATSADKMDEVKRLADQMDKHGLSDRVTTGVLASTAASKDVKI TSTSLVFHGRVLIQDGTLELTMGRRYGLLGENGCGKSTLLKAIAAREYPIPEHVDIYL LNEGAPPSELGALEWVVKEAENEMDRLDKLAEKLLEEEGPESPVLMDLYEHMDKMDPS TFSTRAALILTGLGFNKVTIHKKTKDMSGGWRMRVALGKALFVRPSLLLLDDPTAHLD LEACVWLEEYLKKWDRTLVLVSHSMDFLNGVCTNMIDMREKKLLYYGGNYDSYIKTRS EQETNQAKAYQKQQDEIAHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVHQ DRVFTFRFADVEKLPPPVLSFDDVSFSYSGDAKDDLYKHIDLGFDMDSRTALVGPNGV GKSTLLRLMTGKLSPREGVVSRHTHLKLGLYSQHSAEQLDLTKSALDFVRDKYSDRSQ DYQYWRQQLGKYGLSGESQTSLIGTLSDGQRSRIVFALLAIESPNMLLLDEPTNGLDI PTIDSLADAINAYSGGVIVVSHDFRLLDKIAKQILVCENKTIKQWDGSIGDYKNYLRK KMVASGAV QC762_204410 MDGRDHFSTVLQRPPHFDEDGSNGNGGGSANEDRGPRGGLRDIL NPVSSASQPASALGPPGTPGAPAPPRPHSSFSLRSPTQGDYHTPNPYSTSPGSHPSGS RSILSNPVAGASISAASFPPPPPPPPPPLSASLQAPPAIASPLTTQQHPPPVSPLHAP HVYYSSEIRDRDRDRGRDRDNRDPVLEKSAGSSFYDPTADSTKKERERRVSDTGSSSW RNATQSSTPKARDSYNYSQSSDYYTANNIATSSASNHQGGIINGASYASHATSSLSRS PVSQYHPAPTAGSISPSAPASARLGAISSPSLRHSQMSPSTINGTTPTALPVLARSGS PTPSSSKGQGVSGTPSRAAGVMSFSNILSEPVSRPRATSPSTTDDTPIRVERAGTVDK ADREKKPRKTIKSRVSEMKGVESTPKASRKTASKPETPASGPRLPAKRSANGLTKQKS FSADKERKIQEEMQQLDSWDPPEHKWEDEFEEYQRRSKRRRLELAQLDLNHKQARRDD LAQSQGFKLKLHADLGKRRFDDLHYDEALQEVRQREVQLEKERKKDMQRKRRREKSMA LTLELKNSALKRATIAQSEAERLRYMKEAERAEKKVQQTKYILQNGLKGPPRSSSALE PNLEGGTMATFQAENMEPGKGKGKGRAGGRLKKSKEQKQAEKDSAEAAQAALDAGKEL PTKEETSKIRLKVTKQPVTDSEKDKETKEPKDSKKEKVVEEPKDPLEMRFQSKGFNQI YDQIWRDLARKDVNKVYRLATDSYSTKASNLKKTAILASKEAKRWQLRTNKGTKDLQA RAKRVMRDMMGFWKRNEREERDLRKAAEKQELENAKKEEADREAARQKRKLNFLISQT EIYSHFIGKKIKTNEVERSTDRPEIADADQNQIPETSLDIEEPTGPVGSKVTNFENLD FDEADESTLKAAAMANAQNAIEEAQKKARDFNKDANLDEDGEMNFQNPTGMGDVEIDQ PKLLNCQLKEYQLKGLNWLVNLYEQGINGILADEMGLGKTVQSISVMAYLAEKYDIWG PFLVVAPASTLHNWQQEITKFVPEFKVLPYWGTAADRKVLRKFWDRKHTTYKKDAAFH VMITSYQLVVSDVAYFQKMKWQYMILDEAQAIKSSSSSRWKCLLSFHCRNRLLLTGTP IQNNMQELWALLHFIMPSLFDSHDEFSEWFSKDIESHAQSNTKLNQDQLKRLHMILKP FMLRRVKKHVQKELGDKIELDVFCDLTYRQRALYSSLRNQISILDLIEKATMGDDDSS SLMNLVMQFRKVCNHPDLFERADTKSPFSCGYFAETASFVREGTNVSVGYSVRSLIDY DLPRLVWQEGGRLDKPGPDNAVAGFRRKYTGELLNIWTPENIRDSVSNADHFSWLRFA DASPQEAYQASHRGLFERAVTLSTSKNRLGNMNVLYRDTEDENWTPVHALFQIQQRTD RKPLADITEQGVLRDLMNVARANYADIGLGRLEQAGRPRASAPPIEVSCTGRGSVAER ENILFNPQVRKALYGPTPVEEKALVTEKIPIERYPPPALLPAPDKEKTRFTNIAVPSM RRFITDSGKLAKLDELLRQLKEGGHRVLLYFQMTRMIDLMEEYLTYCNYKYCRLDGST KLEDRRDTVSDFQTRPEIFIFLLSTRAGGLGINLTTADTVIFYDSDWNPTIDSQAMDR AHRLGQTKQVTVYRLITRGTIEERIRKRAMQKEEVQRVVITGGSSAGGAGVDFSGRRA PENRNRDIAMWLADDEQAEMIERREKELLESGEYDKIQKKRGGKRKRADAPTSLDEMY HEGEGHFDDNKGSGTATPNAAGADAADVRPGKRTKRAPTGKKAKTTKQRLAIADGEID I QC762_204420 MSTTTTIKQDAIPYLEFPSPHRNNPSRKQCLVFFITGNPGLASY YTPFLTHLRHLLDTLESSNKAAYHIYTRNLLGFSDHDHSPPFGTTLPPSNLPTQPFTL EDQIISLTSTLVSLNTPTPFTSCILIGHSVGAYIATEIFHRHHLTPLSALLSLTSAIL LFPTLTHIARSPSGQKLSFIASQPLLNSYTHILAKSIINCLPTPIVSLILSKIMKQPP HALSTTLSFLQSQDGIWQAIHMGKDEMSTITEEKWSSDLWHLADDTEHDGEKFYFYFA KKDHWVADEVRDAFIERREKDQNGRTKATICEEGVPHAFCIHHSETVAEKVGVWIREI TGSWTD QC762_204425 MHSLIPTVALLSQSAAVFGAAIPKPAPAAAPVPLIVDNALPRAA VTQRVKIEEELAVEIIEKTLATLVKSVTPTTVAARQAINDILPPNYFWPPFFSPPQMP NGNQTFSPYCHLKTQEECNRIL QC762_204430 MDYLKLSEDEAYTLAPLPAAIRHGLHAVATLAFLSFVFVFALLV YLSWGFVKWHIRQPSQEASSLPASNLQVDEDNLHEMLAMSRNFTVSAESQPTEADNGM PSRPQTGFWNRIRANPPNQFLVLIYNLLFADLMQATAYFMRARWLALDGIYDRHLAWF LSTGNLASSVFVTAIAAHSYLSIVRNFRPPSRIFYPVLAALWSSVYGMALLGIAISGG SSDFYGRAGEWCWITSNYQELRLYLHYLWIFICLVATSVVYLAIVIHLLTHRKPIASS CQQTSPGTQYATQEHKLREISSFLAYPLTYILCTVPIATARIGSMVKHESPVLFYSVA GSLLASAGLLDVLLYSLTRKTIVFSGQKPPTQDTGLETFGFMASSLRTPHGRAFGNMV FIEGETQTHSGSGIRRLWGWVCNLWRTVFPKRSERAWRDRLASVEVPFGPRTERGQAD WDSSWMSLGVDASEVAWKRPRALKPMIGCETTTCVHTQYQG QC762_204440 MSPSRGWILDSATPQTTTTSMLKKGPLILKEIPSERYSERTKLP LVLNTNLTMTEKQFNVGIVGYGLSAKVFHIPFIQLTPSLKLHSIVQRTPKPGNSAPED YPDLKHYTAPEDLIADPHIDVVVLCTPPNTHYPLTRSALLNNKHVLVEKPFVPTSSEA DELTALARQQKRVLCVYQNRRWDSDFLTVQNLLKEDKLGRIVEFETHFDRLRLTALAK GSTWKAGLKMDEAGGVLYDLGSHLLDQVFVLFGMPDGVTGRFVNQREGRLVTGDGTDE QEPDSVTAILSYKNKGLLVFVRAGVACVETRQMRFWVRGTKGSYHKSGLDPQEDHLRA GGKATDTDFGKESEDRFGRLCIVGGDGKVEDQVCPTVEPETYVRFYHLFAKAVASGKE EGVPVPASQAAQVLRIIEAVRESAKTGSEVAPQA QC762_0035630 MVESAPRSGCTGHILAAFDMTGVVEGIEAVSGLAGLFNTAITWF DYVLVAKQAAPRLQSLLVKLDAAQLRLTRWGKAAGLTGSQIEDEESLKSSGSFQLDES EEQLAVVTFQAVADLFEQCKKLCHHERKGKSKDDPSATENEVSPFSTVGLNWNPMHRY LHGKMRDIADGRKNKVSVAQRVKFAIYKKEHLEKFIKDINDLIDELYKIHEPPVEEQE ELGKEELAKFLEVLKELDVASDRDPVIRSAVRNILKQEASRTSFNLAV QC762_0035640 MLVTKQQPELISHVRESYVGLGKERFQGPTSWVALLEIFTNILE DPKLRGTYLIIDALDECTGDRDLLLDLIASKSSAYPKVKWLVSSRNWPGIEENLNTAT QRVNLCLELNEESISSAVRTYIQRKMDELARKKRYDDRTKNAVQHHLTRNANDTFLWV ALVCQELMNVSRSRVLTKLNKFPPGLDSLYQQMIDQVRRSDEPDLCKQVLAVLSITYR PITIQELTVFVNIPEGISNELEFMTEIVGLCGSFLTLRETTIYFVHQSAKDFLLSNGT HQDLRDVVNWVFPQGKDDVHNSVFSRSLSAMSTILHRDIYGLKLPGFPINGVQTPCPD PLATVRYSCVFWVDHLRESISNKDTPQRNTLVAVQTFLEQKYLYWLEALSLLRAMSEG VIAIRKLEGLLGRTHQRQLTTFIRDAHRFALSYRWIIEQAPLQAYTSALVFAPLGSLM KKRFKTEEPSWISVKPVVEADWNACLQTLEGHSKEVTSIAFSAGGQRLASGSWDRTVK IWDPASGQCLQTLQGHSKEVTSIAFSADGQRLASGSWDRTVKIWDPASGQCLQTLQGH SYSVTSIAFSADGQRLASGSWDRTVKIWDPASGQCLQTLQGHSDAVTSIAFSADGQRL ASGSRDHTVKIWDPASGQCLQTLQSHSDEVTSVAFSADDLRAHGYRLGSDKTWVICNG QNVLWLPPEYRPTCSVIQGRMVAIGCSSGRVCTIGFSRDI QC762_204450 MLGGIHSLALLSLGHAVALAHIPVDRGHVVHAESTETVTETVTV RIGSCTPTYATTTVTVYGGCSSSRAAPTTTSCTLGHTVSNLPGSSSLSSSAWFNHTSA PLSTTGIVGPTGGTAPTGGTTLLPSYANSTYVWSSRTSLPSSGTAPWGTAPWGTGPSD SLASATPTGRWNTTAPVVPTGVSTAPMETFPSITDDLTSTVAASVSSVPTGSSTEGVD PVGTTSSVATELPVTSEVDSTSFVTLTLTSSVFATVTAPSTSRWIPWPTGTGGTGYPF PHPSGTGIWSNHTSGAPYSYQPSVTYQTTSSVRTGSYTDTYEPTYISSTTVSEVIVTP ISSSRGFTAPTSWSVSTLPSSSGAETSTYDPISETEPGSPSTSITGLPNSSLPTVPPS SEGSVATTGTNDPVLSSSSALPTDNTSINGSTIPTTRTYIEPTSSEPTIFTTGTADPI LSFTSTLTSGATSRPVFVNTTTTRAETAIPTSSTTSRPVYGSYTFSFPSSLNRSSTVL SGTASVDPTYTTGSVDPTGVTSSSYTTTSASLSETVIVDPTASYTTSFPLSETASVDP TGASTSSYATSSSPLSETAVVDPTASYTYTSTTSASVIDPTESYTSSAPISETGSVDP VSTTSTSSIPFSETGTTEPTLLPSTSSHQYSNTTTPATETASVDPTGVTTESSAAQPT TFSTLTATTTTATSDETTSTPTETPEPGYGYGGGYGYGYWNRYGAARWGRVKAAVEGK GKGHPGH QC762_204460 MVNFDNILKYLAVQAPLRAFSGEDFNATKMAVQEYTLPALPYAY NALEPHISAQIMELHHSKHHQAYVTNLNNALRLHVAAVGAGDIASQIEMQQVIKFNGG GHINHSLFWKNLAPAESEETKPEAAKELVAAVEKTWGSLDDFKKAFSSTLLGIQGSGW GWLVKDSANGLRIVTTKDQDPVVGQDVPVFGVDMWEHAYYLQYLNGKAAYVENIWNVI NWKTAEERFLGTSEATKL QC762_204470 MCGPETPSNLPRVGARVDFYSSSTRQSLGNLLFHNPSTPSSSSA THVRFSSPSSGSACSSRSSSPVPSKKDDEDVLVSIHVSGDMSKPIRESGLLQQFSLPS TSIEAHYEIALREELSLVVGIGIIGRRVSMTRGDEVLADGIIGFNALPESMASL QC762_0035680 MPIFANTPESRLGRSDSKDPGTTCRGITGSGRPCRRSLLSDDAP PPPKSKRNKLQVDDPSDPDLYCWQHKEQAAMSAHSSPGPKLSHTPILEGRTSIDTLAE RLGLVKTHSEPRPSKYSGGYSQSAHPEKKKGITCCFCFTLPLDDLVPPPRPQPVPLQK PTSASVPYRPSGNKPSRPSSARPPSARPTSSQKKNHAALIPADAPPETAAKLAKELAK PFSENDDPGYIYIFWLTPESQPLTPAAETARSLLSPSARPSGSRTISDMLASFAAFID DDDDDRPRPSSGNGRSSHTNKKILLKIGRATNVQRRLNEWQRQCGYNISLIRYYPYVP SNSTTTTERKVPHSHKVERLVHIELDGLGLRAGDRGKCEACGKEHREWFEVEASRKAV EVVDDIVRKWSDWDETQA QC762_0035690 MLYPHCRCHSFNLRWTLVARPIFNRIFFFAAVSGGASAGINAAW FFFCEDVGRAEGGLAELGLEGLFPLGL QC762_0035700 MDQPKPTTNEAKNETPGLSGAPKSPNPGDGPPQVSTAATNTASK PNGTQPDPPTASQSAGDPQTSSATKVVPSTTPEDATKPATEKPVVTAQEPKSASKPLP GPEVPLVPSNTAQTSTDVKQKDPGDAAKPKASKTPGGLPEFEHIEQKHLGLRQLVAPP ETEDIDADVVFVHGIGAHPYNTWRHKITKTNWLDDKTMLPADLPKSRILFFGYQSAWY GPNAVRQTVNTAADQLLNALMGRLRENCPERPIIFVAHCFGGLIVQRAFHIAHSHRSN FPGLIDAITGLIFLGTPHSGVDGNSSLSTQGDIYRAIVAAQVKTHFETLETMTHNNQM LHGIVQDFNQVLKNEVKVQPHIYSFFEMYASSVGKIAGMGNMPQEFVVTQSSATVYGH GSTGLNANHFNMNTFEDNQDANYDAVCQQIVRMVKLARENQEKRKQEDSRFSQSHSHL PHLAKLPIPIRKDGHFVERADILGLIDRKFHEENGAVVALTGGLGTGKTHVAVEYAYR YCIENPGAHIHWIDASSAEQFEFSYKRIADGLQFRLRDANSPQDVVRDVCHALKKSTD SQWLMVVDGLDDDASLNWIKDGTPNDERTLWDFIPRGRHSTVLVTTREARLARRFAGK SQFVIDVAPLSGKDAAFMILGRKTTDKQKYDQAKELAKKLGGTAGALALPHAYRTKVD GKINLKEYEDMLKLPESQKQDTTGNAVLAWRRLFRVLEKKHRDAANLLCSVGVLDVQS IPKDFFQKADWKLTRTLEVYGMIERSADDRFIRVTGIVRLCLQRYLEEAKEKELVEEK VLDQLSQALKDGDHELDDALLPSILAALRFQPKHSDGKKAAAILHLKVAQYYQQIGRL EVARTHFEKALTPRPTDPQQPPRYFLTAKDVETARQALENLGLDQKTMPVAVSKKPSS RACFIPNPQELRSELRFLEESSGREHTSTIQKLAELAVLRLAHPSARQPSKTKANNLA NTRGLPAPTSGSPAPGTLGNNDPSGNGYSSSAPQSEDAPEDDPAVLFERLLESAINTH GPNTMRTANAHYSLAIAYERQEDFSKSEQHFSKAIAIARDRLGPESPECLRMLRALAC LYARQGNTQAEQMFALALQNQIKVLGDTHPETLITRHNVALFLEEAEEWEAAGQELER ILGLQGYWLGRDAPETLHTAQSLALNYAARNKRKEAEDLFRATLATQEQVLGETHVDT MTTAERLREFLENAQGDGGKGDKGAKKEDNDKKGVKDGKKGKK QC762_204510 MAAHEQTRPTMNPPAGGTENEDTTVPGQPTVPFNDNARIVTFLT TDLSTERLNSLYSLLFLTGKPENISPLHHQPIKGRTILITERPDLHLIWNIDRIFIKP LPKYLLSHSFWKAHLHHRPGRLDITTPTLCNPKDTLRLEAQGFLRTYSRLIRHESDFD MAQSLGLLPKSLDWAGWSHYIQAYAYLRDTQVARRYHYGELRLPRLNAWTMVCRGEGY FQIHYDQLSFFSCFGGPYLLLFGAVTVMLAALQTAVQMVPEGGPYREFANSFVPMSIA LTAAGLLSFPVLWFLFTMRELWLFIFRYRSLAL QC762_204520 MEAPMHLTVGSTRTISTPPTPSTTTMFSASPAFTPTLSPPMTPY SPPLSSPASPFGVRASLCRTVSTIFKPDMQFSEKEVARAKALMSEHCTNKSRKSKGEF TYKDANAVLASIAEGKVPDATPALVYALQTDFEANASIKRRKSTNLFKVMTGRDQEDI RSDVLERAVQNCSYEIVYALALKADQDAFDRALPFAITQNDTAKVSVLISGGANAEPL HEQFLHAVDNGTNDMLPLLLGKLKGACGDCRHKGLVRASASGNYKKVQNLLDAQADPK FENAAALKAAILGHHEDIAVAIASTPEMASIPLVLDAMVGEAFTAGLDRALIACLQSG AKGPVRDEVLLEAVKQRRSVELIQSLVQHGSSIEHKNGAVIHAAIQSRSVPMLKAVLY GGPSKLAISTTVDSLASVRSISIIHDMASLLLPAGLEGEPVNRLLTISLHGDSLEGDP TSRSSLVQLLVQRANADVNFNGGQPLVLASTKGWLGILSVLLSGPVTLQSLQAAVAAS IGLADSEVRLEIVKRLLHAAGYNRQPLEQSVFQVACRSLDLSLLNLLPHASQSPEEVL AGFRAALANPKWQMPAGLSTIRALLHLGVSGSDVRQAFCHAAKSYERDAFELFAAYVD IRTVIDALQGVIQTSKDWLAPDDKYLWLVHDLLTWGGRGREQANHAFLIAIEAYLMHR CSEAMVETILSVGHANVNFQSGEALKLAVRAGNTEVLRMLLGRGATVDTIEGAFFEVM ITPLTEETALLFIDIVTSSDGSNVVQTFRKTIPQLRTPVRECLAAHPGSIKLVKRLIK LGVKFDVTEPTALYGSDYGPEPCTALLWSLLPRPPGSRPIEPGVILALIEAKVVDVNF VAPVSKATALILAAAFGHAEVVNHLIKAGANTRIRDSLDSSALFYASREGHLDIVKAL LKMSYRPNDGSLHEAARNLHSKVCRALIATKNFSVNFASFLHGVRTPLHEMACCCDGS GSQVDMEDTLLELKKGGLDLFQFWKTPKWKNALILALENPRPFAVIKALLDMVWDDIN NDNNVYTLQEPSPKTGSMITCHYSPTMYLKFLHPSNSDKEAELNYALEQMLRDKGAED RYWSDVAADQPLGAIGYPEALAKEIKRLEKIQRDHDDQLRRDKEAQFQKIFIEQTRHE QRLVQEGQATVHKVQNSNILHESKLHQDAEVTLQQLQTLEEKNHIAHRGRVLANQDKA GLLAVDNNGLAQKHHINERHQQVMDNQKVHLTQMLDYQAYRKTQMMDQQKLHQTQMTD HHKLVTQERADYQKFITQQRTDWQKGVDQQKLLTHKTMEKQKLKATEAIDKHKVHQLH KMNKETRDNVKKTGEQQVTLKKSMAKLDVKADQRKLDFQRSTDQNKLNFAHASNQQKV DYQWDAHDEELNFEQNKAGMRLLEQEMSKRISGPAAPGQRKVVKGNQQGPRGFIEQ QC762_204530 MAINGPLKAVPGSAEYLESQLSGPELSCRHFPKYRAEEDHRYPS RLGFFSSDPEPKITYLDTKKLCIACLVAAVPDGFNYIPPSTPATSAPLPIKTPELPSQ VFQAGHHRLNRGSQLVPTPSHLIAPPVSIDLAQSPWLQHGWSWMKSQRKPFYVDALYS LNDRPPIVRAIVTRDAEIATHSGTPYAGQIITLNSAVLQTEFQQSTVTSTIKQVTVKK KESEDEKKKKTATSTTKAKPQDTNRGPKPTSSDIPPVPTPKVAAKLQNDLGPRSPNTV SLSKASSKEATSTSISSKTVSTTGSIQSKPRNGTSDTKLKAETGLKSEGLVKSGAHSS AASSVIPTAKITEERHISSSITNWQDLMVPMPQPTPGLDTKAVAGNVVNTGASLAGKI SLGQAKPPGKDTANLTQAASATEAARTTIAQTQQSTSSSSRRYLAKNWSRHEPIPFQD NSHIPPLKAYKRTAGIQGQASEFLPLEKLLPKGTVVASDEDLSTFQFPDKSSGPLQKI LMSIPQTSHAPTGGPSVSRQFIDSLLSTTTSTVQTSASMVQTRGVVGLDGRQGPGQKL PAAQGAKTTSLRQTVGQAIGGFTSTKATMPLPTKAPAQSSTPGSQAVQTAASGIFAAA KQTISSKEQPRSAPKENKDKTKQRVPPVPAKPSQVNESSGAVKKNSDTKSKETKVKTE QTKTSSTLTASANKTSTSSEDTSESTKAKKETSSAETKTRGTNKRSGGGDGKSLVGSG LNKLKDVVAAAAEGSAGLHEPTSKQDGGKNNKTVKNDAKKKATHGVKKEVKSKVKQGI KKDSKTAKPPKASGNRSIKESKGKKKVKKSMGSTNSESRSVSKRQEMKSKTTKTKTTT TKAGEKTEKKTEEETEEDTEDETEEETEDETEEETEVGDEDESEDGSGEETEDKSEDE SEDESGDESEDKTESEEKSSSESSGHKRLSDVKKGSNGDNDDADGFKEDGDSDFSNPI AKRKEQSADPKDPGDPTNASKGSNEEQSSKADNLDTTKKNAVDDDDAAANKTSTADGP SDTQLSSITKDQAEENSKFQESSTENGSCHAKEASSEEEAATSTASKSEDTRSTTVKT QDNTVTSTSYEASTKETLSTSNEVLTTGEPPTTSQRSVPDSSSTVDQRISEPTKAGKS SGSAVLAGAAVTGAAVIAGAVIYHNITNNSSTTINIDQSSHNHQIEAAQQGEGDTDSD NNDQHSVQGAQDSDVEQHDGDNSKGENDQLSGNDTDSDDGRGNDTDSNSGEHNANDSD NDEEVDNGSQAGFDTQSVDGSQQGEHDSDDNDNHHESDDGSDNEGDSEGNIESGGDGE SDSEGNMAGEEGSFKGYASSDDGHRKSDDEGGSNDDDGDENEDDSQRSSVSGDDDDNQ SHNGWGNQDSDEEQNNGSDADGNGEENGWGDDIQSQVSGDGDNDDSDTQSVSGQSSGG GSNHTGTANSKDGNGDGDDDEDGYGYHNDSGDEPAGDGDDRSLNQDSDALQDDDNELD DDNELDDDNEQDDEAEGDEAEDDGVEDDGFEDDGFEDEYGQDKDDEQDDENYEYGQNE EYGQDYDDGQDGDGNGYEEDYMY QC762_204540 MDFPPLGHLRIASPDDVPRISVVATAAFRYSPLFEWERPNHAKY PEDTIESYRAQFLDAIQSDDHIVLVREDAYLCDENNKTAAIIPDNTGWTAPKAGERVI VGVISIKLDPGSPHVGKLKNNNGCYLATPHSLNRDLNQRHYDEWGLLSATAKRKNRVH RHSTISMIVVHPAYWRRGYGTQLATWARDLSRMDRIPQCVSAAPMSQCLFMSLGFREI DAIVAEGDKDDPRGVKTLLLEFGREYRGELYQHLILRWIVKVTKDSLVGLVRWTQRRL NRMQVQGNEKGVW QC762_204545 MSGHGEGHAGPETNESDPGLAASECFDSMFDFEQWEADQEVRWE LHQDLQGLSSLASSGDEGLNITSLTPQSSSIDFDLDEPLRTTTPVSTIVPTTVSANIE SLSCLGVPSWDFVSTPDHNSISLPSTHLVYSPDRASGTDGAEVPLRQQSLPSGYSGDY SNQTTGDHNAFSKDPSVERQSDVGVGVGSYVIRPKQSLPHSKKGSRTKSHRTISTKSI QLTTENVTSYDCSIAFRSILPRLDPQGTPCNHTEPDAQASSSMPRKGKRKPNTDEDRK KIKLVRRIGACLRCRIFKEACDENEPCGRCLIALANAKVFSLPCYREPLENVIAFRAG NSRAGKLRSEPISIRWAGDDISPRVVALSYPFKKQGAGAGLTVTIKCRKFVPYEWDVM EEPWSISPKKSIPMISTPFACYDDGASVAAVARYIEATKAALLDESLDGILDDMIRLS TAEATRYCLKYRDSAVATAMNIRAASFFSRTKMIMTENNVLELPYFHNPHFLLNGGYP VPSMIDYQMDYMAITYMHGQMEVLVKQLKKLIFTKNQRKSWYEVYLTVFVLLQSLETV HARQIDIIRRYEPEGGEALSKARNIGTRMIEEWKYSARILIYHYRAVLKGMVPFAATW NDKHVAELRHDCGLDEEALQYARQMSGFIRTRFDSLRRLTKEGLDNDAVRPLAWIARL YIDDEVDSGKK QC762_204550 MDCPSSADWPKELTGDLAWTGADFESNTDVFTDKFSSQDIEELN SAIKHFQALGLSRGHADPTTFPLSQGLAKRLQKVTDHVYNGRGFHRIRGINPSAYTDE ECVILYAGITSYIADQRARNIDHIRDRSRAQLSQKLSPLELAKPMTFHTDIDVGDMVS LFVQSTPLEGGDQYLAPIASIYNDLMKRDPEVLRILSENWYWERVHRPGPNQTITRTF NRPVIGFHNNQLQINMAVTFLGANPAIPFSPDAPQLTPERIAALNRVQEAATRVNLRI VPEAGDLLFINNFAVLHARAGFVDSPDDVWNQRYIMRLWLHDSHKGWESAPVLQRKLD ETFDLSPAERAYWTKDEMDKVAPGMRIKQMGISANPDHD QC762_204560 MLKRRTSSLDSVRLLGDPVIGREPAKPSEPSEATSRCLRLQVQN DGKTSFTAVDLSQTKPNPDEALEPSQDYDLIYLVEAHSDLQATRLADMGLYLPPEFFT AHLGRGSGHKMGFHQDRSTGSFFVSWSEPALQKSEGWKMEKKIRAGTPWDTDQTADPQ STYESHCRWGTFPEGVYRPYHPLHPSQRMESVVLHHASTRMSFHYSRRENGQLVGCLL VDPQRMHTVRQVNLNLWSGDIGSKPLPNQPCFILETTALDRIKKALDAPSPFRGRQND TWLIQTMLGFVVDDMPTILFELGRGLDEVELYLGEDEQLRSSVPQWRDYLGRWRNTLA NLRVSVRYMMEKLDQHTKERPRQLVPPYGDDSEQMIAWRLNQINAELEAIRNRVETAF QALMSTLSILESQRAIAQAESISKLTQLAFFFIPLSFIAAVFGMNVIEFQDQYTWPRW LGVSIGVTAVTYLALYFSTVQTAVTHTIPSTIARSINWPSIGRVGNRLIKFMTALTTA STIYMLLIFTVLSGLFIGLSFVGFRLEMPLGGVVGVSLGMAIVAVGLILLSFVWLGFL RKKVEGYGPVTTSIYDRGGSGLQRRESDIELVRRLNI QC762_204570 MLGIMASQPSCWGIFPTPANNELTRHTIYHDLNPACQEIRLLRV HLEEKDSFIRCELLPAASLSNIRGQYTAISYCAGDPRRTKKIFVNGIPFNVFENLAHV LEMTRNFWTKTFPDKECIIWADQICINQFNLAERSHQVGFMRGIYSSAAQTLICLSTT DVDPRGVEWLVKLHQSVDPDGDFYQYYFHLEYYLRTNLANKQFADDWFAFYDVFNSPW WMRTWVYQEFISSSNIYFLYGKSSVPWKRLSEVLPTLQKYSHFPYFGPAITERQAQVA DTKNIVNFFVISKLRFDRVGPFDLMDLLSQSRHLQSSDSRDGIYAFLGLVRESYGIIP DYSPENTMEQLLVDIATRIVLQDKTLDILSDASRVRGELSPRLPSWVPDWTTKTCVSL IPRTIRDTSDGSVALFPRIVQSGKLELQGFHIGVFRKGKLGWYFPNSRDRFRHDGEGR EELWDIFGAQGPFVLRHLGNQYHLVREAQRNKPAGYNSVEDAVQRHGLQAQTVVLS QC762_204580 MWTYTCTDTVQFQNTHCFSLVADFNNGHKDQPCSSPDPSGLEGD EAQSLVFESNRHEEQDNKSSTAPDTVQRTTFASSMTSSFSAAFTSSVAFSSSPAFSSS KSFPSSTTFSSTTFSSSPAVSSSSEALLTFQPPMTIITSITHSGFNHGSFSTLTESNS QTDNTVSQPTIQPSTSLPDKNSIKPSKSQTEEFTDISSSHISTTVESKGTSRIHPDSI TSTRRSLEASDQSTTPSKSFTATTSTSQVTQESGVRDSLSRISETKQTTTPHFNASQT TTPTTLYADSISITLLQIKSLSYPDATQFRQLVGSQSSQKRHDKTISGAWQSTTFPSM DKPNSAGQSPVSNTKTRPPAVKLPEEPNMSDRSTRSLIVTTEASHSLDSLEDVASLAL AQKATVSQPTGSVNRTRIITALQSHKEAINLSTMTSFPTDVRTLAAIAIPTSVTDLHS SPSTSHNPTKTVSSVIGGAQIPPLFHPVSLGDYFLAAYVPVIITLPLAALAQILSMEV KALAPFHALSRPMGAAATDSLCLPTGGFPGIYKSICLCFRRQGRQPLLFLVDLLVWTT AIIASLSSEAFGIKLHGKCKHDDFRGCYMGIAVFSTQSRIIQSLLGFALCLILLIMYR LRNWQTGIDVPHGRSVAAISMLVTEPRTRRVLQHLRPGSHTGRLSNKDMARQLEGYIF KLAPISSHLTGYKQLVSSRPTQALRKPKPQKTPTTRRSRITEFVDHLPQGFLLQFLVI LGTLSFIILIICYETITGADSPFEHFMNSQGFGVKLLFAGLGVVISLFWDDYFAQVAL KEPDRQFNRWPRPAKASSVFLVSPPTTAFAALTPATLHRRQFFLMWVAFVTVMSKITP LSLSNIPFSPWLTWETHRVCTWTAVGILTTMILTLGYGLIFVKYSRWPSHPGRLGGII YYLLTMPSNSNGRTPILRFLDDGMAPGNHVEDIELGNIQRR QC762_204590 MTTSEVLPSADSTNGSDGAPPGMAAMQAKFASIQQKYTEEASKR FRSDGLAQYVDLQDAKDARIHSLGKDPWVDHAALNAKTPAVKDGGRYKFVILGGGYGG LIAAVKLIEAGLVNGPDDLRIIEAGGGYGGTWYWNRYPGLHCDVESYIYMPLLEETGY VPKQKYATGLELREHAERIATKWDLHDKALFRSEVTDCRWSDEGEVWNVAVTESRGPE EGERKLNIQANYFFVLSGVLTIPHVPKVPGLENLGGSMFHTSRWDYGTTGGSQEDQNL TGLEGKRVGIIGTGATAIQVVPKLAKFAKEVYVFQRTPSSVSWRGQKETDLEEWKTKI ANKKGWQRERRYNWVTYLNNCAPPEQVNMVGCGWTEAPAYCAIIGSPNFGIIEPTPEK IGEHVGGLLMLDLPRAEKARARIDEIVKDPETAKALKPWYPVWCKRPTFSDEYLQAFN LPHVHLVDTDGKGIDSATSTGLVVQGKEYPLDILVLSTGYRSPAYGGLNPAKRTGINV FGRGGKSFDDKWDAQGASTLHGVISRGFPNLFFGPTAQFGQSPNNTETLDIAAEHITH FIKKAEEKVGGLAVIDPTVEAEEGWAMEAVKRAANLAALSVCTPGYTTSEGEFNKPNQ DPAEMMKSARMGIWSEGILSFMKVLEEYRAGDLVGVDVTPRKSR QC762_204600 MPTGPSSVPNFDDLPAVDGHPQGCAWGVFDKDGKKDHYGTLNFV TPEIVAAAAKEVTDGISISLNWPLNGIKFPLPGRKAPVHKPVSLREAGMEIDGFDDEL EINTQFSSQWDSLCHCVLPSGETYNGFKPSIELLQTTTTEGNEMPTIDHWHSPAKGCL VARGVLIDFKRYIDEITDKTYDPLDGHRITVEEIEAVAKHQGVEIKPGDVLIVRTGYT EFLENPTPEGFAKMATMSLSGVHGTTETAKWFWNKRIAAVASDAHAFEALPPLKENGE VGAVSDLVLHKWFLNYFGTPIGELWDLKALGEYAKKKGKYSFLLTSAPLNHPGLVASP PNAIALF QC762_204610 MSTYTHPSDPSTLSHVQSTWDFIRPNSSIYNHLLSDIRLVAATK GRIIAHLDVTPIHTNSKNILHGAVSGTLCDWAGGMAIAAETGLQKTGVSTDMHVSYCS TAKVGDTLEIEAWVGRAGKNLGFTGFEIRRGVTNGEGKKGVVVAMGSHTKFLLFGQGK SEIKEEKREGTVEGNGSE QC762_204620 MGKPGNKSRIRWQDNDVEAGRSASRPVQGPGLERTRSHGSMSIR SVRSNTGGGVDPSAALPIQYRTVSIDIDDYNRKDEVANKVSKAAATGTLFSLGHICGS GRLTICLMMTGLADLEWHTLPVADVVNRWTTSLDQGLSQDQIRRRVTEYGKNTPSPPE THHFQQIMGYFFKGFGSVLLVGSILVFVAWKPLGQPPAQANLALAIVLLAVFFIQAAF NMWQDWSSSRVMASIKNMIPEECLLIREGVQVSAMAADIVPGDVLLVKAGNKLPADVR FVQVSSDAKFDRSILTGESVPLAATVDATDENYLETKNIGLQGTHCSFGTCTGVVVAT GNKTVFGRIAKLTNEPKKGMTPLEREVLNFVYVICSIMVVMIIAVVVIWAAWLRTTYP DWISVPNLIVACVSVAVAFIPEGLPVALTASLTISANMMRKNKVLCKSLKTVETLGSV SVICSDKTGTLTENKMVVTDCALGGKEMTVDGILDVAIAERLTNGDMASNSIDQLRSV AGLCNAGEFDAATRSLPVSERKIHGDATDQAILRFAEGLGPVSELKRCWATKFNLAFN SKNKFMIRVLGYTHPDGLSLALPTGTASVFEPGDMLLTIKGAPEILMDRCTNFTGSSG VAMTLTPEVREKFNRIKNDWSAQGRRVLLLAHKALSRRSFKSSPSSSAAFEAETLEQA KSGLTLVGLVAIVDPHRPEIPEVVKILRTAGIRMFMVTGDFALTAQAIARECGIITNP DSVVANVTALSREPTKTKNHKDHTPSEEGDEELSKSIVLTGPDLITLNNPQWDALVSS YNEVVFARTTPEQKLRIVRELQDRGHVVGMTGDGVNDAPALRAADIGIAIGGGSDIAI EAADMVLLESFSSVVEAVRFGRVLFDNLKKTIAYLLPAGSFAEFWPIMTNVAFGLPQI LSSFLMIIICCFTDCLAATALAYEAPEADVLKRPPRRVGVDRLVDWRLIVQSYGFVGV IETVVAFAMAYWFLEREGVKFGDLWFGFGRVPGGMSGEEVVARLNVASSVYFVTLVVV QWFNLLAVRTRRLSIFQHPPLFNKETRNYYLFPAVLFSLVMAFFWLYIPSLQSVLGTA EVPVEYWFLPMSFGLGILLLDEGRKCMVRRYPGGFWGRVAW QC762_204630 MTLATMRQRASLDIIADRGAIISNHAPSSTVAEPAAAAPDQLTR QQQQSAQPELIDLVVDAQDDARSTSSSSSPAPGGDNEESDFFLGANDSQSSLGVPNLQ DMQVNDEDCLPPINRLPNEILIAIFAKLNSLSDVFHVMLTCRRWARNAVDILWHRPSC TTWDKHVQICNTLSSEAPAFPYREFIKRLNLACLHDTVSDGSVVPLASCTRVERLTLT NCGKITDTGLIPLITNNDHLLALDVSNDSQITEASIYAIAQYCKRLQGLNISGCHKVS PESMITLAENCRFLKRLKLNDCQQLTNQAVLAFAEHCPNILEIDLHQCKLIGNEPVTA LIEKGQALRELRLANCELIDDNAFLSLPNRTFENLRILDLTSCDKLTDRAVQKIIEVA PRLRNLVFAKCRQLTDEALYAIAGLGKNLHFLHLGHCHQITDEAVKKLVAECNRIRYI DLGCCTHLTDDSVMKLATLPKLKRIGLVKCAQITDASVIALANANRRARLRKDAHGNV IPNEYVSMSHSSLERVHLSYCTNLTLKGILRLLKCCPRLTHLSLTGVAAFLRDDLEVF SREPPQGFTQHQRDVFCVFSGQGVVNLRKYLNQEQTFADLGLGESAGGVNGAGRDTPT GEVIQANNGDADETEVDVVDDDPEDDDMADAAPPNPTHQQPPPPPPLAPTLHPFNYVG GHPPYLPQSVPLQNGHMAPQHPPTLHHGLSIDHDVVTLSAQQAQVAGPSPSPSTSSPS QVMGTTIVNPPARMDRDGPGNPQDSA QC762_0035850 MWRFYSPYSVVVCESTIHCRNRFFCTVRPLHSKTAASLVCISHF SLFFFPTPPSLRFQDLLLTREFPALCLSMSFFFRRFFSATSPATMDAAQKKAQQLIDD NAVMVFSKSYCPYCNNTKRLLDSYNAKYKAIELNQEDDGDDIQAALAKITGQRTVPNI FINKQHIGGNSDLEAVASKGKDGKKLEELLKEAGAL QC762_0035860 MSSFESVVVIDGKGPSAWSSCQHRRQAAPQRPADRCCPLRGSPN ISGEFFRAKLKYHAYLRKMTRYNPTRGGPFHFRAPSRIFYKAVRGMIPHKTARGAAAL ERLKVFEGVPPPYDKKKKMVVPQALRVLRLQPGRKYCTVGRLSHEVGWKYQDVVARLE ERRKAKGAAYYERKLAARQLSEAKKTAKVDSKTTEALAAFGY QC762_0035870 MFTAAAGTVLSLLAGRAMAETVHGVVVFTRHGDRTTKHYGAQTL TNLGTTQNFQIGGDYRARYIESSSLTKSSTSPNSTTASTHPSPTSTPQSQPKPSTTEA NPPPLNGYQYITLQGIPQLPDAIWIKGDDNCPAVTQASQSFESSPEFTTKLTSTREFY QSLFPVLKSVYDITSPEDLSYASAYDIYDLINVARLHNSSFPSPPSQLFQLRTLADSA EFAYNFNASQPERSIHARTLSSAILTQLNKTVALEGALKFSVGGELRCVSCVFGLAGL TEVSESFYGLPDYASTMAFELYSPETRTSFPEEKDLWVRFCLRMGLLGVGFLAAVWDR EESLSWGVY QC762_0035890 MSFVDKSVEPGASVLLHHKTVSIVGVLTDDADPIVSVMKLDKAP TESYADIGGLEQQIQEVRESVELPLLHPELYEEMGIKPPRCHSLTVPRELIQKYLGDG PRLVRQLFQVAAENARQSSSSMKSMLSVPSVTIRHQVGEREVQRTMLELLNQLDGSDD RGDVKVIMATNKIESLDPALIRPGRIDRKISLRILTRNTKRKIFTLHTSKMSLNEDVD LEGVYRAEG QC762_0035900 MGQNQSGNMGGWPTMARDDKDKKKEKHKHEPPRDLPPASVARRR EPAVPVPPPIYRPSALTSRCKLRLLRMQRVHDHLLLEEEYVENQERLRKAKAAKDNAV PAASGSRGCGSQCR QC762_0035910 MAASTRERRISKELSDLQNDPNSGVSAHPVDSSLLHLKGIFLDP PDTLRRRHLSGRHLDTRKLPLQIPVMKFDTKIWHPNVSSVTGAICLDTLGTGWSPVGT IKMALISLRMLLRIPQPKGPARCRGG QC762_0035920 MEPRLLVAQTPRRLDRSISAFRLCQCQRRCEGDWRDRYRHLRAP PTRRRWYRGGRCRQRFPSLCALRKGSPSMTWFASPQSSSRRTRPAWWAPTAPVSLLPV SARSASCPVSFTSAAASVSSADPVPSPTRLSVNQTTQAGLGQSSSSVSVVRPLQRHQL HRLPSKVFLEDGETDGIIMIGEIGGSAEEEAADFLKQYNTVNGGKPVVSFIAGISAPP AAAWGHAGAIVPVRVMPTPRSRPSRLPVLLSSARPPAWARPSVTSSSDVTSFKKNEVI GEMSCCFLLATHCTCFFYFCLTASGCGSVHQGGGLLERINFGRRDTSDEEILRV QC762_0035940 MPSRAGSYCSSALAVFDSYCHLSPQAAPTQTAMFPPAENRPLFS SEFETTTTTEVLTVGLTTGEVDTSESVTAASSYEARVTGGGGGEGVCWCSRDRGRGRF CQVQVGRSLLPYSFG QC762_0035950 MGNGNREGMKHYIFVRLCFPSVKFVRKPTFLAHQQALHIYGRVA SLSDSHRPSLCLTSHRTRPLRRGVDNDFSNHETARVLDIIADRGAIISNHAPSSTVAE PAAAAPDQLTRQQQQSAQPELIDLVVDAQDDARSTSSSSSPAPGGDNEESDFFLGAND SQSLGVPNLQDMQVNDEDCLPPINRLPNEILIAIFAKLNSLSDVFHVMLTCRRWARNA VDILWHRPSCTTWDKHVQILQHSQLRGSGLSLQGSSSSASTLRVCTTPDGSVVPLASC TRVERLTLTNCGKITDTGLIPLITNNDHLLALDVFQRQPNYGGFDLCYCPVLQATPGS QHQWMPQGLSREHDYSCRELQLKLNDCQQLTNQAVLAFAEHCPNILEIDLHQCKLIGN EPVTALIEKGQALRELRLANCELIDDNAFLSLPNRTFENLRILDLTSCDKLTDRAVQK IVKSRLDSGTWSLPSAASSQTSSVCHCWSGEEPAFPPFGPLPRRSRTRPLKLVAECNR IRYIDLGCCTHLTDDSVMKLATLPKLKRVVSSNITDASVIALANANRRARLRKDAHGN VIPNRYVSMRPQQLGTRSSELLHKSYFEGFTQHQRDVFCVFSGQGVVNLRKYLNQEQT FADLGLGESAGGVSRAGRDTPTGEVIQANNGDATDETEVDVVDDDRRMTIWPMLLHLT QPINNHRLPAARSTLHPLLTIRFRYKTVTWHPNILNSSPRPINDPTFLIRQREWTATD LVTLKIRLRRPCLEEAHHTAFAALLQETRHGTTAGLLKQSRYAEVAAYGPCVDAV QC762_204640 MLGSPFSGRQPAPQPGPLPPSLPQTSHPAPNPPLKRSFRQTGTR AVENLNLRNIAQSSADYHRNKQIFLSCGIVAGIVSFIYVSYRIVLEIKKNPIQADADP SNPLSDPNAPNRKIIVHDENGNEIVPTGHSVVPSFPRTISLPSFTGPLDATEPVQPGT ITTAAAPETEYTLVGLGTRTVTFIGISVYVVGFYIATADIAALQSALVKKVNPIATTL VPGERDQLRNELLDPAEGAKLWDELLSHNIPARTAFRVIPVRDTDFHHLRDGFVNAIK TRGPELSGKGVDDEAFGEAMKQFRAVFNRGKVPKAKELILARDDKGHLSIAFDGGKKS GGRQLIGVVPDERVSRALWLNYLAGKQVASEPARKSIVEGIMEFVERPVGTVASMVVP LAR QC762_204650 MDAAQKKAQQLIDDNAVMVFSKSYCPYCNNTKRLLDSYNAKYKA IELNQEDDGDDIQAALAKITGQRTVPNIFINKQHIGGNSDLEAVASKGKDGKKLEELL KEAGAL QC762_204660 MRRYGFSSRPSLSPFSSSLGHGGVPNVTDEDFSYITSQDLQDQG VDVPSRSYAPPSQYYDVYSSSAPSQAYLRNKPEDDVMLVKYQGITYPEHFPAYCIGDG KLLVSDVRERVRMIMDLTDRQAKRVKLYYKGRRLRDADAPVREYGVKNNSEVLMVLDD SGQASSEDSNEELVVVGRNKYEGQVIRNGREKSHRYAPSSPRTSRRDGFGGRSPRETT SSFGSLDIPADDGRGYRRAKSRVRTQSPSGSALSTASAPNLPSISPPPMGKPGGPIQR LNNLALHFETTLLPLCIDFMARPPPDAGEREKEHRKISETIMQQVILKLDEVDTSTED GARARRKELVKYVQDILKLVDDVKAKGRV QC762_204670 MSSFESVVVIDGKGHLLGRLASIVAKQLLNGQQIVVVRCEALNI SGEFFRAKLPCLPSQDDPALPLPRSSRIFYKAVRGMIPHKTARGAAALERLKVFEGVP PSYDKKKKMVVPQALRVLRLQPGRKYCTVGRLSHEVGWKYQDVVARLEERRKAKGAAY YERKKLAARQLSEAKKTAKVDSKTTEALAAFGY QC762_0036000 MFTAAAGTVLSLLAGRAMAETVHGVVVFTRHGDRTTKHYGAQTL TNLGTTQNFQIGGDYRARYIESSSPHQILNISEFNYVPSQIYASAPNQGILLNTATAF LQGLYPPLADLNPSIATQTLNNGSESTSPLNGYQYITLQGIPPNSPDAIWIKGDDNCP AVTQASQSFESSPEFTTKLTSTREFYQSLFPVLKSVYDITSPEDLSYASAYDIYDLIN VARLHNSSFSISPSQLFQLRTLADSAEFAYNFNASQPERSIHARTLSSAILTQLNKTV ALEGALKFSLLAGSYDAFLAFFGLAGLTEVSESFYGLPDYASTMAFELYSPETRTSFP EEKDLWVRFLFKNGTAGGLDSWPLFGTGRESLSWGEFTREMKGRAIGSAEEWCSACGS SDGFCATYTGVAAEMFTRGGMPNAIAGVVGAAVTLVVLGIVGLVAFLFVRRRRSGGSV VVMADEKGSVRSGSTGAGKL QC762_0036020 MLAHNWGLCTQVSGLPAIGQNCRLRSWLVRRAGPRCRVALPPGG LACKAIPNTAASFQLQLQHHKASSNGNQRHHSQPGTFSLSSSQTSKPSIPGQNQSGMG GGHDGKDDKDKKKEKHKHEPPPRPTTRIGRKKKRAGGASAAAKLPPVYPTSRCKLRLL RMQRVHDHLLLEEEYVENQERLRKAKAAKDNAVPAASEAEAADRNADERGRVDDMRGS PMGVGTLEEMIDDDHAIVSSTTGPEYYVSIMSFVDKDLLEPGASVLLHHKTVSIVGVL TDDADPIVSVMKLDKAPTESYADIGGLEQQIQEVRESVELPLLHPELYEEMGIKPPKG VILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPRLVRQLFQVAAENAP SIVFIDEIDAIGTKRYDSTSGGEREVQRTMLELLNQLDGFDDRGDVKVIMATNKIESL DPALIRPGRIDRKILFENPDQNTKRKIFTLHTSKMSLNEDVDLEEFIAQKDDLSGADI KAICSEAGLMALRERRMRVQMADFRAARERVLRTKQEGEPEGLYL QC762_0036030 MASTRERRISKELSDLQNDPNSGVSAHPVDNSLLHLKGIFPGPP DTPYAGGTFQVDILIPENYPFKSPVMKFDTKIWHPNVSSVTGAICLDTLGTGWSPVGT IKMALISLRMLLESPNPKDPQDAEVAKMMLEQPEAFAQKAHEWAVKYAGAPRRDTPVH NYQKVAPPPPRADDPARYQGYNKDLIDRFVHMGFDLDAVVEAFNYVGIDRNGGEDYVL EEAYMGDITARLLGEQ QC762_0036040 MQAFRQSKPAGSLLRQLAQRSYSSNATPYASTINNLRINSDTKV LFQGFTGKQGTFHAQQAIDYGTKVVGGTNPKKAGQEHLGLPVFANVSDAVKETGATAT AIFVPPPLAAAGIEEAVAAEIPLVVCITEGIPQHDMVRITSILKSQNKTRLVGPNCPG IIAPGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFS GTNFIDCLKVFLEDGETDGIIMIGEIGGSAEEEAADFLKQYNTVNGGKPVVSFIAGIS APPGRRMGHAGAIVAGGKGDANSKIKALEAAGVVVERSPASLGKALRDEFVRRDLL QC762_204722 MRFTMKINLALALGTFLLGAEAAPAPAASAQETATTTTDKVFKI HTDDFVKYFPPGTTPSPSDEAIPAAVTPSKLKLKLRHPSESPETSENAAAILTKRGNY CGHSSFHNVRSNDSPTTGDCWQIYHNIAGGGSWQVWTSAHRTLVSYGGCEFGVEIDQD WISFQYVGAWDIRDLIRDSINNYASGGKVGTWGEMECGIKYTKWGLF QC762_204724 MRLLLLPLLVVTATTAQRIFINQVPLYSSLPPCAEAPLSNIVRN MVSGCGDGGRTTSYSCFCASSSIKFESIISRAVSSKCMPSEPEATASALAVFDSYCHL SPQAAPTQTAMFPPAENRPLFSNTSESVTAASSSYEARVTGGGGGEGSLLVQQRPGPG TVLSSPSRTQLAPIPSASIALSGGERVGTGQWLAFYSVLWGLSLMVF QC762_0036080 MACCSQWTAIMTGEDGASAITSAPEMLNQASQPTTNHRTRWLRR MATRSLCEVGIWDGRSV QC762_0036090 MPTSQSDRVAILRSHRVRWFVVGWLAWLSISGALVIALAPSSPV IIAVLSGVWVLSVTGTIAVSFLLLLASPTTESNKPLWLRLKSKYSRNLGSTVITLFAA TVTLPILYWSLWDPERRRNVITFDRDTVDSVLFPSITLFQRADWTSQANLEVEPPPKC FLGWHNETAPDCDITSTSYTGSCQCSNRWSERIEDFEWQNTSYRAFTLTSSLSMVSPM PTYQMIVQAFFTYDTAKARTDASRVLSPSLWIAVYDPTLTVREALENGYTRMVLINAN GMTALNLGLNYREALGHAPAYDYHLSISTTPSMDLQCDTSDAKGASGLCWLSLFLQFP SFERQVSQQDVAMKWQDVAADAGSWFALFQLLGWITSGLAWYKG QC762_204730 MASTTNHPRPPQYHNPSCLSPQQRTPRLITALSFLPALPLLIAH GVISQNAIPAVGLAPLFVSACVGVFILLQLKKKERRQKRAVSGEGYGDDIEGHGRQHG QHGHRGRITPSDDDEDQAQEKDSVFTHRILIFLVDAGLAAGLLVVLGLTVIETITGKP YHRGKPAEEGGDGGDVPKPEPGPEVVDDLRRAELVMLAAYGTIPLLVNFGIHFYMAIR ELIAGLAIHGLIQYTAWQVLDPDCPHCGGRLRPEHTPDIPWYETVSAPSFAVPSVALP EVPAPNVTMPKWQWKTPSWLSRKQSEDFSGADPDARLFVDDGDRYRDDTTEDEAASTT GIVAGTASVGPGPVVEEVVKGKKDKKKRSSSGSGYYEDEAGWP QC762_204740 MAPPTPKPPPAPFRSNFLAEKRIITICFFIALGQFQYGYDSAAI AGFQSMPAFLRVYGYEDPTNPIGLNISTDVQRLIQSLMNVGGFLSAIVMYASHTKLSR RIGLWLGCGFAFLSVSLMIGTTSLGGLYAGRLLLGVSNGFFVPYSVTYMTESAPALLR GPIVGMSTFQTSLGALFGILVDNYCKIYPGNAPWQIPLGVMYIVPTILTILLAFLPDT PRFYVTQGQDEKAINAVRRLRGIKDEAYLRAEVEDIKSAFLLEQELHSGVHLNDMFRG PDLRRTLLCFATTIGGTATGVTFMAGFSVYLFVQARVGSPFEWVMISLAIALTGNMAA FPAMRYFGRRELLIGTSVISAGMMYGMAIAYTVSAWTDPAASRALVAMSIVFTWIYGI GQGPVMWALSTEIPSQRLRSQTVGTASGLNFIVGWVVSFCTPYFINPDKLGWGPKYGY IWGSSNLVLALWAFFYVPETKGRSLEQLEELFEKGVSARKFSSYVVERQLVEDSVGGV PAEKEKATTVQVDDVEKR QC762_204750 MGNNVCAPRGQNGYSCDFEIVKSNFFGNLSANNFDSGNVSALEE MEQVGADPDIAGPGVSSSLPSLLQFGTYADKTKILLAVMMAFVVSILIAFFIHVLDLV EICSGQVLHPGCRCSRFKVLTGPYRSKPAKRVHHILNKILVSWSDQQIVLGIAISTAA LEEWCSFSTYHLNIIKHWLILSFITHVNALLVHCNYFQKKKIVATTLRAGLISLHVIF TGVVVFGHGQAAENKIPEIHHQTPLQILPASCFFANSTRPSLIQQMHVKTPETETSSL SSKSLFIWGIVLIVCSKLTLLRHLCATEDRKKRRFMVWCIRLALLGLNVALGVIAITG VQSIRSWMSDEGLIDISDGSESEYSYGQYLSAYLALFAAFQIAESFFGKKGSHLNNMF FLS QC762_204760 MTSSPLHLDLPLPPPSDTIGPYIRLLTFSDGRWSLEVSPLASTQ YKALSYVWGDSSNPLTIQCNGVQLQVTRNLYWALQHLSVEFVNERLWIDAICIDQNEA APEKGQQLDLMGEIYTQAEEVLIWLTESFLPDNANLCFQACKNYAVKWRQKELTMRFL AALTMTVVTMDENTNLNRIHNAATAAALREDTSFTDDIVVGLLQILRHPYWSRVWVIQ EMSLASRSRILTSKCTLDWDDFKIFILTMEQCLPWFLRGMGPNFRALDETTRLQRDNE PRVFYSLSHLLVQFRWSCAKNNRDKVYGLIGLLRPDERRFFMDDLPGPKYAVSTAQCY THIAFKILQQSHDLRLLVQCSSPSFIKRDDDLPSWVPNWQYDSECLPRPRWDLTEGNP YNRTGDVRPALYNAYNASGNSECPPPQLRDNSILILYGMIAGKITAVCRPMEIHHLFV GHRTPRGILKLAARASQADTFQRLNNGIMRSILSTRSGLLMLAITYFENCLRKGAAME ILLEYADLSLSGGTWLGDRNETNPLYAMFETLMKGPRGVEMVDSLFTDYPNETFIVEA ISQFHTQARSIRWNPFLRLLRLVGPFYYYPSAYHLLLGINFFGMGKVPPYLLCWIGLQ TAAIVFTNFVLESTIASYLVSAVGGYLLNSRLSYVLGMPYRLDTVLATPLDQALARLD DGRLALVPHDTKVDDDVALLAGGRSPFVVRREYCNWFRLVGDCYVDGIMQGEAWREWK VSEMEFM QC762_204765 MHVSNLSLAATAFLAIEVQAAPATQATTNALHVGTQNSPVGHHC GVSTYLNYLSTSLVDDCLTMLDNLSPDSGGGGEDGLNRGDMDWTIVGDFHREIGKYST CAFGCHVTYPQGALAMIGIDDVRRVVQRSIEMFKHAGDGGDKVGAQGDFECDFAGSAG KTVSWYLFNPIINSTCFGGGPWSG QC762_0036150 MERAYPQNKTYELYSSFVFGNDDKGTIEKVTDTITRLMLMATFR KEFDGEQVNFLVTWIHSGGKATKRKPTDSTLFWRKAVFHAYVTIEWVDKWMEVDMKLF LARVKKELRPLSFNGTAAFINFPDRDFPTKFHERAYYGENLAALREVKRMWDPQNFFA WAQGVRRPGDPEEDRGRGDEDDDEAKADKLAAEQWEKQVWAVPETKDMNAELNELADL GYDDFSD QC762_0036160 MSRMEEAIQALEKQQITVFKPASPEYRHAIASSNLLRRFSRPAC VVQPKSATEVQAVISQAREKKLKVTVKCNGHSYAGHSTAVEGISLDLRDMNNASLNMD SKIVTMDAGCRWGMLYETLVIGKHDGFIINGGRCPTVGVSGFILSGGLGPFTRSFGMG YDTLVEAKVVTADGR QC762_204790 MANQTIEPTFQTAISASKINGVVICATNTTGSFTYNHIAGSRTL LSGESLPHQLNDIFYLASATKLITTIAALQAIDDGHLSLDSPDTISQFAPELVNRPIL SPDGATLTPPTNRITLRQLLSHTSGLAYHFLHPYLSAWREKNQEPLQPGHRRPVEEAF AYPLIFEPGTSWSYGPNLDWAGRILERATNTTVGHHVQERICKPLGIPAQDAQFYPVE GDEPRSRMVDLNPSDPEGLGLAVVGVTMDMNRRSEGDFGGHGMFMSAEGYIKVLRSLL ANDGNLLRKETVEEMFRDQIGAEGEENARGVFDGPTGIFYRVGTEGVKVGHGLGGLLT LEGVDGWYGERTLTWGGGLSFAWFVDRSNGLCGLCAIQPAMPVDVRSLSDLKNTFRQD VYRKFEEWKKEEKRKL QC762_204795 MKLSTLLPLFPLATASAPASPGLSYLGHASIAASAPFNIGSSTF GSRTVFPLAGGTFIGPLFNATVPAYGGDWGLGNPVAGNFYVDARYQLKTTDGVDIYVS ANGPQQPEGVLHTRVRFEAGSDTPYGWLSGIVAVGITTPVVDEDGQVEGIEIDLWRMT SPSTIAGKGKKGKKTRRV QC762_0036190 MRLLERNNTGDISLTGDIPDDQVPPYAILSHTWGDEEVSFKDIT DGTHKNKRGYSKIQFCGDQAGRDGLKFFWIDTCCINKSDCDEFQEALNSMFRWYRNAA KCYVYLTDVSTYQQDADSNPGWELAFRKSRWFTRGWTLQELIAPTVVEFFSKDRKRLG DKKSLAQHIHNTTGIPLRALQANKLSDFSFDVRMSWIKHRSTTREEDRAYCLFGIFNV QMRLLYGEGEERAFERLREEISKHDRCLSSLHSTDPRLDKKRIEEAKGGLLDDAYRWV FDTPDFRGWHDQSESRLLWIKGDPGKGKTMLLCGIINELEGAIVAEGHCRNLAYFFCQ ATDSRINNAIAVLRGLIYLLAHQQPRLIPHIRKYTDKAKSLSDANAWFVLSDILGGML GDPNLKPTYLVIDALDECMDDLPRLLKFIVGMSSTFRCVKWVVSSRNWPNIEESLEAA EKKIRLSLELNEESISSAVSTYIQHKMDKLARLKRYDDRTKNAVQHHLTRNANDTFLW VALVCQELTNVSRSRVLTKLNTFPPGLDSLYQRMIDQVRRSDEPDLCKQVLAVLSITY RPIMIQELAVFVDIPEGISDELEFMTEIVGLCGSFLTLRETTIYFVHQSAKDFLLREV AYGVFPSGIKDIHHAVFLRSLHVMSGTLRRDIYSLGAPGSSIDDAKLPDSDPLAAARY ACIYWVDHLCDWQASDDSKHPDIFQDGGIVDGFLRQHYLHWLEALSLCKSMPQGVLSM ANLESILQHRSITSQLPSLVADMRRFVLYWRWLVENYPLQVYASALVFSPTRSITRGL FRQEERKWITSGPIVEDNWNACRQTLEGHRYSVNSVAISPDSKWVASGSHNYTIKIWD AATGSCTQTLEGHGRSVRSVAISPDSKWVASGSDDKTIKIWDAATGSCTQTLEGYGRS VTSVAISPDSKWVVSGSDDNTIKIWDAATGSCTQMLEGHGRSVTSVAISPDSKWVASG SDDNTIKIWDAATGSCTQTLEGHRHWVTSVAISPDSKWVASGSDDDTIKIWDAATGSC TQTLEGHRNSVQSVASSLNSTLIASGSDNANPPCYGIDLDNRWITRRLKNWLWLPPEY LPECLAVVALMVAIGCSSGRVLIMTFTTDS QC762_204800 MDPLTSISLVANVAAFVDFGFKIVSAAREVQSSTSGTTATNVNA EVLTINFRTVVANIKGSRLAGNSQLDEARLAALVDECERLSDELLGLLETLRARKPGS KRHVFVIALRNMMKRGEKEALEARLDRCRNQLQLEIAQRTSEKSLERLGVIAASGECQ TNELAVIKRSLHDLHQLHSTTQTKLDSTSFQGLNSLLKLCGQAFESVALSKLLSSIGF EKMSDRLENIAEAHATTFNWLVDASEAVDPKALLGDLESLEAQEWLRYTEVQDSYRKV SREALTSWLLEGNGIFHIFGKPGSGKSTLLKHLLKHPAVQNMLEGWAGGKALIKCSFF FWKGGSVGQKTFSGLYRSLLCSVFKQCPELVPSIVPSLWQLCLQGGNAQLTDAEARHA FLEIMERDEVFTHRKFAFFIDGLDEFEGDDTGLVRTFLGWTRLRPDNIKICVSSRELP LFQERFSSYPKLRLHEVTSLDIMGYVKSTLEENEDLSSAVDHSLTLHLGQKIIEKAEG VLLWVSLVLRIVERGLLQEDNPEDLEAKIDGLPTELENLFQVIFNAIETEAHPIDRRR AMLTLAICLERTSWELSNVFLHQLSFLDEYESDCNFLFKSLRQTETIEDEERLRRCRK QVNGRCRGLVSVTASSADEPDVPIPTRRVEQVAITHRSLIEFFSKTQVREVIEAQTHG FNMTQFTSRSYVAQQMTEPDPSSIEESHLHSDILGLFKVAWYHDFMNTSIVTDVLLDL QKIPFLSSRLKVSGGGIYRPTDYGWSYLWRKRAACRIASHKEDRTVADIIIFLALKYG LPELLTPPEVLKGGALVQAAQKIGPQHLLMRIFDTLLASTKPSWNQLELRQYDRLLKT MAMCLRDGASPNLPVTWQQNYHLLDRFSGRISSLWEVLVWTTLCGYGQVNGMQINGQK RNLTFLPIWVLFLAYGADTEFKLKVDTEHAVSLYQDAIRIVALFGKEQEDPFTPLFIP RLQNLVVDMASKQNGLLSLSDITSLLFPDDFHKFVPLLEQQGSSEQDGEEKRCAVLKS FGLDLEHWDPPPPSPVVAVFPEVFGLSINRFVQEMLGPYAVDEPQRGIVVYTKQGIEL LDRNNPAHDRVDILE QC762_204810 MTTPTQKGQTKKIHYLGSQAYQRSKNCSLPSENLLNDSTFLRKK RLSCSNTQPYIPRFSMSNTIPQNPPPPPPVNEKVPPTAEDHLKTSCGNLITWLGGPYA ILLQIASPSIALGSCTHSRFRTHPISRFRRTAAFIIAVVHGTEEQRNLICNAIKKQHS HIYGPNYTANDPLLQKWTAATLFVAGQKTHELFSIERKPMSREEKEVLCQEFGRFATA LDMPLEMWPGSLGEFERYFNEELQSLEIIEASKQVADVLLRGMELPWFLMWALPVMRV LMAGWLPERFRVAFGLPDPNGWAVWGAYWVVVRLIWGLNWLTPEGVRVLVESWMKRDM ATAAEDIRVHGRWMI QC762_204820 MQLKTLTLLGLASAANAQTLADVLAENAATLSSLTSFLQSEEVI YELFANAQDVTLLAPSNEALARLNATPLANELLSDPNYLTAFLAYHVLNGTFYASNLT SSPTQFLPTILDLAAYSNVTGGQRLQVQAADGGVNFLSGNGELSTVESANFNYTGGTI HIIDNYLTIPAPLPAALLEQNLTALVGAVTQAGVAETLTNARDITLFAPNNAAFDAIG NLVSELTVEQLTGILGYHVIVGQTVYSSQIEDGATATTFQGGDITLRVEDGSVFVNSA RVVKADVLCANGVIHVIDGVLNPSNTDAEPNPAESTQAPAFSGASSTGGVPFTSGITV PTSAPTEPTATSGPDSGNGDDTPVEAGAAAQGAALGMAAFFGAAVLLAQL QC762_204830 MEPSDAEPAARADSTTSEPADLTSTNPHSLSRAVYARRSEYTRR RRVRIKIGTWNVAACPGTDKDLARWFVDGEGLDATQTETNKNPKNGDGHEKIDLYVLG LQEINELTAPSQYMTWIYAPDSTPADKWKAALGAALPDGYQLIATEQLAAMLLLVYAS PEVAPTVSNVSTTSVATGALGYLGNKGAVCARIVLGEATNLLFVNCHLASGVESSYIE RRIWQVQQVLQYARFEPIAVGGITEAEKGKIGDEDFAFWFGDLNSRLDHLPGDDIRRL LMLHTQGEYDVSKKNLRREDSLEGEGVVVQHLSDSSEDAKDEDQTNTAGKETIGQDSK KSGENNADDDSFDLPDPDEFPLDPSEDPASLQTTITSLLPHDQLRRLMKDRKVFHEGW REGPIRFLPSYKYDVGTVALFDSSEKQRPPSWCDRILYRTREDREAFEKKIREEDEAR KRDEEMKAQGMENAADDDQVLFSYDPDNDGDDQPSSSVSPYDAYDENDDDEEQNGETG EQLRLDLYTSHQRITSSDHKPISSIFTLDCDAVVPDLKAKVHSEVARELDRAENEGRP VVTIVVDYQGSRPRSQSNFSDRPELAIDFGRVRFLEKMTSSLTLANTGSVPATFAFVE KPSTEGPDLAGNFEWLTTSFIRPEESDDGSEPVDLGKRVTLEPGETMNAHLEAVIDTV RQAQMLNEGEATLDEVLVLRVMDGRDHFIPVHADWAPSCIGRSVEELIRVRTGGIREF CNALAKKNGKFGAIPYDLPAHHAAPKELFELTEATETLTERAIADAQMLDDCEVPTAP GWPFEEATWQGTDKETRTAQVISVIDALDRDERILSVFLPEVPSIQRLEAVSEAFLFF LEGLVDGVITTPLWNRIEQAALPSLAQSVATAVDAPSAEDDKTAILDILATTPNHNIC FVFLTTTLARIAAELAPLTKADLEAIKNSDAASRPGLVALGRKSLSFRRSTGPGIQAV VALNRRRARERKFAEVFGGLVCRGSVLEKDKDRKALEEKQRALIGLFLRRREE QC762_204840 MSKATPRKARHRQHPSNSGPRQQVHASDYESDTAYYMENRNMAP QAPSKTRSDMEVNLTVLRRYDPTIKSVLTIAANAVIYTIGQASAGWEKHGVEGTLFVC EQEPRADSSGQHLPQYCIFILNRRGMNNFVVDLARISNCEVVEELIVFQLEDGYTIDS NETEEGAQKAIGIWMHEDETRPRSANFTTIMGAWQEARTAGSAYLPTDDEGQGAPVAV AETPAPQNVGFVPGQQININDLFANK QC762_204845 MWPFDTVLCFTDLAFHTSWPFMLSFPKHLQRHKSCSFPARQFLI LNMMQNKSDDIHDDNSDLLEPFVETELVNADLFGEWLQQELGDGKDQQGIISKVAAAL GVFKKTLQSLSLESTLPNPRLWNMQVDAVNGNDLRVIVTIPGSSEKIEVVAKDQDELQ FLKGDKCLGYMSKVWHGLAQSLDRLTIYAQRCKELKAQEAELMHPAEATVQKHINLLK EYNDMKDIGQQVIGLIAENKGVQIGKLYENGDYGVTADD QC762_0036260 MVQFLSALVTILSVVAVSAAPVSTEVEAAPVLEERACAYTCGTV CYQTSHITAARNKGHTLRLAGQNINSYPHRYNNYEGFNFPTPAPWYEYPIMKTYAVYT GGSPGPDRVIFDSNGAFDKLITHTGASGNAFVACT QC762_0036270 MVQGKAESVSLVAGSSDVGRLVANSAASVGTGSLFKHRGSLYLG RDRCSRHGDHRENGNEGGEELK QC762_204855 MCQTYQSQTGCHVESHPFCAWSNPVLLPCETNCGLPTVPDWIED MRCDIFSPMCPLCVEAHGPNGVLDSMPAFLGGPSTPNSTMDEVNEGFIENIREDAISS IQEAEYIANEYWIAEPTELSLEYSELDLPKTAVVEGEVVPPEAKRCLIVEGVPEPEDL ALTPTDTIVFRF QC762_204856 MSDSCGDDPLPKPPMELEVKCRKALERYAAITKMDGTRHFPSDI HRQSANIRTFNTHVRDRRTRAALAPDFDQDIDKHIATMAERSRPKKGRQYLRRQYLLR DDHHCIMTATWWALRRYFDLSDRTFHPDILNGPENTISLTFEFIKEYRQFRIALEPGE GNGNIYTCRIMDPETRSMSVDHVAPQDQPITLTHHDNIPMPSRDLLRIHHTLGKIFHA EPRLN QC762_204860 MKFAPILLASAASAHTIFSSLEVNGVNHGVGGGVRVPSYNGPIE NVDSASIACNGAPNPTTPTSKVITVQAGQNVTAIWRYMLSTTGSAPNDIMDISHKGPT MAYLKKVNDATTDSGVGGGWFKIQEDGYNNGVWGTEKVINGQGRHSIKIPSCIAPGQY LLRAEMLALHGAGNYPGAQFYMECAQLNIVGGTGSKTPSTVAFPGAYSGSHPGVKISI YWPPVTNYQIPGPSVFTC QC762_204870 MLSDLSPRAESLVPANVDKLFDLLNTNGEASSGSTWSRAPRSLS ATQQGSRNLLDGVLSPAATTQRPFVQQHSIWQEPLFESGCRGSLHGQQCAGQCSDPKI LFSSLSILGNCLAFATAGLLADDGIVIREAPLEDTPTSLQIAGVPENINATQILDDIV RCAVSSCEGEGRISGMATCTTQLIELRGHLGGPHNASSLEQFQVLHDGLGQYCGKLDM EFEPDIAGPGVLLSQMIQASVSVTSFVFITIISSWARFVLLVHKRGDWKKAERRHRKL TTSRVHGALVSAAVEFQEAQAFFTMAIQIATIATFEPSFTCGLSCSQQESIQSLSDTI MNGQLIRALAVNSMLPVLLTQSVLHRAGMSWWYTLTLCIIVCIFSEVIRWQTVTQVPF HILLGRLKDLVPVDECGGNPSLTAYCLTPLYNLQLVEMPMLIVGYTTALVLLLAQSAH RTWPIISPIVERHRPGQLLAYLGKHFFRVSWYGLQMTLAIATALHFVTLWTISRGLNS SPKDWTYGQVVSAMLWAPILGKYLYYNIFGVKRGVEARLAREYTVIRLEPH QC762_0036320 MRLLERNDTGDFSLTDDIPDDQVPPYAILSHTWGDEEVIFKDIK DSICKNKRGYSKIQFCGDQAGRDGLKFFWVDTCCIDKSDSTEVQRALNSMFQWYRNAA KCYVYLTDVSTCQEDTDGNPGWWELTFRKSRWFTRGWTLQELIAPAIVEFFSKEGERL GDKKSLEQQIHDVTEIPLEALPGNTLSDFSIEERLSWVGKRNTTQKEDKAYSLFGIFD VTMPLLYGEGEDRAFGRLREEISKHDRCLSSLHSTDPRLDKKRIEEAKGGLLAGAYRW VFANPDFCLWRERSESRLLWINGDPGKGKTMLLCGIINELQGAIVADGHCRNLAYFFC QATDSRINNAIAVLRGLIYLLAHQQPRLISHVRKYTDAGKSLSDANAWFALSDILVGM LGDPNVKPTCLVVDALDECVTDLPKLLDFIVCISSDRIKWLLTSRNETIIEKKLKSNN ARTRLSLELKENAMEVSHAVDVYIDDKLSGLEALQDDALLKDQVRDILHNKANGTFLW VALVVQELSMDGVESWHVLQIVEEVPSGLDGMYKRMLDEIERNKRDSEFCWRILSVVT VAYRPLHLDEIGGLSGLPEQIIRSTENIQKIVAKCGSFLTVRDNQIYLVHQSAKDYLS DQASPLLFPSGVAVTHHDISDRSLKLLSGKLQRDVYGLCIPGFSIDHVRVPDPDPLAT VRYSCVYWVDHLCNWQSSDDSKHPDIFQDGGIVDGFLRQHYLHWLEALSLCKSMPQGI LSLVKLERILQYRSITSQLPSLVADMHRFVLHWRWVVENYPLQVYASALVFSPARSIT RGLFTQEERKWITSRPIVEDNWNACRQTLEGHGDGVNSVAISPDSKWVASGSDDSTIK IWEAATGSCTQTLEGHGDGVNSVAFSPNSKWVTSGSDDGTIRIWDAATGSCTQTLEGH GGWVNSVAFSPDSKWVASGSDDRTIRIWEAATGSCMQTLEGHGSSVKSVASSLDSKLI ESGSNDTNPPHYQRYGIDMSKRWITKGSENWLWLPLEYQPQCLAAAASTIAIGCSSGR VLTMKFTTDS QC762_204880 MEAAGLAVGIATLYSTCRDCYNFFTTVNAADKEVSVHLRQTRQN QTKLHNYLLKNRFKAEGVFNILSALADTLSNQEELVRGYEIQFRSTQAIQDGSQLASN VQLAIQDTTIEDIKPVITEFKQRLSKLNKFKWALRDKNDFRKLISDLKSHSESLYCLC PENAFESMNVYFYHGLFGCPGVTEIDKGSSVRPDYELLASAATLKASVNENRDKVRTD DGKLTTIGEEEPEMKFLGKGLALFEGEVVYVEMRDYRGPPLDLTPEQKRKTKRRRILI RNFCNTFWGNNTMKSVYGLNVAGMIDHTEGDHEGHCSILYRLPSMIGIHNRQRPAENL KLRAPVRLKSLLGTRKMDGIRSILGSCFELARSLVRAVCMLHSSGWLHKNIRAESVMF FPKHVSTLQEDRYEIKTEINVSKPILMGYIFSRPDDIKHETRKPSSQKDGLRKAPVDS RNILKHHTTYTWRDTDDSSSKDSEEKHGRVFPRPASIYGRDILNKTKEPEQTKDLNIA GFTLDYYQHPAKHADPQRRYRHAYDVYSLGILLLKVGFWEELKNYEDFRSGYNKIADY DKEDHYERRRWICREYLNRLRWACGDFYADVVLSCLMVDSSDDEVAKESKRKLCARLV TDLENWQA QC762_204890 MAPFYRGRHVLISHTCELSIRSKYSIIRSSSFIWQSSPSTSKPL PTLPTTTHIPYTMAPGILVEEPAAQLPQVTKSNLDAPRHIFPDGIRTSGQHPPLYDVL KPYSEFPKEITGRTVWKRDDFINNPEKWVHPFTDEEVAELSATADAFIASGTPLTGIS KENFVLPKLGKVLTDLREDLLNGKGFILFKRFPADVWGPEKNAVAYMGLGTYLGYFVS QNGRGHVLGHVKDVGDDPTQIHTVRIYRTAARQFFHADDGDIVGLLCVHRAQEGGESD IVSVHNVWNILQKEHPDVAETLTKPIWYFDRKGEVSDGQEEWVRQPIVYIENGGQGRL YCKWDPYYVKSLTRFSDKGIIPPLSEEQLHALKVLEDTCQQEALHMVLEVGDIQFLSN AHLLHARTAYRDFAPPAPRRHLLRLWLATPEGEGGWALPMPDSHEKKRGGIQVNDTPP RAPLDAE QC762_204900 MPPPPAKILIHVVPGFAGNDLDGVPIPNSGWYPSCPLISTAAIM LLFSRNRSYVFLIAGIFLFVFLAIRKRSYQYSYVIDPFQSYHGDGSGDNFLPGNSGDP NYVPPNDYFDDNTDDNVNDKDQNDEEDTADRIEWSKLKSNYPVQDMRQFPKASPQWLP KVQKFIETEPAAERQVRLERRDAVKKVFQRCWNSYRKHAWMSDEIMPISGGKRDVFGG WGATLVDSLDTLWIMDLKDEFKEAVEAASKIDFSKAPGDKVNVFETNIRYLGGFLAAY DLSGDRRLLRKATEVGEMLYVAFDTPNRMPMTRWDAVDASKNKPQEADESVLLAEIGT FALEFTRLSLLTKDPKWFDAAHRITELLHKQQDTTRFPGMWPVIVNARTTEFNLHNDF TIGAMADSWYEYLPKTHALVGGLLPQYREMYEKAMEAVIKRNVFRPMLPDNANVLVSG LVSVSRSGDDTVYSLKPEGQHLVCFAGGMLALGGKLVENQTHVSVGEKLMDGCIWTYS HMPVGIMPETFTMLPCPLTISPEKPECTFSETKWKDAIKRQLEAPDDISPEELNSLIA EKHISKGFTSLPDTRYILRPEAIESVFILYRTTGRKDLPEKAWKMFEAIEKNTKTELA NAALSDITRYDPENEDESKRYPEKTDSMESFWLGETLKYFYLMFSEPDLISLDEWVFN TEAHPLRRLVPDLKGLFD QC762_204910 MSSTGAGENRGELPRRLRALARRGWKVLRVNWHLGVTAFGGPPV HFKIFNEKFVQKSKWVDEQVFQEIFSISQSLSGPASTKMLYCINLLHGGFMAALFSFL LWSLPGAIGMFGLSIGVSSIDENLPRIVYALLSGLNSATVGVIALAAVELSQKAITDP LTRIIVFVTAAAGMLYNALWYFPVLMFVSGVATLVNDYRWIHRPLGTLVRKVKRKRAT HPEAGPQNSATTTRNSHELGPSQPGPSSELPVSEDEANKNERPSGSTAENEPRIVPQE LRFNMSWKTGTVIIVTFFLSFIVAMVLRSVLPDPGPPILYRLFSNMYLAGTIIFGGGP VVIPLLREYVVAEGWVSARDFLIGLALIQAFPGPNFNIAVFLGSLTAINAGHSSVAGA LIAWVAIFSPGLILVHGTMGLWSAARNRRWVKSVLRGINAGAVGLIYTAVYRIFMVGY IDQGFQAGRSLGDDPWWVVITATSYVGGRYFKLNAPSAIVLGGLMGLIRYGVVNA QC762_0036370 MEAAAGDIAKKATCTTCQFSEDFSNYWTAVLFFKARNGSVHRVP QIPNAGFEGSNGGMTVYYMQDGLVNYQQTSKVTAFKTGFRMLIGEAMYRNRAQASKFR QITYTCLKTFGTRYPETMDFPKEPCNFGIMSNVRFPTCWDGKNLDSPDHMAHMSYPES GTFEGGGPCPASHPVRVPQLMYEVIWDTRQFNNKDLWPEDGSQPFLTGFGSHGDYMFG WLDDSLQRAMDSPCYVNCPTLKSQSISAMNQCSVPTVVDEPINGWLKALPGAAEES QC762_204930 MHLTSVLPLAALPLLTSASPISTRQTTPNGTSCSNTSFKDFQWQ ASNFDFHASFIFSTPAHQNSWGYASFDLFNPADKSTVQCSAASNQINDFFYGIIQYSC NDTLRGGSTKFDFNRPSGELRVEQSWTCRDQDPQYPITFTAKGSANVTLGCTEDFYQN ANWTMGDIYSRRTITCGKVDSAVVPYEISAIA QC762_204935 MGHDFTLTKDLGTICEICKPLNYIRAVDYANSSLIFYYPIYQLT KMTKPLRQIAAEKLIGPNANPSQLGDPISLKTETNDANSNPRGEPENKQDFSKTESKV PESSGGSHEERMLRGEGPKGHHVSGMMTDEIRQGKKGAPGVTMEGDATSVKRVEVVGD ATKGGRGKGSKL QC762_204940 MRTETSENTDISKRAGRQNSTNPRVTRWNPRPTQRPWNPERNSP KDSSIVAECSEPPNPRASGDCAIILDPSVMSVPAYISQIGSAWGGWVNIGLPATLLLT PRPPKTPAPTATSYPEIETETVVETLTVYYPESYLDDRPAPDTEVVYPETKITTEIAL PTTETTFTDATVPNPDISPTSTQSAGISTVFTERPSINVVLSIQTVPNPGIIPKPMHP KAAESTIISDLEAMDKKYTETDRLFTSWSPTVHATAPRNVRHSASLKNWSTSDTSWQL LTPANAIIITSTSTSSVPTLRGSSQLGTPEYFMSTSTVPTPRTRGSTTTGSLEYSTFS KAIISPIITSSVSPNEESSTGGNGPYIVYVLTPEAYFLGSFAPLIFAILFYLPWASLD AVAKRMEPFYRLSSSKGAVASESLNVSYESQVPLVGQLWNSLIRRHWVVSLTSFLVIL CQVLMALSPEAIRISVIGTDCRANVACPGVLSVSTAPARAMEVMLLVMIICTAVLAGQ LWNRRSQLYSEPFSLAGTATLVANDGSFLQLFRQVDSQSLTSDGKGLEKALGKNTRYR VTVYKNEGGAAQNGIVLEPPHTTSQAFPATTTPASGVKFLPVKRSNPLILELCLLFLI IVIILPIIIWYYLNNDQNHPLEQFLSGQDFGVRFLFAAIGILIDELWKNIFSKLSILV PYINMSNPNTAAKPQDSMLFEPPFSPLTALFHKSTYRSLPLTIVTMNANMALILTVYL ANIPFSNDKARVAWVASCYFSLAIFGLMIISIIALMILIRAPETPLAPDTIAARLYYL SAGLTIASQFGPFSTLNTGDRDRAITKCDAGLTGYRFEPVTALSKDKGSQSET QC762_204950 MSGSFPAQTGSPLASTKDESPSSKDKSSSTKTRSSSSAFPSEFS SSGFLSEGSVTQSGPRTWVPGRESTLEKRILRKIRQHNDRGDTDAKIIVNFVIDFDHD QYIKSHKKGADTNLNWAYTLTGFRNIVQASTALDYMSQTWPQTGARLYSYLDLRLRQS SYHRFRVQFAGNGGANITVHLDKETPDPEAETCHLSITASGHQNLVASVASQIAWFCG AFRKSPEDGLIYCKPTVSETLHFDSSDSSSDDSMRFNIGYIDTPIDGSDLDVPRTCWN GLFIDQPHTRIVCGYPIRVQPGYAFNSTSVPLTGLEITWKIIMRIREDETPVERPQNL ATTPVVVHGKCFFLISKVLNKGVVFWHLERRYDHEGSEFRLDNLPRPEVSSNFDFDQL ENCRHFIGGECGVRKLFVIEGRLQEGFTCPD QC762_204955 MNSIYYRMPNAKGFELENTGAGLRGSCKIYYYSVSSKHHRHPKP CRQALFTTGTQPYPGVLTGYPIRTLPDYVLPNTPKTGLEMTWGLLARFLGGEEEQPRR PSSREELVKTPIVLKGLQFYALFCDFEEGEGVLAFDTG QC762_204960 MSSSHPPQPAASALPADGLNVLSPAPIQTESSPLLPKPPSASSS SSEAVSEAGTETEESTLIAQPLSPARLYITLTSSYLGVFLGAVDASIIVTLSGPIASE FQSLSLLSWLAASYLIANAACQPLSGRLTDIFGRGPGLVFSNLMFGLGNLICGLAKNE QQIILGRVISGVGGGGLMSISTFLATDLVPLKKRGVVQGLGNIAYGTGAMLGGVFGGF INDTSSWGWRLAFLIQVPIIAVSGGLVAYLVRVPPKVSNKSLISRIDFLGAFFIVGFL VLTLLGLNAGGNLVRWTDPLVLTSIPLGVAMLFALVWWEGRVKQPIIPVKLLVERTVA AACITNFCSSMVMMMTMFYVPLYLQVLGYTPTQSAYRILASSVGVSFASVGSGLIMKQ TGKYVGLGRIVLSVYTIAIALNTLLDQYTPPWIPFVSMTLHGAGYGAMLTVTLLGCIA AVEHSQQAVITSATYAFRSVGTTLGITVASAVYQNILKAKLWERFGDLPGAAEEINRI RDDLGELGRLPEGWHDGVIASFMEAFRGVWLTALGLTVIALISVSLMKQHKLHSTLTR QEE QC762_204965 MPLPSDASTTEVGQRSDSPRSSERPVDGDSVEQLCDSPIAPESS ASVSGETCSKVDSSAEDPYDNCRFRNGRNEKSIHHGGVAELAGMFDQWSNWKFYRAFG WENWHLLVDSQYRISYLSEELREYYKEHPEEARGPTANHKRPAGQPLMEDKLETIKAD LRKELDDYCKKIQDTRKTTTALVTYAVANHCKPVKRCGYVKANEQQYATHPEQWRITN EWMTYNKNLDPEAGIILRTPEDLISLADPPPYWVIQTSADISRRYNQWFKPASQSKDN YIPNPFLTFIFRTIHVIAAALLLLVPAGMLYLAELDKGQVFAIIGVSTIVFCATVSAK TRLDTTTTALAGCAYLAFCGAFAVATGNISL QC762_204969 MVDGRLQDGEDWKGNGSNHEPVATSEDPVWRREYTAATLTGTGH GQLRISQTNLFMVGRLQPHELRVRGGDLFRLDSPSTSRWPCAISAYHHKQRTPLNLRW VTLAWTETEPVRETRIGVDEN QC762_204970 MEDNEIPNDTVHPEVRAHINSLVSALGGYSVDSDDDKYKLGDDA LEVLRDLKKWIRFYDEKTNRMDVARCLAEANIVCTDLLQILALWPPSDNDSKYRSKVA LACYELMVPLTWPIEKDPETMTVNHHRHLPVLQLAQLNYKRAIINFDAAQILNTAIRV ALPSMALPIGDRTARDQGIIKLILYFLRNIAMISPPPGVNYEGDESQISRSALIDAFS FQDVFLAILTIASNMGEDFRTEDVIILEILFHFLKRVDSSKLFVSEKQLNKIKTDELT AAMNKEAAMLRSYNKKGPSRHSRFGTMIWVKRENGRTVTVSGQDALLDPAARERKMDG SKTFKAPRRARKDEADKELGPPVNLDERARQQLKDFVSDFLDSGFNPLFLHLQRSIDR EASHVLESHKAQFFYLVSWFLEAERVRQQANKDQKKPAQPAAEDDVNTFNLVAGVLQP EMIITLNRYLDRAYTDKNWPQLTTVMRCFTQILLTIQEMTDSGNEEDEEIADNLLSNL FYEEKTHDTIASVARTFKDQGFEYLDACTELTHTFLRILEAYSKQNVDMQVRSRRRTR KKKKAKQAEAVAAGGTNSDAEEDEDLVNPVSDDDDEADARKAEHTSQERKFNFAQFAT RFLPQGVVDTFVKFTHFYQDLSPEQLKRAHRYFYRVAFKHEMGTMLFRLDIISLFYNM IKGPLPLDSSTVGKSVYKEWEELVKQILRKCTKKLEERPALFVELLFSKEKQTAFYLE YGYERQTSSVSTNPRPGAELEFKDKMLEKEAQIAIVVGVLLDRNQTEWLEWVKKTLAE AESERRGWEGEQEARRLGATTDGEVVVGLLPEAPYTVIHPSNDKCRTAMFKNPHLRLL MKLVGFERLAPTLDETPDSVWIVPGRMSAYDLKENLNMINKAEFEPPTFEAGELAEDQ LRRKSAGTKAAPRKRAAFDDGDDDDILDDGEEPLFAAGRLKSINPTGDRPKKLRRKRM TINSDGEEVEEVREIDDEEAAARAKARKLKQLEKLRKIKSEVYVRASDDESDEEADKE FFAREEERRQKTRAAYGGPAKEKEKEKPTGVEKSAWERLLDGDDSEEDDEDAVVERPK QKKAVKRGGKRKLDAADLGDDEDVDMDRDDDDEENTADDESERSSSAASPAPAKRAAK KRKPSKKPVDDDGDEEMQSATQTSEKGVGDEDKGGGEEDTDDDMPVAAPVRVRPRVRA GFIVDSSDEE QC762_204980 MASIMSARQVLRLGNRLSVRSYSSAVKHEGARNVKRLGVIGAGQ MGLGIALVAAMKAQVPVTLVDSSQKSLDKGLVFADTLLAKQVAKEKLTQSQADAARAL LLPTTSLDALHEADFVIEAVPEIPSLKFELFSRLASIAPSHAVLATNTSSISITRIAA ATQDTSKPLDTSASSRVVATHFMNPVPVQKGVEIISGLQTSPTTLATAVSFVEKMGKI VSYSVDSPGFLANRILMPYINEAIICLESGVGDRDSIDAIMKNGTNVPMGPLQLADFI GLDTCLAIMNVLHTETGDSKYRPSVLLKNYVAAGWLGKKSGKGFYEYAQKK QC762_0036480 MCHSEPLTVAQHPTPGKPLPTYLLDAFRCSAHLFFLTQQEAPGP HPGCRREKSTVTHGADV QC762_204990 MLVTRQSAPEQSSWSIGKFETAFHKSAESLRMSNSYDAVTQSDW QGQYSFLPPGDNNIFSQSYEHVTGSADHADSQAQPRSVAAPSNALDIEAPKRESPPLG HHRLDPLGLRQPKQPSPIPEQPGNQGEQYAQKATESAHEEPLASTETPGMSLGSNPLS SVSSTGQGPEVGPGQPGNESQTVIKEEDEEVLEDEEMIEGDGDGDAQPQPQTAAERTA QRRKMKRFRLTHQQTRFLMSEFAKQPHPDAAHRERLSREIPGLSPRQVQVWFQNRRAK IKRLTADDRERMIKMRAVPEDFDNLGALHSPYGAVHGLGAPMASPVDLGGSSYDHMMR PLMVDVRRSDGDEHLSPTGLSPAFGSIGFNPSNSLSSPDILSPLSPTSTDRYGYSSHM SSGPLSGGPRTSNPFARQPSIDSSMQMHSHHSRQQIRPLQPLHLRDTMTRSRSDSLQS PLRSSMSWKGDSLDYTTYHGGNPSPQLGGRPHGLYQQDQVGGSSGSGLGGYDSSSYSG STVQSPTHMNYPNYQSSSLQQSQQRGSRLRAASASLPLGLDLRTQYRSSVGSGSMQSA THSPGPRTTSTSQLGGVSSSYTASFPSAPLTAPVDFSLPRTPGYRSTGGDYSMPQMSA PIAPPNDFSQAFQASMSNSSSRTPIRDSFGGGHGPLGLGQQSQSSVDRNDDYSQDPLG MKRKRSFTAATSSANSGPSVYGATS QC762_205000 MPSEKPPLPPGGGEQLLPTHTAAAVSLPQPPAPSSPSPSSNGKP EEPWHRIHLGRGMYLDIKRRLPYYWSDWTDAWDYRVVPATVYMFFANILPALAFSLDM LHKTNGEYGVNETLLASVLGAVVFSVGACQPIVIVGVTGPITVFNYTVYNIMKDSGTY YLGFMCWIGLWSLVFHWVLAVTNSCNWLSYVTRFPCDIFGFYVALIYLQKGVQILETL GDGSPFWLSVVVSLLVFCIAYICGEIGTKSTLFKHWIRVFIKDYGTPLTVIFFTGFAH MGRMKNVPLETLPTGVAFMPTVESRGWFVHFWDLPIGHVFLAIPFAVLLTVLFWFDHN VSSLIAQGSEYPLRKPAGFHWDLFLLGLTTGISGLLGLPFPNGLIPQAPFHTESLCVT EVLTSSSSSSSSSSSSSFEHGGKPQLKATHVVEQRVSNLAQGLITLVAMTGPLLSVLH LIPQGVLAGLFFIMGFQALEGNGITLKLVYLLQERKLRPRDSPLRGCPDKKICLFVGL ELLGFAATFAITQTVAAVGFPVFIILLIPVRAVVLPRWLGEGELGVLDGPTASEFTMI SCGGNSFGGRAGGSGNGQRDQGGVLEGEGAGEETDGDGSGGRRKRRGDEEMGESRGLE VGGEGGVARRRLSRGQSTGDEINRA QC762_205010 MFRSPACRLAALGGGGSGGARRSLPLRAITSPAIRAARHPRIIS SSPAGSVFVLSRRFQSTNPPPPPAGPPENNNNDHHRDVTTPPGTEEEKPIPRGWVRLS EEELKKVEKLLGVIQMPPELRAIFDVNKVPGLPKEFHDIMVKNWTGDFPKLGMMDALR AMRYFPKMLEDIQEFQAQQEEVEEERRKRAEREARRVSFESRKPGEKEEGEKQDGEGK QDGEEKQDGEGKQKGQQRKRGTPPPRPGFQLSDTMSWVVGAILLYPLLSLFMGGDNSR EITWQELRRTFLDKGLVKKLIVDHDRVKVELDREAVNSVYPGSTAGKPGFHYYFTIGS IDAFERRLDEAQQELGIPTSDRIPVSYASESNFSNLLIAFGPTLMIMGLLIWSARRAG AGMGGGGSGVFGFGKSKAKEFNHDAAVKVKFADVAGMDEAKTEIMEFVSFLKSPERFQ RLGAKIPRGAILSGPPGTGKTLLAKATAGESQVPFYSVSGSEFVEMFVGVGASRVRDL FSTARKNAPCIVFIDEIDAVGKSRSEGGGFRGGGNDERESTLNQILTEMDGFNTSEQV VVLAGTNRPDILDKALMRPGRFDRHIHIDRPTMKGRQDIFKVHLKKILTNEDLTYLTG RLAALTPGFAGADIANAVNEAALIAARANASSVAMIHFEQAIERVIGGLERKSLVLDP EEKRTVAYHEAGHAVCGWYFRWADPLLKVSIIPRGQGALGYAQYLPATDAYLMSTNQL MDRMAMTLGGRVSEELHFPTVTTGASDDFKKVTHMATTMVTQWGMSKKLGPLHFNNDE NQLKKPFAESTAQMIDAEVRRIVDEAYKQCKDLLTARKKEVGIVAEELLKKEVLSRDD LVRLLGPREWPEKEEFSKYFDGKGGKGTAAPPFPTESTDTPGGPEPAMKEHEDGEEKR QC762_205020 MDHMHGGFQMPIQPPPLMNPPPQIFGGYAEHGMPMPQLPPDLMA AQMFGDHGLLEDTNEAKRRRIARACDMCRKKKIKCDGKLPACTHCINYKTDCVFTQVE KKRNPPKGAKYIEGLENRLGRMEHLLRLSGLLGEDDNGATDLGTLEKKLAEKQQRSRQ ASQAASNPTSPSQATSGHDGNVSTPQSSLASPEPARDSKDKRKSITPEKDGEGEEQEE VAELSEMMCSLVTNNIGETRYIGSSSGFSIFSPKGIQWVNEKMGDSSFQQMISDVSID DHKWTAWKPDVFGDLFRRVIFRDLPPKNEALSLLKDYFENFNCMFPLFHQPTFMHLVE RQYSSDPYTGSGWWASLNVALAIAHRLRVMSNLVPQEEDDKAWAYMKNAMACFSEIVM RCTDLLSVQALLGMAMFMQGTPNPQPSSLIIATAIRLAHTIGLHKKGTNFNLNPIEIE QRKRVFWIAYMLDKDLCLRSGRPPAQDDSDMNVELPDADPADGIGNIPLADGKGKMNL FRVMCELSIVESRVYNRLYSTEATKQSDGELLNTIGELDQELEDWKDRIPIDFRPEHE IKASHTPLILHIVMLHFNYYNCLTTIHRMSIHHGYWTSRLSNYAIQGLNARPLNPRIF SSAALCTTAARASISLLKYIPQGDFSCVWMVLYFPVSALVTLFGNVLQNPLDPRARSD AKLMNVVVNFLSMLGVEAETGGVHRMLGVCSEFERIAKVVVEKAEKEHANRRKRKNTE QPAAKTTATGDSPSFNPNPAAAAPTPRPTTAGSATPQASHSMNNQHQPPNNNLSPPPN DHRSPPNTGFGPMNGAANGQGTMSSSSSPGMAPTGWHGDFAAAPPNGGGGVPGGGGDY GGYDMGGFDPMNSVTMGGTGGLTSPPLGGGFFQQPMLPQDLFSLPMTLDWDWAEMSGG AYPSVENGNFGGEVSGRM QC762_205030 MGPRIFSIGAGSRDKRKADQILNSSGHRWNWEPKTAPKYSEIMS GTQQVARPLVRSLRQATSTTATCQSRPMVAAIRQFSSTPSRSDEPTTTTPAGSDAQKL AADAAVLVQKKASEITAIKQGSEEELAKLLSPELGSRRRRAAIATTGDIPFEQLPYQC FQEARKVLAKDREEKIAKIKAELARIKRIEQTDASTYRGGEVFKQKRLESLRKHVEEL KIQADINDPVVKRRFEDGHGDMNKPIYRYLADNKWRSMDYKIITQRIHQFNIVPDILP KFDPTMDVKMTFRGYKAPPGTILDSLITESPPNLRMQVFNSGERLLSVVVMDSDVPNP ETDSYGRRLHFMVTNIPWSPTSTSLNLHRLNSKANSESPQGGLPEDGTLAIPWLPPTA QKGSPYHRLSVFVLEHNNNQPLDLGKLKEMYGGREGFSLKSFRDKFDLNPVGFTLFRS VWDEHTAEVMARHGIPGADVEFKQPRVHSLKPPRKARGWEAKRQKPKYKSLWKYSKRI KGLKY QC762_205040 MAGKGMRCLSEAMRGLSLGAQTCRTVPVRTAPLISRRSMATEAP VSKITRSVAEKWTPITTVPVTVHSFPDLAPRSLETYSAKHLYLPLRRDILHLAVVYEG DNTRQGTAQAKTRWEVAGSHRKVRPQKGSGRARVGNKQSPLQRGGGKSHGPRNRDFGT KLNRKVYDLAWRTALSYRYRRGELIVTEDGLDLPLPEDFLQQAQDGLLTRELEDAFIE KYVGEMLGAMQWGKAHGRTTFITGEERMNLFTAMGVAGENGRALELEDVDVKDLLETG RIVVERSALKEMIKRHRSDLVTSIFLPGQKNTKETTMGTVVYPSSKVSYA QC762_205050 MSFHPQTPQSPSQFSPGTSDQNMSMSSSMTSITTALPTPAHSVN GSTLPTDASQDVVMGGDSPHKRKRAFDDLGDRQQKKVHSEGRKLGIDDLHLDVGEKYL LCRTPHPTPRPHVSEDLFELYGLGPLADSVARVLPNGEKNAIRKTYKGFIKKLGVQGH FDSVKQDPHREDSMLWLMQVPEDVWDARYVRGKDIHHGFNPEVKSKLMRATTMSKGVV PKSVWDSSVLGEIGPGKGDRAMSSARPTAPNTPLNLGGSQQPRIKVGTPVAAQDRAKR AVKKRSYGDSSFEGYGEGFPDDDTGAASGYSTGEGDMASGNKRRKKTHGVSQAHPQAR QPVYGAGT QC762_205060 MPSTTSSNLSPPPSVHSTLQLPGASDPYRLPTWPSGPGLVRDPR MSSTQSLVSSTAGLSMEEHGQPRRRKLLVIYIHGFMGNDSSFRSFPAHVHAFLKEALA ETHVIHTKIYPRYKTYKSIEVACENFSKWLMPHESPTTDVVLVGHSMGGLLAADIVLL PRHDAPPSGGPPFRHRILGSISLDAPLLGLHPGIVVSGIASLFRPSPPPPTALDRPSS DSVIPRDIAPSPSGRLSPDASIYEQIRPPSGAPSPTLGQYPFPSPPAAPEPDPTYNPT FFNDVAFIDRGWIKNIAHFAQKHKQENLFEAAASHIVSHLEFGGCLADWNGLKTRYKR LRQLEDIDDIAHPELGPRVRFVNYYTVSTGIPKKPRKPGTPDNGLLKPSTSSPIQSGV STPRISIEDHSEAGRAAEILQFLDPTPIPEEEPLEEEPLKEESSSPTPPEPTQPPPSP PEDDTLPPIPDEPTPPTPPDLDSLPDKETRKQAEKVYKQTKKTYEEAVKSRSRAIKAR EKAIAQKSKEEEKLRAQKAKEAEKQAKAEAKAREKEERLRQKEQDRQQRNKLHKTSSL DQQEEDDEEAGGKKRLGKFCLLPRERDDKCWVQVYMRDVDEVGAHCGLFFDTAPHYEL LVGDVGEMVAGWVREDGTRRVVLGEEGEDLD QC762_205070 MTNHNQAAGGPGSSLFQARRTRHDHAHSNHSPILLNHHNQHRHL HREFSQSLENPQQPQPNHHARSPSDAKLQPRQVVVVQTVSVVQYVDATGALVSLSTLR SDPVAPSPIDTLPAAVTAGLTTPDDLLPSVSLPDPTLDPSQDGTPTPTPPAVTEPEFS SSFQSTSVETLTSTPSGITPPFPILSSGNFNSSSTRLPPTIFTNSTLPALFSNSTRTS TSFFRSTTSSSSFTKSSTSSRTRLTSTTTSSAPTVVINGFGDDAGGVRGIPAPQPTAD DTGSDPGPGLTPEARNAVVGGVVGSVAGIAIVALVLMFFLKWRKQQGRGIMLLGDGDS TIRGRGLGSGPSSGPSGGAGRMSQIRFPFAVPSALAKLNGNRAIEAPPAEPQEKGFYR VSGRKLVSVLESGGDGWSDPDPRNSIGTSYYRDSQAFLESSNLPPLQLGSPMRPESGV IVYHDGPARTPIEEHSPMPGGQRRSAFPNLLQVQDPVGRSINVQHAGSRVSQSRFTED S QC762_205090 MTTTGAEGGEDQKGKKMVKHKRSVSDLAMHLVNGVMMRRDSLKD EDLQSLVRLCGKSFLYLPSEYAAGSLVLPTCFRATAQYLVQHAADTQGVFRIPGSVRV VNALYEYYCCAEGDKDEIASTIRCPNLPSHIKVGTHDVASTFKRLLAGLPGGILGSLA LFDALVAIHSQLKGDPEFPRTKQTKLRARLIALAIGTVKSQFRRELICAVFGLLCLIG RTAEKTPREDELGRPLPTADLMGYNALGIVFGPLLVGDLIHGYTMRIANPNQGLVLFP VTPPAARRERRKCKTSTDTEATHSRRGALSVDKIHVANSIAEMLIVHWREVVKHMKNL DVLKSSPTAISEQHARHHYRQQESLQDKAGGLRPSASESFVVRKPAEWGSGLRNRHSR QSEEGGSPIPPSPTPDARRASGGGPGRLGMSRSSLSVQRQRPRTGNARSSSQHRVGAM ASMPLLSPTAEEPSLADPEATSPTGHHHNQNYHDAAGEQMYGYPPAPLETVQYAAMEE PLSLRQRPPPWAPGESVLTSSSTGAGTAGTRYPTPDNISMNVPEMPRGPSPASPTPTA RRRLVISADSIRFKNDVAGSMRAEKKRLSQLSLNKRADNDDQFKDMAEMNTENTGGLQ RTKNKGCRVKRPSGSFSSARSRDRSSEDHQRGSSQDTARPIVVPGSFTVSSPARVENK GLRDDLPRSDVSGQKRSRLAAWRSRHRGASTDTESPSAQPTSPRFIPFRSRKSLGSSE QPGGSPTAGERRQQIGKERRSSQTRTSMSTTSHDDDKVISTTSSGDIREKRLSRLDNA RKKSSPLPKTPQQSPDKRATTIVESTTPVTATPGTTVQHYLRPVTSVGSAVKAMAAMF ESASKEEAFVPSPMQKVGGGSLDLKPSGVLAQYTVNPSPRKGVSPSKSMSPLKPPPPA CPVKQIEMRKSESMPGEVVERGRTLVPPVVPVRRSKGSVEAAAVWGASPGRLRSPVEV EKMPGVVGEGSDELEAAMEKAKATGVVAGAEKGVDEEMVVTPAPPEREAARRPSSEVT TPKHRVSFSRTTRNDDDSHVTVKEKWSAPSRASSFGDHPGFPEQQQEEEERSAGRQKS QTIALEAQIRSLQMQLVEKSGQIMQLTRELVVKADVGEECERLRERLREVEMERDMWK GRAEKAEERVGMFERVRERARAREVGGEGEGVGVLDGVDDFMEECYTGGYDEVGGWEQ KGEVVSGYNQPAVVIEAPEQQRQYLQPEQNTLSLHPSGNGQKKPVHSPSLSPMPSLEL QQVEQRQGGEESFTERMKRRFRGLPREASSAIASIENSRSSAGSPYDMDEEYPAEILP FPPPPVSPRHRDRDRGDGADGNEDTSSMWRAAEEMFSGVKRQC QC762_205110 MEDDTRTPAEKAHPGPATEGSAPVASSPTTTSAAGTNSSGPLPL RHGHELPFVAAPSSYLRPKPISRTMSDNRASGPLDKEQMQGLRGVREFLKVRTSYDVL PLSFRLVVLDNNLLIKKSLNILIQNGIVSAPLWDSQNSAFAGLLTSTDFINVIQYYCQ FPDEIAHIDQFRLSSLRDIERAIGVLPLETVSVHPMRPLYEACRRMLKTRARRIPLVD RDDETGREMVVSVITQYRILKFIAVNNEQYTMLLKKPVRELGLGTYKDLATATMGSSV LDVIHLMVKYNISAVPIIDKDNRVLNLFEAVDVIPCIKGGAYDELSATVGEALSRRAE EFGGIYTCNEDDRLDAIFDTIRKSRVHRLIVVDDDNRLKGIISLSDILKYVLLYGEED DDIRGN QC762_205120 MGVCNSTCCGGKSRDGLYENVLADNEREAVADLLQYLENRGETD FFSGEPLRALSTLVFSDNIDLQRSASLTFAEITERDVRAVDRDTLGPILFLLENSDIE VQRAASAALGNLAVNSDNKVLIVQLGGLQPLIRQMMSPNVEVQCNAVGCITNLATHED NKAKIARSGALGPLTRLAKSKDMRVQRNATGALLNMTHSDENRQQLVNAGAIPVLVQL LSSADVDVQYYCTTALSNIAVDAANRRKLAQSETRLVQSLVHLMDSSSPKVQCQAALA LRNLASDEKYQLEIVRTNGLGALLRLLQSSYLPLILSAVACIRNISIHPSNESPIIEA GFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKSLVLEAGAVQKCKQLVLEVPV TVQSEMTAAIAVLALSDELKTHLLELGVFEVLIPLTKSPSIEVQGNSAAALGNLSSKV GDYSIFIQSWTDPCDGIHGYLSGFLASGDATFQHIAIWTLLQLLESEDKKLIGLIGKS TDIMEMMKQIANRQVESDNELEDDDEGEVISLAQRCLELLGQGASKSHIEG QC762_205125 MSSLYRLRAITRPHNLSPHRPLTRLSLTQPHPFRPSPTSPAQTN LSPPQKSYSTTTTSPENTQPQHQHRQQNNHHDQNQQSQNEPTPPFPPLHPLRLLLSYL KLLALAHLIWNNLLSLAPAQGPSMLATYPITGTWHLTSRLSRLGRNLSIGDIVTFTLP DRPSAIGVKRVIGLPGDYVLIGTPGPAADDALMLQVPEGHVYLVGDNLPASNDSRIFG PVPLGLIRGKVIASMEPSFTRGPFANFEWVRNPMKKTEPPTREEVLAARDLE QC762_205130 MNEEVMPSPPMLLAVVAAADKMKGSPCAFSSAAAIRSVFLNNAA PIAGPAHLQRLLLPSLATPSRQRSFFGRASPETERFPVGTFRRSPTLDRREPKATDGR ILNYNIRGNMVVIRTKDGTLSEPQDKIEVLRNLDLNKSALEQIAEPHSGRPFPICRII LQGDEQKKAYTQMKAEKKKLQNGVKIVTKEVEMNWAMAPHDLATKIRRLKGFLEKGYR VEVTMMHPKKKSKRKANDEEAKQVFGEVIKVLEEVPGTTEYKSRQGQVGKTQLLFLQG KIPKAQPAAAGMESSPETADESAGEEKEAESQERSDTAGS QC762_205140 MATESNGAPAGAPAPQDSKTSSASAPAKTPAAAPAAAANPEKLT PAQLKAKAKAEKAARRAKVIETKVAVAAATPAKEAGKGKGKQDGQPPQSKQHRGSMSG RRPSIGGPLIEKEKDARSGIPECFSHVPMAKRVQLSQTHKDVDPAVLVAGQQMAAFAI KDSISRLEATLLAFKKVIESYETPKGNSLSRHLVPHVLNPQIEYLTECRPMCFAMGNA IRLLKTKINSFDINDPEDETKEELLEWIDTMINERIKLAEYLIARNAAGLIEEGEKVL TYGRHRLVEKTLLKAKEIGKTFDVTIIDDPYERGGQTLAKTLRQAGIDVLYSPNLGGL RPKVAGATNVILGGEAIFANGSLNAASGTADVAMAGQNAGAKVTVLCETINFDRERVS VDALTYNEIDPERNTAECFRLLYDNTQDKYISGVVTEFESGGGNSPAQAILALLRMRE DPQIA QC762_205150 MVSRLVFWTGFGLATRFWQLGIEMRPFFHRKTLWAYPVFGAVGA SFGYWLEGVDQRQTQLLEERKNAILEKRARRAEREAAAAAASPSVIQA QC762_205160 MATASTADAPVPTPPPAPAPPVVRKFKASDLPLSQVKRATIDSL AHSFKKKGGYDAVRKEVWQEFEGQEAEITKEILEVAEREIEKNPAQLLTLERTKAAAL IDGALDRSGVYQRAEEMISKLINRGAIEAQLRELRRAEIGDEEAEKERLLGAKTDEEY AAETAARRAHNERVRMELQAIEENKRKLERAIKEKEDAKRREEERAAREARRKKEKEE DERREKERRERREQREREREEEREQRRKEREREREREREERDKDRGRDDSRDRDRRRD RVQVGYDSYRGSGDRSNRDRDRSRNRDRSRDRGRDRDRDRDRDRDRSRKRSAERKTEE AKKPLTKEEQERLEQEALADLLRESKRVAPKQPELEIDPVLVPPPRKSKPVSAIDPIR RDSPKVAAEVKKPEEPVVKEAVETKVAPAVKESTDAKPAEPRAEKERRRSRSPSRSAR RERSRERDDDRRRPRTRSPRPRHDDRSRSRRRSRSRVRDRRDDRRDRSRSRRRDDRRD DRRDDRRDDRRDDRKIEETPTKRKDEAVIPKETPAKSEARERSRSRPRTARTDDRRDR SRSRHRDRDRTRSPRSRPDRRDRSRSRLRFNRRDRTRSRSRDRRDRDRDRDRDRRDRS RSRNRSREREKKDTATDKDEKDGSKLHPRDRSRSPASMVARPPSRLNQTEKEAWKQAE VKKREQEAKAYLAAQKEAREKGLEEGRRTGERSPEVVKRRVENSDRYQPGERDRERDR DRDRDRDRRDRDRERDRMDYRGGDRYDGDRDRPRDRDRDRDRDRRRDDSRGERRRSRS RNRSRQRDRDRDRSRNRDRDRDRDRDFRRDRDRSRDRSRDRDRDRSRHRERSRDRDRD RDRSRTRRDRDRRRSRSRG QC762_205170 MNIDPPNPAVILTCWHLVFATVATQILARTTHLLDGRKNIKMTG RIYLRAIVPIGLLYSASLVCSNMVYLYLSVAFIQMLKAAAPVAVLLTAWAWGVEEPSL KRFLNILFIVAGVGLASLGEINFSMAGFLFQVGGIVFEAMRLIMIQVLLSGEDMKMDP LVSLYYYAPVCAVMNVIVAIGSEANRFDFGDVGRAGAGLLVLNAMVAFMLNVSSVFLI GKTSGLVMTLTGILKNILLVIISVMIWKTNITAIQFVGYAIATAGLAYYSLGWEQTVA ISVGIWVYAKSLWERVAGSYSPLSQGEGGGSQEGAGRLPAAVKRALIMGLVVVTVVVL VAGFLYGSGGPATGPVSKEVEEIGQGA QC762_0036670 MAAANNDDDVERQQLHPEPQAAEAEKPRPATVQRGGLHPIFYIL AWIFFSNLTILFNKWMIDGRGFKYRTYNLH QC762_205180 MNTEAYRRILGQVETRVGLDSQQHSTLRQLKDRAVGPIGIIVTL IVVLVSWIYQIAKRQGRVPDLSQLIWKILVAITPARLLYAMDDFLNPSLFPRPPSADR PTTHEAKSDVLKKMLGLETAAGILNSGIEAGRKSLTTLSTAALNVARKSSPDQPPGLL NMDNSCFQNSILQGLASLRPFPGYLSAVSSAMTSADSFTISKLHKLVLDLNNLSNNGR TLGTPAVLKKMNTWQQQDAQEYYSKLLDQISKEVAKSLKNPQNSPALELDWSRDDSDS SQHSDDSGYHSMESQSKYGQDIRVTRNPLEGLIAQRVACVSCGYCSGLDMIPFNCLTL NLGKRMEHDLYERLDAYTSLEAIQDVECTKCTLLNSRSGLQALAERANIPGLAERIQI IEEALEEEDFKDDTLKKCNIPRTQRKSSTKTKQTSIARPPQSLVFHINRSVFDERTGR LYKNGANVRFPMELDLGPWCVGSAAGLTGRDLSSDREEWITDPRSSMVAGGERTSRIT GPIYTLRAVVAHYGRHENGHYVCFRKHPKSSSPPAAAPEVGGATDEPPKLASEKDMSA DAPSTPVTRGTLEEDLEEEQWWSLSDEDVARVDEDTVLAQGGVFMLFYDCVDPEQSLM TAELASCDEMQEGEVQGPMSSFLKVDCPEGEIQGPMSFLKVDGPETPAISTEGDASLS EAAAIPLPDGNDSDY QC762_205190 MDEHPSRRWGQCQPFSHSGCFVTVIVFVWLDWDASRVCLLTIRK ILSSLCPCLLQSDQFPNALQAAKVKKLKLSSPIFWGAGMLANAKCCIPATSSTTTPPK KSGLARKYTSIRNFRPPINTKHHHHQTLRPQPLLRHSARYAAKFGLFTTNSTMAKPTI SKGKKGPSKHSRASRREEPIDINTDKSLKSALPPPISTDHHRPAVLAAQLASSVSKPT RKTGRKAQLSSKARKRQERSMDMAEAVMERTITKIEKSKGHAKVINTRRKPWEEINND VFGEGEKAKKLTKKQLEKQREDEIVRKFFDEGAAEKDEDGNVEMEGAASEGGSEGEGV PTPVQVMEEVEEVL QC762_205200 MDEPTHYFDQCLDELEERPAYQTPDHNVGEQPMELNFASYDDKL GFGPSPSQDDPHPDIDLGGPSFDWPVGTTMDPNAGQKLFVDPGLYHPDPDGEDIKADM QILSMEMSRPSTQRSSSSKSQSNRASKSGSTSTDITLPEDAFQSHAPNKKRKTRKNKK EANIEQDEHKRNKFLERNRIAASKCREKKKVFVSELEEAKVGLETQHAALQMEFNGLV HEVGQLKHHLLTHSKCNDPNIDRWLNNEARRYVQTSNELFGAGFTFGQPTTGVSQPPV LTPASPRSRNPSIASSQYQLQAGMTGFEGLTGGPGSTSGSDRQGSIAYPPGPAALYAS PTDTAFPVSYLDDPATGAGHVHLNGGGLLKRESPTGFNYDHMPDSMFSPGVEDGGEGF GA QC762_205210 METSTPRVTCAYLNSYVGRNVMVVGKVAQIRGEDAIIDADGNIS CKLNRDAHLVPGNGVQVIGKVNPDLSVKVLSSLDLGTGVDYNLANTVVEITHQHKNLF TNE QC762_205220 MATPTNSWQQQAPSHHMVAQPAGVEDFGVQTRPMGLKVQYTFDR DSQVNCLARWPHLLHIQTIPLDERTTIGVVDLRTCLQAVAQSSPEIVNQAENDYSVYA YDFSEPDVPLVGQGMLSRGLDPNNEAAQQQLVPGRVTRNLLALLNSGSRETLEVKLKL TMVAKAPPRPDFSALEGFNLSNSSQMPVDDNSEWNSFVQSSQMFGQNSNVAQVPSPAL PPAPVQNHGYHHNQHNPHSQPNQHTQHNHHFSHPMHEPRPMEMRSDSAPPYMNRPSSI PPPEPQPAAPTPPASHGLPGVATVPDPPRTHTPIHSAPSPAPQPQPTENVVEMQPQAR PSRPSSRTSTRSRRQRPPTGRPRGRPRKSAAEGNTSAAEEATDGDEGPRKKRAKVIRA DYAAVVPFGSAPDSLRVAASTSGSLRTMRPIGSGNDAPTPNHLQDVPRAPTPVPDGAL LQQQQRRRMVGHKARSESVGMENIPVFQHRQPQLPMHEMSQDARSPVESFGQSPDQGY SPEDSVGDLGSSPPVPRTTPYLRSSPPASSPILPPMPMRNVDSGFMSGGLDDFFDEDD MMPDLPPPRMQELSGPMPAQMQIAQPVPVPMTSKPNSRKNSRVRTASQQQEVTFQEVN PGPPELLPTKSLFNPAGRVKTLNRPTPPPTTHRPSPPPASHQAVERPTASIPSDQPAP KKRNARSLKRSHTAPNPVVSEQEAPVQPAQAQTTTQHYGLTQESALPPHFEQPPGSMN SSVRPTADLDNNHVRNGFARASEPAQSPREVSVPAAPLPVMESHEVVEPCFHQQQPLP PTSRPPSRPASQDPEVPTVPASDVGNEPILTLPQPFMSEAPCPPSDFDAPRYSKNLVK KQTIKERLESAIMRGESPPFCNNCGAIETPTWRKIWIQEHKGIPPFYEFSDKPGFVTM IDILERDAEGQPAAYRLVKKNLGTKDDKKVWVETLLCNPCGIWLAKFRNHRPPDRWEK DAARLNQSRKRREGKGKKKSRAKSDGPVNPTSEAYFTTDPAGPLDHESPEENIPESIP ENGTLPESHNTIATDDKLLNLRSSPKQRLPGSTHSRGSGTADSPIAVEDDLGSTRRLL FPSPRKDAMPRVLGELSANATQTATHGQVAKSATSGKENHNTLPARTGTPANGGDQLD QELFGTPPRCPSTPPPSSTAAGVFRTPTRPTPSHRPITRSVSRSMRSHRSIVKSPIDV YMTARRITTPRSGSNHGLLAPPSSSGRRRSPRHAPTQAHFVHDDDAQHFESPFTANLA QLLSEANNFTTGSPSHRLPEIDLGSLQDLDEAALAQQLLESTNAIDFDNLLGTELAMQ PSSPPSTRRKRQGGVEFGAPLGENTWAEPHGAGKGY QC762_205230 MAAFGKSIHALLDTYSNCISLLKAFRHRGDEPNSTTPTPETAIC SQDKQAVLRESLKADRDLVEKAYSSRVRQSGNRFRKGDARAISSVDGVLRKLKEAIKN LLRISSHKQNLDLDYESLMCLSNASRLETIKTIDGLSRRLGSPSRSSLASHSSRTSSK ASKTSSKSSSSKPSKTSPGSLSTSPSHRHKLSSKTSEAPKPKRESAQQEKSSSSKKAL PQPKTDIKDGKSKRSSSSELKSATPKDPQPAAPSPKLTSPSPEPTPKSPKLTPASPKP TPTSPKNSKALPKLPRKGSVKHAEKHLSRSSTAPPKSASSQKPPSSPKLPSSPKLPSS PKLPSSAKLQPAPSPPASPSSIEAITADAHFAMAAIPRLSPSSSLHPRTSPNRISIMS FSSDSTKLGEIPQRKWQPSHRSLYITTTGSPSEEEEDQYNIKPVFPLKPYTVEVKEKG RFWGLFARRGSQS QC762_205240 MRLQPLALAFSLALPVDAAAAWIEPSHRDHQAGRLQHSNGYPIL RWLRDTAVEAFFGKQLRDDDLDTYEINPAVATRHEGGIVVRFNATTLEQKRLLTDITR TLQAFDIWSISHDYVDARIYNAKIYLKKIVDQLPDSMKKPEIMIYDLPAAIWATYPKK TTGGFSLSSLDVLTSREGMGNLFFKDYQRLSVVTSWMRLLEAMFPSLTEMTSIGKSFE GRDIHALRVGARSEEEEEGGSRKTILVTGGLHGREWISTSTVTYLMWSMVTAYDKDPM VTKLLDKFDIIFIPILNPDGYEYTWQEDRLWRKSRQDTGTSFCFGMDLDHAFGYEWAT NNKAGPCSENYGGDSPFHAVEASELANWAKNQTAQHGVKFVGFLDMHSYSQQILFPYS YTCAAQPPNLENLMELGVGLAKAIRLSSGEAYTVTSACESATAYRGKDDTRVEGGGGS AIDWFYHEIGAKYSYQIKLRDTGSYGFLLPADHIVPTGEEVLNALKYFGDFLLGNNGI EKGEFEGSEHVQNPPADQWSDLKRRR QC762_205250 MPFLRRRGNVGSETDMRRHSLFDALPGVPPLKEPPIRAESSTSD LPAALTSVAEDAPAPPSPVPPSPASPTSPMELPRDSISVASTHAQEQPRASVSLALPD DSNKHRRFSMLRFRNASDSQLAAKAKLHAAAEKAPPMPRPPEIITTAPTTTFDGTAPR KKPSRMNFSMRLRRSGEISRTELADNSNERLGLGTMGDRRVSLVPEESSSADSSANAN GKQSVTFDEPSRASFSHAPPAYGDDHSSTLALPATRLSESSRSDASSGDRVYGSTTVT TQTIHTTTTFFKLGRRKKQTDSLFPIAHLQPKNKSSMTHNSNLSSSSLSVPNRVSTDR STASKSSPNGSQLTPTPSRTPSRSGGSVSPSQTVTLFGKGNASPATALFRPNSRHSGH SSPTRTYIHRRGRSSTMSSLGNNTPRESVEEHLTLPSRTSMSTSRKSFGDLLGLSRLR HNTDSISSRHGTLTPATPASSASKNNSLQISRESIILPERRDDDTPARYLERLLEVVS RSVVAAALSKNTEPFAQAVLRSYMRGFMFFGDPMDMALRKLLMEAELPKETQQIDRFV QAFANRYHECNPGIYASPDQAYFIAFSLLILHTDVFNKNNKHKMQKADYLKNTRGEGI YEEVLEVFYDNITYTPFIHVEDDFDINGERIVPHKVKKKPFLLNAAPDPARRTIKEPV DPYAVILEGKLDLLRPNFRDVMHLEDPYSYLGTAKTLNMKELQKTFFKTGVLQIVSAR SRPDAFMTEKTASNPEEAHPGIVDIKVTKVGLLWRKDAKKKKTRSPWQEWGAILTGAQ LYFFRNTTWVKSLMHQQKDHLRKGCDGDPCIFKPPLEAFKPDALMSTDGAVALMDKSY NKHKHAFVYVKNGGLEEVLLAEDEDEMNDWLAKLNYAAAFRTSGVRMRGVIGGNIDGQ GRRALRRLDGDNVQVIQTPTGEVTVSRSRIDHKMAQDILAARREIMMQKITDADDKLK EVEKTLADQHRNARHLSLLTPISQKTRDSLLLAGARIAAQLKWTRMEIWKLKCHRDIL SLDLEEERQLLDTPADSQLQVPITREDSRGSSLTAQKSPRSPAPLSLTRTSTVTSKSE GSSPVTEVFQTPPTSATSTSFLKQERTWESPAAVLDQNDNRKASVSTAISVSSTPATP SRKRAESSTTVEKPKFEGPGEDVDADERDLLAKAGLLEAASSETKSSTAGDEPFDTPE RRGRHSNSTSADRLEKTNIRRSLQRTLREGAGHLSHHRHRKGKDSTSSATNADEGSRD SPDSFPRSTGSFVVHGKKASVINFNNDLQIQGLTAEERIRQRMHRGDDAGSVRTGPSD GTDFQSILTARSVSEREHRGSAASASTATARSFRELHRKYSSAQAAHKTIGSLALPSD EDSDAAISFSDGRHTPLPPIDGEEEENAISTNALTTALENAVVEEEEDDDDDDFPTAR EERNTVYFTPPPGSPVKEEDDGDGEGDDGVVLQKDGGERMASPPPLQCVGA QC762_205260 MASTSETDFGYAPQKKVTTYGKLARRRPKASAVGTLRHAESAPI VPSVSSLHKSPTTSPEPSPAPASASASRTLVSSKGKLQNIRKAGRDASSKPSDVSHSQ PLPDPYDIYAIEDEPEEDTRPKKRRLARVQSEKTSKTSLPYSTPELSPEKAPSPEPVT RRSDPKDRSSVVPRSRQTPERDVHMQDAPPPAAPLFSVKTTKILKNLSVGTKNKSSKK PEPEKTQIPIRLSGPQSSSSKPPPPIEIEPTSLPTSAPESIPQSQPGKAPKKRRLIDT LVEQADEESESEEEAFSSQDSHISKTRRSPALRDSSPEPVDKGRTMARPVLTARKSGP KFTYSQQRSMLAEEDPLFGSGGLGGGLDDMSSKGALFNIGRLTKSAALSKFAYLDEEE ELGNSGAVQSIHELRQAGANSRFADEMGDIVDRIGVPSPKASSLRKGALLELAQKMKT KEFRRQFRDHSGDEALFRSLGEETDLVCGYSILAIVATLLAASPSAHLIQQLRSQGFA ALLKRLLDQEEDISRLVRDRKHNVSKNMQTTLGTVKASVLELPAWKPAPPGSLSPRTL ALKCLELLLRQSTRVSEDEFFSPAITDLLFGYLKDGTSNPDSWGFPGHENSWDFALSL HVVEGLSLDAMQSSRLSARWTRKYAPIVADLLDGALSRPVEGFGDELEGLVLRTSINV TNHNPDTCRLFVERGLVGRLARGAWGAFGMVMKVEVKVKEEGGVRGRGLLDGLIIMLG VMINFCVYYPPAGETLAGEGGLEGLIGVFADNHLKMADADSMEKTQLNVALGYLSILL GYLCLHEPIKERFVRVHPKKSLQPLLDSVNEFIALHIKAAGVNGEGGKGGSIERLKGL SEQLAARNY QC762_205270 MTEEVAPVKCVGPEYRAEDQKPTATVSTVVHFDNVTVLPQTPQL IALLSIIRNHSTARGDFVFYSNRIIRLLVEEGLNHLPTISHTVTTPVGRPYDGLSFQG KIAGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETAQPKLFYDKLPEDIADRWVL LLDPMLATGGSAIMAVDVLKSRGVPEERILFLNVLASPEGIKNFAEKFPASRVVTAFV DEGLDEKNYIIPGLGDFGDRYYTR QC762_205280 MGKRKKATRKPTGPRRNEPLPSVFTCLFCNHEKAVSVKVDKKAG VGSLDCKICGQHFQCGINYLSAPVDVYAEWVDAADAVAQENSEKAKAAAAGGRSSNSG GRAARRVEADDDEDGYGDVIPDDDDYE QC762_205290 MGSTSTNMDDLKEALQSISQTQTALLTAVQSIADRVNTLEKKDT SSSSPPSAQALDRSATPLKTGFTQTPTSELPAEAGTQTPTATAPTSPVATASKQFTSR VILTTYPHQIGISPLPLNWGAPDPLSRGPVTVSRSPSTIGRRNAIGAHGGSYSIYYAL ALASGELPHNHKPDYTNTEPAVSIGPFPQWGDKKKIVAMDPWGHLVPWTHKELMEREG VDLRPTIAVTKAHMTLPELEESVRSGRLRPDGKVCLNGRGELAVTKFAVEPVWYLPGV AERFGIEEGVLRRSLFEETGGMYPELITRSDIKVFLPPIGGLTVYVFGDPANMSDPSK RLALRIHDECNGSDVFGSDICTCRPYLIFGIEEAVKEAQNGGSGVVIYFRKEGRALGE VTKVVVYNARKRGEDRASDYFKRTENIAGVKDMRFQALMPDILHWLGITKIDRMLSMS NMKHDAIVGQGIPIHERVELPEELIPADSRVEIDAKITAGYFTTGHRMTDDELKAVQG RIWEDIDH QC762_205300 MMASLTLTPDSRMQRRDSSDRSASKRRPRLLRATATEPSITTSN ASRNALCSQSNRATDLLTRAFVSGSPPGSSSPISVRPSMFDSVDRQQQLSVSPVSQPD SYDERPFSGRYFSFPSFDDYEGSQQDDKESEIKSP QC762_205310 MAVGKNKRLSKGKKGLKKKAQDPFARKDWYGIKAPAPFAIRDVG KTLVNRTTGLKNANDALKGRIVEVSLADLQKDEDHAFRKVKLRVDEVQGKNCLTNFHG LDFTSDKLRSLVRKWQTLIEANVTVQTTDHYLLRLFAIAFTKRRPNQIKKTTYAASSQ IRAIRKKMTEIIQREASSCTLQQLTSKLIPEVIGREIEKATQGIYPLQNVHIRKVKLL KAPKFDLGALMALHGESSTDDAGQKVEREFKETVLESV QC762_205320 MASDDTLPTLKVLLIGPSGAGKSALLTRYCDDEFDPETSAATIG IDFKIKVLNVRGKPYRLTLFDTAGQERFRTLSTSFYRGAHGVLLVYDISTRASFLSME RWFNEAEANTVEGVALYLVGAKLDKADAREVTTEEGQALAEAHGAKFCEASAKTRENV RLAFVDIVDRIVQTPGLIQNARLGRAAGAVALGNGGSSGSGGGDGYGAYLPGGCSC QC762_205340 MAPRATALRLVAKRTLTPSLCQTMKMRYSTVPLAYDLHEPAKPS VGNPNKNSPIVFMHGLFGSKKNNRTISKVLARDLGRSVYAIDLRNHGDSPHDPHHNYT AMAADVGDFIKQHDLKDPCLIGHSMFVPLPPPSPIILPTPSPLRGAKAAMTLSLTSPT LISSLISVDNAPVDARLESDFARYIRGMKEIESSEVTKQSQADSILQSYEPSVTIRQF LLGNLHRPRHPESQVQKFKVPLSIIGKALDHLGDFPFKDPREVRFEKPALFVRGTKSK YVPDEVIPLIGQFFPRFELVDVEAGHWLISENPEAFRRAVVEFLSPKE QC762_205350 MAPFLELAALALTLFTPAIAYTEKPSIFSFSAAGTGLQLGGANL APEIRVAPNDLPGVKRVANDLALDFGRVLGVNGTVVVADWSSTISQKWTKPIILVGTV GQSSLIDNLGNTKKFDTVSIANKWETFAYQVVQSPWEGSSSVFVIAGSDLRGTAYGVY DVSEKIGVSPWHYWADVPAKKKQYIWANSDAYTEGPPSVRYRGIFLNDESPGLTGWGR TKFTPSQYGSPFVLDFYKLIFELILRMKGNYLWPAMWSSMFYLDDTRNGPTATEYGIF VGTSHHEPMARADKEQGRFLRGSWDWRSNKAGVQAFMQEGPTRAKNWSTIYTVGMRGS GDAASPSLNSQALEEVIAWQQQTLTKTIGKPLSEIPHAWMMYKEVPGYWQKGMKVSDD VTIVWSDDNRGNIRRVPIGNEGNRGGGTGMYYHFDYVGDPRNYKWINTIQLQKTWEQM TLAYDSGVDKLWIANVGDLKGLELPTAHFMAMAWDRKAFTDLDSTKKWLGVWGARQWG ELAGDTTASIMTRYGKLTARMKYEDLSRTPFAFDTISYDEAELNFNEWTSLLKNAQAV HDALPSDVKTSFWEVVLHPIVAGRGVYEIYTKVALAGTYRGQHRVSANKLLRDVQAAF SADQAITRQYHSILSGKWNNVMAQTHIGYNNWQEPASNSLPGLTWLTTQAKNALMGVA VQGSSGAFPGSASLTLPAASPYMAPSDQRWLDVFARDNGTFSYRITSNASFVSVTNAQ NTISTTSGTTDIRSLISVDWSKAPVGNTAASLSIANLNTTGTTATVILPIQNNNVPDD FKGHVEANNVVSIEAEHFAPPPASSSAYLVIPDYGRTLSGVKLPPKTPSQQAGKGHVL SYPFYTFSTATAATLTVYLAPSENANPNSPNKYTFGIDGGSQTTVQTVGMTDGSTQPQ GWSDAVVKGSYVKTNNIGRLNPGKHELKVWLLEPTMVITKVVIDVGGLKSSLMGPPES AQV QC762_205360 MADPAVAALNSLLREASIDDHAEALELATAAVRSNKIQGPDLAS AQHTRVVALLKLDRYDDALRVIAEGGDALEKDCSFEKAYALYKTGDLEGAEAVLQKTG VDTGKSQRGLKHVAGQVAYRNEKFERAAAIYRDLKDDAEGANYGEENDLRINLTAADA QLQWQGKEWAVPEGEKQPAREDLEAFETAYNAACICISRGDYTKASVLLKRSRDLCEA TEDLNEEEKKAELVPILVQQAFVFTKLGKLDEALSLQNAINLSEVSETSTKVIAQGNK LLPKATANPFIAERLARALPKIEGNDRLFGFQTAALQRNKNVIDLQAQKFEGKEIRAH KLLAGAQVPDLSVSKVDLGVAGAAAATKCGDGKPTVRQLLPLLEKRPNDIGLLLTIIQ LYIQISTLPPAILLLEKFFTRLEEANTPEHVDVRFAPGLVALAVSLYRSQNRQRDVRN ELAKAAAHWRSKTEAAGAGSSIAREAGIELLRSSHPSDLAAAGEAFEQILSAQPGDRT ATAGLVASYATTDFAKVEPYLESLPSVEKLTQGVDVSALIDAGVALLTPPSSQQSKKR KFDGEGAAPEKEKQQPAKKPRKRKLPKNYDPTKKPDPERWLPLRDRSTYRPKGKKGKK RAQEATQGGVVKEEETLELAGGAGSVKVEKAGGGGGGANKKKKKGKK QC762_205370 MAVNNVQIFQLGIRDQFNGVTEREQRYAHHMARVAWYGARIILE QVSPESRPIFDFILELYRAFRNDFTRTMMRIKRMSCAVESKADVLRMQKDEGRYKEVL ELLNAMKVTKIQTQ QC762_0036880 MLLKAIDIGAASQADLQNASNISRSPGGLPLALAQIGGFVTQRK LSLQEVLPLYERYAAKIDARKAPRSDYEHTLSTVWDVSFQKLSANPTRLLYLLFYFDP DGISEDILIHGSEGLSESFAFLSDELDLGDASEELGPEDPFIAYSLNNITLAYTEVNA LDLACETHQEAIRLRPKANSDRIGNSYSNMSSLLLRMGRPDEAEEMLARCPSLKDFTV ETFLSTGYPRFSGDMVLLSRIRLAQGRTNEALRLASKALAFPSMLYRDGHVSSAVNLL EVVVGISETPVEGQGQQARALFKLSQIQGENGREAESAALQERAV QC762_205410 MPANTPTKQRKIAIVGSRSVGKSSLAVRYVDGHFVESYYPTIEN TFSKEIRYKGQDYSTEIVDTAGQDEYSILNSKHFIGIHGYMLVYSVSSLPSFEMVQVI RDKILNHLGTDSVPICIVGNKSDLRPEQRQVTPEEGKALCEKFKCAWTEASARYDENV AKAFELLIGQIEKTQNPGEEVGGSKCVLM QC762_205420 MLDINDFIAERGGNPEKIRESQRKRHAPEEAVDEVIALFEDHRK TQYAATQFNAKINEVQKQIGPKKKAKEDVTELLAKKAELEKEKKDMLAQAAEKQQILN AKLKTIGNLVHESVPVSNNEDNNEVVRTWAPEGVTFEKKNVLSHHEVLEKLDGYDPVR GVKVVGHRGYFLKKWGLFLNQAIINYGLEFLDQKDYIALGTPMLMLKEQMAKTAQLSQ FDEELYKVTGDQADKYLIATSEQPISAFHSDEWLQSKDLPLKYAGYSTCFRKEAGAHG RDVWGIFRVHEFTKVEQFCLTDPEKSWEMFDQMIANSEEFYKSLGIPYRVVAIVSGAL NNAASKKLDLEAWFPHQGEFKELVSCSNCTDYQSRDLEIRFGVKKQTEIKKTYVHALN STLTATTRTICAILENFQTEDGVKIPEPLRKYLPGAPDFIPFPGKAKKAEETKEVPIR QC762_205430 MTEARHQVNFITGNANKLSEVKAILEPAISVTNQSLDLVEIQGT LEEVTIDKCRRAAELVGGPVLVEDTCLCFDALQDLPGPYIKWFLGSIGHEGLNNMLLA YEDKGAKAVCTFGYSAGPGHEPILFQGITHGKIVPARGPSNFGWDPIFEYEGKTYAEM DKAEKNKISHRSRALAKLQEWFAKEMTA QC762_205440 MTDTMECPFCGWKTKEGEYQMLLHMETLHAEGEPPFLAAADQDR TSPSPNSNGTANTGNDDDPGYVECPVEGCGEFLLLSQVEYHLELHTTEETDVHDYHPP PPDPITAVSEPTASSSPLSNAPESVSKSSHKASEPSTRHSKAISAWKRLLKMPSSSSY SHRILWRRHDSKTSGDSESKTRGTRLGRKHLGRYAHEDRMPDWLADLLRKKGQVQTAG VVPVLAQLLESSLSTHHAYLCHPCVHHISKLKREGGFCGYRNIQMLCSYLTQPPQSTT IPNAHKLASQIPTIFQIQDYIEAAWDNNISATGRAETGGIRNTRKYIGTPEAVAMFRY LGVPFAAQSIKDKSPAKAVEMLLRTVEEYFRSDDKAIVRGEGGKVRLTGLPPLYFQHL GHSMTIVGFERGAGTGEGRLLVFDPHFKDCYQVLGMVGINNNSNNIGDGKRGAGVVPV RYPYPDGALKGYRRGGGYLGDFKEFELLKWVGLNC QC762_205450 MADTLKRTFHGCLTCRKRKVRCLGGSPSCQNCSRMNITCHSSFE TNLRIRVSTPTGQKLVDNRPAPPTRGPRRCLPQPPAAAPAPAFDHTHQHQQNETYPSI TFEPHFSSFSLSQPPPLTAGYGQGQGGGLAVQVPPQYTTTTTPASVSIPSFDNGLYNW NTSFDFSCVDPSLDPGFGNGFNNAVSAGMVNSSSTMGYGDLMPGLLLPPGFATSAQMP GLVVVSSESDFGGGGGGVFLPGGQEGTKEWVPKRRKRSNKVVEKEGEGEGGGWSYHR QC762_205460 MKRTLPNIVLTGTPGTGKTTHASLLAERTPLRHLSINDVVKDKG CHEGFDEEYQSWIVDEDKLLDAIEEQVKEGGWIIDWHACDLFPKSWVDLVVVLRAGTE VLFDRLSKRNYPDHKLQENLDSEIMDVLLQEARDSYDEEIVVELQSGDADELEANVER IEAWLEQWKKDNNQT QC762_205470 MSESYDVGTRAWQPDATEGWVASEVVKKTVDGDKVKLIFRLEND ETKELEVSLEALQSGNDPSLPPLMNPTMLEASDDLTNLSHLNEPAVLQAIRLRYLQKE IYTYSGIVLIATNPFARVDSLYVPGMVQVYAGKQRATQAPHLFAIAEEAFMDMLRDNK NQTIVVSGESGAGKTVSAKYIMRYFATRHPSDSPGSRAKKGPEAMSKTEEAILATNPI MEAFGNAKTTRNDNSSRFGKYIEIMFDKETNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVAGVTDKERQELGLLPIEQFDYLNQGNTPTIDGVDDKAEFKATKQSLTTIGVS EGEQAEIFKLLAGLLHLGNVKIGASRTESVLAATEPSLVKACEILGIDAPEFAKWIVK KQLVTRGEKITSNLSQAQAIVVRDSVAKFIYSSLFDWLVEIINRSLATEEVLSRVKSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYLREKIDWTFIDFA DNQPCIDLIEGKLGILSLLDEESRLPMGSDEQFVTKLHHNYAADKHKFYKKPRFGKSS FTVCHYAIDVTYESDGFIEKNRDTVPDEHMAVLRASTNAFLGQVLDAASAVREKDLAQ ASSNAVKPAAGRRIGVAVNRKPTLGGIFKSSLIELMTTINSTDVHYIRCIKPNEAKEA WKFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLVPSQQWTSEIRQMA DAILTKALGANKVAPGMDKYQMGLTKIFFRAGMLAFLENLRTTRLNDCAILIQKNLKA KYYRKKYLAARGAIVSFQALFRGYRARKEAQELRTIKAAVTIQKNWRGFKQRREFLVI RNDVIRAQAAIKGYLRRKEIMETRVGNAVLIIQRNWRSRQQLRAWRDYRRKIVIVQSL WRGKTARKEYKVVRAEARDLKQISYKLENKVVELTQSLGTMKAQNKELKVQVENYEGQ VAIWRNRHNALEARTKELQTEANQAGIAAARLEAMEAEMKKLQTSFEESTANVKRMQE EERQLRESLRATNEELEAARQQSEQSEVEKNSLRQQIAELQEALEQARRAAPVNGELV NGNGPASAAPAGLINLVSSKKPKRRSAGAEPREMDRYSMAYNPRPVSMAVPGMNRQTT LSGSTFIPGIDSIEMELEGLLADEEGLNQEVTIGLIRNLKIPSPSSNPAPTDKEVLFP SYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMNHDDEEAINPGAFWLSNVHEML SFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLNKMIVPAI IESQSLPGFVTNENNRFLGKLLQGNSAPAYSMDNLLSLLNSVFRAMKAYYLEDSIITQ TITELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLEHL MQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVAS RVTEKSDVLLLQAVDMDDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSQQAI AQQEKLEFGSQAGDFDTHSMNDLQEMEEGPGSIQASA QC762_205480 MTTPKPISQSSLARPAVSNSLSVSPTATFHSPPASSSFMASLAL RAAAKQLKPFSTEDIKILLLENVNQTGRDILSGQGYQVEFHKASLPEDQLIEKIRDVH VIGIRSKTKLTAKVLQEAKNLLVIGCFCIGTNQVDLDYAAKQGIAVFNSPFANSRSVA ELVIAEIISLARQLGDRSNELHRGTWNKVSAKCWEVRGKTLGIVGYGHIGSQLSVLAE AMGMNVIYYDVVSLMAIGTARQVPTLEALLGEADFVTLHVPETPETKNMLGAKQFEQM KNGSYLINASRGTVVDIPALIKAMRAGKVAGAALDVYPNEPAGNGDYFTTDLNPWAEE LRGLNNIILTPHIGGSTEEAQRAIGIEVADALVRYINQGVTLGSVNLPEVNMRQLTLD ESNHARIIYIHRNVPGVLRRVNEILGDHNVDKQISDSKGDIAYLMADVSDVRPEDIKE IRDSLDGLSSRILTRVLY QC762_205490 MAEPIKNKRPDPAVAPFVSISPIYPAVVAAQQDLACDHELTILH DAEDLGGGVTAASIFAHDPRLVSLIQGRLGSLVGKSSGYIESLPAEVKRRVSGLKGIQ KEHSKLEAEFQEEVLQLEKKFFAKFTPLYEQRAAIVNGKTEPTEDLVKAGEEDEDKAE GAAVETKGATDGGKVNGIPEFWLSAMKNQISLAEMITDRDEGALKSLIDIRMEYLDKP GFRLIFEFAENEFFTNKIITKTYYYQNESGYGGDFIYDHAEGDKIDWKAGQDLTVRIE QKKQRNKTTKQTRIVKKTVPTESFFNFFSPPKPPTDDDDEAAEDIEERLELDYQLGED IKEKLIPRAIDWFTGEALAFEELDEEDYADFDDDDEDDEDDDSEDHDDEDESEEDEED GSKPKQEPSECKQS QC762_205500 MENDRDHDLPPAASNRNGFYSLQGNHSSVSVFEDVEMAHDELYS GPMAESLPTSVSAFHHRRSRADSTASFSFWQEEDELNDPLAIEERRIGDLDELPFDDE LDEEQDSTDLERTAEENDYVLHRRASTQSRGDSVHSMLLRRDSGTSAGSGYGSNRHSQ KAHIQNEDLYIAIAGFRTSKIGYALYLLICVFTLGVGWLIFYWSPKWRVKLVGRSALL RECEWVVVENSWNEMTAVDVVSKEYGRTLSTVFGSPSKFTSYLLDDDPDPILPELRYI DYRHIRFFFHPVRDKFLICNGWKDPLWTDVQDVRNGIDSDEQVSRRTVFGKNDINIEK KTIGQLLVKEVFHPFYVFQVASLVLWSLDEYYYYACAIFLISAISIIQTLIETRQTER RLRDISRFECDVRICRHGFWNTILSSELVPGDVYEVSDPRLTQFPADSILLSGDCIVN ESMLTGESIPVTKTPATNDTLQKLDLNAPTPVAEVAKHTLFCGTKIIRARRPQNEDEQ AVALAMVIKTGFNTTKGALVRSMLFPKPSGFKFYRDSFRYIGVMAIVAAVGFLASIVN FIHLGLAWHEIIIRALDLITIVVPPALPATLTIGTTFAVRRLKRQNIFCTSPQRVQVG GKLDIMCFDKTGTLTEEGLDILGVHLVSRDTNKFIDLIENPDDLAVGHDQPPAVGGKP DTRSTALYTMATCHALRKIDGEMLGEPLELKMFEFTKWSFEEGDLGGGRGDDEEQGTL KPSIARPPPDAKADGTGEASSIELGVLKSFEFVSHLRRASVIVKDFGKPSGDIYVKGS PECMREICKPETFPEDYDEVLGQYTRKGYRVIACASRHIKKLSWIKAQKMHRHDVESN LEFVGFIIFENKLKPTTAAVLKELGDSNIGTVMVTGDNILTAISVARESGMISETAHC FYARFATGHTKDPNAELQWESIDNPIYRLDPRTLLPLPAPPEGDASLPYDISNMRNYS IAVSGDVFRWVVDYAPAEVMQRMLVTGKVFARMSPDEKHELVDKLQSIDYSCGFCGDG ANDCGALKAADVGISLSEAEASVAAPFTSRVFDITCVPKVIREGRAALVTSFACFKYM SLYSAIQFTTVSFLYASASNLGDFQFLYIDLALILPIAVFMGWSKPYPVLCKKKPIAD LVSRKVLTPLMCHMVVCVGIQTVAFVGVRGMEGFIAPTTPKPGEKPDVENSEVTGLFL VSCWQYVLAGWVLNAGRPFREGVGKNWPFLVTMATALGLNGFMAVAPPEWIGFMRLTR TSWEYKVVFVFGLGAGVYLGLAWVGEHYVFQRLAGWVGRVKGWLRGGEKGGKKRKEYK VILERMRF QC762_205510 MFARFSRALPRSQLAGPPIRPFYRYTATGLGASMWFWIFYKAKE DGPVLLGWKHPWDH QC762_205520 MSASESQEAAGRPQSPRSFAIAHQRPRSSFVGCSKIADYEVLGK LGEGTFGEVYRARSRKTGALVALKKIIMHNEKDGFPITALREIKLLKLLSHPNILRLE DMAVEHPPRSADKRKRPIMYMVTPYMDHDLSGLLDNPSVTLTEPQIKCYMQQLLQGLE YLHANRILHRDMKAANLLINNKGILQIADFGLARHYEGKTPQPGHGGGEGTRDYTALV VTRWYRPPELLMHLKRYTTSIDLWGVGCVFGEMLVGKPILTGESDGHQLELIWDLCGT PTIETMPGLKDLPGAEAMSPKPRQGNLGQRFREYGSGAISLLRELLKLDWRSRINAHD ALQHPYFRNPPYPAKPEELPSFEESHEYDRKKYHEKRTVLPPAPRGGTVGRGPNNDGD GYRNSGGPGGRGYPRNNNNRNFGGPAPAPDERVPAWHRDTRLPPRPPPPADYGNGRDR APRGGGGGNRQDVDTYIPSYDRDAPRRDDRRRDDRRDRGGPGDRRRGDYDDRTRSNRT RSRSRSPLPPRDRDRDRDRDRDRDRPPRDGPPHPR QC762_205525 MFRGVGWRRRHSQEEIERAARVLGVPVPVPGSRGSSRGRVLPTD GGWERCSTSSSGEVESTRPSVVPLRRSGQRRSRRPGDGWEADDELRKGSGEGSEEEIA WSVGSEERPSTPPRVGFCEGEGDEEGGGEQEGSGEEDGGEQGEKGGDKKRKRSRSPGG SERQGKKRRRGSKPPSAEASEESEVDLNDNPSAEAPEERSDVEMDDEEVDDEPAEEQA EVGMDDEQTDDESPAQPQAPPQAQPPPPPQPPPQPSLSRDDQQGAVPHGSLVSMLR QC762_205526 MTPIVPALSDGICIPPSLLRRHQQWTPRSSRSCLNTLQPLTLHL PSPPLSRCPREVSSSISPTTPTTTTTTTTITTTTTTTPTLVYICGSSTMDPLTVLVNS TTAPVSERTSLTLLRTVFGTLYWPWLITKTVTIWSLQTIWSVISFFIISPTRAVFNLA TAPFGIVIHIFLELKPLPILLLYAAVIGFTTGALIVFITEQFLALTSTSTSTSTSTPK RTATPNKPPGAMTYKQQKMDLPVTPGSVDSTSSLSFGTDDDPWDSSATTAVMSSSNQV NFLIPAASIKQRPQKPRLQGLLTETIHEESSSNLVISYLLGVFSLVGSILRYLLRLLL FVATPFLLVLRVLYYFVAIGYRHFAPALIWDVIYFLAPHRTVLLDTEQAFCRWTGVDW RWLRRRIQLTVGEDKRRWDGWWEEWEFERRKGMPFVVGTMYGDTRRGMGWKERVDEAG LAMLDLGVIEEVEGEGSGKERGDWDERESDIAGTEAGGGKGEGVRNKKKQKRKKVKGK NEFQGGGFGGNDLKYLMGEDVAVESETTATKKKLGSSARKRLKKGTEEIIVKNDEEAE PELTSILNKIKEAEEIKAYEGDVDKKNKKKRGYSRDKPFSMLEQKRRVSKEIKKEKEY EADEEEGAGGESEEDKEEEVRERVEETEGCFGSLFR QC762_205530 MTEAMDLDSPPPTSTTSLPKPKTSKPLLTTLTLPTPPFSYANLT RLTPSPSTTQQQLDPLLLKSYLTSALSQFLGQTGAAIPIDILQVGPASSWVRLPRPDL AAFTAAIASFSGLNNGKEKLVLRVEAAGDFLGALVDRGEEREIWGK QC762_205540 MFLWSRKAQPEPEKEDGEMATPEEQAVALKNQGNKAFAAHDWPT AIDFYSQAIELNDKEPTFWSNRAQAYMKTEAYGYAIRDATKAIELNPGMIKAYYRRAT AYVAILKPKEAVKDFQTCVKIDPGNKDAKLKLVECQKAVRQLAFFEAIEVGDEPSVAD GLDVDSMHVEDSYDGVRLGSEMTQEFIDDMTERFKNGKTIHKKYVYQIVIAVKKLVYD EPTMVEVEIPEDVELTVCGDTHGQYFDLMELFRINGTPSDKHWYLFNGDFVDRGSWST EIALLLYANKWLRPKNFFINRGNHETDDMNRVYGFEGECKAKYNERIFKLFSESFSAL PLATLVGKKYFVLHGGLFSDDNVTLDDIRKLDRHKQRQPGQAGLMMEMLWTDPQSEPG RGPSKRGVGMQFGPDVTRRFCEKNGLEAVIRSHEVRMAGYEEEHDGKCITVFSAPKYC DMTENKGAFINIGPDLKLRFTQFDAVPHPNIRPMAYANSSMMSSLM QC762_205550 MGATFSAVKTLVVPAIISLILFLLSTFVLYPLWQRYRNRYSQYL PIDTLSEQTSSLRARITGGLSNLIFTSRWSTGFADRLVVGGRPSFDSEDGEELEDVDE STGRGGNIGDSIDSSRRLSRDLEEGFIDDSDESSSDDSSR QC762_0037060 MLSVSESVLITLSPKEQAATADRRCPKTGAEINHDVSVVDQPDT LSTATETSISDLINRQNRQTLAA QC762_205560 MSSHDKARASSGKASSFFHRSKNKVDKRTTANDAQYLVTDNDGA SSVHSRSSRHQRESSVISLDRPDSSGSGINNMAGVMTTIPYDAVPSGRRSPVPVEYLP QGDQMPIRLQPHHLNKATSDFHQYPTFDTPQHASSPNLSTPRVPAHASPSISNITMAT TGRQAQFQQWGPPREGGSSNNSRYNSYMTTTTRSSGDNASIMSGNTGSYHDQAQAQGH NRSSRTALPSASSQSSFLSPHSPAPRDARNTKFPSGFQNSDGFQFPRPTDESIIEQMF LALMQKRGWHNLPDQAKRQMVAYPADKKWTLIYQDRLAEWQGDQKRRQTARTGQYSNV DLTQLPDEEGSPEWYVRKVMENALDSKGLGSLEVNLRTQQIGWVKRFIECQGQVALTN VLLKINRRTVNGPTQDSGKGDKNLDKEYDIVKCLKALMNNKFGAEDALAHQQVLVALV VSLISPRLTTRKLVSEVLTFLCHWNEGKGQLKVIEAMDVVKNQQGENGRFDAWMRLVE VTIDGRGKMGSLVGASEEVRSGGIGMENLLMEYAVATLILINMFIDAPEKDLQMRVHI RAQFTACGIKRMLNKMEAFQYDLIDKQIERFRTNEAIDYEDMFERENSSIKDSVEGEV RDLNDPVQIVDAIQQRLKGSKTQDYFISALQHLLLIRENDGEERLRMFQLVDAMLSYV AMDRRLPDMDLKQSLNFTVQNLLDKLHTDSEARQAFDEATEQRRIAEAALAERDELRE RIAMGADGLVAKLQKQIDEQARFIDAQRRQAEGLKSEMDNLQTLRAKEAQRYELETRE LYLMLRDAQDVAASNAAKGNKLGEEDPAAMQGILDRERLMSRLQMQLERQKTVYKLEG RVWGESVGPSDRLRALREEMDGFGGASDHPDGPAPRDFTNSMLGSVKRSTRIPRKPLG PRGERQATEDDVLEEDIDEEDDAIIIEKPRLVEYKRPVLDPKKAAGMFNELQGKVQRY DASDSEDNDGITTGPSHPSLEAQAPATPSDNEPPKIRITDTTPAAPAPPGPAAGGPPP PPPPPPPPMPGRLPGAPPPIPGAAPLAAGGPPPPPPPPPPPMPGKLPGAPPPVPGAGG PPPPPPPPPPPMPGTGGMPPPPPPPPPMPGMKGMPAPPPPPMPGGMSGHFLSRNQNLA PAGPSLGLSIVRPKKKLKALHWEKVDSPLTTHWAAHTPSAEDREEKYLELSRKGILDE VEKLFMAREIKALGGGQAKKDDKKQIISNDLRKAYEIALAKFSQLSVEKVVQMIIHCD PEVLDNPVVMDFLQKEDLCNIPDSTAKLLAPYSKDWTGPEADKETREQDPAELTRQDQ IYLMTAFELHHYWRSRMRALSLTRNFESEYDEITEKMRTVVGVSESLRDSVALMNVLG LILDIGNYMNDANKQARGFKLSSLSRLAMVKDDKNESTLADLVERIVRNQYPEWENFT GDINGVLVAQKINIEQLQQDAKKYIDTIASVQRSLDSGNLSDPKKFHPQDRVAQVVGR CMKDARRKAEQMEVYLEEMVKTYNDIMVFYGEDPTDENARRDFFSKLAGFINEWRRSH EKNEALERQRKLNEQQLKRKNAAMKALQDREGGGGGGGGGLASPTSTGAMDSLMEKLR AAAPQARDQRDRRRRARLKDRHQVRVASGQKLPELGSGVSGGEGGGGAGEVNGDGGEG GLERVKREAASLMFWCRRRKSRRKTTQEKTMLLSGRRCCSRVSEGGVMGLMMGTMRKR GRI QC762_205570 MTSTPSPQGLNLGYSHPHPFSLISLSTRSSVQALLTSLLDPLLP FFSPLKSRIKCPGATAVRFDQSASEVEGICRPLWGLACLLAGGGGYHAAEYWVEGIRN GVDPEGEEYWGYPRDNDQRMVEMCPLGFALAVVPGIWEGLGDKGRRDLESWLGNSINE KNMPDTNWLWFRVFANLGLKKNGGKYSQERLDRDVEHLETFYRGDGWSNDGPEGIHQM DYYSSSFAIHFLQLLYAKLAGHDEPSRAAEFKKRAQMAALDLAHYYDTEGRSIPFGRS VGYRFAMVSFWGAIAYADVELPKPLTWGMVKGIVMRHLRWWQTQADMWSSSGTLTIGY SYPNMYMAENYNSPGSPYWACLAFICLAVPETHPFWTSEEQDHWGVLPPVKALPQPGH IMVSLGTHTFLLSSGQACSYPMKGTHAKYGGFAYSSSYAYSVPPGLFTLEQYALASQL GLSDDGGEYWKTRRLSASQLKTAPDGTPFLISEWKPFVDVHVKTYLLPPVPGATENYH FRVHHIWAEGREVMTADGSFAICNESTLRESKGRYLDLWDESKGEGTSPKLIGNYDLA TPEAWADGQKGAFAVHKTKGAVGIRALEGGSIRKANLVNADPNSNLVESRTAIPTLQG TVKKGEKVWYVGGIYARPGGKPEEFLSGWDKIPELPGWLKEEIEKSS QC762_205580 MPSRADITYFGAGPAALPTDVLEAASKALLDYNGTGLGIAEHSH RSELATNIINEAKADLANYLEFSPENYEVIFMQAGGTGEFAASVYNLVGAWVSRQHAA LEKELGDDEEAILAALRKKVETELKVDYIVTGGWSLKAYQEAQRLLGPEYVNLVADAR TINDGKFGKIPDESTWKLSKDAAYVYFCDNETVDGVEFPAFPSVLTPDANGNGPIVVA DMSSNILSRRIPVNNYSLIFFGAQKNLGSTGVTVAILKKSFLPPHTVQPSAPLLRKLG LPIPPIILQYEIIAKNNSLYNTLSIFDVYIAGQVLKKLLNTYPDKVDGQQAVAEKKAS LIYGALEAHPDVYRIVPDKTVRSKMNICFRVTKNGDTDETEKSFLKAAAVLGLTGLKG HRSVGGIRASNYNSIPLEGAEKLAKFVHDFAKAS QC762_205590 MADTRKPAEAGPARHSHGAPSASFPTHNSPRTWFLTSSLSPLCI RLIRLLLAHGDYVVACLPPAEIENDDRSAEFRELINECKSNRKDREGWKDRIRGIRCD GRVMGQSGAAIAEAVQVFGRIDILLCCKFEAVIGTVEELSTTPRTRNLVRDQFETIFF SQVNFIKAALPQFRAQHTGHIIILTSIGGHIGTPGMSIYTAATWALEGYCDSLAYEIA PFNIKVTVVQPNKEIQSLTNKIVFAPQLPYYDSDVNPAPSVREIYGNMLNANPETAID HLVGAEERPDDIQYRYPKLPAAAYDKLVMETVHALTAIGGHENPPARHIVGFDGAIAV KEKLKTVTEELEDFVEASVAVDIFDSELKKEARQGGENREGGGGEQGRYMSEDVQIGM M QC762_205600 MTLDPFIPIAPARIKVLVLPIGHIKRERFTAFVNRLNEEHIVHL RDVTPDSRPHRNMFSPLAFPGGAMFYELMTHQPSPSHLALSPFDLWREQLAVIAIADG TELGASVFNKRHSGAGGRTVEETNIRTLYQDLENLRDQYPKMLAHQVLIFDYLPAGEN PIPIPEGIITIPPPDKLKRTTIKTVMCDVSSIILAEMTTLAKSFEGLSHIDSPGVHSS AVHDRMNGLVGQDGMARRNSQFALPGGSRSVSATALADRGHHARMSMPPVSSSKTTSF GGGGGSSSSTPSVRPTTPISGNKPLPNPPLYTFDNIIGPASSDQPPARSDPTDSFSSH DKVSVQGFGSGGMDARMRSKSRCRIQVVIGSLYLQSGLWSNALKELTEAATVAKSIND HVWHGKALELCLVCLLLLGWAGIEFAIPSVLLPPGDKGSGIAQQINEAEAKDPRQEKW LRHLQCYMPEVVERILGLYSRLTAECLPPVPWSEAVVRFARMLTALHVAGGRLGEESL GMMVLGVEEGEGEGKGKGKRLLTTSPRFTVNPTRTVIVQMVFRAFPASAASELLMTVD RVTILSGIASVLGMLGFQRKKAMVVRELVSVLIGGLVEARTRGAADMGIHPAAGLVGL NGMNGGAGGGGGSGAGALDLAEGDVERGIDEFLGVLLRTYGVVGDVGQAGKARESMQV AVTDDGEKTTTTRDTRDTDLEVVARIQRQSAARFFGMQTVKLNILRSCINFSEALPDF AGVLKYSSDLLRTAGSGIAPGPRREDAYPAISREEQVRLMTNILKTSNLSKRMGIGEL AAEYWDEFLLRGIRLEPLPVTRTPVAHAKTVLPGAATARASQDVDPFIYNPFLKRPDT AMVESTLVAGEPATFRLTLQNPFEMEVDIESVRLDTEGADFESGVEHTVIGPYRTQIL RISGTPKAGGTVKVTGAVIKVRGCRERRFPIFVEPWAPDNEVRTKASGITALEANMVA VSPAVERLKPYNFDLKVISPQPTVVVKSSTLPQSSVMILEGERQRFSVTLQNLSPDTP VDFLLFSFKDSTQEPLQTALNSRDATATELYEYELILAKKQALRLRNRARNDRFIAPG QTATFDFEILGKPGLTHGLIQVDYAHLGVPPDEIAEQFYTRQVSMELTVTVNASVDIS RVDVIPLNSSIPESLWTKSPEKTTQLLTPETHCLLLLDLRNSWPSQMTVSLSSGGGEN DRPIGMEEHILPGNTTRLVLPIRRVYLEDPHAFIPALNPSRQRQFVVSTKISPEAEKA SREAFWYREKVLDSLRGTWKTFTGKTREGEISFRGMRFNSKMVDVIRVDEVDIDISLS GSGEREGGKEGKAYVDEFLELKVRVVNRGKRPVLGLLRLMPVLCHRPFNVSLDFTRKM AKFAWNGNLQFPIGRVEGDGGVREVSMGVTVLCRGAFEIGGSVEEVVPYVEEENGEEK KEVEDFGLMVAGGRRRRERRVWHARRGCRVVVRDRPEE QC762_205610 MSNAAGGSSRKSSVVSGFHGERAGGASSDTVGSLLAAIGTCLTD ALRLFMFADKRHWGPDEFEQTRALEDALDEAKKDFQEMGQLVRGQFYYENDRIPESLN ELRLLLAQFQEHYENLKSWARQGGPINPIWARDTSSLRKDLHRAQCRAARRISLIAEQ PTPRCLGATQVYRLQKRNEAERLRLQKQREALPPWQQQQQQSHHHQRPDHNIQSPTSP DSTMPQITTKHHPLRHPPSLESLVPKCNQIGRFQRLNSGNPSESPFNDAAFICDFCNG YLVWPDLRTMPSVRSTLPQQPPPDPLSPAYDSKTVNNGYPHWQASGLSCTANEPKTLV FAPLAIANHMPPEPGEWQAGIICPYCEEDTYLDEGEDSGEMKYVMDDKGFGSVEEFRE HLEWYHTAMAVPKLEDVVPEVVRGSCGVM QC762_205620 MQPGQQQPPRKRILFLDAYDSFSNNITSLLQTLLDVEVSVLHID SPSLFPFGIDSTPDEEAKSRFVKELARYDAVVCGPGPGNPGNQRDVGVMRFIWELEEA NVLPVLGICLGFQSLVNSCQGGQVKRLRRGLHGMVRTIIHRMDSVAPVEGDIFAGVNG FKATLYHSLCGHVGQEGIDQQEWEEARWQPQDGCPELLPLAWVEEQREEGEGFEAGTE RILMAVKHRTKPFWGVQYHPESVCTEEEGNKIIVNWFEEALGWNQKHKRTTLVDGHNL AEAAIKPSLLSQLTPSGEPRASGQWWEKFESDPVLRSVSVPLPRGIPVPDIVEAVDPD ASERIILDSANAAPAISSADVRGRYSIIAAGLDEALRLEYHAGDDYATTKLKAVGGMS VNLSQQVPIARYGSIWALIAAFQDARHIDVEGPETTPFLGGFMGYVTYEQGLMDIGIN LDHPRPHHRPDVCLSWITKSIVIDHLAGVVHVQHLRSSGSEEDTWLEKTTGKLLTLQN TQRRPSFNSKKDNTQLPQSPTKRRPSRSTTSIQTPLTKEYEDKVRLCQEHIAAGESYE LCLTDQTTITRPLSDVQPPLSPTQPKHPRLSSSPATRPNSWSLFKHLRTRQPAPFASY LRLHPATLVSASPERFLTYDRQGKCSMRPMKGTVRKSDICSTLSQAEKILHVPKEEAE NLMIVDLVRHDLHSICGAGNVTVRDLLKVEEYQSVFQMITVVEGQLPRQGGYGGLDAL AGALPPGSMTGAPKRRSCEILRGVEGGRERGLYSGVVGYHCVSGRGDWSVTIRSLFRW DDEVGREGGEEEVWRIGAGGAVTILSTEVGEREEMFTKLAGPLRVFGEVC QC762_205625 MDLTVEEKMFFDRIEKEFLDYVQNGIPIRFIYVKEMRLVDRAFV QQHYLPRVRRLRTNPVTLEFIEHTSSTKPRMQAYLQTVVKYDMLSHSWLLAPTAIKFY DSNWQPLYGEGGDRAFCRLVEAIMLASGDSTVLNWCGGAADLHNSNAMPHSPIAYLCG FPHDDYLWSTEGLDMAMTSRGLQVQLLILPMKLRKHICVMAQVLPRTTSSSHAVSCSF IRTSVMLLPS QC762_205630 MPTAGLKTIIALSFVLALGFLLVILSCALYSKYHPLLVVATYVL APVPNWICSHCANPDDFVESSGAAILDLGRFCTGFLVVMGIALPVVLAHSGIIATAAA VMSIVGGLLIYGTIISFGQFFQEEQEF QC762_0037160 MVELPPSYLSNSPRQLLASSSPAAERLPAAQGSPPRLTYPAVRG VGVTGHRQERKQAPTHVDSFKLFDATVIAHDRTQ QC762_205640 MFRNNYDNDSVTFSPQGRIFQVEYAAEAVKQGSVVVGIASKTHA VLVAIKRNAEELSSYQKKLFPIDEHVGIAIAGLTSDARVLSNFMKQQCLGHRLTYSRN MPIRSLVDMIGSKAQINTQHYGKRPYGVGLLVAGVDDSGPHLFEFQPSGMTEEMIAFA IGARSQMARTYLERNLDKFKTSTREELIEHGLRALKESLVQDRELTVENTSVGVVGYV KETKKTEPFQVFDGHEVQRYLELVADDKKEGGPQAATVEDESTAMDVDA QC762_205650 MESNGANNNNNNNNNNNETTPKRTKICVYCGASPGFNPIYVEAA RSLAREMAKNNIQLVYGGGTVGLMGEVARTLVSLSGPDSVHGIIPEALVRYERDANYS STIPDPSNPSSRPHTSSTSSLTVPEETVFGRTTIVPDMHTRKRLMAQEVLNGGPGSGF VALAGGYGTLEELFETMTWNQLGIHNKGIVILNINGFYDGIRQWINKSVEEGFIHGGN KNILVEAKTAEEAIRALEEYKVSDAALKLNWSSQ QC762_205660 MPEPVAAAGAEPARQEEQGQSMLMKIFQGLAMWMAMQFVMKQFT GGGQKTTSVTNADGQVVQVATGAIPPYHERPRQLNDGAVYSHIPQSIAPIWPDNSAVD IIVTVSPSFVAEPLDKLPKDTIVFQEKGFRIGNWSDTRVAEGTINVPVPVQKNGTLWG HFYIGLSGAPLDPTRRNYDPGAAYHFVHPLTQYIPKKKESKTRNLLSDNAEVEEVVVE DEPEQAGPIVANYYHPNVSLSFIPGSGTFSFPQAHPAIRQYIRLEATGARDGTGQNGW YYPILFVNTFWQLKSKMTIVNETVTTLPIRIDLNNLADWKFKLMAPIETNSKEQARQA AWGGGMSAGGGDGSEIEMVKEIFMDTNPILLCVTIIVSIAHMILETLAFGSDIAHYRK KKDNVGISVRSILANVFMQTVIFLYLIDQSQNTSWMILGGQGVGILIELWKITTVVNV RVRASPNSLIPYRISFEDKHKLSTTEQKTKEYDEIAFKYMYMAGVPLLLAYAVYSLVY ETHKSWYSYIIATLVGSVYAYGFLMMLPSLYINYRLKSVAHMPGKAMMYKFLNTFIDD LFAFTIKMPFLHRLATLRDDVIFFIYIYQRWVYKVDYTRVNEFGQGGDDEEEEEEEEE GAKMIEEKKKEGEVVEEPKEEKEAVKVTGAEKKGKATKRK QC762_205670 MIRSTQIARIDGVMLCASTDTSPSDPPDLAEVKSQIKLILRRLT RTSNPQASISSGAYTIHYLLQSDIVYLTITDASYPRKLAFTYLSDLATEFSTTYPQQQ LMSTILRPYAFMEFDTFISRTKATYSDARATQNLDKLNDELRDVTKFMTKNIEDLLYR GDSLERMGELSSRLRDDSAKYRKAAVRINWDLMLKQYGPFAGLGLFILIFVWWRFF QC762_205675 MGDSSSGALRALSKSPDVQSPGAAPKGPENTPYRDFDREIPDSQ EDDDDGGYADYSRATRGSHKRRSCDEPLVSRSKKAKTAAQSRAGLKRARKFQHPQVWG KNSGLLQLRNGNALRDLLLAPGSWNRLGEADRQTILAKLPNGYQLDGKPDVASLTNDN NFRDDCSQYYNSIRDGHLTENWLTKAWKAHYKDVRGDFKKHLFNAFMDNFMEDASEKP KRVDKGTGNKLGTESSKKTETETSKKPDAESNKKADTESSKEADTDSSMKTDTDSSKK MDTETSKKPEAESNKKADTESNKEADTETSKKTDTETSKQPDAESNKKADTESSKETE AEASKKADDELAPAGDNKTQDATGKAPSPKATD QC762_205680 MDAGSVEKKPQEPKAKGKAVDENPTSDKRITRSQAHSGRGKRKG GSGGWSLYRISETRFDGKTEEFQGDPEDSEAARDAAGESSKLGPADVQKSRDEGKGKE RDDNGGNDGCRGGHGGNSDGDKNDDGKKGDGDGPGDKKKGNEEEEDVEKEDEEEKDEE KKDDNDQASGQTGLEDTPDEEAPRPGSSGFQPASRSRKRSRPLSSSSAGGQSPRLGFS PRSRTREASPPFLTPLARGQTPRPKPQGGSQPSSSSLAGGETPRPRQTRDGRRARRPN QRDYQMLATYRGRGSSADLGDPRLVQSRWDPTEASWLNLSNGARMQWSVTHQPATYQP GPVEQSVEQSVEQSVEQSVEQPVEQSVEQSDPEEHDSLNSLFPRNLLLIPDRRSPRDV MRRVSEWVITQDYAPSPLLQTIASFSETSSSTSPSLNLAASPTFNTPARGGYSVYDGI TGNELPPDPPRPRRVTPSPLSPTPAVPPRPDVEGSSRPGSPPPEGDLDSSDTNRYLPD SPLPDERDMYPLLFFPPGGPICAINSPGGRIFQVASPGPSLVVVHRESQSIFAVPPSN ARFSPGGEPQPFGRSYGCGTLLPGLVSPLTRVSPRAELLPAPPGVSETVYENLNGMAG RDEEEGELGSAEMDLDGDESSGDEAMSRLSIMGPRDEIGSVEGDLDEGESTGGEGSSV Q QC762_205690 MSPSAASHHRSHSLLLLQKLLNLRDKASPLTLILDNLEQPAHPV LNEFMTRAKISKAKIIFIALSTLKKPPLADVFIRGRHKSLQQLASEIIPHVTPSPSSP NQKNILLFDTIHPLLSTPSHLPSFLQSIIPPLTTSCLLVYHTDIPLLPQSTPYTPTPL SVLTHLSTSILTLTPLSHVLATKAAEDKSLPAPVFGLRSSREGVLLSLRPPSSPQGVV ITMELRRKSGRVVQEKFVLFPSPPTTTAQHRQQTKGVGGVILLSDHPAFSTATTEEEG EGIQATFNLGLTEKQRRDREGVVLPYFDAQTEVGGGEGGRILYDMGREDDFDEEEDEI QC762_205700 MADRNHGSSRRGGDRSHYDNRDRDRDRDRRRDQDRRRDRDDDKS RHHHHDRSSRDHYGRDRDRDRDRDRDRDRDRDSRRYRSGSRDRNDRRRSRSPRGDNRR DDRGKGYRQRDEPRGDREKDRGNNTPGNAETLGSEKPPTKELQPPQRRPPPVGSPSRS ESPDRGFDREFGGNKPTETLPTRSKPTSTNASTPVAAPVSFKVKPRDDHDGTYSRGRS EEHDEYHQSRGRFDADPMDEDEEDDVVVEDDGLDDMAAMMGFGGFGTTKGKKVTGNNV GAVKKEKKTEYRQYMNRVGGFNRPLSPPR QC762_205705 MGSSKKDRAESVLSAPAPSIKSEKREKRSSKKSSSSRLEDVAES VYEDEQHKKRKKEKKEKKRKAAAAEAEGEDVTATEEVTDNLLTAEDERPKKKKKNKRD DDDEVKRVKKRKEEEEEEEEEEEEGSDGGRRSRARSRGGRGGRGRRRRRRRDGGGEEN PPATTETAPAADNWRVDALSGGESRQSKFMRLLGGGKKPTTTTTTTPGSARPRLDINH VSAELEQQFSAGIRQKFETGGQKPFEVRAKWEWAVTTHQQLYYWVRVRDLGLYFWDNK MMTGRVVLWGVVGWANWARFGLEINKNKKNGYRNRKEQRHFQRGYEGQRGFWGGRKVE EVVEDKEHDYRKALRESRVYAGRREFEGRREHKVRDYESRRDYGSRREHRERREYDDR RE QC762_205710 MAQTPTQRRANQKFAKENEARMGKNIEQIKKKVPKEQLKSPISP VWLGVLGFIIFGGLVFEALSRIFGR QC762_205713 MSRPTTNKMSPLRTTLPRLPVSRRSIFTLSQLRQYSSSSQKPTS QTGVFYKAWTRPVAKTALLAVFVYQLAYWGWSKLEVDDIKEQKKAEIIRLEAQVKKLQ DDKRREEK QC762_205720 MNFCQSSRGYFCCAMHLSPLQSRLAASVAASCLLVLLYLSLFSP HFAVAELDRPPRLLPIVFDDDLDFTPNLPAGGPMVRQEHHWTGSGRVVEAGEHGPYAY GLGGGQHDEVLVSFGGSCWAGRGELELRGEGEGEQEEEGLLGERQASSRTIYISVNTC KQPTAIEPDKTKEMEPPQLTLFVSKSAEYQAPGPLADMSTQHMLPFVEGAVNFNFTAT EDVYIAVHAPNVSDVFEGKYNFEIAVSGEGYYFAYNDQDDADLIWVDSDSQGALLITH NLTTERDPEVQEKIMSMEPYPYVLFAHNKTDRGLDGLKRSWCGLKLNAMIAGVRGGRE EGKVRSSMTRRGMGGLPKQQFFFNGLWADTNYTAFLARERKGGGLGLGKRQEGGEDQG GGGGVEVFKPVDFTTKSDHGNCALITDLEFCNEVAYSVPSNPSFGNSTQLARFYDDHA AKLYVNFNLTLQQIQCEAGPTQRYSLVRTCDDCANAYKNWLCSVTIPRCEDFGNSAPY LQARAISQPFPDGEMLSKEELESMPNITRFNSSRNTRIDEEIRPGPYKELLPCEDLCY DLVRSCPASMGFGCPQPGGLGFEGNYGQRRENGELVCNFQGSAHIPSGEGKVLVRWGV LAAVVGMGVAWGV QC762_0037290 MPVSFLTLDMIYDAGHADRRQGWKLQTTW QC762_205730 MSSPQDKAQVYVGQLDKELSKYPVLINLEKQTGVPKAYAVLGSV ALYFFLILLNLGGQLLTNIAGFVIPGYYSLGALFSADKHDDTQWLTYWVVFSFFTVLE SFISVVYWVPFYYTFKFIFLLWLSLPSFKGAEIIFRSFLAPTLSRHFQTSGSTASGLR AKADLHTE QC762_205740 MDSTHDPLSLAKARKRPRSRGSTASMHSHTTQPNIEHSFADSQD VYGSSQWMPASDHQHTHGLPQLTPEDLLLASQLQTGRDYANEPPLNASMQSVAFHHSH SMSRQSISADSFAGNTSFDDSQMLDRDGNDDGDSFSGLQPPAKPGSRSSANNEMEMRQ LFNASKHRTLQDVALELHGNERGPNSERTRQVFAMLWINSVCSKGKGSVPRGRVYANY ASRCATERITVLNPASFGKLVRVLFPGLKTRRLGVRGESKYHYVGFSLKEELPEPEAN NTQQTVPLGDNMSFTQNFNTLPNNDVSTRSERTAFPTPDLGSQPGLKAPRSREFQHSL YNQPQVSSFDQLQRTMDKTAKRLKFSTDTGEAFKQSDPLILPRIEPFLPSGTDADAAK SLTALYRSHCTSLVECIRYCKEKTFFHLFTSFQGTLTMPVQKLFSHQAIAPWIEECDF ILYQRMMRIVSGLTLQVVPKPVLDTLRSISERLVRHIRESFHGQPAHVLRAKEAPAAL FAGLLDRALRVNLTAHAAANMLSNPANRDLMYAEFISMINVRKISESIPSRGMDDVVN VLLTEMRHLLDPVNVPWDIECLTVHGDVPPQSRQSQEAVQDDTSASNVLDRWVNFLRS LPARFPYASATDVVWCAQRLGTALMRDLTIGQGKSFGSWWVTKCWLDEMVEFMAEQGG FMQQRGSGGLGVGLVGDGRGGLSVAKRGQQQNIGGGGSRYSSGSDDFHHLGGRNGGGE HDGLLGGGQPDRAVFPSGPGTGVGGQGGQQNPDDSGIGIRTPDEDLPGEKFGSPVGGE GDLFGEGGLDL QC762_0037330 MKLSSPALILTTTLLLTTSALPTPTPQRYTHSNELVLPPPPPPL LDTPQTIEDQIFKTMTTPHIPTQEEGLGGRKGTPPPPPNKSIGTVPPSLRDTPLNTPP EPPADPNADSNGAFNPKDIWGEGEENPYDWPRREPEFGSLRRYPRPEPNSSNRIGERG RGKHPRRRRDWKHWVVW QC762_205760 MGDLHPSTTPNGDNEQKPIPITILTGFLGAGKTTLILNLLPQLR ALNPNYTLALLKNEFGDLAVDSQLASSSSISSVKELLNGCICCNLVGSLSSALKEMRE TARPDRVIIETSGSAFPATLAMEVNRLVRETGGREWVLDGVVSVIDVENWGGYEDVSF TARLQARYTDLVVLNKWEGVGERRVEEVVDRLGDLEVETARVRSDRGRVEVGVLLGLD SSLAAGLVEGEGGNGEGGHEHDHGHRNEVEVLSVELKGTQKGKGVDAGKLMGLLGKAP KDEVYRIKAVLTVGGEGEVKNSDEDVPVTKSQSGRYILNWAFGRWTFTAMGGGVKEHE SSEESVLRMTVVLARYESTKWKRKLEAGGLLELEGDERGELVVRKIN QC762_205770 MVTGTKKEDVYASLLLTDTYLPGALVLAHSLRDAGTTKKLAILV TPDTVSTETVYDYVIYVDRIRNGKPANLFLMNRPDLHSAFTKINLWKQTQFRRIVYID ADVVAYRAVDELFDLPHAFSAAPDIGWPDLFNTGVMALTPNMGDYYAMMAMAERGISF DGADQGLLNMHFGNTYNRLSFTYNVTPSAHYQYVPAYRHFQGSINMVHFIGADKPWRQ GRENTTDAGPFDEMTGRWWAVYDRHYHKEVGPDRSGRKKSLTPGQAGHAPSIVQHFVK GEYNPTTRYVVPTGEPNGQQSPTPSQEEHQGNQDHVAHSGDHHVHHESQDHIHQEEHT SAGPKVTNESGGAYTETLPQTGWDAQRSSPPTDSGPEAANFPRTHYEMSRDTSQFQAP DRYPSPPSNMWYSVPSERDQPKSVFPWENNAPRPTRVFAENPLAPVTPAPKSPGEQRR HVVGRRNPLFTPIGPAIRTAPSPGGTAWNSLNQSNAWDEIPQINRYVDSMQRHRRSRS QILAASPGRSTSGERQEEPSEERRGSIKVTDFPTEDDRPSLPVTPAPVRRRQFWANAD DNGQQLPAAEGVPAQDEWDPAAQLQKLAKQQSEVLLEKLGASGTGQSQDSISVDGKEI PHRPLPFGSEDILAEAPELVTGQTTPRTVESRSPSISTTATIAVPSYQGPGAMFEKGE DYLTQDTPAQPTEEDLDVLQT QC762_205780 MEPDLSASISFHPHAFKTQSETANTPRLSRSRATSPAAPMNRMT IDGANSTAIEATDGADDTTVARHPHRPDDVEVESQRAGRFPLVGAGNDPYGLSQRYKT ESELAEIKANTSRKRDAPSSSGSGSNKSSKFSGYIKPFGRNATQARQLRGFYEAQNET IERMLKTVEEHRAEAKQEHGEDHLKFQIAVWGSLAANVVLTVLQLYAAISSGSLSLFT TMADAIFDPMSNVTLILTNRAVKRVDPNRFPAGRARLETVGNIVFCFLMTAVSMIIIS FAARDLAEHNGEDQLKDFHLPSIIAVGAAFATKFTLFLYTWGIKDKYSQVRILWQDHR NDLLVNGFGILTSVGGSKLIWWLDPAGAIFLSVVISGLWLRTAFTEFLLLVGVVASVE VQQLITYVCVTHSPLIRQIDTVRAYHSGPRLIAEVDVVMDAEASLRETHDVAEALQMK LEELPDVERAYVHVDYETTHKPEHGLKKDM QC762_205790 MVLEQEGTFTVSGAELYTKSWLPDGSIKARLVFIHGFSDHINRY PAFFSHLASKGIAVYGFDQRGWGRSVKKPAERGLTGPTAQVLSDIAAFLSQPHLLGTP GSQEPVFVMGHSMGGGQVLTFAADPKYESLVEKVRGLLLEAPFIGFSPEERPSWLKIA VGRLAGKLMPHFQLAHKIAVEHLTRDQHVQVSIKEDELMHDTGTLEGFAGLLDRTNDL QQGRTKLGKEGVVKSLWVGHGTADKTTWFEATKQWFEGCAGGVKDRTLRAYEGWYHQL HCDGECSGEFFEDVAGWILERAGGGEEVLKKAGETPKAQQTQEAVVPVEAEGSQKTEA KL QC762_205795 MGVGEDKNLQGTCLVDNSLENCPCTWPPCPVSKVDMKDGSKEER PHPLLCDTCDGSLGFLEPEPNISNTTDIEVQAPALATSGDHDFYFDLDTTAQLANASC PLKSPGARRTGPDAPGDNYYTPGSVESAIEHFCNEYANKDDIVRISDLFLVATPQDDY PEHRVPTWISARNWTDHPNRHECDKASLMANHTLQASECNLALKQANFACSGDNADLS RGGSQPGYCLAYEIVTSETYNRDYRLLEAENTGGFLEAWSGGSNAKRNGTARVFKGKQ DVVVGCGQAEATGDKGAQG QC762_0037390 MARSAAERLADPTDTDFHTVFWAIFKTEVSDQEGYHYPQLSRPS MAKRKWQQAPSYIKINRDAVLPVFALLHEWMHAFRYLSIDYPHPDNEHTAGWQNAMRL DTQSALRNAESIIGTYGFARADLTPSLGGIAAAGGERAGYTFDWAWAQRLNDQKWQAK VPDAVEEGGVWKNPVMVGELSVYCDITGTRRTRGYE QC762_205800 MPNEPPSPRPIGLSQKLRGLSRLRSKGIEDKGEAEQFRMVHAPD RPHRIITPVEAPSEEECGDTISSWHKAVKEDSDSEGEEEKVRPARSYWKTPDYTGGHP GRFQQSQHHEPHARGHSHIYTPSGLSQEVHVDSFQEGSRGARRSVSEHNDRTYLESPV PRPLVPNKSKERQRYLQQVTPPSVKEGVVERDISRGRGRGKAESPRVPAAATHSLPRE CKIQTTEAVRRGQCPYCRCQLGNRTYLTCPNPPCGKGLTTFEGSWMAPPKLKDRRPAS PSIFGVIGRQLLGRRPLKSSPDSPGSLPNQQKRSGTNTPEALATLPESIASNPPAAAN RDIQTRSEDVLPSGSNLATKTLQPRTRQKTYPTPSPHPPIPPLRPPKSGLLLRLIRSI NPQKPLPSSRPPTADNPPLRPAPPLPNPEISSLQAPASLNNPQQQQQPPTKPFLVSKF STAHQPPQQYPPSHIASALSSSLPQSTAPSLVWITPSERSLFYPYYYSKPPSPPKKSS SRRRSQSQHSYPSQPPLQSRFGVQQQQCSTQSDGQGGYLDDIYDHYGGGDGGGEKGAY DTDVTTKGPEKVATKQKSWSSSKYSTGRRSEDTDDDDDDDDDDDGWNQLDDVETPTQL KPTPAFGVGGVTTEGKGRREGKGGYKSQAENKGLSVTTGEKEGSKERLAKELELRRMK WL QC762_205810 MEPEALNKRTKLGVTSGVYIPVCLNILSILMFLRFGLILGQIGL LGMLGLLLVAYLVDFVTTLSLSAIASNGEVKGGGAYYLISRSLGPEFGGSIGLLFYLA QVLNTALNVVGLIDCIKLNFYDVMPHGYWWDYLFETVALVVCTGLCLAGSSIFAKASN ALLVVLVVAILSIPFSAVFNPAFIDADKGIEFTGVSLATLKANLFPHTTGMEYAGFDT FRSLFGVLFPATSGIFAGASMSGDLRNPSKSIPRGTLWAMFSTLIAYLLVIFSLASST THSSFLRNGNVIQETNVYPPIIFAGEFATTFFSALMGVIGSAKLMQALARDKLFPGLS VFGKGTKKADEPIVAIFLTYICAQMAMFANLNQIATLISMGYQMTFFVMNLACFLLKI GSAPNFRPGFKFFNWQTAFIGSILSAAAMFFIDETYATTAVCLLVFLFLLIHYLSPPK HWGDVSQNLIYHQVRKYLLRLKPEHIKFWRPQIILLINNPRRQTRLIQFCNSMKKGSL YILGHVIVTDDFTMGVNEAKMQQTAWSKYISEYSRIKAFVQLAMSPSITWGVRNLILS AGLGGMRPNIAVLGFYNMDDLRRSQSSLQVPEAPISPATAARTDDKTETPVRRRKRGD TSARLMEGSLPTDAIKTEDMMSATSYMTILEDLALRYRLNVAIGKGFDTLETPRKDKT NTKKYIDLWPIQMSAAISADGQSVLTTNFDTYTLILQLGFILHTVPAWKAAHKLRVLV FVEYESEVAEEKVRLKTLLNKLRIDAEILVFWLASGDLSTYETIIHGHYKSPETDNVV NECLKNQEWWEDLQNYRSSRSMTGSQEFASISHVVESTSGRPGLYNPHAPPQEGLERR RHSLAQLTELPKKPTVSQLVKMGISMGIHTQNLPFNVFDSSDSDIGIDSDSDSDSSDT AGADGTFNDADSVASEGEDGTRRPLLATIRRRRSYGDVLAPAPRSPRKERRPKGSHSS TPRSYGSITPVPRTASDLSVNRSSNGGERSTSPQAKRGILKPERPPLARQGSTAMRFS SNLVPQTTITNEEGTGPRIMFAEDSGTRSPAFSRQGSVIGLSARMAEDAPLLGSDDNV ADKKVAFAEGIKSPARSRRNSASKGQDNGGDASLNIASLLDSYQLPDDDASNNNGSSY STQGLALSFNDLPSRAQHLILNELMHKQSKDTAVLFTTLPIPEENTCQSEEASLAYLS DVEVLCNGLPPTLLVLSNNMTVTVSL QC762_205820 MSNTGRDSPAPAPIPQVSTSPGSFRARSFVGSIPRASSTARLAS PARPYNGYGTPPAAQTSPPLGDKGDSDSLAPLPGPGSHAAGPGISALAAALSQSIGTS PPRFGTPPVRALSPVPNRSLSPAPGAAPFPASGTSPYHGSFDARRNYSGAYEDPEIVK RHLVQPGDAGSDDGSRKLSDGSKGKQPAEDDFDDEFSSLKLQGGDITRPIYKWTEEVE QRNKMQRSKSFSTPRPDPENETLDINTIKVPGGFRRNFLRRAAGDGPVNPDDTEYGAG PSDRQQPPKLFTNSFLEFLSLYGHFAGEELEEDDEVLKPGEYFTSGSESNLFDDDTED EHEPMEDSALLPPSRRKRRRKERGGSGTNSPMGAALLLLKSFVGTGVLFLPRAYLNGG MLFSNLVLLFVAALSYYCFVLLVNTRLRVEGSFGDIGGILYGKWMRNLILFSIVLSQI GFVAAYIVFTSENLQAFILAVTDCKTHIPITWLIVMQMVIFLPFSLLRDIGKLGFTAL IADAFILIGLAYLFYYDILTLNTQGLADIVMFNQKDWTLFIGTAIFTFEGIGLIIPIQ ESMRNPTKFPKVMGIVMIIITTLFVVMGAVSYAAYGSKTETVVLLNLPQDDKMVNGVQ FLYSLAILLSTPLQIFPAIRITENALFTKSGKYNPYIKWQKNVFRFFVVAFCALIAWG GADSLDKFVALVGNFACIPLVYIYPPMLHYKAVAKTAFRKWSDILLCIFGFVAMAYTT SLTVMSWASGSEGGGAPGYCDSKGHAF QC762_205823 MNAPDRFELFLLGEGEKKIAETVVNSIPNCSDFLMKKEDHTIGN LLAEHLKKDPQVMFAAYKIGHPNVPEVLLRIQTNGDINPREALVKILKQLVAAYGQLG REFQKELALRQYADQGEGAGGV QC762_205828 MASQLLPLELIDKCVGSRIWVIMKGDKEFSGTLVGFDDYVNMVL EDVTEFDYSGNNTQLKKILLNGNNICMLIPGGEGPGGAGGSP QC762_205830 MGGRNQQVWDSSRGVVFAGQSRGFTTSLMRPTEAGERTTVERET FEKSVEEGGEVAEERVEVKVEKVETVGVTGEEGQEKAEDGEKKVEGGEKEEKREEEVL TPPHTPLDYKIPDDIFLAAKKAPEGSPKSYWSYQMYRGPQNQRPKVHYCTTQTVAERV IQRYFLNEPLLGFDLEWMADALPWHGARKNVSLIQIASPTRIALFHVALYPKSKPLAT PLLKKILEDPKITKVGVWIMGDAGKVQKYLKITPRGLFELSHLYKLVKYCESGEHSLI NKKLVSLGKQAEEVLKLPLYKELDVRTSNWLQSLRLDQIICKPPTASFCSTFPKLTPP GRLSLRRLRRRPNLLHAQPPTPPTLPCPPSHPAELKLPIEFPDHTVLPPSTETDEIEM TPAEAVSDPKLTTTTTTTTTTTTTTTKKPRGSSTFKKEKHPLVREADMWLAQYFVSHP QPANATSATAVMPSHLRAYYIWHHNPEQSIQDICALLRDPPLQVATVENYIFKAIKRA GVQYDKARVIEMLEAKRQAAAPGAKFFEPEFLSKLKEEEAAMEETVMEETRREDSVVV QC762_205840 MDEPMNDAPGAQVKVTFTTNEADLQLPEEKRQLLVPADIRRYGL SRILNSDLMLDSGSIPFDFLVNGSFLRSSLEDYLNSEGLSLETNLTLQYVRSLIPPVF EASFEHDDWVSSVDALTATSPAGRWSGENFSRGQERILSASYDGLLRIWNASGEVLVT APSASHGGHSASIKAAKFISSTQIASTGMDRSVRVWKYTDPGASGQAELKPTLELYGH RASVDSLEVHGPSKRLLTASADGSVALWSASKSSSPEADASLLPNAHTSKRRKVASSV TTPQRGPLFLMQIHNAPATAAVFDPRDHTVGYSVSQDHTVKTLDLTTGSVVANLTLSH SLLSLCAIPRSNGAPLLAVGTSARHITLVDPRASAATTSVMTLRGHTNKVVALAANPE NEYSLVSGSHDGTCRIWDLRSVRPASGGESEGGGGVM QC762_205850 MVKLEDIAGLGLLQAPPKTDFKNTDLERAPSNYKSLNTFALPKA RQYQQQFADIYFLRLTKIKPAVEQIAHEAWDDTVLGGENAKKVERVLDVRQGELCWVA GTVYMDMPLKPSILEDVSKDRWISAPTSVGHYYSEDGKDQVMLEDDSGRIRLVGEPLK NYFLVTGCIIAVMGTENANGEFEVIDLKFADLPPQPPRWALSDKKPKSEDVSMVDVGS PSKKIAIISGLEFSGFSTAYSAELKVLREFLAAQALDPETQSNEQSKISRLIIAGNSI AEASSSDPHSNNPNTKTHAKKYGYDASSYNPAPSQLLDSFLNELLPSLPITLLPGAND PANISYPQQPIHSAMFPESRYYGPTPTHPDQAGWLDATTNPFESEIEGWRVLGTSGQN LDDICKYIEDPDRLGLMEAMCRWRCSAPTAPDTLWSYPFQDDEPFVMKECPHLYFVGS QPKFGSKVIEGPDGQMVRLVLVPKFSETKEVVLVDTETLDVSLVRLSAAS QC762_205860 MSFRFIASHRQGGTNNTPGGRNMPPSSSGAGARITGGSNVSSSS SSSFRNNPPGHSAAAPNLQSIEFARWIFEQQRTVEMGVRNEQSLLSSSSPPVIVISDD EEGGGGGGGRRSSRSIRSVRRSGMAVKRRIDLVDGADGDTIVARPPGVGVNRGSEIID LTSPSPPPLPRAPKRQRTTTTRYQDQNEPEEETGDDLRWEIHALLGERYSHPRRPQPR PQPRPPAPVVEPSPAPSPEPQKPKHLQTLPLEILTKIYRHLLVSPKPIPVKDLFQEVI RAPPRRTRTRNPPTPRRAVLAMGEEPEREFSIEIGILLVNKQSFAQGIQILYGENTFS YLLRDPSAARTGGAARSRRDGQRNRIDWSRYGSLVRQVEIEMERNRTGGEYANLLRRA LEKLRGLRLRELVLRLSPLYEREGEGRHLSVVSLFGRNAPVMRALRGVEVDFLRVGVN VNSHLIDGEEEDETEGEEEEGEEEDAVRPRRWALEMGIDLRWVGREMERLRREGVVGE GWENDEIIKQARVERGRRAEENLGRLRRLIEEACEMPENVVKLGMRGGLWKTVEEAER LRQEERKRMEKKFDVDGYDDLDPTRKDVVELRKKVREEEEEEEKEKEEEEKEEEEKEE EEDSNDEEYSDAESEEEEDSDEEDDDDDNTDSDEESDSDDDSYDDDDDDVVVEEEEED WVEIKDIPLSRRLKSLVISIDKVGGGWKCFRI QC762_205880 MLLSRRIITLTAVLGFIVVVSFFTVKYDGHVALESAARSLTGQE KKPAFSTIQNVVYNRTRVQEEAKFAYVQYTTDLDYLCNAMINFSRLKEFNTKHQLALI YPDTWNSEGKSAVSARRFRIMNKIRTEYPHINLHPSPVLQLPNGDATWGASITKFHAF SLVDYTRVLAFDSDTLVLNNMDHYFEAPRAVLAVPRAYWLGDLRNTSLSINEQILGSH VMLLEPNTRRHDRIVKDAMDSGEFDMEVVNRLFKGSAMILPHRGLALLTGEFRSKDHS RYLVGEGEDGEDGEQWDAVAEVKRSFLVHFSDWPLPKPWMFHTDRQWRDALPSCEEAE KEEERRRKRRGRGEDDCPDKLVWRGFYEEYDRERRAKCGFIDG QC762_205885 MDFPRPLSIHAPFPYPTNTTKTTPIVTMASIPTPAPSPPPSTKG TTTTTTTTTTTTAQDTLQPWIETPLIYSAQMSRNAGCNIYLKLENVQPSGSFKSRGIG NMMLEASQSTPPTTKTHFLCSSGGNAGLACATTSLSLPNSTATIVVPLSTSPFMVQKL KDAGAQVVQKGQSWIEADTFLREELLAKDTPGVENVYVPPFDHPAIWRGASTLIDEVV RQMPEGQKMDAVVCNVGGGGLANGVCEGVHKHGLEDGVKVVALETEGADSLFQSTLKG ELVTLEGITSLATSLGARTVSERTWEWYRQMKGGFVSGVVTDKEAAEACVRFLDEGRV MVELSCGATLAGVYKDQGRWLREQVGKGVSDEEWKGKNVVVVVCGGSNVSWEILEGYK KTFGF QC762_205890 MKLNEHIAISTPTLLLVPYESHHVPTYHQWMQDPEIQLATASEP LSLEEEYSNQLSWRTSTDKLTFIICSPLAPSPSPPNTIPNDADTPPKMLGDVNLFLYP SEEEYTSSPAPAIPKEVVGEVDIMIADAKNRGKGLGERVVRAFVGWVWEHRGEVMREY VGDKVEEGQEGVEVPRLGMLMVKIGEGNESSIKLFRDKLGWVQEGARDYFGEEGGGRG GLRGVVRERVMGLGRKFMLAADFVYTFWQLAIFFLAILKHGVFPAFDSL QC762_205900 MEFLKAASLRRLPTSKWSLTTRRQTWTCTSCLSSSSSPRRLTTS LPRLSSTTDLPTKPYYVTTPIFYVNAAPHIGHMYSMTLADVLKRYQSVLHSRPAILLT GTDEHGMKIQQAASNKDMHPKQFCDETAEQFKELASTAQISYNRFIRTTDTDHIQAVE HFWFLLQEKGLIYESKHEGWYSVSDEAFYPESQIEKKMDAFTGEVFMASVESGSKVEW VEERNYHFRMTALRERLLGFYRENPEWVVPAKRMGDVVDWVRNHLTDLSISRPASRLS WGVRVPGDASQTIYVWVDALINYITAAGFPNWQPGRREVGGWPADVHVIGKDIARFHC VYWPALLLALELPMPKKVLTHAHWTMNRTKMSKSLGNVVNPMYVMNVHGADVLRFYLM FEGGIGNDADYNNDNLLVKHKKYLQGGVGNLLSRITRSKLWDLKEIISRSPRGRIEFP EDNLATLPAIVDKHMENLDPVSALRAIMEVATQANALLSEIEPWRLKKSTTQEDHDLA DEMVCLAAESLRVCGILLQPFLPTKADQLLDMLGVLPGNRTFKNTELFSDTSYGVPIA NPGKGREDSLFPALYQGLQAAEEKLRTVKKERKEAKKRQRKAQRGKEVDEWWKPEASG EQS QC762_205910 MGDRNIETLLKTGSWTIPSRSRSPHPYVYHETLEAHVSNESSDR RPYEDDRPSRSNNYSSSGDYSDRPTREYSDRPAKPYHDEPPKTYNDGPTRSYNDIPAR YRETPSYYSDVRPQSNISVPKSKRAPRPLVEEEHESLAKESAESLAGVVSEEEPPYRG EVDQQPLLLPVHEHNPERRFVIIPGAGEDGSAAEDEHYEANTCRKYVIVPPNEEGKDK KESKDDKGDRKPAELPRRKSHQDLPRLDTHLDERDQDPTARVRRSDSRHDREKPVIDQ DSRDRPSARDERSARAGPPRDDELLTPAVKYSNSARRDREYRAYDTGKSPASARSPST RGDRVDPRTTSGNRGSSTRLDPGYPPSAGGHKRASSTVSGPPRDDRTRDRPRSMVYPI GNFEGFDDGGADDIMSFMAPGINFDPSRKKPETSPQRGPQSSQHRNHRAGEGMPIPQA YVARHGRSNTRERNDYSSDDNHRGRRQPRGERPYPTHPSMEPEYPPEMVSPEQARAPK PRTGSPLPFPTDDSPDPSPQHATFPRHTRGRSDYRSNSPSPPRRRGTGHGRDASMSTS SQPGSMAGSLGRTNGLDSRRPQAKNPAIGILRQESSLDPKSPVMYWQNGRFDPLDETS SPAAQVISVRRYLEDAGKGLLPQLPTCHFQNPTSPYKASEAGQWMTLQRAENFIICPD CYKDVFANSTYQHLFFPAPPPQQPVSCDFGSQFWYRIAFILSLKHNHTDLRLLQAASL VAARHQPCAGGVRATRIWYGILSPNSRHPRPIPGFEVCSSCAKTCEALLPNLAGVFVP LDNNEPMKGTCEMHYSPDRKRFMDLWDHLEGVSDQAVMMMMAPDLVLLADKVRDTVHY EECKRNTPLRNKKWFMMERLPEMTVCGECFWEVVVPLLEQDRQDGGDVKGEIPRNFYK HMQRVEGLASCQLYSERMRNVFRLAAERADWRFLEKEVLERMRAIVEIEKRYKVLMEM KREGADEEMVEGEVQELIRKLKVVE QC762_205920 MASRPTVTVLGADGKATGATEVLPKVFSAPIRPDIVKHVHTGMA KNKRQPYSVSEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFA PTKIWRKWHVKVNQGQKRFATASALAASAVAPLLMARGHQVSTVPEVPLVIDSAAFGV ASKTAAAVGLLKAVGAGPELEKVKASKKLRAGKGKLRGRRHRQRRGPLVVYSPSTDGK ELVQGFRNIPGVETSPVDALNLLQLAPGGHLGRFVIWTSAAIKELDAVYESKKGFFLP SNVVANADLTRLINSSEIQSVLRAPKGEAKTKRGHVLKKNPLRNKQVQLRLNPYAATF AREKLGEVKEEGKPVRVKADFLGQLKE QC762_205925 MLDTRSELEDTEYNEFDGIINFRDVGKTVNAFLGKKVLKEGILF RSAKLDDASYKDRIRLTEVYGIKSVIDLRSKTEHLNAQEKHKFLQKNLPLKIPGITYT RIILPSRRFELFLLWQLSWFNIFKFLLLYPLSRPHAISLISTHALGPLGLPSLSLNTL SHSSPSISLCLSSCLSGHPKIIHCTQGKDRTGLLTLLILLILHTPVQAITHDYHLTNS TSPLIRSIRLAEVREVGLPDSFAETDQAMVSSVISWLDATYGGVDGYLDAIGFGEQKR ERLREILLCRGEDDNDAKDKDVGISPVSPSASSSDLEEGDVMVVQMGKEVGI QC762_205930 MVSASLTTHGVAPRPIAPNNTGRLRYANAEDLPGYPSAGLTEGS AAASAAATLGWANRKPTEVWKPNSNITSTSASTAALLAAGNKTSPVGRENQPVGTAGS QAAVAASSAQRQPRAPSSPPSQWVSSAANLAFSANKPPLPPTITVSNAAGTTPEPATL GRQNSLRAAKGAMAGLRPRAKSTPQPVVQETYPDQANSASNALSAATIAHRPTLSETG GAVPFTTMDRRMFTSNPPVRLEVEEKQRADVLHASAVAMAKRMYNQQQRRTEESTKAH ARSSSFPRHDPNRPLDADEEPPVMHNNLQEAAYRLAQERLAKLQEEHQKNRDMSEYYG TPGGPSRTKFGTIRGRLTRKRSSSDGDLLEDQRRSQHIRKQMSMLNSKLSEVDEEKRA KDRQALLAAAQRNVRAQLHDMDEKVLAEKGGIKAKPMGDWERKALIAAQTRFDENNAS YHSGKIDIGGGKFMDQSEVDAIAARKVQPLLDEINERAEREQARLEDERLEEERRREE AERERLREKEVQDIHKKLKDQQKEDEKARKAELKEENKRRKEEIKAIKQEHKLAAMEG KRKEKEVIGPPPAVDTEETAVEPVAEPSPETEDKQPTTAHRHALSISFPRRKKITKET PSSPEKSPKSEGESHGKVRTWLLSRLPRPRAKSSSAAEGPNDPSTAKKGAFIGGAALA RLAHNNSSSPSVAGSQPQAAINRPSTSGADNLGTSSSLHEVAMAGRPQPHDEPGEASG LNPPPPAIVRPVTPARTISQVSVPVSDVSERTVSSLSSSDDGHTVDKFVEARSQLGSP LTPPRTLGGRLGVPGAGSNGRSSPLGRRESRFSENLSE QC762_205940 MAVSPLVYPPQPSLSSPHKRQPPSSQQTSSNGTPSGAPLSAAKP ILPLPRQDPSSSTTPPPSLTLDPIEQMNELEKRKYVKGRKLGEGTYANVYLGHSRSDP TSLVAIKKIKVQAQYNDGLAPDAVRELKHLQELRGHPNIIQLYSVFSSKDQNLNLVLE YLPLGDLEMLIKDVDRVRYGAGDIKAWMGMLTRAVWFCHENYVLHRDIKPNNLLIAAD GEVKLADFGLARGFSDPGWRMTATVITRWYRPPELLFGARHYSGAVDIWSVGMVFAEL IIRTAYLPGNTEVEQIALICKQIGTPTEDNWPGVTQLSQYTVPSEVTPVWGKEAYMGR FGAVGSEGVDLLVKTLALDPKKRITAREMLEHRWWRTEPKPTRKEDLPRKSGGGEEKM GADLKRRNGVLEGEDRGSKVARKLDFGAMK QC762_205950 MRHLPYLSYYLYPILAGLIWLATLLALLIHWLVPPVSRAHYPSM AASQQIAYISDVGASTLKPLFITGCVLTTVFLDISFAADYYLRHKGRLVPNQTRTETV LAFLTIGFAIIGTVGLILLSVFDTARYPKLHNIFLLLFIGGYVLSAIFICWEYQRLGQ HYKHHHQLSTSFWIKLTFVILEILLAIAFVSCTFTQHYNAGAVLEWVIAFIFSAYVFS FVVDLWPAIKTQPNLNLHNPREKGMGFEGNGGQVVNGNGYGNGTGDMRYVGASEMEEG GGESSGSHLPIQGYGNAVGGGPVMGLTDHSSRPVTRERGLAGNF QC762_205960 MPPKASRRAQSLAARRSRKSSPAEDAANEGENVTAFLTQLRSAP MSVPKDQQGSEHPAKRAKTSRHGVITVARESLSLLITDAPDFDYNGLSTSRDVSNIIA LQVFTAQSAQIFVPSSDFQLIIGPRTKSRNSNFHLSFRLQGDEISPTLRAALEVAHTQ GVDPGDEGCLWTAVAMSIQQSGPALRLEVSIEVRWNEQVTVWSSKRAATSPQETLRNI VFSTWYPELALPKDKLSSLSWSPQDFYEAACVPDKQSFDAEVSKMEVPRLEAKLYPFQ QRAVQWLLKREGVRWSQDAHNGVGGVVPNPPSDSTELPISWSKAHDVDGNTIYLSPLI GAVTTDTGLFEALEGPPGGILAEEMGLGKTLEVISLMLLHPRPETNVMVYDHFLGREL LASSATLIVTPTTLLDQWLSEIQRHAPSLNVLFYPGIRKASKEGQELSAEYLAQQDVV VTTYEVLRTEIWAASDEPVRSMRNAKQYESVKSPLVQVSWWRVCIDEAQMVENWSTNA AQLARRIPRINAWGVTGTPVKDDIQKDLRGLLLFLRYDLFAVPGKVWNLLSRRDKQSF RELFRLLSMRHTKSMVKSEIKIPPQKRYVITMPFTAVEEQHYQSLFEELTASCGLDRQ GNPLSDDWDPEDPAVQSAMRVALDRLRQTALHPEVGSRNRRALGQKSAPMRTVMEVLE AMLEQSEAAMRTDQRNLLQWQLARGQILACQKRVRDALALWKEVLNKANGWVAECHQQ FRQAQEEARKARKPRNPTSESDDDEETDEGEREEFWSAQVGEARRRLRSALEVQHAAV FFCGNAYFTIKTDETSTVKDSDEFKELEKLEVESYDRAKEIRKEILQESHKKATKLME HLAHRAAEQNFAEIPEFKPPSQSGLETSRIVDALTDIGGALDEQANQIDEWREHVIQL LLKPLVDEDNDEVTGEEYEQSTKLQDEILVYLQVLRTALADRSAAVTGQKNFLVEHET KVAARMAAEGDGPFPEKLLQLLAESQAIKPPFVEGQALSSLRGVVSELRGLTLKLRQD TASGSARAAAELEIADALLKSTTSHQNDQAKAVASMEKETEKCMDTLNARLAFYRQLQ EVSDMVGDYEGSREEGPLQTALRTADKQVQTLQGKLAAAEAKHRYLIHLKDTESGSEE SKTCIICQSTFSIGVLTVCGHQFCKECITLWWKAHRKCPVCKRQLNSNNLHDISLKPQ ELKLHPESTTAAPAPSSSPNDQPSSSSSPTSPPQPTTTIYSTFNPEKLSQIKSIDLPS SGPSYTTKVDTLLRHLLWLRSSDPGAKSIIFSQYKEFLEVLALAFKRYHIGYTSFDKP NGTTSFKSDPSVEVFLLSARAHSSGLNLVNASHVFICEPMLNTALELQAIARVDRIGQ EQETTVWLYLVEGTVEEGIYDLSVRKRLEHIGGGGGQQQQDDDNGKGKGKGKRAGTVV AVVDLEEADRLEMQQAKLGRLMGRDGVIAGEVVQGEDLWSCLFGHLKRGQQQGTDRQL VEDPVTRGFLAAEAAEGRMVIRSGEGRA QC762_205970 MNSLRLHSAFGLLLRPAAAAPVGVSTAVHGLRAFSATTSSQSAE AAPSPVPKPKTWIPNPTPFVPNVQTFLTLIGRDLKSHASKFPSWDSLFSLSSEQLREL GVEPPRSRRYLLRWRDKFARGEYGIGGDLKYVKGGKAILKLVEKVKSPELRLRYVVNV PEGKEVEDLPLSQQVRAKGFKVRGVDKITGPYVLPLKGGRAAVLKVTEGMWEDKRGHK VDGGERRRAEVRFKRGVAERKARREAQGLY QC762_205977 MIGLEFPKNTSSLLSICSFFHRFPCLSYLTPVPRWKHQISHSAH RRLPGVRVNLSIVFLVSCCWAVLCLRNTSSLSLTGYLISCLSAPGTAHIGMRLGSPRA GPSDYSGIDPDYTGSYPDESLVDWDGAQGSTVYTPAPSSSSKKSRSSKRSKSSKLTSY SGSDTETEEAKMSSRSSKYSGSTSSKKEKSSSPRSKESDDWSAVTDPEMRRRIQNRIA QRKFRDKAREQKERDQRDALNEQYADSSYRIRSADELVDEGDLAWGSFSMGYMLSRGH EAASAGQRTHSGGSRRESDLVMDQAMYSSHSMSPYPPTSMGIPTTSGYTMGGGASWGG DGASSGGGDVGYYDSPYGGYYDGTEHR QC762_205980 MIPNWRRLLAATALLTSTTTVTATIDAAAPSNNDESILHSELAS QQNSSLLWGPYRPNLYFGVRPRIPKSLMTGLMWGKVESFQDFQNTMRYTCEQNEGMKG YGWDEYDARNGGVQTIHDRGNGLTLTTSFVKVPGGRNGGSWGARVKGVLGDPEQRTTV ILYVTQEGGGRLEAERGEEKRGYEGDVVLSGESEGLGGYKLVVTKGEGERPTSTHEIS ELEAWGEGGRTTVQSLQYPDEQIWQAKPIVFRQLKEQVDWLVENKYDNAEHAPPVWQV FTLQNRPGKGNVHIVQKVFEGDFEFDVLFSSESAGTELKSEDLTREIKAGSEEFGERF GGVFALKAPFNADKYKKFGRSMFSNLIGGIGYFYGQAVVDRSYAPEYDEVDEGFWEEA AEARARHAEALEGPHELFTSVPSRPFFPRGFLWDEGFHLLPIADWDTDLALEVIKSWF NLIDEDGWIGREQILGAEARSKVPQEFQTQYPHYANPPTLFLVVEQFVERLLNTNGTA AVHKERLSQSESLANASLENPEVGLDYLRKIYPLLRRQFQWFRKTQKGDIKSYDREAY SAKEAYRWRGRTTTHCLTSGLDDYPRPQPPHPGELHVDLMSWVGLMAKSLSNIGDALG FAEDVNEYRTILDAIEHNLVDLHWSETDGCFCDATIDDFEENKLVCHKGYISLFPFLT GLMKPDDPKVGRILALIGDEEELWTPYGLRSLSKKDALYETAENYWRSPIWININYMA VKQLHYVATQDGPHKEVARDLYSRLRKNLVETIYNAWEETGFAWEQYNPDTGKGQRTQ HFTGWTSLVVKIMTMEDLEGGAKATGSEHGHDEL QC762_206000 MSNITPLRRAPTTGGVGTGKMTAATTTTAGQKYHQHSTSILKTL QATEMLDTKPVLPAEIIATILDYLPVPDLLRFARTSRRYKEMVYDDTRWVARLKSMGV WDEAEARKRFEEAVQRRRQQTISSGVGRGQPIPPPSPTGTTKRESLFFDADLEQERRQ HELLQIQLQKQQISDLRDGFETMKVSGGGSGGEPPRDVEGLLLVVKNARSIRGHARQE YGRIYGALAPFYFDLVRARTHTDPLVFKVFRDPDRQARMLANLRSFARSDWADGAEGR REKLEGMTGIFESAVLREFEQGYEFWDVDGRMKKYAHVLEVLNPGSSAGVELFIQKHP IFADREVLANAMDCVNQAMADSITLEPSRRFFEVLGRKVNEQGEIIGRIFPRPQRVFW GFVDKVREEIMVEYITPLFDDAHQRSIPSYLRAVSGIFEQTMLFLRTLTPPKGGEVDQ EARAKEIALRIFEPHIDLYFQDEIDTFTAQAEREVGEWEKKLSEQEASAESFYMGSFA NRQADKKDFLTSFKKVVMMPVTVLPTSLGLPIGSPFASSKPAATAAANGGPSTPSRAG SPAPAGTPGDRASSPLPGKAFADELAAKAALMTSRLEGIKSLFSIEVALSLVHGAKAS LGRVAVFIQLGGQVGGEAREMCETIFVTLLRILGNGHVKPGFDKAVTHLSQYNPREVS EHEKGGVAPLVTFIELVNVGDLISQMIDVFYEQQLAQPKIADRNDFLDPAGMAKKKFE QMLDESVAAGLNKGIDVLMDEVEYICATTQSPTDYNPGGISAPDSILSGTIPGMEKAP APRPSAGAIDMDIGPSKTAQRIKDLVASHTSMLVGSTDKSMLDVFNGEVGLRLFTAVC KHLKRQRISTEGAIKLIADMNLYFEYIRTLKNKDLLAYFKALRELSQIYLIDAKHAKE MATIIADGDRFGGIFRAEEVYEYAERRADWYQVKREVERAMYGLECCVM QC762_206010 MDKSERQNPSEMLGAHPNGPNGPYTPPLTPALHIDSPGTTDTRG QLSIDHLHIVHSPADLTHDSVRNRTETEPNLRHRRVRTADSESSSSTEAAMSSSSSSP NPRAASVFSTQTLISEETAPPPRATTPSRQDSHPIETYEGHQQDPSPHSTSRAKIRGY TDGRDDKAFPRISKPLELLRGSYDVVVIGSGYGGGVAASRMARTGQSVCVLERGREKW PGEYPTGAVEAFKELHYSGQLAPAFLKGKLVEGGNPTGMYHMIFGKGQNAVVCNGLGG TSLINANVFMEADKQTLSMKPWPKEIRENPKGLDKYYKKVEQILEPTEYPSEWPELPK TKVFKEQAENLGMGHKFKKVKQTTRFRNGPNSCGVEMSPSSLTGQDATGVNDGSKTTT LVTYLADAWNWGAEMFCECEVRYIQKDEKEGYRVFFAWHGRNRGLFKGYLHNDLMWVH AKKAVFLGAGAIASTEILLRSKAMGLSMSDSVGQNMSGNGDMLAFGYNTDRHVNGMGK PVPSPYNPIGPTITSVIDNREGHENPLDGYVIEEGAIPHALSHLFQVMLDFMPGKKDP RDDTIIEKTQAALARWGSRLLGPYFKNGATERTQVYLVMSHDSNQAMLSLKDDKPVLE FLGVARSHHVKKLNNILERATEYVGGTLVHSPFYGIMGQQITVHPIGGACMARDNTGR TGVTNHVGQLFSGNGAEVHDGFVVTDASVIPTALGANPFATIAALAERSVEAYCESKE LKISEEKNGILNLLGEPAHAPKRCRPKQRREQLKAEEELISIQTAKKVMDKARIVKAS GIGFTEVMSGFLHADTKMTEDNRATYELAHRIGKSLCESARFFLSVQSFNTKEMISHV QHRGMLTGTFVCPAIRGSPFMVQRGEFNLFILNSKAPGTRNLTYDFDMTGVDGRRLHF HGYKVVDSSVALAPIQFWKATSTLYVTISEHVPGMCRDLDDEDAWRRGAPIAKGIMQI QPSDFLSQINTMTTTGSSFIRKAVSAASFLTYFTRRSMSLFLAPLTPLQYPTQTFTGF TNDTNPDSSYAIVASDGVTTRMHKWEPTHVPEGHQVKDLFMIPGASVDHQIFALPTIP FNAVNYFRRAGYRVWVSVHRIGQLMIAGNNWTTFDARLDLRACLEYIRKSQTTTLTPK LASPEKVYCVAHCMGSVAFSSGLLDGTIPPSWILGINCSQVFMNPIWGPANMAKVMAG GPLPLDKLYNAVCGSWFSCSTSKDEGWAQYLLNQALRLYPQSRKEMCNNAACHRTSLV FGRCWNHHNLNEATHRQIDRFFGGVNMRLLNLLMKMGYEGHVMTNAPMYEPLDTRENV QRLKGIPIMLWVGRDNAVLSPEATERTYEVLCSQFEDGDYKRKVVPGYGHLDGWMGRN AWRDVYPFVREEVDRVVRGEGYRFEEPDDEFKAMVHGNEIYY QC762_206020 MSNLPSEPEFEQAYKELYYTLENSTLFKKNPEYRTALKVISIPE RVIQFRVIWEDDNGDLQVNRGYRVQFNSALGPYKGGLRLHPSVNLSILKFLGFEQIFK NALTGLMMGGGKGGSDFDPKGKSDNEIRRFCVSFMRELARYIGADTDVPAGDIGVGGR EIGYMFGAYRRERNKFTGVLTGKGLHWGGSLIRPEATGFGLVYYVGHMLEYAGVTDGW KNKRVSISGSGNVAQYAALKVIELGGKVVSLSDSKGSLIATGEQGVTPEDIAAIAELK LNRGSLSDYSHKDNLKYIDGVRPWVHVGKVDIALPSATQNEVSKEEAEALVAAGCKFI AEGSNMGCTLEAIEVFENERREKKGEAIWYAPGKAANCGGVAVSGLEMSQNSQRLAWT KEEVDSKLKEIMKCAFFQGLNTAKEYVEATEGEYPSLVAGSNIAGFVKVARAMKETGD WWDNQLNKEAADAEVTSDSGFAA QC762_206030 MPSFTKTLGVVVAGLAAVASALPSIPKLNKAQLKMYQHAKRQNA AAQALGINDLDILQFALTLEWLEAAFYQQGFARFPVTDFQALGLNERQIEDLTKIGKT EEEHVVLLQSALAQAGVQPVQPCTYNFGFTDAAGMVATAAVLENVGVSAYLGAAALIS DGSILTTAGSILTIEARHQTFVRAASGVVAVPQAFDTPLTPKQVFSLAAPFIESCPEG SNLILTAFPSLALGAGIDAATVTAGTVIRLESEAAAGATHCGFTTGGNPGGTVFTTFT QEAGCEVPQNLAGVTYVSLTSAGPLTGVITDEITVAGPMVMQVS QC762_206040 MDQQQQQQQSQQSQAQPGGVPGPTGRRLHIAHRRSPSELTPLMS MYANPGMEQLAIAQQIELLQQQQQQLQATYQHMGMLQNAQALGQGGFNPLQQAMPNLS PQTGFQFPNQLQQQNVSLAPPTQPLSHRRNQSAIPNMGMGGPPPAPSAGASGSAFGNF ENLQPAQRGENAGGRGGRGGGAGGGHQRRHSLALADAKKAAEIAQQKRTTSGFQFPAP GASGSAEKTETDAKGASAAPAPAPVAAPADSQIAQGSSFRGGRGGGHGRSQSMAVGAG GRGGRGGGMNFSGDDLQRRGGGAGGGHARTGSRNFDGNWRNQSQNQNQGQDQSAGAGQ NQGFQPGHRSRGSINHQSISGLGAFQYAGQPQLMQLPGQMMVPGMFPGQQLNPMQLNQ LQALQIAQMSGQHMAGLGASQHAPQMAAQQPQQQQRKTLFTPYLPQATLPALLGDGQL VSGILRVNKKNRSDAYVSTQDGLLDADIFICGSKDRNRALEGDLVAVELLDVDEVWSQ KREKEEKKKRKDITDTRSGSTAGTNQGSTNDDNGTEGGLRRRGSLRQRPTQKKNDDVE VEGQSLLLVEEEEINDESKPLYAGHIVAVIERVAGQMFSGTLGLLRPSSQATKEKQEA ERAARDGSNSRHHDNRAQEKPKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHQDYADQI FVACIKRWPITSLHPFGTLVEKLGKMGDLKVETDALLRDNNFSSDEFSDAVLRSVNPP DWTIAKEDEAALGSRRDFRGEKVFTLDLESTAELGNGVHVKTLSDGKVEIGVHVPDVT HFVKPASLVDREAKKRGTAVQLMNRFCALLPPKLSGEYCALTPEEDRLTVSVVFHVNP HTGAVAEGDTWIGKGIVKSGGKLSLSQIDEALSNQAGFSHPVAGAKDIQLLSLLAQKF HEARLGAGGEPIAPLRLLQQLDDENIPVKHNVFDSSLATELVEELMHKTNTYVAQRLA AALPEKALLRRHAPPNSRRLQTFVERMSALGYEIDSTSSGSLQNSLFRVDDSDIRKGM ETLLLKSMQRAKYFIAGKTAQHLWSHYALNVPLYTHFTAPTRRYADILVHRQLEAVLT ETEYTEDLENLIKTVESCNTKKDSAQNAQEQSVHIEACRTMDKVRQETNGDLISEGIV VCVYESAFDVLIPDWGFEKRVHCDQLPLKKAEFRKEKRVLELYWEKGVPSSAYVPEDE RPRAGGASQRVSNAMAAARQAEEAERVKKEREEAARKQTETGTIAPESADALFDDDED NASDITEAMAGASLAERPTQSVPGSPTRSSSTLHRTRSDSKVPVTEAPETRLTNKEKY LKLFKLREEGGEYIQDVTEMTRVPVILKTDLSKSPPCLTIRSLNPYAL QC762_206050 MQRASPSPARSYDVASPHRADLAEIFNQDRNSPARHRQILETSR QEHTRIREEAERVLQEARLKEERDRVLEQRRKEEERIKTEQELAAERVRLNELKAKKV EIPPLLPDPEPPKPTPKPTPPAAVPPANKVDEPKPTSVLNGAGPFGSTAPTAQPSSGL FSAKAPPAPTPSPSPPVPAVAPAAKPANSVLGIGGLLNGTSQTNGTAGTTGAVPAASP VAPTAPAVPTIDRYTVIHKNLKGLRKMMAEQAKTNRALKERMGDMRRELRKCIGQLSA GAPGVNRSQQTKITNLLREALANQVQSQLIDPSDYVFEPRQPVEGAARNEPALPSLFI YLLNIFSKGAISQFINEASARPETADPVGVCVAATISEPDFLWRGKSMIDILLAKFRI VCPVLFGYRGSEKTEQGRARLGWWKDSGRWIGEQQHMDRMTGLGAGFAAISLRKFAAS KKQNPYPARHYWTAMARIVNTPAVEISNTQCIVLKAMIENYEGKFIDAYGSAAVVALR TALVEFPARAPTKGAAVHSLEVLATLLKKNTGLTLG QC762_206060 MMSSTSEEDPFLQVQQDVLTQLQTTRPLFTSYLRIRSLSSSTTT TPSPELISARAELQSSLESLSEDLSDLLASIRAIETNPSHFNLSPTEITRRKRLVQEV GNEIDDMREELSKTSSSLPDPSSFADLDNPERGEDYAAQFEQEQQVMMMREQDQHLDG VFQTVGNLRRQADSMGRELEEQAEMLEVVDGLADRVGGRLQTGMDKMKYVLKRNEDTL SSCCIGVLILVLVILLVILLIL QC762_206070 MFSLKRVVLTATALLGVSMVAFSQVAEAAKGPKITHKVYFDITH GDEPLGRIVMGLYGKTVPKTAENFRALATGEKGFGYEGSTFHRVIKQFMIQGGDFTKG DGTGGKSIYGEKFADENFKLKHTKKGILSMANAGKDTNGSQFFITTVVTSWLDGRHVV FGEVLEGYDIVEKIENVKTQPGDKPEKTVKIAKSGELEVPEEGIHVEL QC762_206080 MVLLVLIHFMISGYMHHIPETRFLRRTLPLSPPGHLEKKTAKMK LLTLNFLTCAVKTCKSSSDSFPLHPKDAELASDDVEVNPELLVNLLPRLDWKALKTTS AELGFPQLPDSPPTIEQLQSDDKLLKDLHSLLMETNLMEGKLVCGVCGHEYAVREGIP NFLLPSHLV QC762_206090 MRISQAATLLACTAATSVNAFTDSAPFILFSSADLPTPNSNPQL QTSSSVLSTTKSLLSSCPTDKYILISQPNLHAADIRTSEHCLHTPNLCKATRAQNTKS AFSVAEVIGQISAAELKELVAQSCKHVKGGAMQLLEVRMRGLPPVVVGGDEKERVEIL EDNDHRLGERMSALDEEGEGYTVMVFSDPTELPPYRSEFDGVATGHMDLKRGLDDEFE GVVVNRRGNETERDTRGLFEKYQFFTPGIFMALITLVIVLAILTVGLKALASLEVSYG AFDKEMGPAAQKKQQ QC762_206100 MDGTAAMFAQPGMFIQPRVRKTAPPPPKKRKVQHAVEEVTFDRT AREEYLTGFHKRKLERKRHAQQIAEQKAREERIETRKQIREERKQALEEHVQTIQQIL REAEAAGTGTAGKDGEEDEEWGGLSDDEVVEAPIDMEEEYIDEDKYTTVTVEAVSVDR DGLHKPKAVSSDDDEESKTEKLEDEDKQATKGAKRPKEQKKKKKFRYETKFDRQLTER KQKAKKRKARGVE QC762_206110 MDRIKEKMTQLRLESEESAAKVEELQAKVKALEQENLQKEQEII SLTHKNSVLESEVEKYETQVKDLKSAASEGAQHGTQNETLTRRLQLLEEEAEQADKTL REANEKLRQTDVKAGHFERKVQALEQERDQWESKYEEMAKKYATVQKELEDFQNEIGN I QC762_206120 MPGDRVRRDQHGQTPRPTTANRNHSTLLVKRDMPNDLQTSDNSN SNHDAHSTLDNRQLGGHSQIQPAATMLAAHHDQENVYVHQAGASNKQLGAKTPGARYP KTPLKIPLNDENANHGLGGGKGGLLQTKGNNGLVKSGKQALVTPAAPQTGRAPLGNKT TNGKARATQNTQGGKGTALKTPGQRPTTAQRPKQAAPQTGPAKVEVRADVQPPIKADD EVEYCPPKPRDLPYESDVLPDGVLTFQGLKPENLFKDYYRYYFNRVDGQGKSALEREM EERQQRKFARGDEQIRLDMEGFDWSVGDAPESRDVFQKRQETIKVEAIPVVKKVTGLG SRPPSTIASRRAASALAAPINSIRGAPGKTAILAPKPQPRGLLLPKRKPADNILQPGT FTRDTASSIIASRDTLGYSKGRSASSAIHGRKESVTRMPEVAPKPRPLSRCLSTASSG SDATITPARFAQSSQDWKRPDFLSIFDVEDDDSAASTAVPQVDDDEEEFQMSTDF QC762_206140 MTRAPGASYAQFFPAAPRVVARDRTRDREDREGPKGSTLDSSPL PDDTTNGHLTSLAPPESCPPQQDGHIPPSAMAGTRFSSSQLTPPASNDSPSSHLSSAA QQPSTTVRNTNGYHGHVPIQNEPLQNGTSSVLPSVPERTYARDPSRPIQTVVCTYDPL MDKKLPSGERMKTKPKYKEFGLDGQDDAPPQDPRLAKGGRLGYINVDFHHAKARLRQT PYSLKQYKYDPKTSCGPGPPTQIVVTGFNPITPFSTVTTCFAAFGDIAESSNKLHPDN GSYLGFATFRYKDTKPNRSRPQVISAADAARNAVRAMNNKQIAGRLVRVDFDPDGKKS SEMLVQAIRKDSERHNSVPSTARPIPTGPRASVPGPPPTAPRGPAAHRAPPPPPPIAG RGAGAGPVPVPGPPSTRPVYVIETESVKDTIREAPYIFVAHEYVPVQPSTVLHMRKRL KVYAIETIRADKSGYYVIFHNSDRGRHDVERCYRACNRTPFFNYLMVMELNTYGSEGR GSRTSREPRRHSRSPERRRVDDHRSHRDHDRGRRDEDRQKREEQDRRKREEESLLKEE KDQRAKNFDPVIEATNVVIQQMKEQLIRHIRTKIAAPALLNFLDPSNQVASRRKHNIE DPHSSKLSITFDDSDTKSPVGTPNSRADPIERRTGRLDVSALPRIRKAKNAALNARKH AFNDPFARHRPPTQRSTFRSLHDRLQSDSDDDSDDEVDHRYLNVRNTDEPESRPRSRS STDDEATKEDYASWGPAEEDSMTEASFALTDGPALPRKRKLDLQMETAIKRQKKTDEE LFGVTINRVDPGYPSRELSPEDIVLPDAEPLEERDTDSSRMPTPVPQGAKSKKKATKT KKKSKKQIFEEREALKRQQEEIFEKEASLAADEVEPTPDAEPEPGIKEAALDEEPDVQ KGEKPRKLDLDEKMYPSEKVRAFELPSNFILNETSLRASVLPALSHADLPNLERLKQR QGSGHLEQPQVWAWMRDRVRELNSPDGSKDTPCSIGGYYVPNTTGCARTEGVKKILNS EKSKYLPHHIKVQKAREERQAQNGKSGKDSVLAAAEAAKLAAESQVARGTSRANRANN RRFVAGLHDQIRGLGQDSDAFRFNQLKKRKKPVKFARSAIHNWGLYTMENIPKDDMII EYVGEEVRQVIAELREARYLKSGIGSSYLFRIDDNTVIDATKKGGIARFINHSCMPNC TAKIIKVEGSKRIVIYALRDIAQNEELTYDYKFEREIGATDRIPCLCGTAACKGFLN QC762_206150 MQAGLGLGLRPRLKARPSLVDLLVRAASTQQQASSPPPPAGNDA PSGPGSRQEELLRPGAPTATAAALLILDQAANLPLPSSPETPRINTPASVPPSSASIS NIPTLVITSESPPPSPLPAPTAIVAPPPKPSMAPSKADGQDGVHSGKIYSVSGPVVVA EDMIGVAMYELVKVGHDQLVGEVIRINGDQATIQVYEETAGVMVGDPVLRTGKPLSVE LGPGLLNNIYDGIQRPLEKISQVSQSIYIPRGIAAPALDRGKKWEFTPTKKVGDHIAG GDVWGTVYENSFITVHKILLPPRARGTITRIAEKGSYTVEEKILEVEFDGKKTEYPMM QTWPVRVPRPTTEKHSANQPFLVGQRVLDALFPSVQGGTVAIPGAFGCGKTVISQSVS KFSNSDVIVYVGCGERGNEMAEVLKDFPSLKIEVDGRMEPIMKRTTLIANTSNMPVAA REASIYTGITVAEYFRDQGMDVAMMADSSSRWAEALREISGRLGEMPADQGFPAYLSA KLASFYERAGKVQALGSPDRDGSVSIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKK LAQRKHFPSINTSLSYSKYTMTLDKWYEKEYPDFPRLRDRIKQLLSDSEELDQVVQLV GKSALSDPDKITLDMATLIKEDFLQQNGYSKYDQFCPIWKTEWMMKLMMGFHDEAQKA IASGQSWAKVRDATQDLQGELRRLKFEIPDEGQEKICKKYEAIQQAMLDKFASVIDE QC762_206160 MTSIPILRTCFVSACAYTLLYQRDSRLLNAHKAVVVARRTTRYT APRHRLLRRRRAAWSAFDPEFSSLRKPSQQHSFIAPDSLIIENKQPPPPPPPAAAQNT EPPRPRTPVTPKNQQHPYYMDQMISPRRSLDDTMAGQGTPNNLRRHVANRGIGSRGSS QDDTRPRTIPDDLLSSPSSPFGGFSGGRSSRSTSSASRTTNRLSLTLPIAPPSAFPSR PVPASTTAATFPPTPLDTPSSIMSPVEGIDFITAIAAQERRVMELREELDRAEAELAR LKEEFTLHEGYKKRPANRRNHEALRSLNSPADCHDEVAIRRSIELDRRKTLLGQQHQQ QQPTPEKSRRRVFTGSHARKLSLLSPTKPGEGFSIHEDGPNHLKLDYDPHGVHNYAPV TPSYLAKRASWAPRTTPQPTSVKQIAQDLKSGIWTFMEDIRQATVGDEPITGQGVYLR DNTGNMRQTKVERMLLFDSSDQQDTIRPAPNPRPKVSSAFDDLATMDPIPTTAQEEEF KPPPLPLRRSKTEAAKPTKRFSWTPLTADSVDDNDWSNWNSSPPTGGGVSSPRWSGTT VNGDIMPSDPTSPKRGGEEEEEEEEEETKTKSSKSRLNSGGNNKRNGSSPNGTGKLEE LLPPVLNKLTPSNIKKMGSEFMKEWERSLSPPEQQRQQGGKEKGI QC762_206170 MPSKTLRGAEKKALEGENESQDTLEVFNRLVQGYGVGIASSSPP PPDLSTTLPPSKPGVQKDVGRFKKPPSFNTRGTTRAGNNNSQSRRQHRSGVERAPSQG SQTRQTPGSDGLDTQITDIPAPNQINVARRDKMDSQKSTQSNNGRSYDQYCRSPSPSM STRTREQAIVEEENAGAVQFDLDKIPQETPYHGSNLPHDSGFVDYGTSRLPKHASHQS ILSSPRPPETPAAPHNPFRQGLSQLLPPSQMFQSTQFSSAVKVASPTSSRPSPAEFPH PGSLTGPALSSPLKDRGLRSSSVPNPPSSPQILPGRKSSNLENRPSSPIPSASNGNKA AIEAPQSELLPRRRAAPEPMAAYEPIRKSQERRGSSEVRSDPPVFEKDDDDDEELLRR RRAQSKKNAALKSLTAISVPRSAKTKMAEVEVPLTSSEKQTTKAEAYLAQCHGTEAQD SSPDEETIKDSQAKNLPSGPKQPAIVDDDATQSDNGDMEGPVVDLTPVAEPELPAVRS RRSARSGHPAEPPGLAPATSIIPETSPTRVRMEAGVVEEPTHQPAAPELEPALPHSTP PAPNTRSRRAIPDPHHPSSSDLTSIASTPSIYTDSTRASVSERSPLEASMAGNFSAVS TRLNRRQARERVAKPKGSRESLRQSVRLQSRRGSGSTDELALPLPTTPAFEDSLGVSR LNIASASRSTFRAACASIKSPFGQPTSGLFSNMAFAISFQSKKPGETNDQYKKRMDDS TTLQKRIAQAGGRMLPNGFDELFEVSPGRSLTSTPVTSPGKGQTSAEIQLTPAGYATG FTALIADGHSRKVKYMQALALGLPCIAPRWVTMCLDRGELVDWSPFLLCAGQSAFLDN AIRSRSLAPYDAATARLADVVAQRPKLLQGSRMLAVVKKSVQSKKMPYVFLAQVLGVS LTRVYTVDEARVAVKAAEEAGQVFDWVYVDGKSVEQALFSAPCSTGQKKKRKRGSMAA PEVVTGDGGEPPLKRIRTLDDELVIQSLILGRLIEEGEMEQ QC762_206180 MTKMLSLVLLFASLSRAQDNTPLPLPSPTSTTSVTVPWSSIPVP PAATGTVWSSTSAPTSAPAASGGSGAATGQGAVCGKGFTYCGYILRDHQGFAEADIVK SYCSASKENCLDGKTKTDPIQALYVCVPPDNSTTTLSLTSNISPNSNPYANSDDGSSS HYSFLRSLFSGFGSNNNKLHPRGFNNKKRQAPIAGAGGGSSCSLTDTPGNKIELLCSC GGTCLNPDKDHIGRCDAPCN QC762_206190 MNPPTAFYQEPSNQPEGSPAQFPIADPNTMEQDHAAAHPYSDSA LTPTPTYNQAPVELQDDSMAGMEDTVQPTNDYGASDLNGFHDRHHAQSSSGEQHGLGI ANSPHVNDNTDPDSTARYGNQPLQQPSLSRPPSGLSGQAPTASSSNGQGVAGDVQNNG RNHVVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSI WDLGGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPVLVG TKYDHFVNLSREEQEEISTQARRFAKAMRAALIFSSTSHSINVQKIFKIVLSKAFDLK CTIPEISNVGEPLLLYQSV QC762_206200 MASISRCLRAARPSAFAAVRSSASRTVAARPFSVTASNEIRKYT KEHEWIDLAADKKTGVIGISEYAAKALGDLVYVELPEEGRTVNKGDAIGAVESVKSAA DINAPVSCKITQINLVLEEKPGNLNQVPEDDSHGGGWIAKVQVDEQGLQDFETLMDSE QYNEFTANEDH QC762_206210 MTEEYTVKIGTRRSALALAQTDLVIAALSKAAGPSVKFEVHSMA TMGDRDKTTPLPSLGKGLWTSELEAKLVNKEVDVIVHSLKDMPTSLPEGCVLGAVTQR EDARDVVVFPRRQGGKYKALKDLPEGSVVGTSSVRRAAQLKRSYKGLVFRDVRGNIDT RLAKCDDENGGYDAIILAAAGLLRLGYDERIGQYLESSTEGGGLLHAVGQGALGLEIR EGDEKIAELIKAAVDEDTMMAAFAERSVMRTLEGGCSVPIGVETKWVEDEGEKKLRLK VAVVSLDGKKAVDGERTEKIANLEEAEALGKGLAEKLAREGAQEILNAINKLRESAPG ALKVSDA QC762_206220 MAHHCRTPIHRHPTNTWQAGLCEPGKGGLCPRSCFIGFDQFGRN NYRLNRAAHNDDPLDMKKYKGCNEKCWNCCLLCTFTLSIGSGVYVGKQTHHIRKTYGI QGKYSDDIAQGIFCQPCSLIRNELEVKKREELRDRVIMMQQTGPVPIGEGPEGFRALY TMAPVMQGYRAEPRMSASHSHVDEERGENGQSEVALFPREQLPEIPNVGSPLDPFARR NEALTPITERDSTEEGGRCRGGENEEGELRFWLQKGRDEKGERFCACESKKGRKGKRG KRGGSTLPGKGHAVCGNCGEKKKVIVLPVEEGLEQATQLVQQATNILAGMDRNSPNRG VVQIQHGEVFVSEPETPARLRRATVTDYGISADVEVPHSNHSSRNHSLSIDIRVPDRR ESVQRHSLGQDPKVVQLVIDPRDHLIEADIRVQEIAARAREHSFSLDPKVGVREERPR GHSLREDEIIDVDLEEAAEVERVEGEHGLKEDVKIGDKGLDLKKHGLGGDQRVDSPVH KAREHTISRDSWVPTPTSRAFAHGIHLDEKVPVPELQRLNVEHDLSQDRKVLTPSPGR EYQQHDLRADLQVKSPAPSPVRGHEIGHDVRVMESWADQLRVKEHVIGEDERVASPAP GKRRAPEHLLAEDAKVGQRAHQLLEHFLEDDRKTIRGSSRGSNRKENGNGK QC762_206230 MASTIPTNPSPQTLNILLQKIGDPDPDFRFMALNDILTVFNIAR TDFLTHDYNTSARTVDAIVKALDDTNGEVQGQAIKCIGPMVQKITDQLYIPMMEKLAT LKLKNSQDTSIPSLAMRAMVAALPRPVPGVSNNQVLEAYSAISKALIPRLIGRSGSTP GLLATENESSDYVDVLIEVVKSFGPMLQIYEIEVIHNSIVTLMENEKGNSVLKKRAVV AISMLAHYLADDHLAQFIKRAIHVLNHPSLTGVTRRLYITVLGSMARSVPQRLGKHLP ELAPILLRALSEEELEAQLEEISEGGEASLEFSEVREAALVALEAFLASCPNQMRPYT DESIEACLRYLRFDPNYALDDDEDMEDEEEEDDGFDDDDEIEADGGFDDDDDASWKTR RCAAKALHTLISTRSSGDLLDSGVLYTKVAPALVKRFDEREENVRLEVLSAMALLVRK TGEGVIPEFSLESPSEFVHQQPPSRKRRRQSSAGGASALANMIAPASLAGTGLASPTL EKVPATGPRADLAALTPSIIKSLAKLLKGKLIPTKQASINLLDDIITVQRGGLGQYFD QIFGPIIEAIKPSSVASTSASLTSAGGSASATATTLRIAALRLTSDIVKNHSSAVLQP HLPSLVTGLVSVAHDRFYKISSEAIQTAEEVVKAITPPRSRLTAQKFKGELQKLYDVI IDRIRDNDADAEVRQKAIHALGTLLARTTGNEGSGLLSEDKRKAALGFLLERLRNETT RIAAVRAIDTVAAYSLGSVQLEPEWIRQVAHELAAQLRKANRALRGSSIVALKHLILS PSTKGTLDPDSAQAIVNALIPVITSNDAQLLAPGLLILAHLTQEMPQVVITPQLVTVI CGLVKTTVPGTVLDSLLILVTNAGQAGQGKSLMQGLLRDVGVAGDPSVVGKVIGTLLV ASGDSAGVQLDNFVSEVKNNTQDQARVSLALAVIGEAGLRLGASFPVPPELFLNQFSN EYDKVSLAAAVALGRAGAGNVSVYLPVILQAMGKKGNTQYLLLQSIKEILQQAALSST DISRYSGTIWDHILALTDAEDNKAVCAECAGRMVIIDPKTYMPKLEALLKDKSPVLRA TAVQALRYTLPDDNEAFDAVLRSSLFDMLKTVLEDPELEIRRHALSTLNSAAHNKPEL IMGRFNQLIPYVMKETVINPDLIREVTLGPYKHKIDDGLEVRKVAYETLYALMETAFS RISIIDLYDRIIAGLSDEHDIRALCNLIVSKLVYLDSAETIRRLDPIAAAFRATLSTK LKNTAVKQEIEKQAEANRAVLRVTLLLGDKLKSELATAGGAATGGHEVWTSYWDWVNK DFNEQLKAVREEIKSLSWNTISASS QC762_206240 MAAATSAEAEAPNQTFDTILLLDFGSQTSHLILRCLRSLNIYAE MLPCTTKIADLTWKPKGVILSGGPASVYDEVAPHADPAVFDLGVPILGICYGCQEIAW RANSANVAAGEAREYGHADVTIKKVEGADHVNKLFQGLGEEMHVYMSHFDKLVKLPEG FTILASTPNSEFAGIAHETKPVFGVQFHPEVEHTPRGVELFKNFAVDICGARQHWVMS DFIQHEIQRIRKLVGPKAQVIGAVSGGVDSTVAARLMTEAIGDRFHAVLVDNGVMRLN ECQQVKETLEKHLGINLTVIDGADLFLSRLKGLTEPEAKRKVIGSTFIDLFEEEAIRI EKAAENTPNAGKVEYFLQGTLYPDVIESLSFKGPSATIKTHHNVGGLPARMMNGQGLK LIEPLRELFKDEVREFGRQLGIHEDLVMRHPFPGPGIAIRIIGEVTPERVAIARKADH IFISMIREAGIYNEMSQAYAGLDTNRAVGVMGDARVYGYIIILRAVTTTNFMTAEPYE FKFDLLKKIARRIVNEVDGVARVTYDITSKPPGTIELE QC762_206250 MSQPRPPPTSSGNPSAPPQKEPSSSHTTGLRYPSNGKTIYHRHL SRSRTAELSQASFAYLFSEMVTYAQRRVTGIQDLEKRLNTQGHPLGLKLLDLLLIREP PRSQSRPLNIITLLHFIKINLWQHLFGRQADRLEKSSDPDAPDEYMIIDNEPLVNAYV SVPKEMSQLNCAAFVAGIIEGVCDGAVFPARVTAHSVGSKEEGEMWPGKTVFLVKFQP EVLEREALIGGKG QC762_206260 MNQGGRDLETIWEDTEDEVDLLHVDPANLDRPSNPIAAGSSSPP LPPPSHIQHPNLPSYEDEHSNQPALPAQTDHTDQILDDNVSVGDSDGLGLDSTPETEG DFLLDWIRNHAEARDADRNHDNNEADITSELEGPDTDSDASYATSTSSWELDSRTSSQ IVSLPDITEVPEVKILEVEATETSRAIVRLWIGHASMNKDAHYSYVHFHHSFHADLLE EFRKDSKLPNDDIYVPPHLQPVNPEDEDDVVPDQHAAFGIQKATQKVKEPAWRDLGLE ELMSRGPGGGNAHQGPWANNSRPGTSSGAGAAAAGGAAGHGNAAPRRRPGHRAPGSGY RGLPR QC762_206265 MMLGILLFPTLLLGLAFAQPSLKERSCSEQAYLHSADCTELIAE YAGNYTSEYVTLEPGHCDIKMPKLCEGFFCNVSPNKVSIIKKDKLIDSLLNFTCHVES ALAATWVNDDKENPLNFTFMYWDPDFTPSAVLHPRDDPPKLPPNVLCFELGFKPAFAQ WTEDCQSVFDTLASQYTANYTFNSPKDYIWIMNEKCSAILYQSAFNKSIPIPDVPMQT VVSWTKDYIFDHCINTNKSGAVWGVGDNTTFPFGGLKTEFLPSSIAVRTWWEIMGWHS HAELPSGANDSIIGANLSSRSSSESKVARRSVSSGCFNATELIKNIFDSVTGFTPFFL GNITFPTAPIVQDDCQEAINMLQDGYINEDGGRVCNFTDLMPSMRFLYNSCVLAIWNT SPNTTVPNVDMATVSKYAQDHIFNECISKDLSGLWNGIGEDAGSSLRTLLMPMRYFEL MRGINQEEGFLPLITISDPQIREILEGFED QC762_206270 MSQEWDVAPAAVVTDFVERCSQLHLPLVILSVSCILLTWQLRKT SPAQQRTAQPPAKSVRGAFLTVLEGLLLATTLSLNWEGLAASASASSRVGITFGSYLG GLYVLSLLPPTGLEHAGRVTRYHSAALYAIFLLLSAVTSGADSIATNVSLSLLITALL SPRPHQKDGPLELDATGESQSSIFGLWSFAWLDGMLFKAWRTGSLQSRDVPEPALLSA ADKLVIRTKLRRPSGSLVHSLLRYFGPQLAVQGVVAVAWAVLTFMPTLLLRSILQFVE GPTESSIEEAQGYVVLVFVTSVLASAAEARTIWLGQKIGLRLKTILIGEVYHKALRRS MAVGSSSGGPEGQTADIGTIMNLFTGDINQLADLGANMHQVWASVPVQIFMAVTLLYW TLGLSAFAGIVVMGLMVPVNSRIARSLGAIHMQVMAATDARIQSTTEMMRSIRVIKLT AWDSFFQQKIGDQRAAELKVLDTRYMLWTISATIWYGLPLLITFSSFFCYTILGGNSL TPSLTFTSLSLFNLLKSPLDDLISIIGRVQNFLVAARRVEGFLDEEETEKHHVLGSHT GGQVEIGFEHATFSWPGQSKAADLSSKDGKATENPKSFSLKNLDFKFKVGKLNIIIGA TGSGKSSLLHALLGEMPLVSGNVRMPAAASRETLSKDEKTDLVEGVAFCAQEAWLTNN TVRNNILFGQPLIEERYRAVLQACALKPDLKILPQGDLTSVGEGGVSLSGGQKQRVSL ARAVYSNARHLLLDDCLSAVDAHTASWVFQRCITGRLMQGRTCILATHNVALTAPGAD YILRIDNGTVVAAGSQQDLAVQGQLPELANGPGNHATPEEVPGDREADQKPVNEKKGK EGENSDSHATEPAGPNTRQTIIKYLASMGGRKYWISLVVFFVAQQVGSITVNWWVQRL SNATVEVQRREDNTIEESHSWRLKHYFVIYGLLLAVYFAVGFMRLYMLSIGSLTASVR IHDSLLKSVLSAALKFFDDKSFGQLIGVFSRDMRTVDQDLAMLAIATLHFVGSLIATT ILIVFITPQFSLPAIFISLVYYFIAKVYISSSSDLKALESTRQSRVLQHFHETLSGTV TIRAYGAEREYLTQSSALLRKLNQPSFFLWGTERWLVLRLSLTSAFVSLFAGSFSIRS NGDAGTIGLSMSYAIVFSEQVLWLIRYYMVTIQNMTALQRVCGCLDESTGAQDAKSTR EPSKEWPSSGFVEYRTVSARYAAHLDPVLRNLSFQVRPLERVGIVGRTGAGKSSLALT LLRGLEIESGQILIDGLDTKTIDLHTLRSRLSYVPQDPTLFAGTLRLNLDPYNEHTDK EVLDALKRVGLFDSSEGRGKFTDLSFTLAERGSNISQGQRQLVCIASSVLKGSKVVVL DEATASIDHESDIKIQACIRSMEATVITIAHRLRTVVDYDRILSLDAGCMKECDHSWE LLQQKNGVFRGMCDAAVDREELFALSKAAWDSRHTA QC762_206275 MPDAFVDTGWHHCNTLEAADVWDKPTHPYQFVVITDSAGIKTIV ICPVSSTARLAPTLSSHRISTDGTPHIAARNPSIKTKARSNSRRITPRSPQQSYGYSP DYDDNDTPSSSSRPTYTGYESSSRSSSNTRTHALQGQQSIPDTSYPPSSSAASSSSRS SISPPSQRQQPPTAALDPPVHKSSVTNSEESTHPPDNSSTTSENHGADNQPPAPLIKP STPPPPPPPPPPPPPSQPSTTSPQPTPTPTPTGTDLQLPSIPGITDNNNNNPGPEAQK PFDVANLLKIGGSSVLGGGLTLVAIFFLVKYFIRRKHGKQGGEGGDAEGKNGDLESGL GVMRVGLGGIGIGQQQPHQQQIVDDGSGSQPAGI QC762_206276 MAPINSSPVDATRPPPISEWTSFMVTLFAIPCILMTIAAVWAVW RQKQIRTMSLVVSMDYEVTEVANVRTPLLALPSTPQLKAKNTLEIPGMYTPSISPALS GRTEIASPVEEEQRHKFKDIPEFSISRAT QC762_206278 MGGADDDIPIPDIPVHFYVIIGCVAVSLALSQILGLWLTGFKEP TPTGPVYVRTTRTLHDKPSESTLVGVGDAVTGALSMDATGRESGEVGESVEGKKVGEV EKIKEEGDIKEDNKETEDIKEDNESGEIREPDGIKEKPEV QC762_206280 MASLTDNNNNKPSLTVETTSMSRLSTKTSQETLTPEPFPTLTEK DTSASRLSDLSTPATTHRLNPFDTDIEAMITNENSHKRSAECTKGGTDCQVWPGQDHW KRKAKAAKKNRRACNCLAGLSKRNRILVKILLIFLIVGIAVGVGFGVSKPLGAGIWRS ETQNS QC762_206285 MLGFTILSVLWLAGLALGGQADPAKHGWIFDNMMNELDCYHVLD QFNESADANQVIELKYEEPKEMLYKGCSIILSQLDIKPWYTYISLKQILPSLAKYLYY QPNIKNDWEATFNISENQSNSESKIAYVKILKYSTDDQNEANTSANSVDPDATCFNMT EFPPLVQADCQASFDLWTTGTKAFFPNHENMTWESGFLSFSNDSSTCQFVMYNNDDYT AYVSLNDVTDQVQHQIIDPCVSNGWYGGWQKNNTGLYLDFKNRGVLAWFLPKEFFPAV RWTFPEWLKDPADPWDYPPYLVFNKSKSQVFNKSGSQVFNQNESQAISD QC762_206290 MAHHSDNGVNPLRPYYIPPSIGEPPESLPTPPGPKAFAQAGNST GQYATKARDLFSDIDYKNYIAEPSPSVVQTVKEVLDELLWKYSSVLMAQPFDVAKTIM QVRKQDDLGGLAAAAEEAEEARRQQAQAAAAAAANPWNTQFDDEEQAHDSDSDADEMA YFTSNQPRTPSPTATRGRPNPMTPLDTPRTPGKPAPVPAHQLAIRTPDSVLEVIAQLW QKEGAWGVWKGSNVTFIYSVLHSLLENWSRSLLSALLNVPDLGVKNDADRLIDIAAPY PWASLCVAAAAAVVTGLILSPLDLIRTRMIITSTSRASRRTLTALRSLPSYFCPSAVF FPTVLHSLVHPLLTLSTPLVLRTQFMIDREIAPASFSIAKFCSSAVALCIKLPLETVL RRGQVAVLNTPEYLQALEAPPPVKGKKSAVAPPESLETIVPVGKYNGVFGTMYSIVNE EGSHAVVKPSKVVETARGRKKGGKQAKEQQSISETVYRRGQGLNGLARGWNVNWWGLV GLWVAGVMGAGGDGEF QC762_206300 MSTQRVFASVLPRLRVASSKNATTSLAQQAQQLRCYSRPTATLR PSGPARSSVLSSAAASAPRRVCVPATSSAQPRTLSTSASLFHGHVDTPKPGEELWVTF IDKEGVETKIAVCKGDNLLDIAQAHDLEMEGACGGSCACSTCHVIVEDPDYYDKMPEP DDDENDMLDLAFGLTETSRLGCQVIMTPELDGLRVKLPSMTRNLQSSDFN QC762_206310 MAGSSLKKRSFSDGPKGKAQRPTKKQRQVAAYHSSSEEEDSEND EGGAIPSNLLDSDEEDLDNLEADDGASTEAEDSDSQSNSDSAPNSKSKSQQPKSETKQ KKFVAKDAPASDNSSGDEDGDGDNGSSDEDDDAHSGSDDGLGTGANRMKSKRNDPEAF ATSISKMLSSKLPTSKRADPIVARSAVAAENAKQLVDTALEAKARKRLREQKRLAMEK GRVRDVLVPSTKRTLNLQTGEIEEELEDGAETTGQLLATERRLRKVAQRGVVKLFNAV RAAQVKASEAERVVRKEGMVGVKRKEEKITEMSRKGFLDLIANGGGGLKKGGLEEA QC762_206320 METPAPTTTGVLTLNPSCLATTNLWVDGLCTLPAYGDGRCTRYN LGKGCPMRAAGATGYDERIAFSDCPVGMTPAWSFTGTELQDVSLATSYCCPTGFDFSV STTSWPGSGTLQATCIAESIERLSGQTLTLNQDTYRVETSGRSTITIRATTTTAAYDY DQDKIFAQAATIWKYIYPGAETTSTCYGIACNPSNPLPQPPEKTPPPSTFTYTPPPPF PATQFTPDPSCLAESNFWQVSTYCWMSWPNPSPDWLRCTHTGFGEPNTYLHPECFPSA TVAPQSAENPDIQTWYSECPVGYSVAKTFTSGRFDLPTFVFSEPSPTKTYDVTATGLA CCPSGKYHFEYRRVDTSITSHNGRRETVSLYIMPSCIATRVSALSGKGIAMKEWYNTA VYDKRDLGERQANHDAEATITDAWNMDNTLYAQAIHLGYTVFRDQYTCYTNCDEYFYN SFRDVVPNTYKTWSPPTTTTTSTSTSDGSSSTGDGGGDNGDGEGGNGEEVVSTSSTAG AAEQVGRSGSIIITLVTVVTVVMGLGA QC762_206330 MAAACIAVLLLALLLEGLRRLTREYDRHLLRHHAHKYPHHQHHG HPGEIILMDTEPVPPHPVGRRMTPSSSLRPLTAEQSRVASAVNLNAAHGEGIGQVDGQ CASRPRRQKEESHHTGGEHERKEGCERYRPGFCEQFLRALLHLVNFVVAYLLMLMGMY YNGFVLFSIFFGVFLGYLLFHWTRVGKHDSKRCGELEDVTVCCG QC762_0038020 MPHPLHLLCIVPALFIIFRRLVSGEPDQAVPIPIDIIIVEDAVT KPACLIKMTWNWNTIDSCFLSET QC762_206340 MPGWKYAFSLSREAVKAATPPGTVRLIEPTTTVVIPEGGVTTTD RFSKYPLPTPDPADPLNWAPWRKLACISTVSLYAFVSNFISACIAPALPVWNLEFPED QRKIEELMQFVAYNILLLGFGNVFLVPVANIFGRRAIVLISALILFISTAVGATTSNF VGILVVRCFQGFGSSASETVVPAVVGEMYFVHERGRWMAFYTAALASGSVVGGISGGY IAVRLGWFQVFWVSAVLAGITFLCSVLFVPETIYERGAHCLPIQRNLPRPSRYWPRTP APYLSLVTLPSMRMTLPSRFRYTGELDPTYTWYQPSSSGDLSSSTAMTPTRLSKQVRS SRATGPGNFSYHPYTFSDSLKFGMYRGRIKYQFMKPWYTLRLPATWIAMLQYGGLVGA VAVISTIGPQLLILPPYDWGADTGLLFIGALIGIVFGAITTALLADRRLKAFAKKQDH GYAEPETRLPIMLPALALGTGGLLVFGFCAQYPGEYQWIGLQVAYGMVAFALTQVPSL WFSYLIDAYNQLASDCFAMICILRGMVPFIWLLFVIQWIERDGYLVPFGGFTVIMGVF SLLIVPILWAGKRMRIATARYVVGNQ QC762_206350 MDADNDECLLFVDDSNVWIEAQKFAASGNSHMPKLTDGDQDPRL RINIGRLVNTLCDGRIQRQSYIYGSRPPPNDLVWDQYKKCSFKTKIYDRGANGREKEV DNSMSADMTEEAVDLRAVAKFDQAVEERKKRTVFIVITGDRDMLPAIRKVLGCGIRVE LWGWNSGMAREYLRERNTNGRLSVKFLDNIFNQVSFTNFRSTRNTRVVPAYTIVILEP EDLAEETWNDSYVAKVLLELGRLFYTTRSKNGSEIFVEFPTVKNIEAIIVKARELFGE KTIIMSWPVYASRFNKDVSEIVETSNMFLPLENDNRASSSPLSTKHDAQSSLENSVDL GSGPPEAGDEKAEVHEAEAEGGGDPDDEEGWEQVKSRSRPGRAHGRAQRGTQGCPKGQ HCGDRGECGYKHTSKEMARFRDNPTKDFRLWKTKKCTAVGCRRGERCAFAHSTEETWC LSCNDYGHSTEDCRL QC762_206360 METETAHSRVGTLMPQTIYTQKALKLQARRIRKGTHSCWECKRR KIRCTFAAPGDAICIGCHRRGTDCRSQDLPEELSTPADRTRQMGDRIIRVETLIEQLV KKVGGDPSAVQALLKPAEASVVLKDDGSTGSETSHQFGILDAPQEGLDLEDESLGVQR PNPAECLAKLRPDDGKHGRLSEALHSAYPSRQDLDTIYELGGNKAGLFALALTSADPA SGLGGNSDPKVVFGIPPRDLHPVLVARHMLVLCLSLQYTHRNAYTDLPPDCETPRTIM RRLADTAIRLVTTNDEMLSSIESLHCILLEGLFQMNCGNLRRAWLAFRRAITVGQLMG IHRSYQHRPLRILDASMPVAPQHLFHRLVCADAVVSLMLGLPQGAMEAAVASRVHLPG DDAPVNKLERLQMGVAARILERNESDPSFHEYASTKALDLELQKMAGELPGRWWLPPS LIGAGNDVREMLGRMWQLMRQVFHYNLLNQLHLPYMLLRSSKQSLDPSNSSYSRDVCV TASRELLTRFVLFRAQDNVAFCCRAVDFYALMAAMTLLLAHLQGHCQPPDHSILAHQR LGDLGLVEGYLENMDAFNKVNADALSEKSAGLIRRLMAIEADAANGRRKYDTQAVHRS SSESVTTPSRQNVLRVFIPYYGVVKIVATDEVISKEAVTSDAAGSATAVTGPDAPSSA TLVPQSAFERQPSQTPNQEQQLYGNMVQSQQSSQNLFVGGPDFSSLPAQHGSGHLQPE HDSYLYPALTAGVDDWAFQGVDMAFFDSLMRSRDNTGAGLGPGYIRDPPTGYCDWETQ QC762_206370 MAPYSAYTHAHGPLASQNSASSLFDLETPATPRNRYHAYLSSGS TPNSSTNLGRLSEKYRSRGKSLHVPPTEYPTGWTFACIIIALVLSVFLVSLDMTIVAT AIPKITDEFNGLEDVAWYGAAFFMTVGGFQSSWGKVFKYFPLKISYLISIFIFELGSL ICGVAPNSPTLIIGRAVAGVGAAGIGSGAYTLIAFSAEPKNRPVFTGIIGTAYGVAAV VGPLIGGAFADRVTWRWCFYINLPIGGLSALIIIFLFRTPAGCKPAEATLKEKLLQMD PVGTALIMAGVITYILALQYGGQTLAWHHRKVVGLLVGFVMISIAFGVWEWYNGERSM IVPRLFVQRQVWVCSMFSLLFAGSYFIIIYYLPIYFQSIGNATPTESGVKNLPLILSV TVATIVGGGAVSATGYATPLAVGGAALATVAAGLLYTLDIRSSAGMWIGYQILGGIAW GSAFQIPIIVSQATAEIDDLSSVTAIVLFFQTVGGAFWVSAGQSAFVNELMKELAISA PDVNALAVLGTGATSITTVFPAEQVPGILIAYMAGIKTALAIAIGAVGLSFVLSFFFS WKRLNPETLRAAGAVA QC762_206380 MKLTISNCILLLAALDVHAAQARALPAEPGLASTQLSERAYRGG NGIPSQNGVSRGRFGASPAKRDDSPLDTRTYRVGGGTIPGPNDVSRGRFGSSPAKRQT YELGDLIDIIDGLQQRQVERRQAESTAFPVNEAPQPVDTAIPIPEAPPVPISTAILDA PPAPVPTAIFDAPPAPISTAIPDAPPAPIDTAIPNVPSVVIGTQIPVTPVSAPVVTEV PVFQVADGFITAIRARQNTAVNGDNVVKVEQATSVVGEPTAVVGQATAVVGEPSRPIR TEFAIVANVIYE QC762_206390 MSLTTIRSPPSLSDYIPLPDHQSHTPTSFFSPAKPILHHHSPST KAWLTSPTQLGKLPFFPLDLTTRPTPPESLALSPESLPTTYEQALDVFVTSQNLVLFS PQAEVGLTIPYHQISIHAVQKIGEFSSVYLQLELADGGSGDDEWDAVELTLIPASQKR EEEVTVGGRRPKTETERLFEAISDCSNLNPDPKGEGDEDEEEDEDGARIIFEGEAMEG FSGVFAGARDGGLPAAMPGSSGWITAENVHEFFDEEGNWIGGGEGDGDAGGEGGGWVL SESMLGGGAGTVHRMDEDGAGEGEVNGLEGGDSKRPKKE QC762_206400 MHDNRSHPFLQQVPLTVSPFVNLPTATTLPYTYKPMPSALPPSA SGITSDSAAGGPEPKYVVSPSGHAAHPNDIIASCRALRDHIAKLTADAEAEIKAIDER IKAAELAEKRRLAPGWLDSDVRVLQPERKSVAPDGSGIEGFGQLSLGEGGDGEGNTSQ QQQGHGPGGASEMAVPDEGEELDRAFGKLGMGDPK QC762_206410 MGLPPRRAPSPSESGSASGSGSESSSSSEDSAPRRPLMARPKFI PKSQRNKTPAQLAREQESALVAAEEAARKAAADALVEEQIAKDLLARKSGKKAWDDDD DEENKLEVDDTDDLDPEAEYAAWKLRELKRLKREREAIEAKERELAEVERRRGLTEEE RKKEDEEHLRKQKEEREGKGKVGFMQKYFHKGAFYNDQGAEAGLVGRDVMGARFADEV RNRELLPKALQMRDMTKVGKKGATKYRDMRSEDTGSWGGISGGRRGGPGRLDDRGLDE RFQSDGRGGWREREGGGEGPRGSNAVPLGERRERARDEDRYRDRDRDRRGNDDDDHRE RHRRRDDSRDRRRSRSRSRSPRRKRSPSRERGRDRYDSDKRRKIDGR QC762_206420 MSETEIVAELNEQFGSGNKELEADVVAELRSIMRLHQLSVEDLF FKWESYCIKMDIDAAQTALETLRHFKQDLHDTLERNSRSHVKIKTEKGISSTPRAPRV SGGDVFGMLDSLTTPGPGRASKVAPKRTPAVSRVKAEPASSPVKLADQLDATGAIPPS SFNDRANAGETVEILNDQLPAPEPPIAPFSESRIKLTAASDQKKLAYKPLALKVSESS EILDDRIDDFVSLVMQHHKLEESAFGSAASQSTSEIVAVGRIASDSSEGKLNAASLLL ETSRRMGGGLRVPLNVSRLRGYQFFPGQIVALKGVNSNGHEFTVHQILDLPLLPNAAS TPDSLGAHIQRLRGGPDAMDSDSDPAPLNVIFASGPYTADDNLDFEPLKALCNEAADI YVDALVLTGPFIDMEHPLIASGDFDLPEEYLSSIDPDTATMSTVFKYLISPSLNRLAS ANPSITILLIPSVRDVLDKHVSWPQDAFPRKELGLPKAAKVIGNPMTLSMNEIVLGIS SQDVLFELRHEELIGGRPQEPRLLERACRYLVEQRHYFPLFPPTDRKKLPKTGRGDGV GPGAVLDVGYLKLGEMVNVRPDVLVVPSSLPPFAKVVESVLVINPGYLSKRRGAGTYA KMTVYPPDLAKQEQMGGMLAHKIFERARVEITRI QC762_206430 MAEVLKLPLPFPIAGSQPKPRPSLDAEQQKKYDWLLERVKGWTE IPSTSKAGPPTDSEKFWLTKECLLRYLRATKWNQQEAEKRLLKTLTWRREYGVEDLTA DHISPENETGKQILLGYDKEGRPCHYLNPGRQNTEASPRQVQHLVFMVERVIDIMPPG QETLALLINFKQSKSRSNTSPGIGLAREVLDILQNHYPERLGKALIINMPWVVTAFFK LITPFIDPHTREKLAFNEDMSKYVPTEQMWSEFSSGKLAFEYDHSQYWPALQELCNRR REARWQQWVAGGKQIGESEDYLAGATAAAVGSATEKTATAPAVEGKTAEITASEAAPA VPAN QC762_206440 MSTSPPKTTEAWTYTSPSTPRTALTLTTSHPLTFPPSNSSEETL LISVSHAALNPGDIVSLTAMPFFLHSTPSAVPAFDFCGTVLQSHNQARFSPGDNVICF PPLPHMLKTGIGGLQKIVPIPAKYCVRLPQGKKLIDGAGLMLAGCTALLQVRQAGVKK GDRILVVGASGGVGSAAVQIVRDVVGLGGYIAGVCSGRNEGLVRSLGADEVVDYTLHK DLPGYLTERFGGEGRRFDHVIDGYGNQELYKSCAGFLKEGGVYDAASIHYASYRLWDL LGSVVTIGLNILWPRAQWLGGTGRRFKICSLGDPGLEMMELLAGMLGDGRVRVVRDSV WGWGEVKEGFDVLMGGHAAGKVVIRVGEGGE QC762_206450 MAPGSHHDAAEALRTRLEQIELQTSQPAPTSPSVPQISLPEPRE PSSLSGTNTPTRPSLSSPPTLASGLPRARHSLDSSSSRRPPPALRVRTGLYKIESSQQ LGPSPTQLAQENSTLSPPLPPGRISTSSQSAYRAPTVSRIEESRISLTRPRQTFDERR NTDVQQLALDNSNNNDNNNNNNTSNNMAPATSATPDDDDDDDGEPRTSYTSVPLNWLS RQKARHRQTTRKQWHSFKKFAAPYYKKYVLETLLRQKPIPPSKDGRHILLSPGRARRK PFKDERTGKPYVSNAIRSSRYTIWSFLPKQLFFQFKKLANFYFLVIGILQMVPGLSTT GTYTTIGPLLAFVALSMAKEGWDDYRRYKLDVRENRSGAWVLDPEAAAGGGQGRKGRL PGGLVRRSKKTEGKEGEMTVLELKGTESEGGVVEEKPSQWVRTEWQDIRVGDVIRLER DDAVPADIVLLHATNENGIAYIETMALDGETNLKSKQASPLLAKHCASVAGMGAVEAE VVSEDPNIDLYNYEGRVTVGGETRPLTLNEVVYRGSTLRNTKEATGLVINTGEECKIR MNANKNVRAKAPKMQRSLNRIVLLLVVFVFVLAGGLTAGYTMWRRDEEWRAFYLSGET VQLSHVFISMLIALNTLIPLSMYVSLELVKLGQLLLLHDVEMYDEVNDTPAKFNTTTI LEDLGCVGYVFSDKTGTLTENLMQFRKMSVAGTAWLHDMDVSYVAPEGGRPSISGRPS VSQRPSFSGWKSSVRPTNAQPELRTDEMIDYIQKKPNTPFSRQARQFILCLALCHTCL PEEDENGKIDYQAASPDELALVRAAAQLGYIVVKRTTESVTVRMEHGTGADTEETCQI LDVIEFSSKRKRMSIVLRMPDGRICVFTKGADSVILPRLKQRTLAMQTATAVERRASM RKSVEQEKAFQRRASLQTPAAAQRRSMDLMRRSLALDRKSSWRKSMVSEGLDNWLARR EADGLDAPGNEEAYQTPRQSMARNRSLELARLAAFDPLDGMVDEQLAVNEGAVFERCF QHVDDFATEGLRTLMYSYRYLEEDEYKAWKEIYLEATTSLVNRQEQIENAAEIIEQDY ELAGATAIEDKLQQGVPETIDKLRRANIKVWMLTGDKRETAINIAHSARICKPFSEVY ILDVSHGDLQDRINATLTDVSRGMAPHSVVVIDGQTLTIVDDDPQLRLLFFDLVARVD SVICCRASPSQKANLVKCIRRQVPSAVTLAIGDGANDVAMLQCAHLGIGINGREGTQA ARISDYSIGQFRFLSRLLFVHGRWCYARTGKYILATFWKEIVFYLIQAQYQHYNGYTG TSIFESTSLAVFNTLFTSLCVILPGILETDLSAETLLAVPELYSFGQKSQSFNFKLWL YWMVLGITESVIIFNCVYYLYTLAPYPVETDLYSVGTLAFSLAVVFINLKLFLRVRNR NVIIFVGLFLSIFGWWLWNILLAVIFKPSLGPYIVGDNFLTGYGKEGYWWIVHFLALS ALCVFELGVAAVKRTFWPTDQDLAQEMEAMEGVMEVLGMHANRLEKGESCTVVVGGNA EEGDDERGGRKPGFGDVASLKTVTSASSPGPGLESGGGGGGGGLTPGWRGGNGQQRPP GVRKMASAEFGRASMSRLSRQFTPPVEERENPIDEFMSKQERQQGVKK QC762_206460 MATDVVKQEEGLTLHTPQLSTSPCSTKSSLPASNATTPTKDMKM ETKPGQSASRRPPRKSTLTQQQKNQKRQRATQDQLTTLEIEFNKNPTPTATVRERIAE EINMTERSVQIWFQNRRAKIKMLAKKSLESGEELDTMIPESMRQYLAMQAMESGKSIP GFFGRPGPFPYGHQGMMGAEQGGQGKVLIHHLNCRSLTIGRWTRVGQNAMDLIVFYSP DKCTMTYYINNEQAGYKIEYPFSYIKNIYLNNSDDHHAGITIELLEAPFFYMDSATTS TFIQVNDFTEDLQASRCMTHHLGGNPKALSGQLAKLVSLESFMHRHTAPPPPPPPMFD QLHTLSMSAPVSPQARPSSQPNFAQPHVGMFQETQWGIAAQHHTMMMRGPGHKRQRSR SVPGPIDFQTMQLLQNPPSFHITQPEGQPHTQNPHIFSPIPQQPNMLGPIGPNLRIDT RAGFGSLDMRQYPLSATTAPSPSEFSSPNYFASQAPEPNGLPAGSFTPYSGTFSPMVN PASLGVPPPSISPLSFNHPDPAIVGESPPMSMPPMCDGSAISDDGSMNDMYPGNKHTM TLPLHPHSPFMEQSQSEIELNQYMDLKHYDVDPASLSPESVQAQ QC762_206470 MSAPNEKTPAKKAGKEIPHPRRILAVSLAQSAGELSAVIKELTG SHPLPSDSTDDENTPSLAGTTHLLPLSTQYYTASVPIWLDLVSSPQEWSSSFLSEEAK EVLLVLGGVIIVVELSPSLSSSISTSTVTEHQRLVKEVGKVVREGLGGWEWDGVGLVI GVSQQAIMGEDDVLDEWEDVAAEAGLEFVVYTKGREQEEGKRNEYGERMGIARVREAL EANDWSGGGAPGEEGDGLGFGEGDEDDDKEFDPKGLGYGYDREDFEGLKRAIWEQIGE EIDTTGEGKGKEEEGEEVIGDDDIRSLEQMMVKLRAVRDMSAGLPEQQRKRMAKQAVE EVMREL QC762_206480 MAFAACSWPIWRTDDFTQCFKQDYLSVLLPLIVIGISFLQLALY NTFRAVRLKRRRGYEPLTNGVTPISPPNHTDLPVDEEPTLESDDDEGLVINGGRLALV KTTTKGSIVQADTPPGQTLSVVVEELAIAGLVAVNMIGLLYDVEVKNGLAGIAGLTVW VYVLLLATLRLFLGNTQWRVPRLWNHTAAIYSCQWLFALVLFRSVLIHTVSQMTQVLV MTEFALTSVLFGMAISTRKGNKTVLLEWEDGIPPGRENLASLFSHYTFSWVDSIVWDG WKEPLELKRVWNLLPRDKAAAILAAYRQAKKTTSLAFHLVKFFKGMLFAQAAWAIVSG VFTFAPTLLLKAILEYVENPTEAPRNVLWLYVILLPVTDIIRSVGDAQALWIGRKICI NIRAILVGEIYAKALRRKAAAGKDSALGADKKKDETPKTDGIISKAKRLLGLGKKDSQ ATVNEEADASKKDAKAADADEQANNGTIINLMSVDSFKVSEVTAYLHFLVASAPTQLI VSILLLYQVMGLSAIPGFIVMALLLPINIAFGKGFNKTQKKIMSATDKRIHTTNEVLQ NIRIIKYFAWEHRFAKIVDEKRKAELQALRKRFMLWAAAVAVWNTVPILITFFSFFVY TVIEKKPLYPSVAFTAISLFMLLRYPLDQLGDMIAHVQESKVSIDRIEEFLSEEETEK FEQLGEDNIDENGEKAIGFRNATFIWGSKSTVQDDGSMAFRLLDLDVDFKIGKLNVIT GPTGCGKTSLLMALLGEMTIMNGRVLLPGGRSREDVRPDPETGLAETCAYVAQQAWLV NASIKENILFSAPFDEERYRDVIVACALEHDLEILDNGDETLVGEKGITLSGGQKQRI SLARAVYSNSKHLLLDDCLSAVDSHTAQWIFTNCIMGPLMAHRTCILVSHNIPLCVPP ADFVLTMSNGRVTGQGTPKELIEAGKLGEDAIPKSTAGSAHVSRVPSRVPSSVGEESG DTLLNEADRSSQKSKPKSPKKEPKKQDALEETKAVGAVKWPVMKLYLGSMGGWGFWLL AGLVFSAQQLSGVASNLWIKEWANQYTLNETASARFSMSSYSYSAQTLSPTYFASIAN YVKGDSTTFSAADNKDVDVIYYLTVLALIGGAGACAALVRDLWIFFGSLTASWKLHDR LMKAVTGARFKFFDVTPLGQIMNRFSKDLEAVDQEVAPIAIGVMACLLGITVTVVLIA YITPAFLIPGVFITAAYVFLGQFYLHSSRDLKRLESVERSPLFQQFGETLSGVTTIRA YGDERRFIRDNLARINKQLRPFIYLWAANRWLAFRTDLLGDFVAFFAGVFVIISLGKV DPGSAGISLSYAIGFADNILWLVRLYAMNEQNMNSVERIKEYLDVEQEAEAIVEKNRP DKNWPAQGSVEFINYSTRYRKELDPVLRNLTFKIEAREKIGIVGRTGAGKSSLTLAIF RALEADEGKILIDGVDIGQVGLRDLREGITIVPQEPTLFMGTIRTNLDPFDSYTDTEI FAALRRVQLIGPDEMALGSAPIPPATTAVESIAASEEPSRPPTATNKNIFLDLSSPVT ESGNNLSQGQRQLLCLARALLKNPSVLVMDEATASIDYATDAAIQGTIRELTSTIITI AHRLQTIVDYDKVLVLDKGQIVEYDHPYKLLKKANGTFRSMCEMSGDFTSLQAAAKKA FKTTQLVDVDDDEEEVVASSSGSQAGSGTTATANSEQPGGSTA QC762_206485 MTQTTSSEGRYYPEELPELRRKDRHLPLGDEPMLPGFMMGLTTR LALRLKFEERYPDDGVAPDCATRADFDYAAEAAAYQLVRKSPQLGPGRATLGFHGSWT FAIPGPAGHRWVRMVLFEKADAKPMSKLLWEAEQHKAGLPLLPEFDRPNTLKSLTDAN EELWWCSTEQFSIRSLKYEIFLRHPRTVHPDLSEDELKAMGEHISPAWRHWPFEADSY GVPSWKLVEAEHPEDTERGPWEEWVPESWLENPKETGIWLLGTYQNDSRFSPLDESFL DDEHHKELASQLLQLLEQLGRKSEVELEALRFAGELDSKCVSLNNY QC762_206490 MSSLRFLDLVKPFVPFLPEVQQPETKIPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMMASNRGTLMELGITPIISSGMVFQLLAGTHMID VNLDLKSDRELYQTAQKLFALILSVGTATVYVFTGLYGPPSDLGAGIVFLLILQLVLA GMIVILLDELLQKGYGLGSGISLFIATNICESIMWKAFSPTSINTGRGPEYEGAVIAL FHLLMTWPNKQRALQEAFYRQNLPNIMNLLATLLVFAAVIYLQGFRVEIPVKSSRQRG ARGSYPIRLFYTSNMPIMLQSALSSNIFLISQMLYSRFSENLLVRLFGVWEAKDGSSQ LSAISGLVYYMSPPLNFKDAMLDPIHTFVYIAYMLTACAVFSKTWIEVSGSSPRDVAK QLKDQGLVMAGHREQSMYKELKRIIPTAAAFGGACIGALSVASDLMGALGSGTGTLLA VTIIYGYFEIAAKEGDLSGMKGMIMG QC762_206500 MKFFLQTLRLFSTAPRVQIPVSKAPQIPIIKRMKPLIIIYGSTG TGKSDLAVELATRFNGEVINADAMQMYKGLPIITNKITLKEQKGIPHHLLGNIELGED PWFVTRFKQEATRIISEIRSRDKLPILVGGSSYYIDGLLFDQRLVENQKTSEEGWTSN REELAAQHPILNSSGEAMWAKLREVDPAMADKWHPNDVRKIRTSLEIYFATGRTASEI YAEQKTKKRARSPYQDPSLGDVLIFWLYAHRDPLNTRLDKRVDKMVKNGLVDETSEVY EYLQSRLATGKTVDRSKGIWQSIGFRQFEPYLQARKENPDDEANLAKLMAAGIEDTKT ATRQYAKYQLRWMSTKTLTSLQEENLMDKLYLLDSSNLDTWHQEVLEKGVYIAEKFLT SKESLPAPISISEAAREVLAEALERSNRKDTPCRKYCEVCEKTLLTEELWQQHIKSTK HSKVVRAKRKRALIPADRVPSRALTKVDDDDSNLEPETKTQKIEDENLQEQNLEGK QC762_0038210 MTTSNPQPHPYNPGTTLHLHTHVLPRPFGTIHACFYGEPKKFYN KYNGFNNFPGCRDQMLNFVLDNRPVKTTPISLDIIPFTITKTVIGPKPSTLTTTARKK RNKKEREVLQNSNSDSIKRVKKKRQNTEGNGPAYKKNSNILFIKNLSFPFHCLSAHEP PIFIYHKFCVTKQKTNPPKAHPPTQKQWYHLQRRGPYMHAIYNQKPNPVFFTRPGISS LNLALNPLLQPSPRNDLLHIWRELTHLLAPLLATLTLGDSDLAPPHIPHQLPARPPGK HLVTLTISLESLPQPLHQPWYLNAHQRIVDAVPAKNVTKAA QC762_0038220 MFAPVRRSLALAASRGGRQVLLSARSQRPLSIPRVRTAAYSTTP RLRQEAFHSQLENAAPLPSAKSATQTPQTLTEKIVQRYSVGLAPGKTVKSGDYVTLAP HHCMTHDNSWPVAMKFMSIGASKIHDNRQVVMTLDHDVQNKSEANLKKYRQIEEFAGK HGVDFYPAGRGIGHQIMVEEGYAWPGTVTVASDSHSNMYGGVGCLGTPMVRTDAASIW ATGRTWWQIPPIAKVTLTGILPPGVTGKDVIVALCGLFNNDDVLNHAIEFTGSEETMR SLSIDDRLAIANMTTEWGALSGLFPIDSVLQQWLRAKATTAAMFNPEAAKGKFTHEKI DDLINNQLRADPGATYAKSLYLNLSTLSPFVAGPNSVKVATPLKDLEAQDIKLNKAYL VSCTNSRASDIAAAANVFREVAKDGVIPKVAEGVKFYIAAASTLEQAAAEEAGDWQVL LAAGAEPLPSGCGPCIGLGTGLLEPGEVGISASNRNFKGRMGSTDAKAYLASPEVVAA SALKGKIAGPGWYQKPEGVEKVIIGEGNGDVVQDKAISIEEALDKLIAQADSMIASAE KEFSGDEAAAAESAAEDETLTEILPGFPEKIEGEIVFCDADNINTDGIYPGKYTYQDN VTTEKMAEVCMENYDKEFGQVAKEGDILVSGFNFGCGSSREQAATAILAKKIPLVVSG SFGNIFSRNSINNALMGVEVPRLVQRLRETFKADGEGNKVLTRRTGWKLVWDVRRSKV TVTEGEGGQQWSQKVGELPPNVQEIIARGGLEKWVKSQIEA QC762_206520 MASSSTPSSTTSAPLSNPSVAPPPSNKPTRILACVLCQHRKIKC DRNFPCSNCTKANVKCTPSTPAPARKRRRPNQDLQERLARCEELLKEYATEKPEGSVT TPRALQQPAFDESYLKWQPAGQLVRDEGSMRFIDNPMLSSVYDELRAMRQIVDSDDHD DSTSDTAPDENSDLLLGDGSPNIKIETLWPDAAHVIRLWQIYLDRVNPLTKIIHVPTL QPYLAEAVGGSQSLPKNVEALLFSIFLMAVVALDADECQNLLGYSREEALQRFSSGVR LALLRLGFLKTHDLTILQALVIYLISLQGRYNRHAAWILNGVVIRIAQKMGMHRDGET LGLPPFESEMRRRLWWQIIMVDSKYAIFSGLSHSLLPRNWDTKPPKNLNDADIFPSAT EPFQDREGPTEMIFCLLIYAFAKFLVETPGFDTMFLLTSQSDFVSERDGGGPPEQEMI EYRRTVETLGNNLLSILDKYCDPLAGPVHQMAVNMRTHIIDKIMELITPAKHQPEWGG EVRTLKDNTFKIAIGTLEHNEANYISTKDKGFMWFSLAHFQIDIFMYMAGQLCHRTEG VLVERAWRQVEVVYTFHPELFDTNNKLHAALAVFILKAWRKREETLTQRTGHRPETPF YVDRLRTSMPNDDYKSEPTPPDPYTPAALAVGAHTGIPDNNLDSFLGYLDASALDWDM FGNQVNGGAGGSSFGAFGMGPQVEW QC762_206530 MSNKAATLLAALSGAALVAAHGHVSHIIVNGVYYQNYDPTTHFY QPNPPTVIGWSALQQDNGFVEPNNFGTTDIICHKSAAPGGGSATVNAGDKISIVWTPE WPESHIGPVIDYLANCNGPCETVDKTSLRWFKIGGAGYNPNTRTWAADDLRANGNSWL VQIPADLKAGNYVLRHEIIALHGGSSPNGAQAYPQCLNLRIVGNGNNSPAGVAGTSLY RANDAGILFNPYVASPNYPVPGPALIAGAVSSIPQSKSTATRTASATLPGAPVVTPTA GPVVTTSSAPVVQPPTTTLVTVTSAPATSAAPAPTGGAGVAPKWGQCGGNGWTGPTVC ASGSTCTVLNPYYSQCI QC762_206540 MRISIKISVILWAVVLLAGTGYILARLVAFVGLFFQHAGIQLRQ ADALEAYNQDGHGLLGGGGKRVQHIPKIVHQVFHNWKEPGNDSLPGDWEGVRGGCVER NPGWEFKLWTERTSREFIEREYPWFLRTYDGYRYKVQRVDAVRYFLLYHHGGIYMDLD NGCKTDLTPLLYYPFWITDGGRGALSNNILASKPRHPFWNLVTMSLMEYDWNYLFPYI TISFASGQWFETAVWEKYHSLLPKPGADPEMEHRGYRLIMDDRPEADEWIFFTQERGG TWVNWDNKMFLAIGKHLFLLFASLVGLAAVVFWGGSRCLRRYNRAGYTRLKNRNVVGS SV QC762_206550 MTLSDFVRGLFGEPPIPSPSHPPQPHPQTANPYANIAQGSGWTP RRRRSTRRFLPRPLRRAIRFLKSNWLSFPLFVIVCFLLGAAVLPYDSTLRLGLRWNWK RLVTRGRPSEEWVLRERPEYEVDWGRDVGVVLKTGYGTRGRVPAWFESVRGWGEVVVI ADYGGEMVLGDGGGRVVKVYDMVERGLENPILGGLLGHKRVGKYERLTAAVGAKDEEL AGGLSKEFGWELDALKFIPGLEFAYQRWPDKKWYLLVDDDTFLVETSVKRFLGHFDPE EKHYLGNAVGDFRARFAHGGSAVILSQAAMRALVKENPKALKTSYLESLDEVWGDRLL AKALIRVGIYLDERYAYLFNGEPPRRSKIRGDRMCSPILSFHTLPQPEMMRDVGEHFR NVTEPVFWLDLWKIYGVPAPWRWDPEMTKKTSKDPKQREGMSRIVLRDVKSEWECLAE YNRRFRASTGTGWAWGKPEPDVCVIFRSAPPFESEVDAWTLDWDYVGPVDEAVYTHEN VASAQDCMEKCTEKAFKKCIAWTWESSTGRCHVSPWMIVGEEVKEQDKVSGFNIRRVR KLERECPTFDGDGG QC762_206560 MPTINVDKYRLFEELGEQFTEESFQQLCFDFGIELDKDTENDPS RPKDQKPELAIEIPANRYDMLCFEGIAMHLNIFRGKHGTPNWKLADIPEDKMQTLTIT KETEQVRPYAAGAILRNIKFTQDSYDSFISLQDKLHQNLARQRTLVAIGTHDLDTIQG PFTYEALPPKDINFVPLNQTKKINGEELMSFYETDRHLGRYLHILRDKPVYPVILDAN REICSLPPIINSERSKITLDTKNVFIDMTATDQTKLDIVCNIMVAMFSQYCAEPFTIE PVKVISEHNGTTRVTPSLAARTMDVEVDYLNQVTGLSESPASICKLLSKMAYKAEPSS DPKFVKVTVPPTRADVLHPCDVMEDVAIAYGFNSLPRSSPNRSVTIGKPLMINKLSDI VRIECAMAGWVEVMPLILCSHEENFEWLNRVDDGKTAVKLANPRTVEYQVARTSLLPG LLKTLSENKAMKLPLQIIESADVVFKDESLERKARNERRWAAAYYGKTSGFEIVHGLL DRVMTMLKVAFVTHEEGLEGKSIDYAVKENPSKADGYFIQEIDEPTFFKGRAAAIYVR LGGELKRIGELGVLHPTVLEKFDLRYPVSTLEINLEVFL QC762_206570 MGDRKPRLEPPHGPIMISMQRSLESPVAIRPTPSRSNTATPASR SPTPTPSDNHVEISHSRRNRSRSPSRLARDTMTSSVRRSDDLSRRSSVQKPIIDQATA PAPMQPPHDSSDSLDSGPDDKLGKMNFLRPLLKDTSLYTTPSLAPVEDRTTLEELAHL VRLSKYQERKRANTRIRLQRSLISTALSARLMRCGEAAHRTLVDSFRGDDKKGFAALF NAVIDVRKSCDETRRYALLEPEMELLQSPGLTSSDSLDTPTGSVSGAKPASGTSSPFL SELSASARETFLTFLNQIRTNPDYLATRISSLNSSELTALTSFHQALEPIESVLPYHG SRTSTRVASGGSGTLSGSGFGASNGLGKERSAIERLLSFQRHDPISALIYTCFANSAG PDSAEDKRRTETWATTCARLISGQKTGSEQTVTAVLNVWISLRDWAGKSNMEWYLMKI LEDGAFLLDRAEDQHGTRFNISDWSSKDQIAAEEFYARAVEELFEVIDDEDTTGIPEG LIELGTEMLKRLDKKYVESTRRWLITKYLFTNWLLGVIIHPEAYGMMAEYHITEYGRQ KILKTVAMHAQKFVVDMLTSKTPVSTPPKIKKHIENIYLRFKDSSKFKKKPRLLPARS ITSLRETAEVHPHLVVSPADLTTLINALFPERRPRSAHSGSLRSGAPSVSGFSAISQP MSVRTAPSTNFDTMSVVSTSAESFISDATTSREPLLEDGSPPRYSPPIPDLGTPKQQP QNSNYEEDGYRLRLAIHEMTQALGQDVVYGLCHPCAERWAVLFISGDGTQLSTQMTFD PDDDPEDENSSETSDTEGEDTEDDRPELDKDYHQLRDSILKLVQEYEIPQNLENDGAK KQTFSNRASSTLKKYRSKNRVITTMGSRNPYRQRAASIASSVADSPPENNKGKGLEDS ADSSPLIAMLTAACSQSRAQSDFVSAHLYWRTLQQLNALGSDSLRKDGFATLLNIFSR GPRDSIRRSAAAIEIYDAWLVWLKQSQERAEGLIESMMRRLRALRDKMWYVTDVRNSA PYEDSRNVAVALKTMGVPRRWNSFQRIKSLMQRGPASNYIFRTESQILDLLAAPEDQG GPNKLRDEQAEKTSRWLQQCGVENFCQGEERIHRFTCEVDNCVSKLVGDSIIDGPVLW SSELYARDRRTHETSKPGRDRDRDNMSVWDDSVSVISDPENRFRSASRPPSLSDRGLR AVSGQNMSVLSFDTSSRFSFSRASTAMSEVLDGPEYFGASSPVHQIDPNATYWSPFQH RATSPSAVSRAHSPTTSVTNLSATFHPPNHQPLPSHHSAGRPGTSISSNETVYQQRLS EEKSRFLTELKQTLTSLLLSDLGNLVFARGSETDAWFEGLGQECIDRREAIQRRARKA QVKEKRRSARSGLERRVLERKRSTGDLSEKALSDTQSVGSHHGSHHGGSHRGSVHGGS VHGNESSATNETIRSHRESKKDSMPEFPFTKAYRRLLNMFCVHPNPMVKLNALYELEH LIIASLNSGSRRARLAWARSDMGSASSATDEHGTGGRPQPLEETLDNVKERRSHTMMQ APPFDSAPRGRTPGGGGNMETRSIASVHPANENAIANILQMLFRDANIRPKTLFRDLQ FIAAFVPSSILDKTERGKAFWDASIAALNLKQDVCRTMVEVADDIVKNYTQSRGGGLG APSRPGPGSTASDNSGILSAGGEPLSPPSPPPSPLPLSTHSLADAARMWTITAKEGIP TAQRELAIFYLSNPELVERTTLPLSKPREVFKQAVIEKYGGRSGGGGSGRYHPGIAQA RMQGMGGVAGAKEEAPGAGSGGGGGVGDVRSDPALMCLAIHWMEAAERGGDELARTFM AQNEMGLMG QC762_206580 MAAAAAASPVGLGNTDKRTLSITTTLGRDSSSPASTPASAASPS NVSTPTSSTSVSAPPIAIRPNAPNRPPSIKASTPLNMASPGVMSPGPGQQPMAMSMTS KEWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVGELEEQLDEQKEEHD RVVQEFQERINHLEAERQTLRSRCQWLQSQLDKERQERNSMLSAWDSQSPSSTGNHGR LPAPIQPQANPLANISQPRRPLQPAPPKSSGPSAVPIAEPRPTTQPFSISNIISPPDE MMAPLGCGGCQASGSCACAEAVLQDTDTAMGCGKCTLGSSCECLEEALRMSDLKRPHS PSSPPSAPEEKRHRSDAGIPMETDFTAMFAKDNRMSLPMVTQPPPQSLQPMMSLEARD SCGFCKDGTYCMCAEALMNPMSFQQPLPSVAEQTQTHTPPPSEDDVVPIPMEVTATGA IKLPGPRANQNRPSTNSNATQAKPSGSRCGPNGPGTCAQCLSDPKSGLFCRSLAANFE KNNPGASGGGGCCGGAGPGGGCCKSSNSDASQSAAPQPLPPMQTSASESGFPLALSCA EAYKTLASHRHFDQAADEIGTWLPKLKALPVPRPGSGGQGGGRNGGGQRLAPIEVEAA SIMSVLKDFDIRFGRGD QC762_206590 MSRSPASWSEVPSTFCQPKSANSHRRPQTIKPTKMAQLGLPPNS RPTSSYSTNLNLYSPPSPKSQLPSRRSSLSSSATDDLENSSSLPFPAALSRRDFLAPD FDPASYLSTLHTGGPASRHQTLEDLRSELRDRSSAISAELLELVNSNYTAFLGLGDEL KGGEERVEDVRVALLGFRRAVEEVQSKVRERRVEVGGLNQELRDVKGAVETGRKMLEL DERVSGLEKRLVVGGTGQQQQQQKRDGDSSDEENWDDDDEIFGSDDEQTQDEDGVEFV SSRPAKLAALARECVYVDGLAEAIGRDLPFVKKTDERIFRCRNTILLDLSTAVREARK AGVKGQGRVIKLLAIYGALDAQAEAVKVLREK QC762_206600 MASTHHCVASLARLSLSTPARPTVASTIPKFLVPSVATPQVRHA SGGGGGGMRKKPVKKKKTYKTFRSYDLSPMEQFTLCDAMRYLRAAEVGRPPTTVKYDL AVKVKTQKSGPVLRSAIRLPFPVKTDTRIGVICPEGSALATEAQQLGAVAVGEESLFE AIRRGEIAFNKLICHTDSQEALKKAQVARILGPKNLMPNPKRGTITSDIKETMQELIG ADEYRERNGVVRMAVGQLGFSPKMLADNIKAFMSKLKDNINQLDDNIPKAIDEVVLST THGPGISLNGNFAPTDDKVKPEHLATVM QC762_206610 MKLEELHPIEALLTADFRSIEPRLQSLDKHLILRTYLHGYTLSE LDTKVWQALRGNRAAFSFIKRGSLVNLARWFEFIEVMHPEIQDEIKAKDAAAKAKVAA ASKAGGSYALNLQNTDQGVVTRFLPEPSGYLHIGHAKAALLSWYFAQQYKGQLRLRLD DTNPDKEKEEYQDAIIEDLAMMGIKCDTLTYTSDYFDYLYDMAIKMIKEGHAYADDTD QETMRNERWNGIASKRRDTPVEENLRIFEEMKKGSEEGVRYCLRAKLSVDNPNKAMRD PVIYRCNVDTPHHRTGTKWKMYPMYDFACPVVDSYEGVTHALRSTEYTDRNPQYAWFQ KTLQIRKVHMWDFARMNFVKTFLSKRKLAKLVDTGKVWGWDDPRMPTIRGVRRRGMGI EALREFIIAQGPSRNVVTMDWTKFWATNKKHIDPIAPRHTALLKKDIVKVPVTGAEAP AQPFQEDRPKHPKNKDIGTKKVAFGPEILLDQADAKSFKEGEEITLMAWGNAFVRNIA EGDPITSLTCELNVAKGDVKTTEKKVTWLASSQTLVPAELWDFDYLITKDTLQEEDNM EDFLNPTTSTMEEAFCDEATAQLKKNDIIQLERRGYYRVDKGLDDWKEGEEKRLVLFN IPTGKTGSK QC762_206620 MAYQGGEAANYYSPPHGGGGGPQYPPPNNSYEMQQPQNGYYNRP PPPPPPPAGGGGYSNVPMNDGGYHNGYGAPPPPPPPTGPDGKMGPPPSYDEVFAVQKP KWNDLWAGVLFLATCAGFVAVSAISIQGYAATRNINSGGLNGQRNRFGLTTHTIWLFG WVLITAIVLSYGYMWVARKFTKQFIWITGILNVVLGFATALYMLSRKYYSGGIVFLIF AIFQLICFISWRSRIPFSVLMLQTAIDVAKNFGHVYLVSAIGGLLATLFAAWFSVTLV SVYVKYQPDPNNPACRQGAGGCSSGKVIGLIAFITFAAYWISEWLKNTIHTTIAGVYG SWYFNSRNFPTGVTRGALKRCLTYSFGSISLGSLVVAIINFLRQLASVARAQASSDGD ILGMILWCIVGCLIGLLDWAVQFLNRYAFAHIALYGKAYVPAAKDTWKMIKDRGIDAL VNECLIGPVLGMGAMFVGYATALMAYCYMVFTNPSYNSGGGFTPVVVAFAFLIGLQIC NVFTTPLSSGIDTIFVASAWDPEVMIRDHPDLYHRMVAVYPEVQQAIHA QC762_206630 MDALGQTDKVKTTPLDSWFFSLGSYHQKVLNMFGYRPNRFAGIV SRYDPREPLPAELESAFHESHALQTNLHLPYPTPTQALHTHPACAPPLLHSNIPTYTI QEPSLGRNVLPPVSISIEASVIQDTASITITQLFWNDSDSIIKEAAYTFPLSSGCTVT GFHCRIGHGKVLAGNVKPKEEAREEFRQHVRNRTTGAALLEQDTEEIFTTSLGNLPAR TKIRVTVTYITLLKHHFADRKGITTLTIPTCIASRYGDKPQDYNNAASTSIPEGLTIQ IEVVEAGKIASIVSPTHKVTVENRLGTRAASSFADLVGEDTRSSVETALVKLETGSAF LDRDFVLDIATGGPNDETESPQAWIEKHPTLPNQQALMVTIPPGFTTRTSNPTDQTEI LLLADLSGSMDDKLTSLRAAMQFFLKGIPIGRKFNVWCFGSSYKSWQPNSVDYGEASY QSASSWVDTNFHANMGGTELLPAIQAIVTARDKRLPTDIIILTDGETWRLDETLEYIR KQRDLTEGGIRFFALGIGPAVSHALVEGIAKFGGGYAEVVREASEGDWEDRIVSMAEA ALMTDHLGPMHLEVNIMRGDGSKQASSIHNAEQSPADISTLSPFNRSRIFFLFDSFKS SESITDVTLKADTAHRTKTFQVPVTLLEKPSITIHRLAARSLLNDLERGQSHIHLGST RPYPGSWDERNRVRKEAEKIGCKWSLVSKWTSFFLEEELCPAEPDDIWCAEGGAETVD EPGDDLLQSHGPITGVTLVDSGKTSVPASGLLLVGELVSTPRNSDDLFGLPAGRHLQR PVPPRSSLLSNPMRPPGLQLSRRPSSNGRKLRLVEYPHSVVAPDHLISFKMRKSTGVA DPEGNTLGKQSDIAPGAVASNESPILGSLSGDPPRDPGSIFEFDDTDADDSETNNTSV PDNGLEGIFKPRMRRLSGGILQHRTAIPSEDEWVFDQVLDPKSQEHKSIQAQLQCCQY AFNASSLPQQRDQTYSWESEEDDAIKFSAFLPPWAPSSDLPPMDAMLEKLRCGPTLPQ TNSPTYALGVNIEEIPNILAKPAPGPDYNLESEDDQAIDLYTDVHWGKLSPESLPTDP MYARGAYEEAKAKIEESPEETERRRRRRRVRDEHERVTQPKSGDNKPWTDNRIISELL RFQSAEGYFARGYSVQTGQINDLHPSIITIPGEEEDRHGEQVILLRDFLEEETTKGPG NLLSKHNKGQHNEDVVLLRDILGKEITKRLRTLRDKHDKDHMFGKDMALKERILFTIA VWVLLERDFASKRRLWVLMIRKAKSYLGGRIDRRYDAFDEMKAALEGAKIHGYKSRQD SLAAPLYVNARSFEVQFAVSKTVDNAARMTAESEVLEGEQDRDEVGVELEVGTGEAQD NSQVAGKGSVV QC762_206640 MGSPVSIGDIIAMSKISWKIAQAFTNGRKSAPIEFREVENQLYS LSSALSAFKDACGDDIAAVSIESSSLPNRFQDNEQHEGLQSVDWLLNSCNETLRHLEK LIDKYSALATGSGRDNPEPRFRRWSDTLLRNYKKIAWTTEAGDIATLRSQLLVHTNSL HLVLGTVVKIEGSLATNTQMLTEIHSWWTQNLKDATTSTAESQSLSSSSAKTIGSIKF QVSLAVDNAQQLICPRSYYQEDLNHWTSQLLLCGCDKAADHPKLRDIGLSPITFPFKH GGKNRSWVLYKVLERSTNRLVSVNISDVAVEHIREFQESFIDRLAEATARSMLQQGIS KMLAHPVPDNTGIRTLYTQSDTKNLYKLMADVTFGVGHRSLVKNGISGISLLQYRSLG QSNEGQGEEYAELLVYYNKSEDITKSILKLRHDTVMKLIEGDARIVLDEIDCYGFIDD NRVNKLTRAEVSFQMISFEAAKALYQNIEDMRRELFIQSLSSPRRDEIVALRLQVAQV QTEVVLIQNAELLITRDREGKQRLIIVSENKCTILNQALPDDCFTAVRKSPNFSAPTW LVQMKSDGNQQVFHYPKGFRMLRFHDNNATRMFQLGREALSQSEGKANLPIRNRRDES GGQIELDRSVR QC762_206655 MFTTKKHKTTKPQPQPQPQQVAIQDGQVIGVLIRRTSTWKKLKT TTTKAVKVVTPNKRTAAPPPGRESLRRAKTTGENQSFYRSSYLALNPQRQWDLYIAGL APQGVPAPRGPPPPQKGVTPRQFKTVNVMYLTKPSPSGVQAGTISYLPDAFEVAKGKK KIVKNPNPDALPAFPTPGQGKVVVRPVKQGQGQQQQQKVRVSTQAQGRPVQQAVRAQQ QPQLRVRVQQQQQQQQQYVQVAVPVTQVQRPQTQQFVAVPVGQVQQQVVRPVSQAVQR PVQQQQRQHRPQTQFVTVPVQHVQRPQQQQQFVVLQTVAQPQPQQQVVMIPAVNTQPV RQQVRTGGMRVPGQSVVTVRA QC762_206657 MKHSDLKGLRVKWKALAAWWNSTVSAKEKAELEARMSSCQTHLI TVLTWSSRLMLENVAEVTEKSNVTLEQLRGYLEVLKSELEMRKIEPD QC762_206660 MAPISLLTMALMPLLALAMPRAIPATASAVDVPGNVLVCTGENY MGECQTVQFNIEYPGECLPIPEPFKNNVGSFKPDRGAICRLFAADRPDCTGSGLSILY YPGQADLLTNNGEYIAGHEATYWRCQTCTGCT QC762_206670 MPTITLASFLSNFTFGFAHGLIVPFALAAGLSSLGIPAHISMGI GGYLAARPSSTSTRSSSPPAEEAEDGEKEEVSSSDGLLPSRRRCQDSEEKAAEEIKES PIVAGLAVSFGYLLGGILLLFPYFFFGMENIHVGLAWSFGVCVVALFLFGFGKDFILT RNDKDVVEEDVGKRRVEKSCVGGCANGFAGECCCCGGGIVCQGF QC762_206680 MRLLERDDAGDFHLKDLPSNAIPPYAILSHTWGDEEVLFKDLVD GTGRKNAGYAKVQFCGDQAWRDGLKHFWIDTCCIDKSDAVELQHALNSMFQWYRNATK CYVYLTDVSTRKWDADGNSGWELAFRTCRWFTRGWTLQELIAPTIVEFFSQERERLGD KKSLEREIHDITGIPLKALQGRPLSDFSIAERMAWIEKRDTKFEEDKAYSLFGIFDVH IPVIYGEGKQKALKRLRDKIREDYLCLAKLWSADPRDPHHEKERIELAKGGLLADAYR WVFDNPEFRQWRHNSENRLLWIKGDPGKGKTMLLCGIIDELEQPITASGGNLAYFFCQ ATDSRINSAIAVLRGLIYLLARRQPRLLLYLPENTYASDDAMAWVVLSKVLRRMLEDP DLKETYLVIDALDECVIDLPKLLDFVVISPGRVKWLLSSRNEVLIEEKLKPDVGRTRL SLELKANAVQVSHAIDAYIDGKLSGLASLQDDTPLKNQVRDILHQKANGTFLWVALVI QELSEDDVESWHALQIVEEVPSGLDRMYDRMLNEISRYKRDSEFCRRILLVATVAYRP LYLGEIGSLSELPEQIVGSTENIRKVVAKCGSFLTIREDKIYLIHQSAKDYLSASALI FPHGAPIAHRDICARSLKLMLQKLRRDMYGLVTPGFPIDQARTPEPDPLVTVRYSCVF WVDHLRDSITDKHTPQRNTLDAVQTFLEQKYLYWLEALSLLRAISEGVIAIRKLEGLL GRTHQRQLTTFIRDAHRFALSYKWIIEQAPLQAYTSALVFAPVSSLVKKRFKTEEPSW ISTKPVVEADWNACLQTLEGHRGWVNSVAFSADGQRLASGAADRTVKIWDPASGQCLR TLEGHRDRVTSVAFSADNQGAHGYGLGPDTTWVICNGQNVLWIPPEYRPTCSAIQGRM VAIGCSSGRVFTIGFSRDK QC762_206695 MKTLSAAIILALSAFAAAQELAPSPTESVGCEPHGDHWHCDGPR ETAPATTATPVIITASEVVAVPATTTAAAHDDDHDHEQDHDHDDEDHDHEDEEDHDHD DHSAGVLPPSPTASIGCEPHGDHWHCDGPAPTTIATVTTSGVASGTGAPVITSTGPVV TAGAAAVHGGVGAAAGVLGLVGFMIAGI QC762_206700 MRQTGDQNDESTLRSWIRCRVGGGLAPGCHPSPYWPSMLVSAIL PLSRFQKTNTTFRTRTRTQLGIEATITNYPEFVSSMDQHWSTSGVPFSGTERVIVYTS LETFDGGSFTGYYTYDINALRSAGYTITTSTQVSVSCYPTATSSAVGECEPHGDHWHC PPGVSEPITPPSPQPHTTHSAPSSGECEAHDDHWHCPPGVTEPTTPPAPSSTPVPTTP GSDECEAHGDHLHCHDGVPEPTTPPPVITPTPTSFSSVTASTSFTRTASDAPVVTAGA DAKTRVGSHFLVLAAGVPFVFGMM QC762_206710 MPDTSRQTLPHVHDVVIIGAGPCGLAVAARLREKAPGAIFTDEE HRRHRFLHRYGRSMPLKQVRNGRFTCCGRPTVSDTQDLDIMALDATHDDWCGRWNKLF KCYDIEHLRSPMFWHVSPVDRDALLGYAYSQNRENELLEIHHCVGKEVSKHMRKRTRT QKCSAHSACNARVEINERDRIDYFNPSQALFSDHCRAVASRYHLCDGVVKKESVQDIS FDVVQGISVNDEPLFTVTTDRGPQYARTVVLSVGPANQPSIPRHAFKAFSATESLPQV CHSTQIETFPDTVVRTRMTNGQRTKVLVIGGGLTSAQLSDLAIRKGVTKVWHLMRSPI AIKTFDVELSWMSKYRNLEQAYFYSADTDEERLQMVRAARGGGSIPPRYHKKLKDHIS RGRLELRTETKLVNAQFVTTEAGGRWKVETEPAIEGIPSFDFIYFATGIETDVAALPY LQTMRETHPIDEMGGLPCLTEQLKWKDDVPLFVTGRLASLRLGAAGPNLGGARTGAER IALAIQEHFEECKREDKISGDIAAADKDENEWERFVLGRGSKYSCLVEH QC762_0038450 MTATTPLKVIIIGGGPIGLTLAQILTSAGVDFTILERRSTVTPE EGAGIAIGPTSFRLYDQLGLLPQMEAISTPIPHKHVLQRDGKVYNSYEFHLRACHGRA MAFLHRLDLVTTLFSTLPDDAKAKIHVNKSLSDIALTPEGVTVTCADGTSYNGTLVIG ADGVHSKTRKIMNSLSNAPESETLPFETTFQGLFGNFPRLSLPGSEIEPGHDWECHSG GVSSQFFVGRDRGWFIIYRPLPGGPTRERIDYTDEDIKQFAEDVKDMHVTNKLTFGDV FKNVNKAGLTQLQEGAVKNRSWKRIGLVGDACDKITPNVGLGYNNGVLDVIVLGNLLK KLNESGEEITEEKVGKLFKEYQAERKEFSEKVDDAARGVIRTVTHTGFMKYLLDRWIN PVLGLDKLYGSKVMGPLMAKQQVLEWLEEKNGIDGNIPWAHKGLVVTKA QC762_0038440 MNPFSHLLLGDLLPTLIQLFEQVPKHNHVQDPVIVPQPHIRRDL IARITHQPNPFPTPILDSTFLKLCQPSLVDIFENIPKSQFICHMHVLDIFRELFDVLI RVIDSFPRRTARERSVDDKPASVPPDEELGRHTAGMALPVVAWLDFRAGEG QC762_206730 MESPSSVITGISELPCSDIISPQTSSPPSLSPRSLSSKHIHAGY SSNEEKDVDFECRIQVLESTVKQQQSVLKKQEEQIKAFEERLQFLRHRRSFKKALADL FLGSSSSSSQKSRSRSQSSVETDSEEGQLINNWKDAQVSPRSDGHQKTHSQGLPSSPD QHVVEIYSHPTKHNTSPPELMNTEKVSPVEMMDTASPVEMSAERRRTLAPRPPLEKMP ELRFGSQSRVMDARPQFLASSSVSPSTSSGFINSPFASNSHISPTSSCTPLAIVPTWS SMCDSRHHSTIQGACESPGDGAWPKSQPSQTINARNFSPASSQYIELDSSHMVSSGVP TSQSTSYFATSSPNSQQVTASESALMIHCPFSGAYGNSDIWQESPILRPMPFLDPGEH IWNSPTTHGEANIMNSFPAVFTDAGGGYSTEESRSTDGSPVETVYGQSPVLSCEPCGF YPIAGPDQRKKLEKHKKTIKHSRKTGKGIVDRFACDHCASTYNRRDNLVQHQKSHFQG TLAEVDFASATAFNEEVINLGHGMESMPLGVERPKKRRRRSLLMSPRSGE QC762_206740 MGAAAYEGNFGGHVLAIEEEAKKVLYLAIFPLHFRKHPFLALCH VPMSGLQMLSSARLDIGITSHKNALEPRPAPCTYSTWQPSAKSLCTGNKPRINTYKAP FSSQLPSIHMSISDLQDVGL QC762_206750 MCPVPYSPQSPFLERGRNSAPVNAATYGDDESTVCSDDSSVVSS VYSDRYWISQEHCPLHQVEKNEAGKQVYEAWNITYLPGQREVLGGFKALGVGVHEYQQ TEARVSTVAQASEQSVLANMFYDAVKQYQDKHRRGWAGRILRGKQKTYEEHLNTICGS LPNEVQHAITDLLLDRGRATSTSYRTRTWTLVTLRVQSFLRFSGADHADVPQPRRRLW KKKAAIGNQALRISLVIRGGETGVSKTADGLEGCHQDSNPWGRADEAETSEMARERHR QRRLRVEASRPKRTESPPSYRSTSVSPHRERRSFASPPSYTSRPDRSRGRSLSSEQVR HRVQRRDRSEDSMSDSWPSPFGRDPYGAPTPPETYTPPPAISAYSRPSVIPPPLPTQF PLGPPMIPRYLPPPPPPPPMSMLPCKACQTLTPCMHFPNSHRYQCHRQLINTVNNIPT HPPCVFCFGGMSPSFPPPPPPPPMPSYYPGPMPMGPPPPPPPGMWPPPRPIVLPSELG EMCGTSALMATPPPRQRQDLLMRTSEFPRSCCRTEIARDAMSDFDFDSFLKDDFDPPS LPPLPSSSSSSSSLSSRSSSTVRRPYRPARVQDADEVSVTSTEVSDEDARSARDGDDG QVPQLEEGKAVLKPEV QC762_206760 MHLMYIPTADGLGRQYTLKKVLDGKVTRSAHPARFSPDDKWSRH RLAMRKRYAALLDAAEKK QC762_206770 MEQQPELSAQAPKIEDPAPTEVVENAPVAEQPAQTSTKQVHSLV IDANAIIRNDPTVSTLLSQAEELYTIPAVVSEIRDEATRSRYQTTLAPFIKLRTPKPE SMAFVTGFARRTGDLQVLSKPDLQLLALTYELEVERNGGDWRLRKDPTQKTVNGKPPA KQEETKTEEQDAAPETVTEADAPLAETTPEGLAQELEKVDLNQPPVKAEVEQAEAEED DDEEGWITPSNIKKYQAKEKGGAGKQQTQRFLQAALITADMAMRNVALRINLNLLDTS LTKITFLKTWVLRCHGCWKVCKDTTKQFCPSCGQATLTRVSCSTDASGNFTLHLKKNF QFNNRGNVYSIPKPTHGSSNTKRIVGGGKNGWGNELILAEDQKEYVRKTDEERRTKYK DLMDEDYLPSILTGSRGPGNGRIKVGAGRNINAKKKR QC762_206780 MVRRFQQAVALVAATATGVLAASENMGPASFMWPPDRAWSEHTD NEGPCGSIHRVLERTKFPLSGGRIALTAQDDSYHAQISISFHNDPQEQKDFGFVLNTT PITEIDPGHTCLTIPDPPSTIAPGTNATIQLMYIADFDRPENQTFYACADIQYVRAAD FPQDTIPCFNATDSENDVPAPTATGLPTNLPGHGDNGPPLNTADPEPSSSSVPSNNNG NNNNNNNTPIESVKTGLSKGAIAGAVIGSILGVAAIIGLAFLFYRERQRKNRLIAQRD SGRGVPWVEDPPKKSNISADSVVLGTRL QC762_206785 MRGLQFFVLLSAFTGTAVQAAVSPQDNVDVGALLARQAPGTPQF ECHSNCGSALGGSRSGRHCDNSTWVELFEDCLECAKQFNIWRHYGNGLTAAAEACGLS SVPSPSGGGEEPAATTTVTPVDSFVTSEAPATVTEDADSSSTSDAAEPSITEPPQTGA ETTAPASASTSEPVTAGASGLAYASVSMMSVGTLLAVFIGTISW QC762_206790 MANVDDDLNDINDILDSLRSRPPSPNEISSCPSCSRIKDESLPG YRCLRIGSSRGIYPSTCARCVFTSAVGEACVEQGILKCADFDTDNKPNHFIVWQVEND GSLVLPRWSNLLSSAGGSAYYLPNAISFEILTAPGKPSPFPDIPIWGPRLACPSKDDG VSFDKVQAWLKVCEERHSMCGRVSGAPNLPARVLDVRQLPVKLYEPHPGESKPYLCLS HCWGGSRPKCMTTSWTLETNRQEIVWNDLPATFQHAIDVTRRLGFEYLWIDSLCIIQD SETDWQHQSAEMIFIYENSHLTLCATASEDDNGGFYGDVPTERRPKEITVKGPDGTDY ELLVRTDLSNRHLPLPWGVDHHENRRKYFPLLTRAWVFQERLLSRRLLHFTKEELLFE CAELITCECHPGVGRYDYRPKHSEPLDKRVLSLSEAALKAPKKKPESLEEAANLEVNA TPWGRAVECYTALSLSYPRDKLPALSGVAKQIQRRLRPDDEYLAGLWRSTLLPDLCWW SVGYKQAPQRWRGPSWSWVSIDGPIAMNKFRQRAKNDACSVVDASVLLTGPDAMGEVE SGHVILSGTICAGRMKQGSHVDPKILRYPKSASVYDLLLAVNGDERLMFVDCRDYLYD GTVTVGQEIFCLRMGLYNDADEFCLILKRAGKVETSSINESGCYERIGYMMGYSGDLD RWCEGKARSLIKII QC762_206800 MTTLWLFLATALAVYIARCYNSYSRLCHIPGPALAKFSSAWMIK MLTSGKVHENMIATAAKYGPLVRIGPNDLLCTDPETLRRMSSVRSAYTKGVFYETGRI IPGYNNIVCERDEEKHKALRTKMAGAYNGRENGSTGFEESIDRQMLNLVALIESKYVS SPGNLRPFDLCAKTHFFSLDVISDASFGKAFGFLVEDRDLHQFVEINDSALPAMNFLQ AVPSLTNIVYRWPFNLALPRDVDGVGFGRLMGLATGCVEERLRPDAEPGRDMLQAFIN GGMTEDELVQHMFVQIVAGSITTAAAIRHTLLALISTPSVYATLQKEIDESVSSGRVS RPVIRDVEAQALPYLQAMIREGYRTWPSVVGLGSKQVPKGGDSICGFHVPEGTQVSHN YSGIMRLKGVFGEDADVFRPERWLKEEADAERLKLMNSVLELAFGNGKYQCLGKRIAL MELNKIFFELLQRYDMALVDPHNPIRSSSGVFWIGSDLMLRLTKRS QC762_206810 MVSVTFFATILSMGLAVLAAPANFNNTSVVEARDSCDSTKSFCP KGDRGQCNPGVDGCMHIYYCEHIWSQGDCAHSYSWTGECHNIPAKFDNAISSIANENV DQSDCHWFDGADCTGAQYSNENDQNLADGNGWWNDRISSYRCDYHGPAS QC762_206815 MSNTIRPAVKGDLNAIVKIAQLVAPYAENIPYLYPEEYRQDHLK RPRKGYRFCLFSQDFILMVAKVPDSGTPGDIDMQGSNPSPPAPSGPNDITNTTYEELT KEPEQPLEKPSDKQPPDTSEENIRQCRMKAFNAAVARDWEYYQTRLG QC762_206820 MAPVSITTALLNLIAVVGETAAAVATFCRRSRDARSDLLAVTGE LAQLQLVLELLREDTAVIDDGAIPEDVHNRALSTIGNCSNVIEEINKTLGSCERPNRG LQWTFAIKAEVDGQRELLNAHRDTLHMALDAVALLAVKGLKSAKSQVSVTVHDVGSDS EEVIDVCTAVTIPSTTGDQDLGIIQNTGAVTIYTEEPPHDPRSQWDDIFETLDQDSNG IINGDEAVPFFEQFNLPSQTLAEIWDQADEGSRGYLTKGQFAHAMELIQRARHDQLFN ELDAGGKGYLLGTEASPFFEQSCLPVETLGRIWQQVDKENKGFLSREEFGMVLDLIRG ERLVEPEDKARFDEVFARLDADGKGMIRGEEACVFLNNSKLPDLVLGQIWELADVDTD GYLTKDEFAVAMYMIKQQRMGVTRLPKVVIRGVRFFDS QC762_206830 MTLTFSHMSADSFIEQQLKGRKRPRVREAVSCWQCRTRKTRCDR ESPCGQCKHRGIATECIYSTPKDRQDVPRSRSRLRVPSSSSAPSPSAQLSPEGPTQTH TPPDSCSSRGETPESTPTTPSPAEKQNVARAPRGNAYKGTAFKTRVMGLSHWLAPCNE MTVLKAMLDHSPEFHTSRKAFAELKAQLRTYNNVSEPSVGRDSASLRSLLPERQECEA WIAKYFQTYGRLYGILDQPAFNRDLDRIYSGSLDHPVHICKILLAVSIAMQSSEQERH RGRRLARAVENCIYTPKFQKPCCGVVQVLLLLAVMKSILASETDKMYDLLPLHGLIRD ITTSMGLHRDPAFFVEVTPYFAELRKRLWWCFVRLNLEYSIRSGTQFSLRLEESDCPL PLPISLRTLDRESDGTTDSDLERTAENDVKFSVAAAKLAQVIGPLHQALYSPQPPSTN ELQARLRAGFGKFLTELPPALRSGAKPTDPIEELQQSLISIPMTSFLSITGLGSTLGT SPEASQRSQLLELWDNAASVLHQFRSLCQISSDMSNMACQLFWTDAARSAMASCWILG RLHQLDNIRILCHPQRTGCVFRELLIKSLVFLQDLWQTRYHLGVVAAKFSLLLAVSIN VTSNLYADDVNTDTFRQRLFDGAATAAQGLIANMLHGVQLRQQQQFSVPLITLDPPTL MSASVEYPTWEVSDTGVGLLGVPDPLSRASTPLLGPDFLPFDYPTNVDFAGVMYTNND FGFAMETGGMFQDNMAMGLPPFVEQQGMVPLW QC762_206840 MILQLAALVFGAIYVVVLTYRSWARHRKVPGPFFASISNLPRLR WAWSGEAHHVHMQLHDRFGRLVRLGPNCISIDDPEEVLKIYGTGVNLKKSDFYKVMQP MSCGRVIQGLFNTQDDDLHRAMKRPIANIYSMSNLVEFEPHVDTTICFFLTKLDEILA KDGQTVDLGTWLQWFAFDVMGEITFSKRLGFLDEAKDVDGIMGSIQKVFKYASWVGQI PWLDNLLAKNPLARFLPEGNSPIVAFALARAHERSNISEVEKTTTYHNTKDFMSRFLE AKEKNPDILDWFVTAWATSNVLAGGDTTAIMMRAVIYFLIKHPDCLGKLMEELSQANS EGRLSDNVTWKESQSLPYLDACVKEAGRLHPVIGLPLERVVGKGGVELCGVHFEGGTV VGMNPWVVHRSKEVFGEDADKFRPERWLCERERRVKMERCLLTFGAGRRTCLGKNISY LEIYKLIPTLFWRYRMTLEGDWKVQNHWMVAQTGLNVRMTPRCGSKKEVSV QC762_206850 MTANTLLLFGDQTGEVLPSIQSLSRNASSYQTLATFLRKATDRL RSAVLQAPAHYRRSFPNFTSLSELAAAVSKQDHASPALYAALLCIAQIGDVIVYLENN PGLLDASKDRLAIVGLCTGLLPAAVVSCSRNLTEVIALADETVHLAFHVGLAASKRSH EVDPSTGSWATLVSQVDISAAREAIGIFNRNSMPRHQVYISAESPNSVTISGPPSATE AFFSSTSIFGECKRIPLPIAAAFHADNLQPIQPTVLLQNINPSLHSKPVQHPFLLSPH SGLPYQESSFGNVLVEVVGDIFRHPILFDACTQGLAKILSSQPNLLAFGPVSCEKAIQ QALHGHGIKLETPSTATTLNNTSSIPNAIAIIGMSVRLPGSETLQDFWQVLEDGRNLH EKIRPDRFDINTHVDPSGKAKNTSLTPYGVFIDRPGYFDTRLFNMSPREAAQTDPQQR LLLLTTYEALEMAGYTPNATPSTNTKRIGSFMGQTGDDYRVVNASQNVDTYFITGNIR AFGPGRLNYHFGWEGPSYSVDTACSSSAASIQLACSALLSSEVDMAVGGGANLLTASD LFAGLSRGSFLSKTGGCKTFDHGADGYVRADAVGVIVLKRLDDALADRDNILAVLRAT ATNHSAEASSITHPHAETQERLFNSVLSKAGIDPIEIDYAELHGTGTQAGDATESRSV TNVLSRNRPADKPLFIGTVKPNLGHGEAASGVTSLIKAILMLSKNMIPPHIGIKGRIN EKLPPLADMNTRISFGKTPFRPRPGGDGKRKILINNFDAAGGNTSMVIEDPPMLPTEG VDPRNHHVITVSGKTPNSIMGNSKRLLEHLDQNPDARLEDIAYTTTARRMHHNLRKAH VASSIKTLRDSLQQAITREMWTKVPAAPPQVVFLFTGQGSAYSGMASNLFKTSAPFRE LLQGNDDICVSHGFKSFLPLVEDKNFDMMMASPVQVQLAIVSIELAMAEYWKSLGVMP AAVVGHSLGEYPALCVAGVLSLSDCLYLVGKRAGLMVSNCSPGTHSMLAVQADQGDTE ALLKSVDDDSGIEIACRNGPTSIVVSGGLDQIRELQEKALTRGLKTTVLEVQYAFHSS QMDAILEDFSSVANRVNFAAPAIPVASTVLGSIVEGAGIINAKYLLRGTRGPVQFMDA VEAVKSLQNSPNQQTVWIETGPSPVCVGMVRSMAMEGAADQLLPSMKKGEDDWKMLTT SVAKAFAAGLNIDWREFHRPYELSLRLTALPHYAFDLKNYWIQYEGDWALRKGDADSL PRSPAAQDRLTKLPSTTLHRIESEVRDKAGISVIFASDASEPKLNTALRGHLVNGAGL CPSSIYADMAFTAASYILGDAGLNMSLDVRDMQVDKPLVIQPGDTKQIIRVFATKKIG SDQIKVTFASQDGSRNEHHATCSVVCGRGESWQHNWSKTAYLIKARIESLKESSNKGQ THRILRSMVYKLFAALVDYDTRYQGLQEVFMDSNLFEAAANVKFNTSDSDGTFNHSPY WIDGFSHLSGFVLNGGERTPADAVYISHGWDSMKIVGQLSADKEYQSYVRMQDTSTRG VMEGDVYLFEGDVVVAVCQGLRFQRIQRSIFDHLLPPPNKPSTPSQPMPSLGAKRQSL NSHIPTIKVELVDDDIVSSDFDQVLQLVASEVGVDVEDLADDTVFADLGVDSLLSITI TAKLGQLWGQAIPAGLFTEILTVAELRRYHLENIDNSEDDDRASSYGGSTCGNDIFSQ PQSQAYTPFTNTGLSIGTPVEDRAGAIRKIIAAELGMSIEEIDNDVPLADLGVDSLLS LSIMAAIKAQTGQIFPSSFLMEYPSLAAIEAAFGPRRTLPAQQLFKALEKVQNNKSIP QSEAVLLQGSSSSREPALFLLPDGSGSASSYVGLPNLKLSGPVWGLDSPFLNNPEAFT IPLEELASSFAAEIRNKQAHGPYRLAGWSIGGTYAYEVALQLLSHGEVVESLTLIDAP CPASLPPLPIETISLLDKVGAFDGFKNKKKAGKTYMRETVHAHFAGSVKALEKYKPAA MQNISTPLIKSVTVVWARDGVWDTVGPEVKARHIKALGKKNAARDWMLDTKSGFGPNG WELLLPGAEIQCKVVEGDHFTIMKDSGVLKLGEVLQGTIVGPARS QC762_206860 MVVLKLAKMFLLPKGTGLIRYIPYLFIIPVLVFHALALTGCVST SPGIPNIYVVSLRSAELANTNNTDLDVQVRIGYFGMCGISEEHGTLCGTVSGRSVEDL SSTLFPAATASNNTLLKNEITDLITTAQDLQTEIFISILAGAAVLFIVGLVALFFFKQ DRKKNATEWYEQGKWSKIVKRGTYGALFLSAAMTFASALATSQSAGALQITSAVMENT SVLIKTGTTIQVFQWIAFGFGFSFAAVVPFLAKPREESGSGEYVDKEGNVV QC762_0038620 MRDSTSRYLAALYVTIGSSATSIRCKSTLSGRGPVDKCGTYLLL LAILCNYPDRSELIRYPNDLQTANPPPPSIRYKPPSPSLNA QC762_206870 MSLAFKVITSFSSIFTATYSTIESLITSPNQDTNHQQDHAFQAP SATDKRSPCPMVNALANHAYLPRDGSNVSLLKLIQAAKEGINLAPDATLIVGLKALQT STTGSWLTFNLDDLNKHGVIEHDASLSRKDVFFGDNHSFSPETWETVFKHFRGLEKIP LQVAAAARKERVESARASNPEFSLTEDQNRFSILETSLYLMVFGEGTQGNARTDWVKV LFEEERLPFQEGFTRSPTMLTLGQILELHKKVEAV QC762_206880 MRASFSLLLPILGLLSTGAHAIVRFHCSALTVQRLDPLVNPGMI PSTHVHQIVGGDAFNASMDPSLDLPELSTCTSCQFAEDFSNYWTAVLYFKAKNGTYKR VPQLGNNQFEKAKGGLTIYYMQDAIYDRNQKSNVQAFQPGFRMFVGDLNARTIEEAAR FRQLTYVCMDTWTSRAPETMAFPTRKCPEGIMTSVRFPTCWDGKNLDSPDHMAHMSYP EYGTFESGGPCPASHPVRMPQVFYEVVWDTKIFNNEEWPEDGSSPFVWSFGDATGFGT HGDYLFGWKGDALQRILDAPCWFNTNCAKESNSPLQTIEQMNACTQPSMVDEDIDGWL DELPGGWKADYGHGHGSTE QC762_206890 MLLTNLITPLAFLAATVSAIGSARVNNKCTSNVYVWPVGTNITG PYGLSGTVGYYAESFHVDPNTGGRALKISSHPDGLYTPGTPQTIFAYNLDVGANQVWF DLSNVFGNNPAFVGKKITVKSADPACTQEIVWPAGVPPAGSQVRVCSASSNVVLTLCA QC762_206895 MLFTTASALAATLFAGLVSSQTPVGFTPAVEAKLEVIYGTKATI EPGTRFTKTETARQPTIGTSDVALNGTYVWLLIAPNSPSNFQNPSSGPRRTNLHALIT GFKFSGQTVSVAGVSNPIYTLTSSSTGPITYVGPSPPAENPPYAHKYVSLLYETPEGF TVARQQVGQTFGFNLTTFVDTVGLTAAPVRASYISVAA QC762_206900 MARGNTPGPRGGSSRGGRGGSRGRGGGRGGSRGRGRYVGAGYAA SLTAIRENPEGVLSDINNVSSSPASGGFNLRRDPPSYRAGSNWFGPVSGSVLLRYFKC SPDSPESYQFQTINSGEGNPDGIAFAIVHEDDQPLWKSHGIVYTNSRHYLLPGYDEKK AALVAQHQEATEEEKVHRSINATTENIKFRRYGLEDGPDMEIFGLYGNISRIVVPGEW QKKKHESPILVGCNNTPSVKHTPGLHSPIAVFETLPDDDRVQYISWFRIEEIELFAAN SAALAKNLHQVGMDGGVDTEWATLKLSKIFPGDAQYRRYSSWGKTEKRQFKLGQEIEH LRAVEDSDSDEEGLVGEREINVAGQPVADEQALVSEDTGAVVPAETKEDEEDLVELVR VLSEDERMQALVKQAEEVLTAREKERVVKDVVEEKEE QC762_206910 MGLFSRRDKAPKAAADDQPALASSQSKTSLTSASSSIVTPINTS SRVINRTSAGTTSTAGPGTPLTPFSPTGMNPTLPKVDMPRPPDPQLDPAGYLRSLSAV RERCQILWSKALKNDLRHFDVDMRKFPDVVSFVANIIKRDYDAPFTTIPPHGRYQHFG VGGRDRIAHLLATWPEDMVDNTEKCKRMIDLFLVSVLLDAGAGTKWSYKSVENGRVYR RSEGIAVATLDMFKTGLFSGSPANKYQVDKEGLRQLTVEKLAQGLQSTPGNEMAGLEG RAQLLIRLSEALNNTEYFGEDGRPGNMLDHILSHPSTQASSVIIVPLTVLWNVLMDGL APIWPPSRTAINGVSLGDAWPCSSMPQPAQSPTSPTFSPFPNTTGQSNGIAPWESILP FHKLTQWLTYSLMQPMQSIMKIQFAGQELLTGLPEYRNGGLFIDMGVMTLKPEDQERG LQHYAEYCQRTGTKAVEVAPMFEPSDDVIVEWRGATVGFLDMLALEVNRVLKAELAGG ELSLAQVLEAGSWKGGREIAEVSRPNTKEPPILIDSDGTVF QC762_206920 MTIYKDKYSRKFRARYSGRSCLQHPTKTLSSPTQSKSPHLKTPI TMHIQNLISSSFLLLLSFPISTTATTPRPPYPNRTLAGITMIDTPIVRDAQAYARRYC SDSTYNHIMRSWLLGLLHLSHDPALASKIDLEVHALGLILHDLATNHSLSAPFVTPNR RFEVDSAIAAADFIRSHPDGKKWPGWRVQRVWDGIALHAEPGLALYKEADVFAIYWGN ELEFSWERPGGERKGVTAEERERVLQEFPRPTLEEGGRGNVFAFVAWYCKYKPESTYN TWMQPFGELLVPGYSAVGHRVIDGSLAAVGLNMSEILK QC762_206930 MDPRTYGPLHTIEETNPESRRNHHDMYHHHSIKKSIWAKLSQTW IFEFLGFLASLLCRGHCHPSSLVR QC762_206940 MVSVPSASVSNGSITTISANAHAKDSPAMTTPSASIANGAKSKA AQVNGNGYHPPKPQVPLSSMKSAPLDLSSVERRGQPTMCKEPLKKKNRPHGLQEAPTY QPTEEEWKEPFEYIRKISKEAREYGLCKIIPPDSWNPEFAINTEKFHFRTRKQELNSV EGSTRANLTYLDALQKFHKQQGNTNLTRWPYVDKKPLDLYRLKKAVEARGGFEKVCKL KKWAEIGRDLGYSGKIMSSLSTSLKNSYQKWLCPYEEYLRTAKPGVYQQLELEYGGPL TPSPAQSPMKRSNVNTPLSLRAESPARHATDALQATMNGPKETDQDVQMSDTPVASTP QVSSGFTATNSGGFTAVNSGFTSINRPQNVASENKSLSTPKQYSTPLASSKNTPEYRP SSLGPAGLKRQLSCDDVEKDSPCDKDDVDGSRRSSKRLKKGNVPTVAGSHMSLFRPSA PRIPRDEALGSGETCGKGHETDGFLLVCESCEHAYHGACLDPPVKVKPETEWNCPRCL VGDGQFGFEEGGLYSLKQFQEKAANFKQGYFEKKMPFDPVLNCHRPVTEDDVEQEFWR LVADLEETVEVEYGADIHCTTHGSGFPTIEKNPTDPYSTDPWNLNLLPLHPESLFRHI KSDISGMTVPWVYVGMIFSTFCWHNEDHYAYSANYQHFGATKTWYGIPGDDAEKFENA MREAVPELFETQPDLLFQLVTLLTPDQLKKAGVRVYALDQRAGQLVITFPQAYHAGFN HGFNFNEAVNFAPSDWEPYGLAGVERLQAFRRQPCFSHDELLWTAAEGITNGGLTIQT AKWLAPALARIHERELDQRQVFTGKHEFIAKRLESKHPVEHHRCVFNGENDPECPLVF KIEDVDVPEEESSCFYCKAFTYLSRFVCQKTGKVLCLLHAGSHPCCDYSDSERYLGKD HVLYYRKTDELMAATYKKVADRANVPEAWEEKYEKLLDEEAKPSLKSLRAVLSEGEKI PYELPSLPTLRAFVERCNTWVEEATNYTVRKQQNRRKNEKAWQIGTRKSIGSSYQDSK EREMRNVANIYRLLDEAERIGFDCPEILQLQERADAIKTFQRDAVRLLEFTTDHDIER VEKLLEEGQSFNVDIPEVEKLSRLLEREQWNARARDSIGTSMTLNDVRLLLEEGQRLE IPPYNDQLNHFSEKLAAGHLWEKTAQDLINAEVVHYPQLQALADQVRENALPVTPETL AAVEQKLHKQREAARQIEDLNRRLNSPDYRQRPKYGEMADVMKKIEELQAKPSGIHEL EKEQKRHEDWMRKGKKLFGKTNAPLHILKSHMEYVLDRNVDCFDFVHDKPRVPAEPQS REPSPSEREKTNRWDDPKFREVFCICRRTEAGMMIECELCHEWYHGKCLKIARGKVKE DDKYTCPICDWRVKIPRDAARPKLEDLVQWYEEIISLPFRPDEEEVLKKIIDNAQNFR NHIAGFCSPVVSTASEAETQRFYLRKIEGAEILLAYETNFFRQELHKWCPVAPEPPPV LESSKSTRKPRPTKLMKLLLQYGVDDADDLPESVKGKANSLKRKAQNAEAAAAAAAGG GALAASPSYRAPGHPFYSRNSSAQPSTPGLSMPPNHHPSSSHSAGLDSSSHPNHSSYF LPTGPHLLLSDSSTQHFEQRLIEGNVDDRELNEHLSTDEGRTRIMEILSRTETGKQRA KDLFGPKIWGPTAAANAGSSGPRDNDPLGIGMGGDGDGDDSMFVDLVNEDDDEDKKAA VDHAHHDADIHMEDDHGGEAASLIELGQ QC762_0038730 MPHVPSTTRLSPVSASSFLPHITAGYLQYPFTSLPPPRVGPNSS PQPGNSPIFTRPLISSRRTLLKLAAPGAKYLETDHSLHCWARVAGMIAAVARNFEPTK QSNCACSSYHNFTSGAPCSNTQSHHD QC762_206950 MTPQPRPIPALYCVYILRSTVRHSSLYIGSTPNPPRRLSQHNGV VKGGAVRTSRNSLRPWEMVALVSGFASSTAALKFEWALTNPHTSLHIPSESRLAFSTQ RKRNGQPKRPPKSLSSILSNLHLLLSVPSFARWPLRVHFFKRDVHAAWGRWCGKVERE LRGSLPVVTDFGEDEGAVVARASASEPLGVVGEGLDGDGGGEEVPTWGIYGLPLDYAP LKEYVAKGQDIFVFERQGSCVVCKEEIDHGEGGLHAVCSNEGCEGVGHLRCWGRYLLK SEEGGGEGAILPVGGRCPRCKGEVHWGTMMKELTLRVRGQKEVENLLKVKRKRAPRKK TAKTKETGEEDG QC762_206960 MLLEEQRYIHEDLERLEQGIADRMGDEPKQIRDRLNRDHEVSQL LDQIQKQSAILLSLYEDKAGERSKEILDISSGDPFKEFNAQYNKIKEHHGNYPSEQAE NSEQWYKPRKGPDQPYIVESMFSGEEAYGRYFDLHTCHEAYLNLPNAKRLAYLQYLEV FDDFQPGKGGIKRADKLTDDYFKYLGDLASYLESFMRRTRPLENLDKVYEGWEKEFET AWEKDEVPGWQKEAAAAKKNAMSRNLSTSEAVWCEACEKEFKNENVYKGHLNGRKHIK AAEILAKWEETAAVGDSHAPVALAHRLRERAVAEREFRVRKLTSAMSTEKDDTRVNVE RRQGMTERERAQELENFYNMSNTPQNQAPEEEEDNDDDDKIYNPLKLPLAWDGKPIPF WLYRLHGLGQEFPCEICGNFVYRGRRAFDKHFNETNHITNLKRLGITNTYLFRDITSI AEAMRLWEKIQREEKKHHVDDGSVVQMEDAEGNVMPEKVYLDLQKQGLL QC762_206970 MKARTHGRTRASTRACSLSAGVWPGKSLGGSRCSCAAPQPKLSR RLNFQQRIPLELLFKLASTHLNHIRPSTIHSTPSLTVCANSKIKMAEGGIDRKADEKL TFSTSKEVTVHPTFESMSLKESLLRGIYAYGYESPSAVQSRAIVQICKGRDTIAQAQS GTGKTATFSISMLQVIDTAVRETQALVLSPTRELATQIQSVVMALGDYMNVQCHACIG GTNVGEDIRKLDYGQHIVSGTPGRVADMIRRRHLRTRHIKMLVLDEADELLNQGFREQ IYDVYRYLPPATQVVVVSATLPHDVLTMTTKFMTDPVRILVKRDELTLEGLKQYFIAV EKEDWKFDTLCDLYDTLTITQAVIFCNTRRKVDWLTDKMREANFTVSSMHGDMPQKER DSIMQDFRQGNSRVLISTDVWARGIDVQQVSLVINYDLPSNRENYIHRIGRSGRFGRK GVAINFVTTEDVRILRDIELYYSTQIDEMPMNVADLIA QC762_206975 MSSPSNVTFPNLTSNPDETYQVNIIACAVITWVIGATFVGLRFY TRGILLQNILGAEDWLILLALVFAAATCAGLIEQAVYGLGKHTLDINPDLIIPMARAG WYTILYYLLTLLLTKLSLLLLYLRLLTYHRARYYVHLILLIVILTNGLWTLTTVLTAC LPLPAFWDKATYPNAYCHPRPFWLGNTGLHIGTDILLYILPLPIIANLQMKPKQKAML YGVFALGFFVCTISAVRLWDLIEQYSRSDFTFDNVSIAYLTCIEINAAIACACCMTLK PLVSKLFPRFFSGSNSDSLETSGDVEAGPDDAGRVAEQKGRNPPTIGSKPSRRVGDQD KETWISMYQSQRDSDSLELQERDIVEAEKGASQAIAEK QC762_206977 MPRVWATFLTYFHEYLIPTPLMREFAGQLILVLHFAHASSVIYT DIRQPNIMIKFQDTSLIKSELPIDHPTPTQSRTEAKYTPILNRSVIGCYTTVDPRSDP TLIL QC762_206980 MESTHQPADPIAKGILPTAKQGVRDLFNFKQRIVVTDHLGHTRT EWARPIPLKNPISLLAQLSARDWLFFIVGFAAWTADAFDFHALSIQQKKLADYYGTTK TEISTAITLTLLLRSIGAAMFGLAGDKWGRKWPMVFNMIVLGVLQIATIYSSTFQQFL AVRALFGLFMGGVYGNAIAMALENSPVDTRGLMSGILQQGYSLGYVCAACANLGVGGA TESWKTVFWIAAAISIFVGLVRCLFPESRQFLEARAAGKAQANPSAFWKETRVMLAQE WKMCVYCIILMTWFNYYSHTSQDSYTTFMLTQKELENAGASRASIIMKAGACVGGTII GYISQWFGRRRTIIVASLISMALIPAWILPTDEGGLSASGFFMQFFVQGAWGVIPIHL NELSPPAFRSSFPGITYQLGNMISSPSAQIVNAIAESTFVVSASGKMVEAYGPVMGIA TAIIAFGIATWVAVGPEKRGREFEKVLPAGMNIMQEGTRANDLESGSASNDEGKGETS ELETVPTKGLSEK QC762_0038800 MVTTQSQTRKEVEATAQPRTRKKAVKCKFDDSSLADKPSSIPLN TLPVEILQLVFESGPLSFDDYKMVSLVCKLFHTILRSYIFRTLVLGVDEEEPGREWMI DLAGKNKQLSRGYYSSIVV QC762_206985 MVWEEPEEGQVQRIEQRACTVQDFIPVLKEFKNLKQLVITDEQM ARSEVMDLPDVEKRAANAHEEVTRLSKLVLNMPEVTIREANNQSWCGASITSGLTH QC762_206990 MSVPLQPYGLVFQRLQQRLARAAALLQEVSVPPPSLDRQSNAST QDDSDRGWMRSGDMYDDNDDNDDNNDDDNEDNDDDSDGPNHIQEYYDGFDQYPPETRS MLQVAISIKRTRAGDIFHMLVTAGPLIGLRLFVINRPSCVGPTLGILMTKFADRHVGS STPDCAERFLTSPFYAPVISPRIEALHTQTTPPFTRDPTRRYGLTQPTIYTALGGNKN FMTWQHAMLYYILYQNVEHHLDGLIPSPTDIPQEQIPENMMQMDAYLAGRHYIPPSDI PEAITNALDTREINPEEEGVSCIICMENVEEGEEGAQIRIGSHDSFHKGCLTTWLRMR NTCPYCRARVYKRSDLVDSMAWLGRAPRRLGVIDWQRFHPGEIPWFASPAPPEAPAPT GEEGVGLGEPLQEAS QC762_206995 MPSWPNMVSIPVDQIPSHRRSPEPSQNPTSCHDAPSITQNCRHS CPDTSTNDNIKRLDFSVALAGDWKDRIPRRLPETPSYAKTKSHDSKPVDANQNYLTCL SSKISALTLNPDSSHPPGHRSDIELSIALAVHKKACFTTDQLGDAGGTVDSSRAEHDN QPSNSMTKLAITTRATKRMKRKRNSTADDDQQEDEEGDGDDRRRSDGKETPSSNRPMA CPYRKWDKRKFNYHEYPKCTKSFRDLTDVKEHIKKEHVLAPSELPSHRRQGFENGINQ YVVDQLRDRKGRTKIHEWPRLWVFLFNDDTNIPSSDYEPCQVFESNEVTDMMNQLAGH FGPPNLGTDTAIRNIQSAYLTNRNTVTHVTGAPKRKKDGRQKARHLNTGAQGTQQATS LANDRYRKLAPRAADDNTPARSEDFTTAEEDGSLPPTSAFIHAFPEESPTNGGSSFIM SSRASPVRRSARLTSQPAHSVYPPVPEPRNSLQHFPGYGTDFGWTSNARGIDQDMTEN GNQV QC762_207000 MAANESNPKLLWNPENVRDVADSIGITLGDEPLRVLAQDVEYRI GQVIIESLRFMRASNRTTLTVQDVSNAMRVLQVEPLYGYESTRPLRYGEASLGPGQPL FYIEDEEVDFEKLINAPLPKVPRDTSFTSHWLALEGVQPSIPQNPTTAETSSKDLLPK GPGANPALAALAGNDNVSFRPAVKHVISKELILYFDKIQSAILDDDPDEEKTRLRMAA LESVRSDPGLHQLLPYFVNFIANQVTLRLDDLFVLRQMMELTEAIIQNPNFFLDPYAS SLAAPILTCLMSNKLGGIEDGTDTVKDQYSLRELAASLLGVLATKYNKSNRQLRPKLT RTCLKYFMEPNRPPAVLFGAISGVAAAGGPEAVRILMLPNVKSFDQAVLLPLHDKGEA HKLEYEMLVGGIMKAIKGAVGGDVKPNANGVNGVDLEREAQQIVDFLGETIGRRVLAL ADHTLNKAILEVRHLE QC762_207010 MIAQRTGTTALRRVAANPNAVFTSTFAKAALSQMQTRQATTQKI SATEARSILDAQRLNRPVSPHLDIYDKQQTFFGGSIWQRFTGSGLSGGLYVFGAAYLA APLLGWHLESASLVSAFGALPIAVKSGVKFLVAWPFAFHAFNGVRHLALDLGMFMKRQ QIVKGGWYIWGASLVSGLYLAFFL QC762_207020 MSAPPDDTTGNTTNGSPEAGNSTAADPSTSIAFTPLHKLPLELR FMIYRRTWEPKTITISSPGVGRIHDYPELPVTLGINSETRQETLRHYYECNVWSRYVN HTTNEFGERCRKAYINPSLDRLYLDHFPTITRIDIPNPPVKKPCLRIILSAYIDQPGA LELLHAKSGLEPLILEMEVEQRITRRRRLWGGLVTERGGRYTPCFGPCPRTSFNFWFD QRIRRRRRGLSLAWPYASAE QC762_207030 MADATSYKKPPVDNDSYSDHVDSEAASEVYDENGSLLSGKTGSS LGYGVPDSRKIGVTGAVFLILNKMIGTGIFSTPSSIFASTGSVGISILLWAVAGLLTL SGLSVYLEFGLAIPRSGGEKNYLERVFRKPPFLITSVFAVQMILLGFSAGNSLAFGRY VLLACGHPMPDGLVPRIIAVACMTFVVLLHAIKPTWGLRLTNALGVFKVLVLLLIVFA GFAALAGYRLIPDPHNFDNFWAIEKGDGYGGGGAYAYATALLQVVYSYKGWENANYVM GELKNPQRTLKIAAPLAVIGVTVLYVLANVAYFAAIPKAELAKSEVIVAGLFFRNMFG ESVAARSLPAFVALSNIGNVLAVSFAHSRVNQELAKEGILPWSNFWASTKPFRTPAAA LFLHWIVTVIVLVAPPPGPAYNFIVNLYTYPGAWINTMVAGGLIYLQFKKSENWSSPW RTWLPVTIVYFLLNIFLAITPFIPPNSDWNADGYPYYAFPLVGIAILLLGVVYWAVWT KLLPKLGGYTIVAETVIDETGEEVMRYHKVPTTCPREATAQPLLWDGNASVHSGYGSL QC762_207040 MLTSLISTLGLLAAGVNAHGAVTSYIIDGKTYPGYQGFSPANSK NVIQRQWPNYNPITSCSASQLRCNGGTSATESAPVQPGSKVSAVWQQWTHSQGPVIVW MYKCPGAFSSCDGSGSGWFKIDEGGFKASNGVFLDSENPSGWEIAKLVGGNKQWTSTI PSGLAPGNYLIRHELIALHQANAPQFYPECAQLVVGGSGSAQPDSSYKAAIPGYCKDG DSNIRVPINNRNIPQTYVVPGPPVWRGASKKARDFSA QC762_207050 MLSSWARIASSLLLVSARWATAIPTAELDERELNSRQEDNHWVA TWTSMPQLVEPNNMPPSQFTNGGVFRDATIRQTLHLSIGAERLRIQISNTFGGSDLPI TAASIALAAGNKAGVAGIDAATLKPLTFRNGSSSIVVPRGQVAYTDPIEFSVGPQTNI AVSLYSQQGQSGSSITGHPGSRTTSHMQSGNRVSTATLSGGANTKHWYFLSAVEAWKP KDHSAFVILGDSITDGRGSTDDGNNRWPDLLIARMQKEGINHIGVNNQAAGGNTVLSG GLGPTLLTRYKRDALQVAGVKYVMIFIGVNDIGGGGTDSGSQNSIATRLQSAFQQIVR ECKAAGIKTIGGTITQFTGSGQSYSNPTREQTRVKVNEWILNSGTFDATVDFAKIIGD PGNRAQLARQFDGGDHLHPNVAGFQAMADGFPLGFFRAFNGTL QC762_207060 MAVMSILLGGLVTWFVGWIIYCRWLHPYSKYPGPFLASFSRLWI IKELYSGHLEVTLKKWHAKHGPIIRIAENEVSISDPTVIKAIYGAGTAFTKTEFYSAF SMPWSRFPEHFCNIDPKSHGERRKLVNHVYTMTNVGRYEPAIEECIELLYQCVKDASE SGRPTDMALWLRYYAFDVIGILFFSRPFGFLETKKDYRGWINATDVLILFMAASAFVP RWMRSLVLMTSIVVPGAMAAVKAMDTMTDAGVEAVEKRQKELQESGGVMEKDDMLASF FRVMEEHGDLDNFGPLEIRGEIYTAIIAGSDTTASAITSVLYHLTKNPRTYRKLRDEI DTAVAEGRISSSGRIRYANAVKLPYFDACCKEGMRVHASLGLSFPRYTPKEGVELLGE FFPPGVKVGCNPQIVQRDKGVFGEDADEFVPERWLGRNAADLERHMLNFGGGPHMCLG KNISMMEIYKALPELIRDYDFELVDPKKEWTLINRWFHQPKNVQTIVTKRKK QC762_0038910 MPGSPTLLAPDIALKFPGIRPWSCTTPNATRTFSFGYQQKQQRH GQSKFFHFKQLSTAQRRMLRQHSIIVRVGAAGSNSSAEIKGLASSGLSDGKRTIVIGR RTGVAQPGSLAWLHKAQ QC762_207070 MPTHARTGSRSSIDRVFELLGDLEEADLSLLLDDLNHTTESNVP VSQAIALFEKGPSRPNKKYERASSPVRNLQAELERRHSKRLSMAPQPRPQSIISSPLK DKPEPLDFSFPSTSLDLSLDFSSPTSPSLSPPALSPSSSPTSLPSLDESPRPSSRPEL PSLITLSLPENETATRPLSVHSARSGTGSALGSRPRSYKRIDRPTILSPTATAELHAL LLAYLNDSSSSETSTATPSPTTPLPSLSSSIFSFRHPDDEPEPMMPGLDLLEPSPTRT PYMGFGSLSGGNMGMGMGMGMGGSLKPKASMSSIFEIMGSH QC762_0038930 MSIVGSTSLKWGQVSRKHPRYAGICMLTVLPTSGCSMIDHRALR LEMPMDIRQLNHLSHQSSFSLVSTLLDSMTLLLSFKQHFTYQLLDYLTIQSTILLLLL GISTYQVVHFLRARNRPPLPTSPPGEFLLGHYRLVPEDAAFKKYAEWSKEYNSDVLFF ETFGTKWIVLNSLESATELLEKRGSNYADRPRFVMFEE QC762_0038940 MEPTHRMGWSPTLTWLRWGPKYHLHRKVLQPPFAKSKVGQYQDY QLREALICCKSMIDDPENWVIAVRRFAVAIVLKISYGLNVDSPDSQWIKLAEESAEAI GKSGPPASSIMDRFPLTRYLPTWLPFMERLRYARTWRHAIEDITRLPFEAAMSSPTSP TKSFVHHRMAIHNSNLERSIPNDFTIEDIKGAAATIVIAGNDTTAATLMLLVLYLLKN PHVQSLAHSEISSLPHALPTFSSLPSLPFTHLLLQETYRLNPLSPLGIPHSSLHPDTY KGMYIPPNTIIYQNIWAMNHNPSIYSDPDDFIPERYLPVDQGGRGEPLPQGNFGFGRR VCIGRHLAENSLMIVLATILATMEIGEPETGEVGEEWSFRGQAIVMPFRASFRPRSDK MKELLDEAVKKLDGEEGEDR QC762_207090 MAFPFSILPISAAFVLVLSSLFLSLGKSAPANGGVCYSQDLPNI LAESFPNSATGVLNATLAIIPISLESARRLIPPQYRILEGAYRSLLPDFPKGMYPVML QAAHDHDVQFRAYGITIEDFSRVGFEFPFLDFMGDGYSSFRWAPAQLISSTNDIALDG SRAYGTIVSPAEYEPLCDAYETLPNGATHFKGSSVNSAEFIELEMKRLALNVSPYPME LFKNITNQPTFANGTTCDNMIRLFNTTMSTGEYAPRAVLGSVKARTNALFQGADKEWT EIYGVQIATPFIENNYLDCKTMQGYEGTGGPGDSFVPGGDNDDHEL QC762_207110 MKFSPTLAALAALPTTSATIYFAGVAQSSGEFGAWSPTQTRGTG LPGRFGVDYAFISTSGVDTMIDSHKVNLHRVAFLLERMCPLSYGLGAKFNETHFEHFK EAIDYITITKGAYAILDPHNYMRYNDPSSQPFSGSVIGNTSDPTAATTAQFAAFWGEL ASRFKTNEKVLFGLMNEPHSMPSRLVFSNLQAAITAIRATGANNMILTPGNAWSGGHY WTKGGDEANSKWIHKLVDPIKNLAVDIHEYLDEDFSGGHTACTQDPVANLAGVTGWLR EHGLKGFVTEFGGSNTTECKLMLEGMLGYMEENEEYIGWTAWAAGPFWGVNSPCCTDQ RQLGSLEPGSRAADGGPGLYDSVWVPVIAKRVPGRLQWDGPANVTGGVVRERV QC762_207120 MTPLSAVALVVGLASLVSGHGFLKSITVDGKNYLAWQVGQDNYV NPPPVRYARQLANNGPVPDFTTNDITCGAGGNIPAQGIIELKAGDKVTFNWDQWGSSH SGPVFTYLAKCANNDCKTFKGDTGNVWVKIEQLSYNPQGHPPWASDLLREQGARWSVT IPPKLAPGEYLLRHEILGLHVAGTRMGAQFYPSCTQIRVTQGGTTQLPAGIALPGAYK PEDPGILAELWRIQQGQTQYVAPGGPVWSEAAPNANRAGP QC762_207130 MSRSLLQPALACNTASCMPRPYQRSRPVERASRPSLTAVARVFD FAPVRAGNGSTAVISQKAWHSTRTAGSDRPSSASLSLALPKAQPLQLVRHASVLSGIP AKPSARSPMAAYSTEATAVLPPTTPYSKLTVGVPREIYPNERRVALTPQNVALLLKKG FSQVLVEKGAGAEADFLDDAYAAAGATLVDDASAVWKGSDVVLKVRGPSAEEVDLTRE DQTVISFLQPAQNKALVEKLAARKATSFAMDLIPRISRAQVFDALSSMANIAGYKAVL EASNNFGRFLTGQVTAAGKIPPCKVLVIGAGVAGLSAIATARRLGAIVRGFDTRPAAR EQVQSLGAEFIEVEIEEDGSGAGGYAKEMSKEFIEAEMKLFTEQAREVDIIITTALIP GRPAPKLITKAMIEIMKPGSVIVDLAAEAGGNCEKTEPGKLITYKDVKIIGYTDFPSR LPTQSSTLYSNNITKFFLSMAPKDKEFGIDLKDEVVRGAIVTQEGHILPPAPRPVPPP APAAASTAAKEAEVVALTPWQKATREVSLITGGMGSAVALGKFTTPLFMGNAFTFALA SLIGYRVVWNVAPALHSPLMSVTNAISGMVGVGGLFILGGGYLPETIPQVFGAASVLL AFVNIGGGFVITKRMLDMFRRATDPPEYPWLYGIPALVFGGGFIAAASSGAAGLVQAG YLVSSILCITSISGLASQATARMGNMLGILGVVSGVLASLLAVGFTPEVLTQFGGLAT IGTILGFLIGKRITPTDLPQTVAALHSVVGLAAVLTSIGSVMADVTDLSMLHMVTGYL GVLIGGITFTGSIVAFMKLAGKMSSKPLRLPGRHAVNAGLLSANVVTMGTFVTMAPGA PLIAAGALAANTVLSFIKGYTTTAAIGGADMPVVITVLNAYSGFALVAEGFMLNNPLL TTVGALIGVSGSILSYVMCVAMNRSLVNVLFGGISAPTTSDYKIEGSVTQTNVEETAD ALTNAESVIIVVGYGMAVAKAQYAISDITQMLRSKGIKVRFAIHPVAGRMPGQCNVLL AEASVPYDIVLEMDEINDDFGETDVTLVIGANDTVNPIALEPGSPIAGMPVLHAWKSK QVIVMKRGLASGYGEFDGTLLWLGPMTDDC QC762_207135 MPPKRKRAEEEQDAVEGHSTDSTLRKLPIELRFLVYHHTWEPRE VTIRKPGFKNNLPATLFVDKESRRETLRQYHLYITKYIFPVERKYGYINPNLDTLHVR MPRTFPPMRHPLEICIPTLLEIGKPVLSVCLNPGTMDELPAKKWLFERSGLRGIIAEV DIAATRPPRGPRYATI QC762_207140 MSYLSRTLGNLRKIGFKEYWHQLNYIGDTKAGTLVGVDKFGNKF YENMDELPLRSRWVDYAKHDYDAGQIEPLWHAWISYSVDTPPNKDPITTATGIANRPW ANTEHIPNRTFTRAAFKTYSTTKPKIQSWQPVAAPR QC762_207150 MDYIAISSLIFTLFRTAADITTFLLSSPQRFSAMLTRTASRCST KLRAALRQPSLRVAKQQPQCRRFSMPTANATPGAQSAATSSAGMLSPFVSELDKIAPS FRINGSQIRVLQSPTEFYETLKDKIRNAERRIFLSTLYIGKSEKELITTLQEALRSKP NLKLSILTDALRGTREAPDPSCASLLAPLVEEFGPDRVEIRMYHTPNLTGFRKKHIPK RINEGWGLQHMKLYGFDDEIMMTGANLSNDYFTDRQDRYHLFSSRDITEYFSNLHDAV SSLSFRIDPSDAPSGFDMVWPKDNAAPSPLEDHKQFVRESTGLLAGLISPKTAPLTAN DSTPLEKRDTSVYMLAQFSQLLSPDTSTELPAITHILSTLAAPQYSKSSWTFTAGYFN PAPSLTKLLLSTASQNNTVITASPYANGFYKSPGVSGLLPDAYTLLARRFVRAVHEKK LDASTVMKEWRKGTVGEPGAWTYHAKGLWVTLPDNNGPSISLIGSSNYTKRSYSLDLE ANALIVTENETLKKQLANEQNWLQENTTVVTREDYAKTERRVGLKVRIAMLIVRLVGG AL QC762_207160 MIQAVPLKRSLSRSFIRVIQRALPLVKSRRRSWTTNAKIRMPID L QC762_0039030 MSACARYQSSNYLQNTTSHTTALPCLPTTTFFHSFVLVNVHQNI VNVEEDDCLFSYNQTQDCSSCISYGKTCHHLLLRRRYSCAHSPDTDVASVYRRLVPWF CDLGLGRSISTRLSTSHSRAFIKPRKMLHLCKVPCKWIANTFATTPATIPIQTTKNPR SNHAFLMPSLFARSISPLALIDVPQPSAAMVSML QC762_0039040 MMSPKEKNDDFREPVVQGHPPSASRLLLNILGCLLSLPIYYFST VHSRYPVTLDIVITIALTELNRYIVEGRRQHFARSLHTPSFDQHLDEKKEWSGLHLEA QPCIPNADCMAAVVGWREDPSLYARALQSYKSAKTCTFFLAGIDGDEAEDQDMVNVFC QVFPENSTVIQIPEPLGEVAERIRAKTMALQRQNGIPVNEDEANAISMQACLDLARSI LRQHDLTIGGPKGIKHLCLKQRHMHKKGIMFTAYVFALVISDILGIEYLWSSDSDTIV FPDSLEKTINTIASDLKAGGASSGLVVHNNRETAVTNLASAVYWGELYLTRSTPACTG TSDCQSGPSTAFRLSALPAILVPWYTQIAFGKRMIVNEDRHLTTNLLLRGWNVIFASD VLAATDTPTTMSRWLRQQVRWARATHIESLLRPKVYLVSHPLLFYGMVKREFGPAIGA LAVMWFFFTGHQLIEISVMDFVFRILISTMYNFVRNTHRLRLGDVRWIVPGVFFYYIP LPAVQIYSMLTMAADGWGTSMRASGDMERAKREGMRKAWFDLGFFVVWMGIVAGVVAK VLAIHWGLVWYEKMVCIMMSMGVAMWGGWRVTIGASG QC762_207180 MASEPSSDLKVVFGSCPEPLCGLRRRVNFNMILGIPTKSRSPPI RFESLCNTSYRGHSLHKKVGVWDIQLTRSCLGAPWMPCIMVVTYRNNRLVPAIVISAC LWGIYHLVDVKIPNESYHTVILPDWRTPPSQVGHDVDLDPHSHPTTTAWSPPPAVQTP DPTKSPNGKPKDSTLAPEDVLLIMKTGYTSLWKRLLIHLTTSLSPDRIPQPNSVIYSD APSTIGTFNIIDALENTTHTLKSNHPDFEIYRQQPLYNSHNAYVEAAGIEGDNFGPAG GWIIDKYKFVPLMQHAGRNYPDAKWYIYMEDDTYLFLTNILAYLSKYDWRKSHYLGSF AGKSDVIFAHGGAGFVISRGAWERSFGQNDKMAADYEEYTAAHCCGDQVLGHALNKYG VKFGENNGDERFTWGFNPVVHWRFGFEKWNWCEPLLSWHKVHSRDVARYYQLEQEWDF EKDGPIRHGDFAERVILPELRARNNRAEWWDNMSGVWQVSSGNKNDPPGPQGKGYDEG KWKKAWGSVGDCEEACKSWGDCVQWSWVEDLCKMDNKFMMGQGYAPAMMERKTALKRT SGWLTDRLEGWKCA QC762_207185 MIIMKLLLTITGLAALSTATPTSPSTPTGRIKDPWGDLMTILGF YQHPECSHEDPYWYMTTRLGTFLAVMDETEGCQNVPDVWGDVRVIKVERQDRKCKVTV YANPDCNPASGSGIPIPEETCVPSEPEKTWKSFAIRGCLD QC762_207186 MTFTIRQHSQIAKLFISFSMKCLLAITGFAALAIATPTLPRAPT PTGQVPSPPTVAQLGFYQNPSCSHTGTYYYFTYWRSQYEALLEQTGGCQNVSEPMGGY ATAIQVERQVVENCKVTLYSSKDCDLASEAVVAIPGPMVCVPAEQGRSWNSYSIRECS D QC762_207190 MTAGKKWILTGQEGYDTSLQLVDSDISTDQLGPDDVLVQLQAAS LNYRDLVIAKSDGGVTGSITPNVVPGSDGAGMVVAVGSSVTGLSPGDRVITYLAPTLP PSDETALPGAAEIFAGLGQRVDGTLANQMLITQHGVIKGPSNLTPVQAATLTCSGLTA WNALFGVSGREIKKGDWVLVQGTGGVSIAALQFAVAVGANVIATTSSEEKAERLKELG ARHVINYKETEDWGEAAKKYTPGEKGVDMVVDVAGNSSLVQSLAAVRTDGIICLVGLL GKFDAGTIPMMSALWRPCIVRGVLLGSRKQYRNLVRFVEEKAVVPVMDDVVFGLEDVK EAYKRMEEQKHFSKIVIKIEE QC762_207195 MKLQSLCAITLATLTPLVTANFDIYMAEEKTTVGGPQVWPMWHI FDTDPTCDDLAENPNYLGSDDVSGNKVGVRCESSSDPFNCAVQHYPADGIDVLEMNFH SDPPVYHWKAYITMVAIYKDRNYDMYGLDGNVYGNCFPFPGFEYECGDPILGGFTGTR KFRCLTEFTAAQIKAAWGTKRSVPFTLKEAEGVKGRKVQKWEA QC762_207200 MSPPTNFLITGANRGLGLGLVKKYLQLPDHNVIAFVRNPSSPSS QALKTLKRDLSTKIILIPYDASVWDSAAEAVKILVNDHKITYLNTVIANAGIAKIYPL IKDVNPADIREHFEVNVLGPVSLFQATRELLLAAKETTPEKKPIYAFMGSGAGLLEGG LAVPSAAYAPTKTMLNWYGMKLHQEEEWLVTFIIDPGWVRTDMGNYGARSFGLEQANL EIEESVDGVFGVVNGARREREGGRLVEYTGRIEGW QC762_207210 MTMPLTSDIAIDASKFSLENVSEETIGVNNFIERATSSGPTWQE VGPVKFREMRENGKTGFAAPVYLPAAKDVVVSSRDAGRDIALRVYSPDNGQPSKGLFL HIHGGGFVMGTHQHQDGKLREYANTFQLTALSVDYRLAPENPWPAQVHDCIDAVEYLV DKGEHIFSARLLFISGESAGGNLAATTAFHLLRARPNHKIAGLILPYGWFDVTQNLPM VTTFERRLLVNNAKMLGFAMAYAPNTTIEERRNPRISPIYDDMRGLAKGAPGGKLPPA LFLCGTEDPLLDDTLLMAMKWMITGSEAIVKIYPGACHAFTAVPGFKAAEEAWEATVE FMREKMKGI QC762_207220 MSFHTEVVGCYWREEEGIWTVKLRQQIPGQEPREFEDHCHVLLH ATGVLSTPKWPDVPGLTDTFKGRVVHTAQWPEDYQQDKWANERVAVIGSGASSVQTVP GMQPYTKHLDVFVRTGIWFGVLAGNSGTPSKIYTQQERDEFRRNPGHLVAHAKAIESE VNGTWGAFYSGSMAQKGASGFFRKRMGEIIKDQRLLQGFTPTFGFGCRRITPGDPYME AIQKDNVDVHFTAVVSCTEDGVVGADGVERKVDTVVCATGYDNTYRPLFPVVGKNGVD LKDKWATAPESYLGLAVPDMPNFMTFIGPTWPIQNGSVMAPLHSVSDYAIRLIKKTQN ENLRSWVPRQDITDSFNEHVQEWVKHTVWADECRSWYKNNETGRVNAIWPGSSLHYQQ VIEQPRYEDFEFQYMDKNPWAHLGMGWTMQDRQGPKNGADVAPHLSLENIDPEWLEAN GIGNSSKAVQPEEKSQGSPMSWSLLSSFRGIHSV QC762_207230 MAVPPAPKPPSLLGYHRILSPLAGVRVSPLCLGTMSFGEAWKGR LGECTKDTAFEILDCFYNAGGNFIDTANFYQDEDSEKWLGEWIEARQNRDELVIATKY TMPYRLRGHEKIKSNFQGNQVKSMRLSLEASLKKLKTDYVDVLLVHIWDYTTSVEEMM QGLHHLVSSGKVFYLGISGAPAWVVVKCNEYARHHGLTRFSVYQGHWSCSFRDMEREI IPMCESEGLGIMPWGVLGRGQFRSSEEYAREGRKMGPQDETHRRMTAKLSELAERKNT VPTSIALAYVMHKVPYVFPVLGGRKVEHLKSNIEALSIELTAEEIQEIDNSEPFDPGY PLNFLFETPAQRYRLDMSARHIWQLTCNTRLETVPKPRPIEPKQGMKQFDL QC762_207240 MTQTTQTTTPSSPPSSPKDPFRLDGKVALVTGSGRGIGAGIALA LAHRGAKLIINYAHSSAPAEALVSEIKALGPGSDAIAIKADVSDVDQITALMSQAVAH FGKLDIVASNSGVVSFGHLKDVTPEEFDRVFGVNTRGQFFVAREAYRHLEVGGRIILT SSNTASVKGVPRHAVYSGSKGAVETFVRCLAIDCGDKKITVNAVAPGAIKTDMYAAVA REYIPGGENFTDEQVDECAAWLSPLQRVGLPDDVARAVCFLASDAAEWVNGKIIGIDG GAFR QC762_207250 MAFGILYTRPFNPRSTAILAVAKASNLPLDLVTITSSQQAPDEY LKLNPLGKIPTFVGANGFILSECIAIAIYITSQDKTTSLLGSGNTENHASILRWMSFA NSEILPSLGGWFNPLIGRSPFVQEEVELNRQATLKRLQIIEDHLAAKTTSYLVGETLS LADLFVAGIIAGAFRFFLDGEWRGAHPACTKWFLHVYEQPIFSDVAGRPVLAEEAMAN VPPGKRGE QC762_207255 MSSSSKPYTIIIFVTRKSDISPEQFKDHWENVHVPLLQSLAGPR FPLSHTRHYLARDSASPTYPLNMLVGKPENINFDGFAIITFASEEAFKDFVPIISLPE VAEDEDRFTDRESLRAVVMGCRNETVGI QC762_207260 MYASQVFVLGAISALLLFVTRFYAARKQVWKLKSANLPMPEFKL TSGHFLALKETVKTLPKNATLHTVMMQLSKKFPSGMFYINMWPFSGTWLVVTTPSGAS QCQTLNLIKPSILTKPLETIGGGPSLITMNGETHKKWRSLFNPGFSPSYLMGLAPMIA DEVAVFCRLLREQGGNKNAEVLKLEDLTLRLTVDTIGAVALDTRLHHQTKDSQLALAL QRQIEWTSFGTTFNPFKRHLTIRPLVLWYNNRIMDRLIGQEIDKRYTEHLQDQGSGER RSKSVMSLVLAQFLEEAQAKGAPPPLSEFKKLVAPQLRGFLFAGRDTTSSTLLYCFHL LATHPEALKRLRSEHGEVFGDRLNASKAHQAIAKEPQRLNQLPYTTAVIKEALRLFPP SASLREGRAGVDLVDEKGRRYPTEGCNVWTLTVALHHNAVYWKQAESFVPERWLVGPE DPMYPVKGAWRAFELGSRACIGQTLALMELRVALVMTLSEFDITPAYEDWDRMHPRPG VKVVNGNRAYQAEKGGGGAHPADGFPCRVTLRDIDGRG QC762_207270 MRSLPLYLVALLRTISVGIATATQQPLYLSAFNAIPRVSSLFTT TKNHDEARMDGRIQAKDLLSSHFGPYGWPGQSFDYVIVGGGTAGLAMAKRLSEDNANS VAVIEAGGFYEIEGGNMTEVPMYLFNYFFDNGHVKNPLFDWYQYTVPQPGLAKRAMFY MQGKTLGGSTARGAMLYHRGSKGAYKKWAEQVGDDAYTWDNWLPYFQKSVKFSGPNTN PRPANATAVNNLSALSESGGPVHVAYPYWTNAISSWVDKALAKLGFPEVQGFSDGNLL GRSYITHTINPYTRRRETASTSYLHDALLESNNLNFYTRTLVKKILFDDKKKATGVKV STDGFEWTIGAKKEVILSAGVMRSPQLLMVSGIGPRDTLEKLDIPVLSDRPGVGKNMQ DTIILGPTSPVKVESHSQLMGSKETLPRSIYEYNNFRTGLLTNPGQDYFAFEKHQPGM LKDSTAADIEKEFPEDWPTFSYIALDDTFVPQYDGKNYFSMSAALMATFSRGSVTINS TDTAQNPIVDPRWLDDPRDKEMAVAAFRRCRDIVASETMQEVIDGPEILPGERYQTDE EIYNYIAETSDAYYAGVGTCAMGKRDDPNAVVDSNARVLGVDGIRVVDASAFPFAIDG QPMGTVYALAEKIAADILAGK QC762_207280 MAGIGVEAIAVVTGCFLSGAIMSVFLITIPVLIATTKEPAKLVN QWRRVYLSGHVKGPAIATTTGLVYVYAAWNKYAAGEPWRVFALAGATTVSIVPYTLTF MQGINNALFRADALTGKGVEPSWADAETLVLRWGRLNAIRALIPLAGGIIGLLGTCQV LSF QC762_207290 MGPLLLLVGLVFLYPLYHVIYNLFFHPLASYPGPLLWRASSFPW KLTLLRGTMHHDLMRFHQKYGDTVRLKPDEISYANAQAWKDIHAHVPGRPEFLKDPVR LPLAPNGIMSILVSDTRNHARFRSLFGHAFSDKGLRVQEANIIRYADLLVDVLREVAD TDSAIEMVRYYNMGIFDSIGALSFGESFNSLADRTLHPWVDAIHKNLKSVAISHVLRS MGVEFLTPYVLPEELRGKRAENYKYAIEKVNRRLQKTGDQGDFWDRVIVKSGDGNESG QGMSQGEMLNNAAVMVVAGSETTSSVLCGATFLMCKYVKFDKAAHEVRSAFKRSEDIT LLTVSPLPYLTAVIDETLRMYPAVPGQPPRVVPAGGAMVCGKFIPEGMRVGISHIGTY YADYNFTKPHEFIPERFINKDDPMFANDNYAAYQPWSVGVRNCIGRNLAYAELRLTLA KLLWHFDLVLDEAKTGDFLDQKIWSIWAKRELYVKLYSRKD QC762_207300 MTAILAHETDPSPEGGANSSALLKTAETGKARICAIFGGQGHNN LTALSDLRDLVERHGSNLRTLTENASATLSQLSSQPHKSHFHQELGFHLQAWLDNPEL APSEDRLALSPISFPLNTLLSLAQYCITCQALGKRPGQLRDLLHAVTGHSQGLLAAVV VAKANSWSSFYQASDEALRISFWIGLESHYATPPCALPAAAIADCVEHEEGYPSSMLA VSGLSQDQLTLRLERTNRGLGNGNSVHIALVNSKEKFVLAGPPGSLRSLCVQLRQIKA RDGLDQTRVLYRRRKPTVGVQFLPISSPYHSPYLIDVDASVRSHLLELALARDDFAIP VYHTHTAQNLQESQSDDLLRTIIRTITVDTVDWVQVTHTLSSTGTTHVLDFGPGQIGS LINEQSEGTGLRVIQVSDRSVSGGPSGRDELLSLTLPWAPLSWKDQFAPSLVVDEDGV ARLETRMTKLFGAPPVMVAGMTPTTVAWDFVATVINSGYHIELAGGGYRDEQGFEHAL RTLAVAISPQRGITCNLLYANPKTISWQISVLRSLAGDGVAINGITIGAGIPSAEVVK EYIESIPGLRHISFKPGSVGAIKEVIAISQQYPEFCIGLQWTGGRAGGHHSWEDFHQP LLAMYGQIRRSPNIVLIVGSGLGCGNDTLPLLTGEWAHKFGHPPMPVDGVLLGSRMMV AKEAHTSPQAKELIIRAQGVEDGEWHKSFDKPTGGVITVESEMGQPIHVLATRGMMLW KEFDQSIFSIKDKTRRLEYLRHHKDEIADRLNQDYFRPWFAVDCGGRSIELGDMTYSS VLRRLCQLMYVHHQDRWIDESYRILVEEFILLAHERFGHDSDVGSTDSRPDDIVQLFE QTLGTGASETLYPEDVSLLLALFRRRGQKPVPFIPVLDEHFITWFKKDSLWQSEDIDA VVGQDAERVCVIQGPVAVRHCTSLDESAKDILDSICQAHVWMMLERGYKPTPMSLVTE TTLPMTPNLPAIAGVLSTTHGVTYRVELTKDFKILETERLIKHIVNVAGSWAEKCLED DWIFRGTSRCQNPIKTAFLPVARDTIEIRRATEDSCFNEIVLSPGSSTAKNPRTSLKI THNRIGDIVVTLILSPSVATKRVTEVKFLLKVHQGPKGCRLYENASTHTATVKSLYHR LWIHDVFPGPPQITGLSSEFTGNEMILCERNIYDYMNVIRRSSGAQLRSWNPNGLLIP LDYCIVVAWTALTKPLLIPGLNCNLLRLLHRSVSFRYAPSVRPLQVGDTVQAFSRITA VATTATGKLVKISADIRRQGERVVTIETEFFLRGEQGGAEEQFTSVREPEAVVHVDSP VKNALLISRKWLIFDEQPPDLIGQRLRFKLTTHTIHDNENGNALLQVSGVVTLAEQSD TSAPIQLGRVYFEQDSCLGNPIMDFLRRHGSPLTARKQLENPGWTGVPPILVHAPAES APYAAVSHDTNPIHVCPLFARYAGLHGTVVHGMHTSAIVRRAVEWAIGDTDRTRFKGW QASFEAMVRPKDRLRVEMQHVAVEDGRMILSIQTFNDETGERVLVAEADVEQPGTAYV FGGQGGQEKGMGMSLYATRPEAKALWDRAEDHLRMHYGFSLRHIVQDNPKTLTVYFGG SRGRHIRNIYLGMTRRVLTAGGDSREEPILRGLTTRSKSYTFSHSTGLLMSTQFAQPA LVVMEMAEYVHLRTRGVVQTGARFAGHSLGEYAALGACTSFMQFESLLSLIYYRGLKM QNALPREAGDRTDYSMVAVDPSRIGPVFGEDHLQALVQVIAEETGLLLETVNYNIRSQ QYVCAGHFQPLWILGEACDDLSTKPEPERLDITTLRGLVRGLIPRAQLLSSSDALRRG KATIPLTGIEIPFHSRALRPQIDDYRDYLSQNIHVADIRPEELVGRWIPNVVGRPFSV EREYIEMVQRVTGSGVLLRLLKQMKE QC762_207310 MGQKTMLRKIDSGEAPAGRSYQFLASAHDQRELLYEYDPPLQPS PAELPPPPSPPKPPQLTPQLPVQPAPGTAPVKDCALSGLEVVRILIARKLMKSMEEVP TSKSIKDLCGGKSTLQNELVGDLHAEFGLLPDRPEDIPLKDLGIVLDPSRPLGKVSSA LVARLIASKMPGGFGIASIHNYLEQRLGLSPKRQTAVTLWAVAAEPTSRLPDNQAAER YWDQIAQDYGAFCGINLQPRSQQLQQASNDAASVRTVLVDSSAFNQASEAHKRLATKQ YHALAEYLAFSSSDPSSSDKKLITDLQLQLDTWSAEFSDEFLSGITPAFDAGKARQYT SWWNYAREEVLSLYHSGQLHDKMTMETLINRLASRASEQLSILVSHLAEECLSEVLRE LFQRVVRASNAGVKKPPVARPMWPTVGPRTIVTENGDIGYVEIPRPGFSGSAAYGDYL SHRLAQPRETGDSPVIRVNAAHADHEESDWTRLFIQGICDALTTGVSFSEKSMLVTGA GQGSIGSEVIRILLAGGARVIVTTSREPSTVAGYFQSIYRDHGAKGSELHLLQFNQAS SQDCERLIDHLYSQSWDLDAILPFAAAPEGGAEMDALSPTNELAHRLMLTNVFRLLGH IVKNKRRLEIACHPTQVVLPLSPNHGIFGGDGLYSESKMGLESLINRARSESWSDQLS ICGVEIGWTRSTGLMTANDVLAETIENHGVLTFSAQEMALNIAVLLTSKFVDLCEDGP IYADFGGGLSTLGSCHAILSKAREEFRLAADVARAVKTEDEREQALLKGTKSSIKRPF KQKTMLRVGFPKLPDTLPDHDIGRLVDPARTVVVVGFSELGPWGSARLRWEMETFGQL SPDGYVEMAWLMGLIRHVDEPCKGGHYVGWVDAKTGEPVDDNNVRHKYGEFIKAHTGI RFVKPDTITGYDPACKELLQEVAVEEDLPPFETSLSTAEALRLKHGDKVVILRLQGNS DSYQVQIKRGATILVPKAVPFPWGSVAGLLPSGWDAARYGIPKDIIQQVDPVTLYTLC CVAEAFYSAGVPDPTEVFQHIHLSELGNFIGSSMGGVLKTRHLYRDAYLDQDIQADTL QDTYLNTTPAWINMLLLGAAGPIKTPVGACATGLESIDSAMDSIMAGKTKMCLVGGYD DFGEEESLGFAKMKATVDVAAELARGRLPSEMSRPTAESRVGFVESHGCGVQLLCRAD VALEMGLPIYGVIAGSATAADGIGRSVPAPGQGILTFAGQAEQHMPLYTQLSISNDSF SSGSSFEPELWTPVSEKAGSKPTSSSIEAALEAWGLTINDLDVASLHGTSTKANDLNE PEVIHKQMTHLGRTDGGPLWAICQKSITGHPKAPAAAWMMNGCLQVLDSGLVPGNRNA DNIDPALKAYHHLCFPTRTVRMAGEGPKAFLLTSFGFGQKSGQVVGVAPRFFFGSLPA SSFAEYCGKTKARQAKADRAFAKAVMENQVVKMKTEPLYAKRDASRIYLDRSLRVGQN DITGAYQFATVS QC762_207320 MNAESRLEKPYREFIADLGQAPHLTGSTDETKQQWNILISKMMQ RYGFPPPDPTVITETVHLGDFSVRTYTPNDASDANLPLGVYFHGGGFVMGSVEQEDGF ARALSKNAQMRIVSVGYRLAPEFKFPTGLNDGVAAAVWALDHFGADEITLMGTSSGGN LAFGVGLKLIEMMGMRDRVKGVVALAPVTVHPDAVPLDKREGYTSYEENDEYTVNSKS AMLSWLDTYGGAPEDPYLSVLLHPRLGDLKKVYVTESGADTLRDDARLMKDSLEEAGV AVLYDAYPGYPHYSWLFPCKSLAEHQKVFWGNMFKGIHWVASD QC762_207325 MSVIRVKMFIALAQSQPFTPSRSINDYEAILSAKPANQPTMPVY HVALFKLKPEADPNKVKQWQALAEGMVGQVPGLIGLQAGAPIALTAPMAKGFDMGVVV HLDYVESLATFFTHPSHEEVNELYKDVCEMGSTIGYDIEF QC762_207330 MDPISTTVNLSTLRGKSVLITGGASGLGLATALAWASAGAHVTI ADIQTPLDSLPNNIKYVQCDVTNWDSQVQAFKTAITSSPTQALDIVATFAGTAFMPGN QVDHVLAAGQPSLDADPAKPNIRNIEVNLIGVYYSSWLALYYLRLLPSTGSSEGAKPD KSLILVASIGAYMDSPKASTYPASKFGVRGLFRSTRARTLDIGVRCNLLAPWFVDTPL VAPIKNAMAARGVDMARVLDFVSVEACVEAASYCAVDGGVHGRALAIQPEGTFDLRDD YEDGWAGDQLRPIMKRRRQAGFDA QC762_207340 MTIGFHRLYFHPLRNHPGPRLWAVSRLPWLYSTVKGTIIQDLKA FHEQYGPVVRVAPNELSYTNPAAAKPIYQSNPEFPKDPMHLPPFHNGTPGILAADHQN HRRYRRLLSGAFSDRGMRAQQNMIQHHVDLLVSQLKQAASQDNNNNNNNSVDMCQWYN WTTFDIIGDLAFGESFGCLSNAATHEWIASIQGNVKAIPVINAIRRLRLDWIIPLIAP RKLLAMRARNAKFTEDRVDARRGLGTTTPRGDLWDGVMEGMSRDEMISNASAIVLAGS ETSATLLSGCTWLLLRNPQVLGRLTEHVRGSFGDESEIDLISVGKLDYMLAVLDEALR LYPPVPMQSNRVVTGKGGGSIAGGWVPEGTSVALQLYAACRSSSNFHRPNEFLPERWL GGKDGGAFADDRREVSQPFSIGPRNCIGRQLAYAEMRLILAKILWHFDLELDCAKMQG RDWMGGQGVWILWDKPPLWVTVRPRPRS QC762_207350 MITSGFLQEPQQGHVAHSPLSAQFVTEPALLDAVMFLSGTAAPA SLKMATATRQYGASDRTDQSAYTVAFDTGVPLASVFELQPRLQRQFGTYLESVTNGDQ AGTRDVLMRVDWGSLGNATVVDVGAHSSATAAGLVALFPTLQFIVQMYEAPSTTTPSS SDLPRLPHLPAALPPVPGIGFSDHNAKVTLQKRSLGTSQPVRNAAIYILHLPSPTPSL RWSTIAIHATAELTAHVDVLRANPGSRLILTALVLPPPGTVDVEVEATARLRDISLLQ LSNGRHAEKVEVVDLLNGIRDSSGGFVVTDEIRCPSSPFVALEIRYQSYDDLRR QC762_0039280 MLVKGGERLAGSHVIKPLGDMMELHSSGSIASNSGPGPGVLWRV CWSPAAEVFVVVVVIVVDCVFKGVPTRSTGLDGSVLSFRAEPVPPLTSMVAPFGLFSA AILDALDEVRPVLLDAIRNATWPCIWATADTRRLMRHAACIMRNVSPYPMSSCHSLQD GLLQQSPAMRLSGPDH QC762_0039290 MLDKTAPSPSSAGPPSAQNARKLRDSCTECASSKVKCGKEKPTC SRCVRRGAKCTYMASRRTGRTSSNASKIAAENNPNGATIEVNGGTGSARNERTEPSRP VLRVGTPLNTQSTTMTTTTTNTSAAGDQQTRHSTPGPGPELDAIDPELWSSIMSPSGL ITCDPASLSPPLTSIGTDVGSLFDLDFHSPMVIDYPVADQAGDGEGRPVSDLTSRINA SVTDRDVFASHHLTSEFDWSVVQKPQCCFSIAIDILSRLFPPAPAGCKHPPASQVDTP KARTIESVISENKQTIESLTRLLDCDCSTDQYLISLMTLIALKVMGWYAAAADDAASA HPAAFEWPGSQSSSRSRSSVSSGSVASGSLGEQVLRLPTIVGNYCVAGQHQDRMAAQL VLSELHRVQKIVNGLSSRLESIRLRAAQDGGSGASSGSSSVGDVSEQPLGGARAGPLS VPTFTQLEDDLRKRFRVLSSETINVLRRA QC762_207370 MMAASMNGINGKGNYKQTYLITGASRGIGKGLVAAYLLRPDSIV IACVRDVATQSAALESLPKADTSSLVVVKLDCASESDASAAASQLQTNHSITHLDVVI ANAAIATNYGPASTMQLEHLQNHMMVNTYSVLLLFQATRLLLQAAKAGNAKFVLVGAP LSTITGMEEYARVPLSAYCVSKLAANWLVRKFHFENKWLVAFIVDPG QC762_207380 MVAGCSRVRAEMLTTRQRACCKRVVTLAGRRCTLPLRTGSQFAC LPKTALELCCCLQTFSCSTLQLSPHFFLSFPKTFLPSRTEHSSPSDRKSHTITLKSQD EQLTMAPSQLSALQYAAHAFATIFTGFGINAILRPQHALTFFEFAPPASAADAKMVDS LMAVYGARDIFMGVAIYAAALFGTKKSLGWTLVAASGVAVVDGIVCWSHGQGEWNHWG YAPMITAVGAVLLGILDGAPAPKRN QC762_207390 MLGIRLVSLLAFTGSALAELDFSQWKTRQPGELRAPCPAMNSLA NHGFIQRDGKNITVEGLTPVLKEVFHLSHELAFTVSQLGLFTALDPSKGVFTLQDLTD RHNVFEHDASLSREDAKFGGDQSVLHKGQFQKFMDHFKGEKYISFEAAAKARYAMVQD SRKRNPDFTYDVTHRITSYGETIKYLRTIVEPSTGKCPVDWIKILFEQERLPYKEGWR PPTNELSGFSLASEVLELALITPEKLPVDECLGKGKGKGNCKRRRSYLGI QC762_207400 MTIYQSILDATTGAPLPFVGILLVLAFVTVQAITIIRTAFLSPL GKIPGPFHARFTSLRLTYSVFSNNRIHYVHSLHRRYGPIVRISPREIDIAEPTTAREI HKMGSGFTKAPFYALLSPGPVDNIFNFRDPKLHSARRRLYAKGFTLSSLRKEWEPTIR ETVALAVAKIKADAMRGERGAEVMGWFTLMANEIVCRLTFGGGEDTVKNGVKDPFVVM LERRMGDLAHLLKYFVPPVYYLGRMLALVVRPLRDVFYSQERMFAAGDRVVAQARRGE KVVDGGGRRNLFANALAEEEQGGPPGLSDTDIVTDAGALLLAGSDPTAISLTFLLWCV LSRPEVQREVEAEVAGMGSVEITDEVCEGLPVLNAVIEESLRLYGAAPGSMPRSVPKG GATLGGYYVPDDTVVVTQNWSLHRDPVAWENPDVFDHTRWLPGKQLSERAKMSFNPFG YGARQCLGVHLGRIEMRLAAAMFFRECAGAKLAASATPESMVVVDSFIAGVPKSRRLE ITMKG QC762_207410 MWSPSSHHHTPSTAFNTPAMANNASIFLFGDQTFDFVPKLREIL TVQDCPLLAAFLDQAHYVVRAQMIRSLPPQEHKLSRTANLAEMLQKYADGKLNPAFQT ALSCISQLGSFMQTYEEDSAKPYPRANDSYVLGVCTGSLAAAAISCSSSLSELLPIAV QTALIAFRVGLCALEMRDRLETSVPDRARPWSAVVSGLMPQVAQALVKEFCDGNALPK TRYPWITATAAKSTTITGSPRVLDQLLAANSFSGYKTTEIPVFVPSHGDHLFTSKDID FILETTAVEPWSGYSAKIPFLSSASGKLVWVANFRALVELALSQCLLVPIGWDKVETE VPRLLKSRGVSSAVIAPVGSSAQKSLSSALAKGGIANEIGTVTGGTNRFSHRPGSNRC KLAIVSMSGRFPEAQSTDAFWDLLYKGVDTCKEVPRRRWDVRTHVDDTGKARNKGATR WGCWLDFTAEFDPRFFSISPKEAPQMDPAQRMSLMSTYEAMERAGIVPDTTPSTQRNR IGVFHGVTSNDWMETNTAQNIDTHFITGGNRGFIPGRINFCFEFSGPSYSNDTACSSS LAAIHLACNSLWRGDCDTAVAGGTNMIFTPDGHTGLDKGFFLSRTGNCKPFDDAADGY CRAEGVGTVFIKRLEDALADNDPILATILDAKTNHSAMSDSMTRPHVGAQIENMTAVL SSACVGPNELSYIEMHGTGTQVGDAVEMESVLSVFAPDEEARPKNRPLYVGSAKANVG HGEGVSGVTSLVKVLLMMQHNTIVPHCGIKPGSKINRHYPDLGARNVHIALEPKPWPR SNGPRRVLINNFSAAGGNTALLVEDAPERQDLSAPDPRTNHIVTASGHVGKSLKTNLE RLLEHIQRTPNLSISQLSYTTTARRWHHLHRVSITGSTITEVERSLITAIANGDGINR PKTKPNVVFAFTGQGSQYLGMGRQLYEAYPKFRDAVDRFDRLAQSHGFPSFLHIITSA EGDMDTMLPVVVQVGLACLEMALGDLLQSFGLRPSVVVGHSLGEYAALYVAGVLSASD AIYVVGKRAELLQERCQRGTHSMLAARASAASLTETLEGLSCDVACINGPHDTVLSGS IEDITGGQRVLAGEGIKATVLKLPFAFHSAQVQPILEDFEKLAGGVSFSKPAVPVLSP LLGNIINEEGAIGANYLARHCREAVDMVKALETARDKGLINDKTIIVELGPKPLLSGM VKMTLGNNMTTLSTLHQNTDVWPNLTTILSTIYTAGLDVNWFAYHAPFDSAKKVIPLP DYGWDLKEYYIPYEGDWCLHRHEIKCDCADAGKEVHTSAYQVPTESPLRKPSKLDATK EAYPEIKTTTTVHRVVEEKTEPLGATFIVETDMSRADVNPIAQGHLVDGIPLATPSFY ADIALQVGRYSMARLRAGHSGALDGLVDVCDLVVDKALIPHGQGPQLLRTTLTMSWPP KAAATTRKATVKFATYLPDGKLDTEHATCTVRFTTDAQHKSLQKKVPDYKAKIHQLHD GMAKGRCLRYTRKSGYKLMSSMATFHPDYKLLDNLILKEAENEATCKMNFSDAKSEGD FAAHPAYVDAITQVAGFAMNASDETDIAKEVYVNHGWGSLQIYEPLVKGKEYEVYTKF SRDKGGDLAHGDVVVLHGDKVVAFFGNLSLRNVPRKALHVVLKSALDKGIRQRGGNPT APAKSKPSFVDVKPTPAPMTTKQATQSAPASDPVKKRTAPKPKAKTCGTPNDEKFHAV LRVVSEESGVALEELTDESNFVDMGIDSLSSMVIGSRMREDLGMDMASEFSLFIDCPT VGALRNYLGASSTAAEVEDDEDDEYHDTEPVASQQTPAPYLAHVTTRASDVLATPTTE SGAAFDSSQFPAALKIISEESGVAIEELTTETIFSDIGIDSLSSMVISSRFKEELGLG LDSAFSLFDDVPTVAKLSAFLNNNNNNNSSSNLAPEEVASISSTTSDLDHSSSIHESS SSSASEPEDDDNDTPPSIKRVPHCRPCTSVILQSLPRVAKRTLFLLPDGGGSASSYVP LPRLKSDVAVVGLNCPYARDPENMNCTHTAMIESFCAEIRRRQPHGPYHLGGWSSGGA FAYVVAEALVNSGEEVGSIIIIDAPVPQVMEKLPDSFYKHCNSVGLFANQPGGQGGSA EPPGYLIPHFQAVVDVMLDYKVAPLKAISGRMPKVGLIWAAETVLKESEAPKMKGMHF MVKKRVDFGPDGWDGVLPGAEFDIVKAEGANHFTLMSKEYVGLISDLIDRVMSGYTG QC762_207420 MSTYALLGATGATGTSVLRHLLQNPPQDLIQLNILVRSKPKLLQ TFPTLLSSRPPPPFKIHIFEGTSTSPHSLNPCLTSATTILNCVGTNASNPGTTLHSTT ASAIISSLTTISLTNKSHYQPPTILQLRTASLNPALSSQVPKLVHSIVSFCLHNSYSD LEQACNLYIPASRNGLLNYILIDPPTLHESHPTGYSLITRADEKQDVALSYADLGAAM CELATTRERLHGKAVGVTARGNVREKWMPLMGFLVKGAMGRVGERLREGVTFLYGPMA PFFAIVCDSRDDDDDDDDECFGGAGSGMLVLEWLAEEWGRV QC762_207430 MFTIRIVFYRYLGVNQYTHPFPGLDPYYLRTSLAAQSCHTEYHG ITFHHALGTVPLHTVNMESIFNQIKELYAKAEDAGKREIQGYIRELQVGFYSDWDVVM RLTSGPLQLALAKIGIDLDIFTTLTQSDIPVTLSQLTEKTGASAQLLARLLRTMAAFG LVKETGKYEYTASAFTKVFSNPNAAGAICQLFDIPGPCTQAMPDFLAETKYQNITSNK QTVFQKAFNTDLTLFEWMPQHPKHMKSLGHLMALERPVHWVDKYPVEERLGSLATKPD ETVLVDIGGGFGQQAIAFKAKFPNLPGRVIVQDIPQTLAGARPVPGIEFVEHDFFGPQ TVKGAKLYYLRHVLHDWPDKESLQILKNIIPAMGPDSCLIIDDVVLPEMGVPWQSAYM DLIMMNSLGGVERTKPEWEALLSEAGLKIVEIHQYDSKMQSIIVTVPK QC762_207440 MPSYAVLGATGNTGKALMQILLQSPVNRVNAYCRSKEKLFRVCP EAASNKQVKVFEGHLDDISLIADCIRGTRAVFLAVAIVDNMPGCTVARDTASVVISAL DRIRHEADQHNSHPRLPKLIQLSSASLEESFCGDVPAFVHSILSTAVSYLYKDLAEAE KFLRAQQGWVTSVFVKPGGLVHDRQSGHEISTETAKTPLSFLDLAAGMVEIAASEEGK YGMRNVSVLPTSDHVKFPWDGIYFALTGLLYHYMPWTYRYLGEYPLP QC762_207450 MAFPRERCIPKEISPYNIQLSAKDTPGNTHVKVLTQESADKVSE LLMVNHVRYHTLFDAVGFHNHTVHHLLTLWALGATPSEVQAMYDLNKPYQALIQYHPA SVAAVKLKEPAFFKQCIGNLNYYQDYVRFFQDEIAVRGVPAVVNEYLFKGDELSDDIF ARMHSGFLHPMIHLGCGLEFSQPCLVAEALAAGCVHDEWPEWFIFPVEEYFKSNPDVP SKSLLEIVTSLQNDPVIANAVTPDDPLNKISDGLLKKVAKELVPYLASYQVDATPEDL QQKTTAMIHTCAYILGAAQHPGKVEAIDFVMLHMSTLGIFYPTFMAQDWISNENKKRM LQWKAWGDAVMYAGCGCPKLYPERVTGYTPKRPHDGWPELCYRANVYGDDGHISKVIR AMLNTQELPEPVEGFPLAKKDFFKIAHLALDSVERMLEPGQYKVPDKIKKTVAEEMRQ DEEIVRVMVRWVRWCGVEGSWDNFPDLVEKSRGEEAVVGDARIAAT QC762_207455 MLVTTLLSAMSTLSRAAPTTTTVDSTELGQGPYHYDFGWTKPFI SSCSNKTVDVKFRWSIKGLQYTTSSTFMSPTEEQEQKPSIESTYFSFNLTNPAIGGLA RCNTTMSGPIPNATHVFWCDKWLSVDAGTVGLYGSRGPRLHLRFHEKTLLLSDGWKCD EPGQRSNGDIQYSARGLVELEYSQCTGASSVTGDWKPGQIYSQKTIGCRADQIDLKPN YLLGVYAADPFFLVIGEW QC762_207460 MASIQFQEEEIDDLIYLARVGDKDELSTILSGIIARQTTDRIPT PADVLAQAVDESSKNTTLHMASANGHVEIVEYILSRFPTADKDQKQAFLDATNEFGNT ALHWAALNGHLPIVKLLVENGASVALANDKNYIPLDLASFSEKIDVVDYFLKEVREME AENAKEGLGEAVADVKVEDGEENESSSKGKEKESS QC762_207470 MSNQDQQPADALINTTDGLAYWESIAPDVNGMLGGFPHISKVDI QGSKNFLAKLGVGAKKRKVERALEGGAGIGRVTTGLLLDGIAQQVDVIEPIQKFTDEL KGKTGVGKVWNMGLEQWKMQDGGEKYDLIWIQWCVGHLTDHQLVSFLERCKAALDVEK GGFIVVKENNSTSGKDDFDEVDSSVTREDATFRRIFKEAKLKLVQVELQKGFQSSGLL PVRMYALKPE QC762_207480 MDEISTNSTEGYSQGTGTHSQDSSGASSVNYDAVNVVAVAGNGA LDRIESLLEAIVDAVTTGNEILIPYRTVRASRPGRSQGPPAARQAGVVRFPGRTIQEA KKFEALLCIIELSHEALLSGMLITKRNIYYQSPDLFGSQAAVDVMVDNLAFTLGVGRG DLNIVATAKGLISGPIMLISRDGSVIDCGLSHSTGILLPSTSEIRSIGFHEVQWILVI EKEATFRTLAAAQYAKNSRAGHGILITAKGFPDLATRRFLSVVHSMRPSLVIFGLADF DPDGISIVRTYQNGSRRLEHENEATVPSLCWLGIKSRDLLSSWQSPAVDDEEDQELYG TTGGESPQLVENSDHQRPAKRPRLSDFRNPSDKVSSLTLRDRKKAGDILRAISSVELP SGGGLEHAQELQRMLMLNIKAEIQAVDSYGDLSTWLDDNLYARMHAD QC762_207490 MGKKDAAGVSSETQPLLEKSSTKKRLSFDLTDHVAKRQRIEERT DHTRWRMRDDKGRQSWVYLEDDQALKDWPQSFADKYFLGLPVNAPDLPKPTNPLDAVR NGLEFFEKLQLPSGHWGCEYGGPMFLLPCIVIAWYVTKTPIPWYYATEIKNYLFARAN PEDGGWGLHIEGETSVFGTSLNYTVLRIVGVDADHPVMVKARATLHKMGGATHAPHWA KWWLAVMGVAQWDIVNPTPPELWLLPDWVPIAPWRWWIHIRQVFLPMSYLWSKRWQAE ETELIRSLRQELFVEDWAKINWASHRNTINPRDNYHPKTWVLNTVNWCLVNIWNPVLR TQAIAKKGEEWAMKLIEMENENTDHADLATVSGPMNTVCLYVKEGPDSYAVRRHRERA HEFLWMKDEGLLANGTNGVQCWDTAFAIQAVMDAGLTEDPRWRPMLMKALEFLDDQQI RENVKDQDKCYRQQRKGGWAFSNKDQGYAVSDCVSEALKSVIILQKTPGFPTLIDDRR IFDAIDTLLTYQNPSGGCSSYEPPRAGTWMEVLNAAEVFGNIMVEYEYPECTTAVVTA LSLFRKHWPEYRTKEIERFIERAVKWIKTAQKPHGGWYGSWGICFTYATMFALESLAS IGETYKNSDYAKRGCDFLISKQREDGGWSEHYRACETGEYIEHPSGSQVVMTAWAVIA LMKADYPNLEHIKKGIKLIMDRQQSNGEWLQEAIEGVFNKSCMISYPNYKFTFTLKAL GMFARKYPNETVV QC762_207500 MAATSYAYRGLEAVEKKDWAAAVPLLDKALEGSNSPLWLLARSH AHLELKNYEDALRDAELAYHVAAERGSGRKQMIDAQYRRATIYHRLKQYADSDCCAKW SMLLAEGRPAREDDGVEKNVDADGYYTVTYEDAVADNANQPDYSMRESLKDPGVKDPN AKTIKTGFESQWKRAYTWRTVVLGILKRLPKNDPGRKVHVSKIPPKPELKKVEKKAEP LVVELDSEDEKPVAKPSEPAPGSVPDEKLKLRADFYQSNQNVTVSLFVKGTKKEELNV KFSKNQVQISPIARAAAPYVKPGDREASSTLHLSGEINPAGSRWTATPSKIELVLQKA TPGKWGSWGKEEIGIVENADQEEDIEEVTPSSSNQASAPAVKPAAAPAYPTSSRTGPK NWDKLEELEGVEDTESDVNAFFKKLYKDASPEQQRAMMKSFTESNGTALSTDWSDVKG RKVETVPPEGVEVKKWDS QC762_207510 MPAHNDQMPMIVQGAALVSFVWTCIIVSVQGIGICKILRNNSAP HPKPFSPTLEKDEVPHITVIRPVKGAEAGLYECLASTFQLAYPKSKLTIYLCVDSTRD PAYPVLQKVVAAYPDFDAKVFVEEQDPVLHGDGGHIDKLGPNPKIRNISRAYREAKGD IIWIADCNVWLGANSAGRMVDKLDGFLPDGTRTKPYKFVHQLPLVIDLETPKTATEEE QALLSGPINTSAPKSLLDYGGRLEEMFMATTHAKFYSAINTVGIAPCVVGKSNMFRKS HLERLTDPARNPLIPPADAARGRGVDYFSSYICEDHLIGDLIFKSKIPGFKNHGLVHG EVAIQPMSGMTVAAYIARRVRWLRVRKWTVLTATLVEPGVESLIGCLHMAFAFTTLPW FRSFFGIPPTWKAFGTIWISAVTVWMVVDRLLSAKLHKLQSVDVDENTPAFALGSTRT GGIKKKPFLTWFAAWLGREFLAFPIWTWAVLLGATVNWRGQTFRVRPDMSVTRLDDVE GTSLRPSTPAAAEGANSCRSTSKDRVD QC762_207520 MGHNLFVPRKISPATAPPGESSKTVTTRAPAGSPRTPLNQIYAL PAPIRTFPLPAFYPNNPISLFHLVYAWLRQVLSPPSKEPSVIHIGVWDPDTCSVHVPD PASIRALWEQGFFGKGSLSRSEPNWLKRELARRGSPEGKTVSEVRTESRREERRLAKW ERAKAELEAIERQRLAEAALQTPSPVTTEPRPETKSAPEEEAVSAKLPPLKLPKPVVK SFSVPKLKNPVPAGLFSPPLENGVSNGHVAHGKPPVGPAEILALPNSLAKINGTLNNM MLTELRPPTGPAELLALPNSAADLVTKSGLASTVNVSGEQVNGVSPIDGLSGHTGKAS AFAHTVGDTQETHKPIFPEDLLPTPCCTPTKRSATGSSVQDKEEPSQPVAKRQKSVRF SPQVESTTFNRGDPPSPGLVSPAEPAAKSKSFAVPATALEIQDKEHFQLAPEEAFFLA FSLGTLKVIDPKTKEPISNERLLSLFRSYSYFPPSAGLRPDDPFLVHYAVYHHFRSLG WVPRHGIKFGVDWILYQRGPVFDHSEFGLMVMPAFSDARWEEYEHQKPKKSWSWLMGV NRVLSHVLKSLVLVYVDVPPPPVFDEAMKEGGIAAAFKKYKIREVMVRRFSVNRNR QC762_207550 MDFATCTDDASFGPSVQGCRGDFDFTLKFEKIFLAIIPAAIFIA LSLPRIVQLHRKPGIVGGVVLRYLKLISIAIYGIFVFCQLVLSIAKSRKLGIYFIPAD ALALASSICMLLLSSLEHTKSPRPSIFLNAYLFIATLLDISQTRTIWLASSNRDELNY ASIFTVGVAIRALLIVLESQSKSRWILQWEKGAHSPEETAGLYGLGAYFWLNKLFLRG YRGVLTIDDLFPLDHNIASAKLYAEAGHNLEATKLGKRQHGLAKAVMKALAVSFLLPV GPRIAFGAFQLCQPFLIETLLGYLEQPVEMSPKNIGYGLIGAGLLIYVGMAVSSAFYW YFQERAMYMTRSLLAAAVYRKTTEARISAADDSAALTLMSADIERVLVGCMEMHEFWA NMVEVVFACWLLSRKIGVAFTAPVIIVGVCVVCSFFLAKLTGPRQKAWMERIQKRVGL TTNMITQMKHLKISGLSAPVEESIQAMRVDELGAGARFRIVQVYAAAVGYTPLCLSPV VTFAFASRTLDVTTIFTSISYILLLANPLGSLFQHIPSFLAALTCLNRIQAFLAQDSR TDFRESEILMDRSASSPTSKLGSESVEVHADPGLEITYGKFGWDNDKTALHDIDIRIP HSSLTIVIGPVASGKSTLCKALLGEVPVFGGKLHVASRFRKIGYCDQTPYLSNATIRE NIIGFDAFNQERFDEVVEATVLRQDLELLPQGDETNVGSNGISLSGGQKQRVSMARAL YTDSKLLVFDDILSGLDADTEEQVFRRVFSPDGLLRRRGATVVLCTHSIRHLPSADHI VALGSDGRIVEQGTFQELMKNDMYVNSLGVQECDAASSKEDLAVQEKPGLSTTLKMTP NKVTAFSPEADKTRATGDFTIYRYYLARLNLFGVIVLIMAGFGWGFCINFSTIWLKFW SEDVASPQPQRSNAFYNGLYALFQLGALMSLFLSALVVFTSMITISGARLHLETLRTV INAPLKFFTTTDTGIVTNLFSQDMTLVDGQLPMALVNLILYIFNCLGMAAVVATASPY LAVAYPFLAVVLYGIQKFYLRTSRQIRLLDLEAKSPLSHFIDTIKGLATYRAFGWVPE SVKKNDILIDTSQRPAYLLAMIQRWLAFTLQIVVAVLAVAVITLSTQMRTNTAFTGAS LVTLMIFGEAFSYIIRFYTMLETSIGAVSRLKAFGETVRSENREGEDLLPGEEWPAKG EIKMTGVSASYEYVFCAPPPLTLFSMSSLDSCCYSGDEDGKPGVEGQNFALKDLNIDI LPGQKVAICGRSGSGKSSLLLLLLRLLDPISSPASTIEIDSLPLTKTHRSTLRQRIIA VPQDPVFLPDGTSFQANLDPFGLASEEECRSVLGIVSLWQSFVSERGGLQAPMSSDTL SQGQKQLFSLARAILRGRIRARGKGKQNEGGVLLLDEVSSSVDKDTDEAMQRMILDEF AGYTIVMVSHRLGMVMNFDRVVVMDEGRIVEDGKPRELVDQEGSRFRDLWMVGRRKRN QC762_207555 MVPTITRLAGFLAFFGAVHSAPASLDQATVSIGLEGREIVPVQW NLPININDPNGKKVAVTGTIEEAVARMEAHFPGWNQSFVAQLPAVQLPSRFGLRADDD PELGNVVSTDCNIPGEAQSEYRIGQGVSYLRGLSGKASNNPGKCGRVSCSYHTAIYWC NEDIVDKEVEWNAIADGAYDVCRNCEKQDDKGVYHAKGTVTFKEKFSVTVKEDWENC QC762_207560 MATQQPSPPQQPQNGIENGAGTPTATYKRASRKGAPRRFSCPYP GCDKLYSRAEHLQRHQLNHQPKEIFRCDVPGCEQKFVRADLLARHRKRHSSSYVPRNR MPSFSAVKDESSAASGSAVLSPTPGAESRPSVPNAPHDAAILLAPEPRPRQPPTLPNP PPPRLSTHQPGWHPQMPEMECNIIRPKPGYYPREERPPQPQNPVSYRGVDVEFPHDDI SHENFAVWLFDPHAPYGDFSVSHLPFMDGGLESTLNNNIHYDYESLTSGGRSQLETPP RFENDELLSEFRRQEVLRWFHSFRQKQPKAEPLIASLAQQNSSGDMPALSVEMMRDCL QEYWDHVSPRLPIVHQPTFLSNRCSIFLLMVMISLGAISLRGRDTNGNLPDYGGFADV MITGVRWEIVTAEEASPPVALWVAQALLLLEFYEKMYSSRKLHERAHIYHSVALTLLR RGSPLIGRSGSESPPEVTSADHPHGVSLDSHTWWCRWAETEAMHRVVFAAFMMDIIHA AMFGHAAQMAPHEIRLPLPCDDNLWTASNPDTVRQLDQNLRMYGVKTISFLDGLKRAL HGKEVKTHSFGRMIIMCGLLSVGWHLSHRETHLKWLDFTNPPSETQDGWKKILLKAYD DWKYSFDVAQGTTGSPGVATPVSQPSGANGPIHSAAVLYHLAQLSLHVDIVDCQVYAG ARRLLGRKVSVRDYTNVVARMKHWATLPTTRHAVLHSFKLLHRVLVDPRRSSGSISSS DRDRIGLGGVHLPPIEVQSYSCRSEPDPHRPWVMYYAALCIWSFVRAISKHDSVHDMS SHPTSPFRPPKVLPVNYRRVSAYLSNVANMNELTEATAGMLVDGLSDLLEALHSIFAE AYSELLHEAHDRLKICKEMLASVGASGP QC762_207562 MPKPNPNRVTKPTKSTNPPLPPTPRRPTPLLSHLTPEQHSATIT SRLSGAPATGVIPQGYKHPISDLRYDLPEHTWDSLLLKFYRQTQDSYIMEEEIKAESA RRNIMGEPPCQKTLEGFVPSTYDPEYDMPDYSFGAILERYKRQKEESFVTEEEIKEEQ RGRSERAGRWRMARREEEKEVEAMGEEQTPPASRDGSLS QC762_207565 MQFSKIFLPLAVATTGLAAPAQVDTAPVLVDRQVTLPPVDVNTC INSLVGIPLSQALCLLGAIPTLNLSNIAACLGGVTNIAPLCGCVESLPALGPVLGSFC QC762_207570 MTSQKDTTHHRPPIPQATRLRSLQPSTTIQNWQLINAHTCILSL LRIKSTLVTTTTTTTTKITTHHPINFYPQARTMSSSHSKTQLKGGCTCKTVQYTLTLN SVEDARTTLCHCKSCKRAFGTNYGLTAKVALDAFSYNDGTETLKKYTQQNGVTREFCG ECGVFICEYGKEAADKFRYVMWGSLDEPENIPPKGEFFCKERASWMPEINGVFHKREI KE QC762_207580 MPRQRALGPRSPSSCSLFALLCTARPGLGIYDTFAQLVAVYLPY FNFFKVDSASCGLFPSYGPLVSHTSHTMASLVETLTASGGPESAGFLNDLVGHLWPNI CVAGGAMIKQIAEPMFAQMLPAPLNTLHFAKIDLGVQPMTFSNVDVHKVDNGGIKLDL DVNWDGSCDIEMDGKMIPKVGVEHVKLSGRLSILLCPITNVIPLIGAAQVSFINPPYL KFNYTDGANIANLGFIDSCVRKVVQSIIAGMAVLPNRFLVKLDPFNDYFKTYQLPVGV VRLTIESGSNFGEELKSKNIFKKLVHDVPDCYVTTSLSGETPGWKTATVKDNHHPEWN ETRDFIVSDHDQLLALDVKDSDTASDDDIGLATITVKNLLLAHGQKQDLTLMHKGEET AGKLTVSGKYYQFIPDATSIIGEENPAEIKGLLAVLVAAVKNLKGAREQLKPSVAITW GAHKFQTVVKCDVPGGAEDIQNPHFDNTFRIPLSGSIEGAAPVRIALMDGKNEIGAVE VPLEEVLASENLALLKEFDVGNGQIVKAGVVIRGTKLVE QC762_207590 MASQRAELQYWPTLKTWIQENRLGNYPGATTTPVVHCVICLDKD EILTPATPPQNIANAHPGVTLFCGHMMCKACYGRWEKHLTEAGKAVTCPTCRHKLVYT TSQVASNGCTHPAYAWDLPVETYSPINIPPTKDDEGSIPNFCHNCRVDRMNYIARHIT ELRQEGYDDFQVRNILLHSAGGALELTDFEQRAAFPPNANGTYFAPWVAPPRNNEQNY LLDQLRQQLVREGHSWRGRVPQPPPVGFFGLQ QC762_207600 MRAPDSTTTLWFILLSTFAVAQDTTATPRLTGLPTLAPSDRCAA YFQNELQASYPTQPAAIAEIVSSNSLDHDHVFVTGDPKLQPTNAHSDRRVVCSGVVAF PTKIAGAIPQRSTSILQEFAIWQKDVSSWVDANKESVKAFATSCSGEGETGVAGNALM MVATDVDACLTAAAVGLGPTDGSNGVAGPAATTSTAGGPRETGAAAVGALMGIGVGLM GML QC762_207605 MFSLFGLAFIFIVGTGVGAVIEKEYGYWNLTIVGGASATGWRYQ DTTSVFFGRGDEEGVGRGEVRCHWVYNPETRGETMECTEGGFGYQWGDEGRTDITVQQ TLENIDGEVGSITLGGTANVTLRYGTSANGRGFEGRVIVPARRCCWGRMNG QC762_0039590 MEAAYPGWNETFQAGIPTDPMPTGDDTSFVSAGTADEQPESINC KVDYDGARTSKIWDGICYLRRLNTDPPKNGPGPGNCGRVNCSWKSAIYWCNDNLEEKE LTWKQIGDGANSVLYGGCITGRSTYQRVKGQAFFKDNWNVLVRGDDC QC762_0039600 MWSSTIFAATTLATFLKGVSSAPSPSTDKAPNGIAGYNIVDVSW DLPVKLERPHQTRSSP QC762_207614 MAQFDSLQAKLERRIEVKADDIRGLQDGVCLCVFTESFCEQSYY ILSATNLLEASKSTSMNRHIIVFTVVTMFYLPLSYVTAVYSMSVIQDEDLSHLKWPHV GSMVIVACLTYMISVAVVFFVDRKKIIPLGPSTSPRRYQRQAVVLECL QC762_207620 MKGGIVGVLCSALLLAVEAACAPNSDAITKVPGFKPNKWVARTW EGNNYACKCHPGDYCWPKQNHWNNLNRTVGGNLRVNLPPGAPCYNTFQGPLGTVNTYD AAKCAAVTAGFPNEQFQIELPTAGLWTYFTNDTCRPTADPTGSCTLGYYPVLYITAKT VAHIQAGINFARNNNLRLVIRNTGHDFLGRSVGWGSLVINTHSFKSISTTNNWNGPGY NGPAITVGAGVQAFEALARLNSLSPPRIMVTGECATVGVAGGLVQGGGHGPLTNFYGF LADTAVQFKVITADGKIQTANANTNSDLFWALKGGGPAAFAVITEATYKTFADTPSAG INLDINPATNSDPALYWEAVRKFHSYSTHFVDNGLYVYYEIGPVPNTLHVHPIVGVNK TLAQLQAVVQPLFDDLDALGVGYTTSSETYGTFYDLYNAMFEIEMAGNSALTGGWTIG RQDVINNHTAIIDAFQTVMNAGSFIVGHMWSAGHGLPQSEWAKSAINPRFRNVVDKLI TIVPVSGDAPLAAKAEAQNRLTNIVDGSLRAAAPNGASYINEADPFQPDWQNAFWGTN YQRLLQIRRKYDPEGVFYAVSTPGTERWEQIETGTRLCVKL QC762_207630 MVSLTTKQSLAVLATLPKCAMDCMMGAPSTGQGSTDVDLCAPQP LETTNWVMGCMKSNCSTPEALFTQNATLRACGVEPRMERQWLPVMTTFMILAFIAVVL RVANRLYTTKTYWWDDIFLSLSMAGSFAYASIHYEAIGHGFGREFWSLSPDEINYIIA GVYASMLVFHAARMLLRHSQILFFLRIFVVGNSKPMIKGTMIANAVLSTAIGLIMALQ CMPVNFFWMRWDSTQEGKCLNNLQTLWITSVFTMLLDAWTLILPLPYVAKLQLSVRKR IGISIMLATGLSILIFSILKFWSGAITVEEPNPMYTFAQVSMWASLEINVGIICACLP GIRLLFSNLFRQTGWFMTSSIGRTEHISLSGSPDRSGKKSCPESQIRITTTIQTKATH ALTDSESHLPLHAASLGAAIHPARQELGVVANAWA QC762_0039660 MHGSDLENRPESNERIPRWSLGVLNPKDTVDVPGTVLLLVGLSS QPKPDTHSDDLNPPSPPEEPNQTSSPPRDDDPPNTTPTGILLAPQPLPHDDLSWPPWR RNLALLTIGLYSLLGGGTTPLLAAGFTNISQIFSIPLHRISLTTGLVMLGLGIGCLLA SPTAILYGKRLVYLAGSLIFLLTCVWCALSPTFESLLLARFVQRGDNQSYGGAADRDD NGVVLFA QC762_207660 MFFPTARVSQRALPRLLNITLRQTAQQNILLSSFATHIGRTRVL FSTFSPLRTASATATVEMASIQIPTVAAGSERVPFSQLKGRIAPQLLQNIEKMGLTHM SPVQEKVLQMSSLKNDCLVQAKTGTGKTIAFLLPALQNIMTAPDLQREFVAILVLAPT RELAQQIADECDKLTGKSFECHIAVGGTSKNSLLRRFLNGKPTILVATPGRLIDYLSE EETRHKLTKLRCVVLDEADRMLDQGFAPSIKRILQQIPKKQTAGWQGMCFSATVPDEI QQFLPLVLDKKHDRISTIDPNETPTVDRIPQSAIPIPSIADALPVLHSYLMTQKKTNP ELKAVIFCGTARHAALLYHIFGPTGGAAPKGLSCFQMHSRLSQPARTRTIEEFKNAES GLMFASDVIGRGMDFPDIDLVIQMGFPPEKAQYVHRVGRTGRAGKSGEATMILTPQEM RFVRANKDFPIKVTEPFNHPDLPKSVTKIEEALAKVPELTKFQAYTAFLGFNITVARQ LGLQPPEIVGLANEFAYTMGYEEIPEVEAKMVGKMGLKGVPGLRIMGKGGVSRAAPVP SGRTGGGRAQGGPGRMQRGKVADPRPQGASGGNNGSRADGNRRGPRESTGANAEEPSR KRPRRGAQA QC762_207670 MTAANDMSEAKEPVTFATTVEGEKIKLSDTNSSEQLPASLKETG REFNVTESDLLEAKHLAATFTLDKTIAMMKKVHKQHASDPNFPIEIINHIDEFLGHEA DLVANPQKYEHLIEEMKIEAALMTNNSPYTEVRAVVDNHDDPSLPVSTIRAWGIGVLF AICISSINSFFDIRLPAVSISGTVVQLLAYPFGTFLARVLPDKGITLFGVRHSLNPGP FNKKEHMLITIMASVAKSVPYTNYIAWIQVLPQYFGQEWARSIAYQLLIGLSTNFIGY GLAGICRRFLVYPAFCVWPTSLVTIALNSAFHDKELEKTTIEGPFKTRWTVSRMKYFC WLCGAMFAYFWLPNYLCGALTYFSWMTWISPQNVHLASITGGQTGLGLNPLPSLDWNV FALDPLMVPFFSTFNYFFGAFLSMFVIIGLYYTNTYYTAYLPINSNRPFDHFGHIYKV RSIVDDNGLFDAKKYEAYSPPYLAASNVVVYTFFFALYAATVTYAALYHRLEIKLGLN ELWQRAKFTMRRLRSKNARGEESTEEDVDLLDVHNRLMRAYPEVPQWWYMTCLAFAIA VGMVGVSLWPTNTTPFVVLYGIALCLVFVVPIGIIAGMTGVEVTLNVIAEFIGGVWME GNAIGMCFFKSYGYVTCAHAIAFSSDLKLAHYVKIPPRFTFCAQMVPTLVSTLISVAI MQYQTRIESVCTPDAPFRFLCPGVNTFFTAAVFWGTVGPRKIWGVGGQYSMTLLGFPF GFLAVMLFWYLNKKWPKSVILRNVHPVVMMSGALNWAPLNLAYMWPAVPVGAFSWLFV KKRYLAFWSKYNYVTSAAFGCGIAISGVVTFFAVQLWGFQVNWWGNDVLNTGCDAEGT CTLLNLTDGEYFGPRLGEFS QC762_207680 MAAKELPRHILDDFGDPVPGDSKKWRDYPYANLQLREATQGVYN EQSDHIFANNGNEDAAVINAMFDAYRTVFDPDLDEIPAENRDLYHDVDELFTADILKK CHDGLVLGRRGEYLHGEHLLLHLAQRDRNARLGPGTGKPTSRKPAAWYICPNEASVMV PSPVDHSEAQAQQAIDEAQPYLSRLIDADLRFQYENVVERFKQAKFTAHFANRNKAHW MAFILHKPIDATKNWTAFFFDSSPLPLNLQEPGQNYAKKAFVKWLEINCPQDTQRVKN VSYDGEKPKSPGPALIFLDRDISTQSDNWSCSLQCILNILAFIRYGCWGWDMIPHLRG KSNSEMVQTMMKILHNIMALKVNKNNLADYNTENTKGKTYRQTPVLLNRVDPEDEECN QRAEKEKRKKEDEQKRGEQAERDKDEQKKKEWDDMTRIYDEAQSTLRTETDERKRAPA NQVVAEWPNKLKAWGAKWNETTVTSNSNENEEVKRKEAKRKEAARKEAEKQATEKQAT EKQATEKQATEKQAAERQAAENANRESWTSGNPDSSDDNSDGPGGGGGGRNGGGGRRD APKRKRREHKYQGPNNTDHLNLGGDRIIKQLRDARQPVLVVDKDVPATAPGSDGIYRL FDRLLDLPVVAMFPTEIHSKFPPHTYPGNERMTKDTFNAFRHYEQALRDIRNGQAIQK EGIVPLALRQLASLQAHVRTGLQSYSRGLWFVLPTPYVLVVPEPGKAAPPLVRLGESR DRNDGFYYSRAEHEARFKKAESTIHFVYWEKTKHWAVMFRHPVLGHALYDSDVTFQGD RLSTRLEEADEAMKAWLGHNEIAFGKSLRSNLVKTRPSASESHWDSGLFALLNVVSRV HYRCYGWQGLPLQVYPEHTSIALRRRQIRALHNLVGLQMSEGIDTTRNYKSPTQPRFD FVAHPHYPTQRWDGRNERDNAIALLRPPTASTKKPDRPQYKDRGQNPRHLKRKKTTDT AATLVREIGKGEGHGPDGNQPSPETARSGAEKVDDVTMSQFDGSKDNMTTLPESELEN AKLRAENVRLLQMIAADEEEIARLDVINDAFKKEVVVLQAALEAENINVLDAGWATDR ENEILKKTREKAVESVTPFELWRDREFGRPDNRKAKKKGEEYILLFEQGFGDVLDKLL ALDDDKLKASLRRFRAEVTKAEQPNAADEDVFGAQPMAKPESKPQKPPPGSYYATDRA TAATSTASRPTTAITSRTIPGPNMRPGLPTIEESSSSPADESPTTAAQLRMQGRRSSS AGGGAKRAEILDFEEWLLRGGAVLKGGARLRGGRPLGQVMDADYELNWRARRLKSLRT FYGEMEAKDTEKGDDEGAAWARDRKEDCADMEMCWIGWKHKSDALLEGERQASLFRST PIGVGERPDWFPDEVDEDLDLDLLDMETKGSLKAYVSEYHEKFKGLFRVSGDKAWRGS VNDTDSLLGKTAAGRRLGSRTPPHGGGPHATATASLGGGRQTTVTPSQGGRQQATVTP QGPQVGGRVTGGRQPTVTPPGSSVGGRITAGRRSTVTPQGRSVGGRIVVRQPTVTPQR PSVSRRIAGRQPTATPPGPTQRPPVGTATTKAPTPSHPFAGFTPMAPRVKPAVPGQSS STEVSEEEEGNKIDTTQQSVGSTTPSMPPQPGSLRHLSFQPHPRIGGMVQGGRRSGLT PDRTVHFATGVTVMEYDDDPSTPVYERFSDEEEEEEEEEEDAPAPQQIAGRKRSIDET GEVVDQGGCHGRADHCAKPVVIAIKSYK QC762_0039700 MASSDTVPALDRQHYENNAPAQHIGPSDNSIYISRARWIYTLRL RRCRSDSLTTKFPGQKDKQCLTYLQATDPRLYKRNIELRKGGLLRESYYWVLSHVDFQ RWRDDRDGQLLWVRGDPGKGKTMLLCGIIDELEKATARTDNIAFFFCQATDDRISNAT AVLRGLIYMLVTKQQPELISHVRESYVGLGKERFQGPTSWVALLEIFTNILEDPKLRG TYLIIDALDECTGDWDLLLDLIASKSSAYPKVKWLVSSRNWPGIEENLNTATQKVSLR LELNEESVSAAVTIYIQSEINKLAKRKKYNNDTRDAVKRYLDTNAHGTFLWVALVCQE LAKISRWEAVEILTTFPPGLDAIYEQMRDQINKSRNAKLLQRILAVISVVYRPITLNE LPALVDMPDCSSGNVEDLTEIVGLCGSFLTLRQHTISFVHQSAKDFLLSNGTHQDSRD VVNWVFPQGKDDVHDSVFSRSLSAMSTILHRDIYGLKLPGFPINGIQTPCPDPLATVR YSCVFWVDHLRESISDKDTPQRNTLVAVQTFLEQKYLYWLEALSLLRAMSEGVIAIRK LEGLLGRTHQRQLTTFIRDAHRFALSYRWIIEQAPLQAYTSALVFAPLGSLMKKRFKT EEPSWISAKPVVEADWNACLQTLEGHSYEVTSVAFSADGQRLASGAGDGTVKVWDPAS GQCLQTLQGHSYEVTSVAFSADGQRLASGSHDRTVKVWDPASGQCLQTLQGHSGPVTS VAFSADGQRLTSGSWDRTVKVWDPASGQCLQTLQSHSDEVTSVAFSAYNLRAHGYRLG PDKTWVICNGQNVLWLPPEYRPICSVIQGRMVAFGCSSGRVFTIGFSRDI QC762_0039710 MRLLERDDAGEIRPTKDLPSDKIPPYAILSHTWGPDEEEVSYKD LKDGRAVSKLGYNKIRFCADRAWRDGLKFFWVDTCCIDKSNSTELQEAINSMFRWYRD AAKCYVYLTDVSAYKRDADGDPSWKWAFQKCKWFTRGWTLQELIAPTSVEFFSREKAR IGDRNSLERMIHDVTGIPLEALRGSPLSDFSVHDRMAWMKQRNTTREEDMAYSLFGIF DVHLPLIYGEGKEKALERLREKIGKDDGCLADLRVTDSRHDKKRIEAAKGGLLKDSYC WVLSNVQFQQWRDGDDQRLLWIKGDPGKGKTMLLCGIIDELKKSTPPGLVSFFFCQAT DSRINNATAVLRGLIYLLVSQQPALISHVRRPYDHAGKKMFEDPNVWVVVCEIFTSIL QDPGLRMTYLIIDALDECVTDLPQLLELITRTSCTSSPIKWIVSSRNWPDIEEQLETA TQKARLSLELNAESISAAVNAFIQNRIDQLALKTKYDANMIGKIQDYLHSHANGTFLW VALVCQALADPKVKKRHILAKLQTFPRGLDSLYARMLEQIGHSEDAELCKQILAVAAA VRRPISLDELASLIEMPDDVSDDPESLEEIVKLCGSFLIIREQTVYFVHQSAKDFLLG TASDKASNKASQEAFKLVFPTGIEDVSYIIFWRSLNVMSQKLRRDIYCLNAPGFSIDN VRVPDPDPLATVRYSCIYWIDHLRDLVSSTSSKWVHLLQDDGDIHRFLTSKYLYWLEA LSLLRALPEGIDAIRQLESLLGHTIRGRLIAIVRDAYRFALSYRMIIEKAPLQAYTSA LVFAPTNSIIKKFFKKEEPGWISTISVVEAEWNACTQTLEGHGGWVQSVVFSPDGQRV ASGSHDNTIKIWDTASGVCTQTLEGHGRWVQSVAFSPDGRRVASGSDDNTIKIWDTAS GVCTQTLEGHGGRVQSVVFSPDGQRVASGSHDNTIKIWDTASGVCTQTLEGHGGWVRS VAFSPDGQRVASGSDDNTIKIWDTASGVCTQTLEGHGGRVESVAFSPDGQCVASGSSD NTIKIWDAASGVCTQTLEGHGDWVESVAFSPDGQRVASGSSDNTIKIWDTASGTCTQT LEGHGGWVHSVVFSPDGQRVASGSHDNTIKIWDAASGVCTQTLEGHGDWVESVAFSPD GQRVASGSSDNTIKIWDTASGTCTQTLEGHGGWVQSVVFSPDGQRVASGSHDNTIKIW DAASGVCTQTLEGHGDWVESVAFSPDGQRVASGSSDNTIKIWDTASGTCTQTLEGHGG WVHSVVFSPDGQRVASGSDDNTIKIWDTASGVCTQTLEGHGRWVQSVAFSPDGRRVAS GSDDNTIKIWNAALGTCTRTLNVGSTATHLSFDYANAYINTNIGRTQIATATIENPNQ LSSPVFYSYGLGQDYRWITCNNQNVLWLPPEYHASASAMQGRKIVLGCYSGRVITFLF SRDV QC762_0039720 MTSKKVCGRSEDEVLDEVQKQLGLGELRIDTNERDCVAAIVDFD GPDGLVAVDGNFYVTGRRKTQSGKYLYVTCEVYVMGEFPSVRWIYSAKAGL QC762_0039730 MSAPTQDGIRIACTPCHRKKITCNKELPCARCTRLKLACDERYS GRYKARSTPHTKSPEAASRTEISCASQSGTPGDDEGATSVSNDRAADSPTPSEDLEPR PHKRRLVGLRDLLNADETLPTFDAVPPLVHEPWDPRFYRFWYPWRKLASYLEVSAPEV LEFTYNGGFLTSNSVLHVGLQLQIRSERYPDASKVWIERPKLLTSITQHLEVSGTWNC GLIGADSSPKGVMVSCVAVLPGNDGVVLPTTLGEIVIRWQQLSSLLLFRQWGCFYSTR LGPAMTAL QC762_0039740 MHNDQYVPIFSRRPPGKIDFSLPIVRSLVSFPSVQSIPYSPESG VISVASTKPKVSHILKIQSPDSLQESQYNCRTYKLCVFLDTQDGRCD QC762_207735 MSHHFSTAEQSFVQQFYQHDQPLADHFYQASHLPSYQPDEQSLG YEFYLSAQIAGLQPYEGARITTGQSIGHQYYQPDLLANPQSYQAEQTTPYQPAKPKLP SVNYQGDFTNSHNHSATIDPNESSSLYLTHLPPDITVTTLLDTIYLSVPELDDRIFTC SIRPPSPTQGYHHAAATTITFSRAGGAERLCDRINNPPFLSINGIRYSSQVAEPPEIR VPEHNFRVLLISLRSANFAGFVNRLVPLLQRQHGGVRFET QC762_207740 MPALLPGGGASYTESHSYHSRTKAETWLPRLMLGALVAMGIGST IGASLSGVVFGSTGDLKREGVGIAQSFVLFASILSFFYIILHFLAAKKGDSLYLNFDP PIPSFKHQLHAWAGVVIRLAVVMWSSAIIAVAVGIYHGGGGHRTVRLNLDMFACSLGV VFGSVVMVVVHVASRPFDIPVMSSRNEEIDSEEEYDEKQARGSYSTSGDGRDGITGES TIRATPPGFKSHRPKMPSKSASNISGSSLPSRMRSGKMGRVRRYILQAPAPAVLALVK SPELSRPGRVPTPESPIGPPQRDSQRLEELLVPRASASDSYFTASSPTLMQRADPMQE MPGGKGERPVSPDTVIYAPRPATTVRLNRRKNNLSPAAGSELPFPITPSPPPAPAPPP PPPPPAPVTTAPPRPSVHTIPGSWEIHHEP QC762_207750 MTSTNVTNSAAAEETQLTINHEITADLDSTNAFEGPEKLLEVWF APSAKTLPPGVKENGLKSVSPSIWEGMLDMVNCKVLSIVNSDHVDAYLLSESSMFVFP HKLILKTCGTTTLLLGLRRLLRIAAIDAGFPFHNVKTLEDERVAATPHRVFYSRKNFL FPDRQQGPHSSWKEEVKFLDELFENGSAYLVGRMNADHWYLYMTSPTTSTLTPPRTPS SSTGGSPTRSAKIPTGIVAPCVGSLDDNDDETLEILMMDLDQDLAKQWYLEDSDKSVE GHALGTVISNKCGLAEVYPTSVYPEARIDSYLFTPCGYSANGVIPAPTKSSDDAASRA AHYFTVHVTPEPICSYASFETNVPSGQNGRQTSEVIDHVINIFRPGRFTVTLFQTKNK PATPSDGADVEDKQRSLVKQTVDRIDGYRRIDRIIHEFEDYDLVFRYYERDGWTGTKK AMVGEDF QC762_207760 MAESNVRRIALSKVIEQLTRYEQLAWGLLAADKASADTGRKSKP FDFQDGALSTTLTETHLVKLSVLSGKGGVGKSSVTTQLALSLSLAGHSVGVLDVDLTG PSIPRMFAIEDAKVTQAPGGWLPITVHEADPTSGLGSLRVMSLGFLLPGRGDAVVWRG PKKTAMVRQFLSDVFWEELDYLLIDTPPGTSDEHISLAETLLQKARPDQVAGAVIVTT PQAVATADVRKELNFCTKTGIRILGVVENMCGFVCPNCSECTNIFGSGGGEVMADDFN VKFLGRVPIDQQFIVLVETGKRPRYPEGTVINGQDISAAAKEAEAEEAKRDTAQLVLK YKDCSLAPIFKTITADVVSALEQGGV QC762_207763 MLPIVTYLVSMLELISTVYSTPAVVDNSLSLHNTSFLAAYDDTG YILEWICDHDTSPAHQNSIRDGIDYLRSLPETPRPRTTQKVVVAAITWCNENFNTGIE TTWEHIAAGAESLLTKCFYNNGHGVNAVARYSTNWFVKVWVNDC QC762_207765 MLIERLFVKEQQDSRPMSSPWFSSGSCQRWQQRDAPASRAPNQY LYPWFPPALGPSPPFQTIKHTLTICTMISILAIVASALAFSSVAYSAPAELAPSNGTA TVSNYTVYYECNPSNLKWAEIKNIQIGRDYLNGLPGKAKIAGGKHCDRVSCSYNSAIF YCNDDDNEKEVEWERLAEFTSLLLDKCGDKSVVKGRVFDRPNWHTEVQGEAC QC762_207766 MLAVTYSVPSFHDITSLHNSTSLHNSTSLDNSSAPTCGNNDIDP KSSRYYTCKMEGNGAPINEIGHGLEYLYDLQPSLARIGEGRHCDHVSCEWGSAIFFCN DDTNRVKVVEWPQIADAASLLIDTCRDTSEVTVKGKVYFTK QC762_207770 MDDPWRSPWTATEVDPDKDHKLPSPSLSKSDLAPPPRALLSGTS SPRLSAAVESSPWGDDGDGLGDWAGASTPAADASSVHSGWGGGWATSPNLAAVRRDDD SGRLSPIAWPGNIATPKPANGSAFRQPSPDPWATESSFDKPPTEIDAPQVIVDLASPR ATHFETLKVATGLGAIGLDAGWDSASVEENREGPTEVTDPQNHEEKRAEDPAQLPRHN GELRSYTPSNEGTDHDDDHQDSPITSIDEDHRGRQREPARPAGKVQELVVKFDGLAKA KSQESLRVPRPKSSGSGSVGKRDASSDNGDFGDFEDTEDVKFHPPPDPVEQPVAPQSS EDAERPVTPPSSQDVKSPLTPPSSKSKCEDETPTKRSPQVKSSAVASPEVPIRPKIIR PTFEVNLDQVGELFGSMKVPVKLPIISIDGEIPERVITDSFNEISERKLWYRLSRLGS ARRHDAADEDSYRRITWPTSTVRQEVITIVRRWMEEDSIAGRVALGGGISKTQKNMFG WDSSAEPVGLDAVFGKRKQESRAAPPQPIQIPDSLASFLEPPTPTTSRSAHSVASPPP AVQSPPVASFGWSESPAFAPTPTTTLAPPPRPSTGGSAPSALPSTAGHSRTASQPAVF GAPTAFSPAPIAEANHDDGEDDDEDWGEMVSSPVESKPAGFQSLDDAFAAPIAPTTSA STLSDPEAAGETRPSTSDPWSTMDFSMFDAPAPALSQSKPPAALPTPSDTPLAFSPIV VTFPLSLSETPTPSPTFTPPTQTSPPPPKALLSARPFTPSVPSRLSEHMSVPPDPVPP IQDPIHDLTTSDDEVAKQIIANLPDLAVPIPSARVLFLREISQFPIVR QC762_207780 MAVVTLLMSPWAPVGLVAFFFVYYLYPYFFTYRHLRRIPAPFPA QFTNWWLLLVARRGDRYLTVDQLHKKHGTVVRIQPNHVSINDDAAINIIYGHGNGFLK SDFYDAFVSIRRGLFNTRDRHEHTRKRKIVSHTFSVKSVAQFEPYIHSNLELFVKQLD NLVTRSPMKTAVLDCLNWFNYLAFDVIGDLAFGAPFGMLSSGADMAEVRSSPDSPPIY ASAIEILNRRGEVSAALGILPSLKPYAKYFPDPFFTQGLAAVESLAGIAIARVKMRLE NPPPEGRKDLLQRLVDARDEKGEPLGREELTAEALTQLIAGSDTTSNSSCALLYHAVR TPGVIPKLQAELDAAIPHSIDVPTFEMVRDLPYLSAVVNETLRHNSTSGIGLPRQIPL DSPGIHLNGHFFPGGTVLSVPTYSVHHSKEIWGEDADQFNPDRWFSLTQRQKNAFIPF SHGPRACVGRNVAEMEMKLIVATWARRYEVELRQEVMETKEGFLRKPLGLEVALKLRK GFTPLA QC762_207785 MTRANKLAGKKIAVIGGSSGIGYGAAEILLSAGAHITIISSTQE KVDRAVSQLSSTAGGNVQGRVGNVRDEAAFTELLLSLAPLDHVIFSSVDKIIRGSLAE ANLSDAAGLFGVKFWGSFVVAKAIAKHDIILPGGSLTLTSGAAALRPKKGATIGGALN GGLITATISLADELSGKRIRVNTVVPGLVKTPLLGKLGNSEEQQREIYEQAAGRLSVG FVASPEDVAEAYLYAVRADYANGSTIVIGEFHYLLSWFEMSQGVSNGFVIQMVVVLFD WG QC762_207790 MSAIQKNVEQTSESYSASFTQGHLALPPAKKYLVLTCMDARIDP ARAFGIELGDAHVIRNAGASAIDALRSIVISEQLLGTNEIVLVKHTGCGMLTFKNEDA YGIVEKNLGEEAVAELKAKNLDFLPFPELEKAVEDDVAFLKASKLVPDSVTISGWVYE VESGKTRRVV QC762_207800 MPSSFCTVSLRTCSGLGNAPSGVDQLWGQCDQGGHQPNLCRVRQ RNVPKKKVTATFRRRFWSLPRCGNHRDKMLPSRGLLRSSPSLGLARKSSSSRISTRQF GTALRSNGSLPQTPRTVLSGSRIGGPAAFTAVRYASTQPAVAPTPAPAAAAAPVVDPI ASPSVLSDIDTTPVSLSGSDLLNMPEQIGFLKNLGLDYGFGPTALMEWILEHTYIYTG LPWWASIGLVSLAIRAVLVKPMFTAAEMAQKLQDLKRDPKYEQLEKEVMSAFQGGQAD QYAMLDKRNKMKAMRRAVGYKMLPASVPALVQIPVGFGMFRLIRGMADLPVPSMETGG ALWFNDLTVSDPLFILPIVGAGLMIASMRVPLPYMASSQQGTMKIMTMVAAPITLGVS IFLPAGLQLYFAISTFLQFGQQWLTYQNWFRKMIGLRPVVFGGHHRTPIGGAYQAPRT LDTKGTVVPQKETLFESLKSTKAAAQQKLEQWQDNSTNKATFAKAQEYEAKRALEEKE RLLARRNRKRARGQEE QC762_207810 MASEEPPNPMAESGVTIRSDSEQYSSPEELSTSPPSSSSPAVIL YQPPTFWSILRGAAINLFLPFVNGMMLGFGELFAHEAAFRLGWSNTRIFPLSRRQAHP IGPGIEAVEKPRRRELDDLTSLE QC762_207820 MADQDHDTLISNFCDLTGASADQATEYLTATNWDVNTAAAAFYG DLDENEQGPSSTGAATATTTDAEYTGPRTLDGRPAPEYAGTSSSTSKKPVKRRGLATL SSIGGGRNQEDDDDDDDESDDDNRRGPRDLFAGGEKSGLAVQDPAQRSSDPRKLINDI VAKARANATESNPASSPAAGPSSSRFSGSGQTLGGDGVESRTIPSSRAAGAVPEGPAQ ERILHIWRDGFSIDDGELRRFDDPQNRSDLDMIRNGRAPIHLMNVRMDQRVDVKLQQH DENYRPLPKIYRPFGGEGRRLGSPVPGEVTPTLSPPAATTTQPQASQALSTGVDESQP TLMLRIQLPDGTRMPARFNPTQTVGDVYNFIGRSSSSLSARPWVLSTTFPNKDHEDKS LVLGDMPEFKKGGAAVVKWK QC762_207830 MSGLETTTESGGTAGVTDGSSGGGGIPKTQTQLKKVKKQKVLLM GKSGSGKSSMRSIIFSNYLARDTRRLGATIDIDLSHVKFLGNLTLNLWDCGGQEAFME NYLSQQRAHVFSNVGVLIYIFDIESRDVERDLATYVNIISALVQYSREAQIFVLIHKM DLIPPQMREEVFVQRAALVRKKTSEAVAIIRKGSLAQHSPSPTALTPTHPADDLEPEM QLFATSIWDQSLYKAWASIIHDLVPNLAVIEAQLASLGVAIEADEILLFERTSFLVVS KWASHEGDRNPYGDRFERMSNILKSWKHTCSKFTGTPRNAEQFSEFEYKMGSHFSMFV TKFTANTYILVCMPPGEARFNSAKLNVTAARSWFRFLDGPGPGAAAPPAAPPAAAPPA APPAAAPPAAAPAAAAPAGAALGGGPVQQSAYRAVAGSYYPGA QC762_207840 MMPQLLYPGLTSLLFLLFVLQISAHMMISSPPPLGSSSNPNLRS SDIDYNLKDPLSPSGLTYPCGPNFDLYSLSPQGNPVAIWAAGSSQSFTLDGGASHNGG SCQASLSIDGGKSFKVLRSYEGGCPLKRNYQFSIPADVPATKKAVFAWTWFNQIGNRE MYMNCAVVDITPGSGGKGGWAKKPEVFKANIGNGCTTVERFDVEFPNPGDDVARGGEG IFASPVGDCGTVNRGADSGAGEGSDSPPAGDSPGSSTTDPTRPSQPNSGAVPTTSACG TKTVTAKPEGTMVFTRTFTVTW QC762_207850 MAGSENKQATQLLQAQRAQIRDEQTFGWLRNRFSSQAGTEFSHR EINKVLTEVVESDGSLGVVKALLSLGADVNFVRRRNSTTWTKIAQRHQNNERSDILLR ATIKCRPETVHVLAAHADQQNLDSVLHHAIARGNLAIIRTLLNHGASPVYLHDDFQGA VFRDNVDLIEVLLSGHHLPCLACRSTGLRLAVANGSVDVIRLLLNHWADVNYGDGIAL IRAVEDSRPDLVAALISGPVKASPRTLDTAVNKATNNLDTFGYEMVGMCLAAGAAGPA TTHLITDGLIETIRRRDVQLLETIIRHRRIPEEYEGFALVETIRTGQVDVLAMLLGLD PSSQSLTIAVSQAVKVNDNDERYGIIERLIDAGAQGLCVAEALISIVHCLVSDSKRGD SAARDRDLCLFNLILYDGKADVNHRKGEALQVAVRSSCEDIAEQIVDREPSPEALGAA LGWAMGTEDKDQKRRLVELLVRHPIDEVASGKALVEVFKNDFGNTELIQLLLTRASVN YNNGEVFIYAIRSFRPETFHLVLGQGISYKALFTLLMEALRAPRTDRRALLGEVMSRL QLDHLNMALKHVVLEPKPDLALAKTLLDSGAEPTHDNGVCIKNAACNLERDLLHLLAG YAGKVPELFTQAFAAILSRGKQWIAFEHVDTIRIILKHGASPQIASRAMMDVVDQLAC NNDQATLSRAFLDSLFAAGADVNCENGKAVGTAASRGDPLLLDYLLKQKADATSATLA LSAAIMAHHSESVLLQILNVMAQPGLPTDFNHSLPGMPHPPIILILKSYGDSVAIVHR LVRAGCRLESTVWAVCDTEKGAQAEVEPVTVLMWALLQKDEVISKDVIEALICHGCKY LASCDNKDILTELADLSYTTPVSKTTPFLVAVKSGRVDVVEMLLSYGAKVSVKDNLGR SPLFFASRAGDIDMMKTLLQHNPSVNDGSLHEAARNFRPEAMKLLLDAGHDANYRSTK HGGRTALGEVALKATMPHDIALADESLDILASVDASPLLKVRGKTVIFLALDNQNNEA ITRVLLDRLLYKTLNSHENTFQEGIYHYSPTMYIMKGILLGPRSPALVQMLQDHGVED RFYATIEETQPADAVGLPEEIRDYERERRAWEQRNRRVEESHGNELRRVTEKATVHAQ IEEQKHSRSVRYREEHSQQGRKERSLNHQQATFLKADRHQNDSQIKISEANVQSGVRW QKHNDNLAMADQKRSASLAHRQRAHVQHIEERRQKNTVALEHKELRHAKSLAQMQDLH RQRWEEKENFNYQQLQFQSQRKLQEAEFRQRQREADLNAYAVRNQIDGEGARGRHELK MTELRTQRGNIIGQVNLEELRRWKQEGGMAGQGQGQAQRLLA QC762_207860 MITAPEYFLSKATELFSLRGYFPLDITTARMTSSDIERHDTDDH ELLNSIRDDQPLLRAPDEETWSPPRGFLWIQVAIMSNVFLYGFDGTITAATYAIISSE FDAANNASWLTTSYLLTSTAFQPLYGRVSDIFGRRVCFFISTVTFALGCLGCGIAGNV VLLNCMRALTGFGGGGLMTMATIVNSDMIPFRKRGMYQALQNGMFGFGAICGASFGGT IADGIGWRWCFLLQVPISAFALVVGALVIRNPEGGFDLGQGFRDIWTKVDFSGALLLV LAISIQLVGLSLGGNELPWGSPWVIASLVGSAVLFVLFLVVESRTRAIPIIPLRLIKG KFPLLVEFANICVGLSAYAYLFNLPLFFQVVLLDSATAAGARLAIPSLATPIGGLIAG VVMSRWGKLLTLVRTGAFLMVIGNALVTSLGFEDSAWKYYVYIFPANLGQGIVYPGIL FTSLATFDHADHAVSASTVYLIRSLGTVYGVAVTSAILQTTLSIRLPDSLGEIPDKWR IVEEIRHSVSAIRDLPPDIQLKARHVYFEGLRYSFATSTAVAVAAEVCITH QC762_207870 MRYHRGETQLSFQETPPSSTTPSTMGVIDTTVEHGWLEIPKQPH VTAKSINRTMSLHVTYKQVARALSTLRGIGADSQAGGHWAPDNEIESEIPCTPVQQGL LLKDPEAAKRASIIRLDLSTDIVKSFDQLHEAWHALALQHHMLRATVAEDKTGDGFVL RIFKQPRNIQGSSDANLQHIHSFGLQGPAMPVVEWASAGPHVSLCLPQLVADSTSLGH LWRDFLCFLSGGVPAPRLLFPHYLRLVRGRASQKSAEFWADTLQSLPDLVLHSFPLER SGTFQNTAAASSVAISGSSLRRCAKQLGVSEHAVVYAALGLVLERHCNLGSEVHDIAF VAEGRDRTIEGHGSVIGYADQEYPLKLHVKPELTAAALIKEADRLNTLSATHAFFATP ELMDAVSASSFKVSVVVVGDDEVLTPYSGNSHHPVTIAVQISHAISISAQHDASIPPE KMTVVLDHLVMALSEMVRHPNFSLGEIDIISPEELDLMLEMGKPLTRPVYDNVHKLFE RQVRLTPNAPALQFEGDRPLSYDELNRISNRVARQLPVGRGSFVPVCLQRSASLIISL VAILKTGAAYVTMDPDTPQDRNNFIVEDVGAQVVIVDRNTAGRFPGREVIIDQLIAES AQADDTNLDRGCEPDDPVYVIYTSGSTGKPKGVLHIHSSATSGLAAFPTLPDLRQLLF HNPVFSAAQRSVWSTLKQGGCLCLASKDNLTVHIGRTINQMQINVIDVTPSTALLLTP GTVPCLKRMTVAGELINPALIPTWVHELELLNAYGLSENTQVNWRREMVLGQNPQNIG RPSDTTTSFVLVPGTTRLSPLLVPGELCLGGHQLALYYINRPEKTAEAFIDNPFGPGR LYRTGDMVVAHEDGSIEMVGRIDFQVKINGQRVEPGDSNTILQTHPDVTNSSVVAAEV AGRKALVAAIVAKRPALEWPRLRSELKDLLAQHIPSYMMPTYWLLRQELPLNVNGKVD IPQLTKDVQRLERATLLRSSTDHHLDHHHDTNGAPSEDETLSHLPSHTRRLCDIFADI LKLPASRIANSNNTFQELGGSSLDAIHVSTKAYHDDIQISVGDILRLPLHALLNHAKQ SQNNSHSDVPRLSLLPRNTRISKMDDVEDAYPTTSLQDTFLADALQGKSTYVYRRYYR LQGISAQQVRLTLEGLIPRLPLLRTTFVPHKTSFLQVIRKMAVPLSWEDLPLTTAHEY SELQKRSMQLGGNFVQFASLKDDILAVTMHHALFDHWSNNFLIDDLSAALSGKQLPQR PGYANFVREVLKLQQEEHQQLAAFWQKRLDGVPSTILGQTTGQAVVVNTVIAHDVQAT AASHKVSVGSLIYAAWAVVLSMHSSKNDILFGVTLSGRDMPVEGILDIPGPTIATVPF RVQLDSETSLIDLARAIQDDIWDTAPKAQFGLRNILREANLSSDHYDTLANVLIKDSE VAESLSQDGPIVRSEPYEPNFVGDTTMLEAEAMTGGHLRLRLLSHLPRNKASFLLGNV AETIKAFLEQPQVLIGHIIATSTEEVEFLDSLSRVRPTEPGLTALTLVNRMIAQYPEK MALQGLSSDLKHKTAMSYRQFGSAVVNLARHLVAKGVRTGDIIPICMRKSINILVAVF GVLKAGAAFTPLDPKNPRDRNEFIARDVGATIAITDSVHAEVFDSFAGDVINLDTVDT TCHVNRPSDLPEPSMNDLAYVIYTSGSTGLPKGVQVHHGAVGASTEGMIEACGIDSRW HVLWFLNYVFDASYFDVFTVLGSGGIISITDQDTLMQDLAACVNAVGAEQLMITPTIS KLISPERVPTLTTLLVCGEPITPEIASVWATRMDVYNGYGPTEATILMTVSKVLPNGN LKSIGRPLKAVHASILHPELLVPVPHGTVGELCVSGDQVAIGYLNRPDITAKSFLTAE DGSVLYRTGDYARWLPNGEIECLGRRDNQVKLNGFRIELGEIENTILSQAADVVQLCV VGVAEVQRKKQIVVYFVPVEKPDKEAESSGIYSTAVVDPAVILDRLQSLAHYMMPKVL LPFKGFPLLPSGKINRKLLAQLAEGLDPKALAGYSTTMPTTNGQLIDDSELTEQEKTL RDAWAELFDVEPESISLSALFYNYGGDSIAAINLASMLRQQGFSLSVNDVVTYPSLQE QAQRVKPIMADLALDQGVELEVKQVVRDRLRASGLSDDDVEDIYHCGPGQVEFLTQGH SEEQFWMLMTVRRLPVGFNLERWINLTEKLTQANQILRAMYMKQDESDPLSWVQIILR KPILDLEVVDCPTPDGEDKERLVRRHWDQRFSVGRPFVRYLVLRYPDGTMDLVTKLDH AMYDGTLLRIFDDQFAALRDGHPMPVSPTSFKTFVEYTNLPSLREPMLSFWKSTLSGN RFSFPAHIPDPKVSGVVVAKTGLPVNAYAQSAGVTASIVFQAAYTLLLARLSKSSSPD VTYDYLLTGRNVDLDDPQLIPGTCANFLPFRAQMDNSTGVQTLLKETQSGFWAMTENG SVSLGDIYKHAEAERAKTLFLFQPFEPAPGEQDHMRWIVMAMSKVTMYVNYAIMFEVF RDGNGGHRLKMGYDGRLFGKEEAERVLEGYLGIVGDIIEGGKGVVGEFLG QC762_207880 MLGSILPFNEETADRVSAYCEKNSHGIPDALVEHWEWTRTRFPD ADKMSSRLQGSWMIFTARDRKPKRILEIGCYSGYSALAWYEGTRDTKAEIVTLEYSPK MIAASREAFKKYGVGDRVKLIEGPAENTLKTLEGEFDLIFVDANKDGYAGYVKTILDQ GLLSANGIILCDNVFARGLTIGPDCAPWLNDHVRPYWNGCGQALDKFSAGLMEDPRID VLLLPVFDGVTQIRWKDGAQRA QC762_207890 MAQSLAQTGTTCQLTTHPIPSLPAESPPDSVLVRFLASPVNRVD LMVLNNQYPLKPKYTSPTTNTPIPGFDGIALVESSTSPLFSPGDLTLPRDLGLGTWRT HAILPASSLLKLPPSVSTISPIDASLIRSGALIAHLLLTNPSTPLKAGDHIIISAGTS TVSQFLIQLAKHRGIKPILVIRDRPNPEPVKAELLALGAEAVLTESEVEAGLLLPKQP IILALDSVFGKIGELLAASLAPGGKFVLVGLLAGPKASMQLTTQHLFNRQLSFLPFRG SEHLKRMGDEQTESLIGEIARMFVDGTLKRPRVKVVDWTTAGEGEVEKALKEAVELAK GEAGHVKTVWKLT QC762_207900 MSSGATSLKEKPLDPAVELPADNGHSSDSQLEAGAPTAAPAEPD QNASPRNIHGVIWALVVVAILSSIFLYSLDNTVVADITPAVVNNFGDALKLPWLSVGF LLGGAAVVLPFGRLYGLFDAKWLYILSSILFNVGSALCGAAPNMDALIIGRVLAGMGG NGMYLGVMTLLSVNTSDRERPGYLSFVGLVWGIGTVLGPVVGGAFVESPATWRWAFYI NLCVAGLFAPVYLLWIPNFKPRAGTKTLTLAKEFDWLGTVLSMGAITALIMATNLGGA LYAWDSGQIIALFVVAFSLFILFGIQQTYTILTNLTSRIFPIQFMRNWNAVLLFCCAA AVNTAGFVPIYYVPLYFQFTRGDSAITAAVRLLPLIFVLSAAILANGHLMARFSYFQP WYVFGSVLTLIGGVLMSRITTETPEGQIYGFEILLGIGTGCFIQAGYAVIQAVTPPAD MAYAISFMMLGQLGGIALGLAIAGAIFVNDAIKNLMVVLPDATREQLQMAISGTSGEF FSSLAPGVRAAATDAIVVALRQVFIPVYVAAAFSLVLSVCFTQRKMFGNIQAIAA QC762_207910 MSAPIKTQNCLVPYVDLQTAPPEVAAAITHLPYRRNIFHLLGHS HGSFPRLMGVYANFFDGNRRILPLLDWQLVVLRISAVLDAEYEWDVNAPVARINGMPE EKFEALKRTAGKGVDALAKETVFTERDRAILQLVDEQLATYNNTEETVEKAKKLLTVE ELVEVYVVLGVYVLIARITKGLRIDLDGEIPGLEEHLNKVVTGK QC762_207920 MHNATVSNTHLDEHQRLLALSWSWVQLRPWTTVLILLLTNLVWT RYRSGLRQIPGPFLASFSNLWKLRATWNQNMHRENVRVHEDYGPIVRIGPNHVSVSDA ESMQTIYGVKNVFPKSGFYPLAEAVYKGKFLPTLFTTTSNDYHAKLKRGSARAFSMDV VIGLEEYVNKCISVLLQRVRDVSHNGKKPLDPVAWMQYFAFDVLGEINFSKDLGFLEA GADKDGIIAAIGQILGYVSLIGQVPQVHKFLLGNPLLAKIPAVEKTNQVLQFSLQQIQ ERQKNPVPRKDILTQLLDTHHNDPSALSFEEIVAITTTNVIAGSDTTAVSLSSVIYHL HKYPAAKARLIEEIDSVAAKLDGIITYAEAIKLPYLTAVINEAMRIHPATGFILERIV PKGGVTLHGVYLPAGTVVGVNSWVLHRNKDIFGEDVHSFRPERWVDGDEGKIKEMKRN LFTFGYGPRSCIGKNISILEMWKVVFELYRHFDITLASDKEWTVNGTWFTAQSNIEAV FKPRKN QC762_207930 MFITLRSSGGGQAEGGGMEVVRRNSGPGVVKDRTRPMRRPACVA CQTKKLRCTGSNPRNCDRCRARMVECVVPTSNGREKPRTNSSQSPQPNNPPGRPWQDI GDASSQPGDQQGEGLNGAGLGPSKPSQQAATTAPRVQVGGDPLLDNDFFDCEFALVDS ADQPWAAGLTTAGNPSVDLIDGLDMDMDFGRHSASGEVRSSISSSTNSGSNDARQSNK PHQDPYNNLDFFLSSEGPISQPQPQPRPIPHTTTQELPSMLALDTAPLSPGQSWALSG VQNPSNPNRPGSPPCSCLTDLVRVVQQLDDDEFHITTMSLDQVLRLQKWLVFQCCKPF DCPKCLDLSTIHTMRLILCDRLTEMFECIHLRIKRAGAILSNNGSDSSSQATPSSLTD SSSAQSHSSLGGSQPQLTAAVGSGPLPAQLFCSSSGRAANTAACNPLMFSDEFRNQYS DEEQVHMIRVLLRLQSRNFQMLLSRVERTSQVAASPARQTKVKSMMVRLGKASADIEG ALRVVFQGLSI QC762_207935 MRCTGSPCQGTYYWRDPDNRKHYKLNTSVLPNHIYYAEGGNILR TLYQVHYKKPFRLHVAVRNSN QC762_0040010 MRLLERNDTGDVSLTGDIPDDQVPPYAILSHTWGDEEVSFEDVT DGTRKNKRGYSKIQFCGDQAGRDGLKFFWIDTCCINKSDCDEFQEALNSMFRWYRNAA KCYVYLTDVSTYQQDTDSNPGWELAFRKSRWFTRGWTLQELIAPTVVEFFSEDRKRLG DKKSLAQHIHHTTGIPLRALQAYRLSDFSFDVRMSWIKHRSTTREEDRAYCLLGIFNV QMRLLYGEGEERAFERLREEISKHDRCLSSLHSTDPRLDKKRIEEAKGGLLDDAYRWV FDTPDFRDWHDQSESRLLWIKGDPGKGKTMLLCGIINELEGVIVADGHCRNLAYFFCQ ATDSRINNAIAVLRGLIYLLAQQQPRLIPHIRKYTDKAKSLSDANAWFVLSDILGGML GDPNLKPTCLVVDALDECVIDLPKLLDFIVCISSDRIKWLLTSRNETIIEKKLKSNNA RTRLSLELKENAMEVSHAVDVYIDDKLSGLEALQDDALLKDQVRDILHNKANGTFLWV ALVVQELSMDGVESWHVLQIVEEVPPGLDGMYKRMLDEIRRNKRDSEFCWRILSVVTV AYRPLHLDEIGGLSGLPEQIVRSTENIQKIVAKCGSFLTVRDNQIYLVHQSAKDYLSD QASPLLFPSGVAVTHHDISDRSLKLLSGKLQRDVYGLFIPGYPIDHVRVPDPDPLATV RYSCVYWVDHLCNWQSSDDSKHPDIFQDSGIVDDFLRQHYLHWLEALSLCKSMPQGIL SLARLESILQHRWITSQLPSLVTDMHRFVIYWRWVIENYPLQVYASALVFSPARSITR GLFRHEERKWITSGPIVEDNWNACRQTLEGHRYHINSAAFSPDSKLVASGSYDKTIKI WDAATGSCTQTLEGHRGWVWSVAFSPDSKWVASGSDDSTIKIWEAATGSCTQTLEGHR GWVWSVAFSPDSKWVASGSYDKTIKIWDTATGSCTQTLEGHRDLVRSVAISPDSKWVA SGSDDDTIKIWDAATGSCTQTLEGHRGWVTSVAISPDSKWVASGSYDKTIKIWDAATG SCTQTLEGHRGSVRSVAISPDSKWVASGSHDKTIKIWDAATGSCTQTLEGHGGWVRSV AISPDSKWVASGSDDKTIKIWDTATGSCTHTLKRHRRSVQSVASSLNSTLIASGSDNA NPPCYGIDLDNRWITRGLENWLWLPPEYLPACLAVAALTVAIGCSSGRVLIMTFTTDS QC762_0040020 MRLLERNDTGDFSLTDDIPDDQVPPYAILSHTWGDEEVIFKDIK DGICKNKRGYSKIQFCGDQAGRDGLKFFWVDTCCIDKSDSTEVQRALNSMFQWYRNAA KCYVYLTDVSTCQQDTDGNPGWWELTFRKSKWFTRGWTLQELIAPAIVEFFSKEGERL GDKKSLEQQIHDVTEIPLEALPGNTLSDFSIEERLSWVGKRNTTQKEDKAYSLFGIFD VTMPLLYGEGEDKAFGRLREEISKHDRCLSSLHSTDPRLDKKRIEEAKGGLLAGAYRW VFANPDFCLWRERSESRLLWINGDPGKGKTMLLCGIINELQGAIVADGHCRNLAYFFC QATDSRINNAIAVLRGLIYLLAHQQPRLISHVRKYTDAGKSLSDANAWFVLSDILGGM LGDPNLKPTCLVVDALDECVIDLPKLLDFIVCISSDRIKWLLTSRNETIIEKKLKSNN ARTRLSLELKENAMEVKLSHAVDVYIDDKLSGLEALQDDALLKDQVRDILHNKANGTF LWVALVVQELSMDGVESWHVLQIVEEVPPGLDGMYKRMLDEIRRNKRDSEFCWRILSV VTVAYRPLHLDEIGGLSGLPEQIVRSTENIQKIVAKCGSFLTVRDNQIYLVHQSAKDY LSDQASPLLFPSGVAVTHHDISDRSLKLLSGKLQRDVYGLFIPGYPIDHVRVPDPDPL ATVRYSCVYWVDHLCNWQSSDDSKHPDIFQDSGIVDDFLRQHYLHWLEALSLCKSMPQ GILSMAKLESILQHSSITSQLPSLVADMRRFVLYWRWVVENYPLQVYASALVFSPAQS ITRGLFTQEERKWITSRPIVEDNWNACRQTLEGHRGWVWSVAFSPDSKWVASGSDDST IKIWEAATGSCTQTLEAHRGGVWSVAFSPDSKWVVSGSEDSTIKIWEAATGSCTQTLE GHGDRVNSVAISRDSKWVASGSADHTIKIWEAATGSCTQTLEGHGGPVLSVAFSPDSK WVVSGSADRTIKIWEAATGSCTQMLEGHSGRVWSVAFSPDSRWVVSGSDDSTIKIWEA ATGSCTQTLEGHGGPVLSVAFSPDSKWVASGSADRTIKIWEAATGSCTQMLEGHSGRV WSVAFSPDSRWVVSGLDDSTIKIWEAATGSCTQTLEGHGGPVLSVAFSPDSKWVASGS ADRTIKIWEAATGSCTQMLEGHSGRVWSVAFSPDSRWVVSGSDDSTIKIWEAATGSCT QTLEGHGGSVKSVASSLDSKLIASGSNDTNPPHYPRYGIDMSKRWITKGSENWLWLPL EYQSQCFAAAASTIAIGCFSGRVLTMKFTTDS QC762_0040030 MRFSSVTTLLGITTAHLAGVLCQSPGDPPPWHNGVITEDGSCGP DMSDDWVCTPTWGACCGADGRCGFQAACGDGCQQGYGNCNVPWTGVPSPDGSCGYPNL YNCTNSGFGSCCSATNWCGDSSAHCGAGCQSLFGTCTESNVSTDGSCGPANGDKTCAG SGFGSCCSAGGWCGNTTDHCAAGCQSGFGTCDAGSGNVSTDGQCGASNGKTCAGSGFG DCCSAGGWCGETARRVPDLALETVALLPASVAAPPPTAAPVVSPALAHAPGEAALSPP TGGAAPSTARRVPDRASEPAARPAATAEAPTTTAKRVVKRHLGPVAAGAAPSPPMEDA ARSTARHAQDRASGTVVPLAGIAEAQTTTAKRAARRPSVPAAVEAGRSRRTANAA QC762_510820 MPRGGGNHLSTALWVAAAVFLFCLSPFLFSETAPHSNIIQRSTT DAPIDSIIVRRDAAAIPDSRRSPGHSNPLKLPPHEPLLFEEPSVSEPEPSSSSNLTRR SASLSRRDGPLYCHDGPCIDGSCCGPDNICGFGPDFCGEGCRFNCTATAMCGEHSEFG QMPCGMKLCCSSSGWCGSTEVYCHNADPLRGTLPCQAGYGSCYITGPPSCPYGGGTTS GRHIGYYQSWNVRNRLCNKVSPRQLNTTGYTHLFYSFASIDPSTFRIAHAHPDDPAMM REFTGLAKPGLKTWIAIGGFDFSDKGTPTHRTWSEMVASRDRRAVFISSVKDYMDEYG FTGVDIDWEYPGDPERGGNKLADTQKLVLLMKEMRAAYGSNYGISLTLAPDYWYLRWF DAKAMEPYVDFFGFMAYDLHGPWDADVKALGSKVRGQADIREISENTKPLWFDGLNPA KLNFGLALYGRGYTLADPTCNQLLCPFAGGSNPAPCTNFEGVMSLHEIQQLIDRKGLT PQYLPDAMMKQITWDDQWIGYDDDETFAAKKAWADSRCFGGTMVWSIDFQVAGSGDSD DQKYGDVVYIGQEVFETPAAQCPAPCIMVFPSSSLQEPKVLTMQPYTATLEVGTTTTT IVAVSTPSTTTVSVVNFFNHYITSGQPAGAVVTLRPSIQPPPLMLVVTGQDSETTTRT VLPPPLGGAFSGGGGGGGSGGGSSNPTSSPTTTINTTYTPRPPNAGGHTTTIAMPTSP VEDSDDSDDDDNDPPVLPGFPTGTVDPVDEEDDDDPPPPGKTRLKCDLWFFNICINWP ELGIKIDWWDVELPTGRHGPGTMPLGYINLPGGWHIGCANPPCSLPWPEIDIHPGGGL LAVTPAAPTPCKPVTATLTISTTSYATTTTQGTVRTMSSRTMSSEFPILGCALTDLTA SVSKTACASPQPTPRSIGERDDTLDDDDCEDDDEWADFALVLNNPLSKISGALRAELE DAVSGQELDDWTVITIDDPVFTAFIWLYDARKAYGRGLTGSYGIGSVHMIEHPPRRED SPPGSPSSSSRMRRRHSRSSPGSRRGVQSRNRNETAALAVGYPDQPISPKRRIVKRTR SEMRNYAMAHLSIPPEVEFAGNDEYFKEGDDRDRYYYQRDASECQDQDVYLIESGYQT DHPHFLPLRQNGHQETLPRHLSSWWDDYLVWYPDHPTGVGSVIAGRYTGVCPEGKVRL IGTDVPHRRWDEGTDSPVWAWMLIDALTTTLGRVKSNGRGTKSVINMSFSMIVFNEPM RNMLSTLLQQLDSLGVVIVVASGNHPDWHNADLVPPPARDVWPRGEAQVPNIILVGAT DIHGRRGIFSQACEVYAAGVDVAVSLLGGGDDDFEVVNGTSFAAPVVSGLVAYLRALV AIKDPSRLREFDDPAYVKEFIWSNQRRVLYDDYTERNPEPGRYANNVKRTRWVRSVWN GQSESDNQCYFGDSLPAECDTYPEDLDDGPDDRYHFGQEDDQYGDDDDCSGSGSDGGS WDGSDPGSDLARRQSRKRQAGGQCPVPGAPGNGGGGGGSDGGDVGGQDQLGPSKTFTY QIGTPSPTCTTGCGVLCTDFWCRPDHTGQPPHFTEPTRLPPSTITPAPTAVEPIPSNC ISSTTTRICYGDRQGQICASATVCVATPCPDGSVCSITSPVDGSTPSPTTNEDLPPLP APTSLSCPPPWFSTTSASCGGSGGKSACVTTTVCAVTPTSTPVYELTIIPSCGGNLLC VSRTAWVSCTGGVAARELPEPTTVSAFGTIPTNLAPHQDHQGSGEEKRAAVAAAAAVK YPRRPPAGRPVLAPAAPVVKDLSPAAPPAPPQITDHAVLQARQAGGEVCHVTVFCNVC ESPPRPSPAPPPPPPDPCIKIKMTEVMTGLNLNGLQYEAEVTLNGKRVCKVDSRCNEL ASSTDECLGVNGKRECSDGNRIDTWNTKHFAIYSKQNDKVYDVDMKVQVFEGVWDPCN GAGFMCIATVFRGQTGKC QC762_0040050 MASPRPPPWLFKTDVFGKRQEEITADGLPATYRYYEAPRKRVVR NPADDISAFLRTELSLGGLADMVKHLWFAGAERPATPLHFHVAMGREVAITDRMDLHL LWSNKGRLFIKPVPRFLLDPAFCHSNLQCPDGSTSTSPTKRASCLEMKMTRQSNGQTG RPWPGTPQVHERNPDLVHPRFLRAELRLSRINTIHRFTRLPPFHPYVRGRHNYSSLLH DNLAWMATAAVFLALVLTAMQVGLATERLQKDTTFQQASYGLTVFAILGPICAFGLVV LGALFNLVNDLPLLIGRRRNRVVHETSGEVSYAAP QC762_0040060 MTTTEACRGLRRIVPVDDAPDDAPDDATIDIIAIHGLGTESPRT WEFKKRNGDGVVNWLSDGDMLPAALPKARIYTYDWNANYFANAPVQTLLGHADTLLGL IAEGRGSQTRPIIFVASCFGGLILAEAIIRAAQEGSAYKHILLSTVGIVFLATPFQGS DAAKQARWQVLVKGIMGEQASDKLIQDLEQKHDFVHQRVQKFAEIANAKAVQLPLSCF FETRKTEMLRRILSPGWAKRLSGSVTRKILVTESSACLHGFPRQGLDATHSGMNKFQG PECPNFKLVKDTVRKLAGDASVVLKLRKNSTVKGHWIVPFGRNKEFVGHLLKKVLPSR DEDDCQRTAIEGLGGVGKTQIALETAYRIRDVQPECSVFWVPAVDATAFENAYRAIGQ QLKVPGIDEEKADVKALIKSALGRESMGNWLLIIDNADDEMLLFGDTALAEYLPFSRK GSILFTTRNHKLGLRLVESGNHIIAVEEMSRDEALKLLGKNLKSSQMSDTKSNNALLE ILTNLPLAIRQASAYMAKEQISTARYLKLCKSSDEDMVKLLSSHFNDRHRYKNIQNAI ATTWLISFQQISDHDALAADYLRFLCFLAGKDIPHSLLPPAGTLETVEAIGTLKAYAF ISQQNELESYDIHRLVQISMLSWLDGKGERQEWTVKVLERLNDIFPWPKHENREEWIR YLPHTQHALQLRKRTDDEEATASLLSKVGESFRKLGKYKEAEQIHRQALQLREKVLGK EHPHTLTSMDNLACVLDSQGKYEEAEQMHRQALQLREKVLGKEHPHTLSSMNNLARVL DSQGKYEEAEQMHRQALQLQEKVLGKEHPDTLTSMNNLASVLDSQGKYEEAEQMHRQA LQLREKVLGKEHPSTLASMNNLALVLGSQGKYEGAEQMHRQALQLSQKVLGKEHPGTL TSMNNLANVLGSQGKYEGAEQMHRQALQLSQKVLGKEHPRTLASMNNLALVLGSQGKY EGAEQMHRQALQLREKVLGKEHPSTLASMNNLASVLGSQGKYEEAEQMHRQALQLREK VLGKEHPSTLASMNNLASVLDSQGKYEGAEQMHRQALQLSQKVLGKEHPSTLTSMNNL ANVLDSQGKYEGAEQMHRQALQLSQKVLGKEHPRTLTSMNNLASILGSQGKYEDAEQM HQQALQLREKVLGKEHPDTLASMNNLALVLDSQGKYEGAEQMHRQVLQLREKVLGKEH PSTLASMNNLALVLDSQGKYEGAEQMHRQALQLSQKVLGKEHPRTLASMNNLALVLDS QGKYEGAEQMHRQALQLREKVLGKEHPDTLTSMNNLASVLDSQGKYEEAEQMHRQALQ LRQKVSEIR QC762_0040070 MVGLLQLPNELLAIIFGGLDAQGFSALRLTSKYTKSATLPAFIS RYFQTRYVMLSRLSLENLVEIARHPDFGPAVKTLELCTDHFVEFPNSYFHTARHEGDI LLAIEEGRFPPAALVGSIDDAHSSGEEEDQSPGEEGRGTDEGSVSSQASYETRLDKVA YTSLWEEQEHIIMSGLAQAYITQALISLPNIEAAVISNMHRPWGALAHGRQTGLPPTT ALDDYMEVKFLGQVLRITLTAIATSGAALSSLAITAGVLSREAIAPDILRPSESHFQY YKNLPPSLTELTLNVSAEATRGAEDRWADDLSAFIGVFRQLTQLDLVITPVDYCPRVD RLKQLAPKLQIPNLQCLGLYRAYCSVQDLGAFIVRHKATLQSVTLVRVGVSGGIGHWR SLFALIRAHLPRLELSIKLCTAAGLVLLCRAEHENGEEFEDSFDVGGSHEAWTTAIEM IETR QC762_208020 MNLSQITLLLLSLPLTLTQRTPEENLILADCGIGLGHNGGSTSR EMIYYSGPVWTGNGLDTYRPKMMVNVPWTGAYPWGQKGGVSATMPNGDVFTVHINPNI KDPNAAGDAWHKYELEKPLKCYSYHYDKVYRLDDGKWCSSAYVCNHLGRPYVPPKCDA L QC762_208010 MKPSIIGLLFGAFALAGANLLNPLPPNADQRAIDFQPYVDADTD ACDPTAAIDRDGYTLNEGLVPFKNGNCRKGRLARSQTYVRTRCNHYWCAYLYGYYFEK DEGFLGGSHTHDWEHIIVWALHNEIFFVSWSAHGNYTTAHRSGVLFDGTHPKFVSHRG WTTHSWRRAEKKDDPPENETKKWSQAPLIALEKMPCEFNRRLLNHNWGRAHMDLKRDR FGDALNKAIPADAKNNEKFDPYSDS QC762_208060 MASTTTSAALDVIRGAMLDAVKATEFTPEDLQRIFKELGASQEK LRAIMNVWFGPNGGFRPTFVEEKGHPFSGLQDVEILTTSDLPSVQTPDRMFDLETGNL VHRPAIGERGQYCMLSHRWKGAEVSLGDITRARGKYLERAKAGLQTMGTASQKSDVQM LLEQCHLDISAQEAAVKELYLATQSSGTLETFSLANLLDRRLKGKSAEGYLNWAKGEE QKKRAELRFAEMESKIFSNLISSTRRAIDDAGGERLQMPPVVQEKKEALDKAKKQVEI AEKHYTAAVGEIDYFKNHFMLRDAVDGIIPLLEKWKSAIKIDRSIKKAGEIFKTKLFR RREKCYLWNDTCCINKMDFGELSQSLSLMGDWYADAEFTLVQLDSKVLQDDEWDASST DAAQDWREFQKEHGEQPEPYLKDLPLREKSTVQGFEHIVDWKPEWSTRAWTLQELVMS KTTFYVNADWATISRPVESLGYLYHLVPFIALYTQRDKQNFFALGDGTLTAEVLRDLL AGYVPDEMKYIHEWTEKEHTSPPNRETGGAGDVMSKAKIETAQIERAHLLIMLLHNLG VQFPGDLAMETATSQLAHVVYNAAVDLVEGGEDDPKTILLARLTHHVLKKKVEIGEED ALNAINFVLRCLVDETLQLVLDDRKYVAKFSKVEKLGDWQDGKKRCGFSAENVLEASG CRRATVAIDRAYALMGVLGVRFPTFPAEGYATALARLLDQVIITHNDVSVFNWTGMAA GSPVRGRSLYPSSQQAYGNQKDHGKHYNLQLSQVTQGKMDEIMKTYGETICVLRRAID AVKDKDQKDIPFDWIVGVIGVVRGSDFHQLKERFISVGKVVAYILKHCVKKVAEKRAV PGEAPASGGDGTVLERRGTGLTGGLTKGFSFSSLPNPTMSLPSPSLSLPSPSLSMSGF KIGIGGSKKEEPVVESPKKASRLSSFTKKSSSFGIGRATPDPAAESAPSPIVEAPPPV PPTPQALTNENVLSDGPSRPEWVPYDSEVKGHLEYLSASVEDIQERNLQPKELPKTIL DVDHKSVLAELDQHSKKADVKTRLGFGFDFDESSTICPNPIIVNNSGIESLFDIQRVI VTFLDCDRLRARIAKAVTPKDRISGWCSISTGFARVISGFSCEKRLLEQELNAVEGIE SRVLKEQHKGKAEKRSAQIGAEVVASSISPANGGETLERGQEKKKGDSLVDIDKETDE ERLVSRMIEFIQEPQLELVAGEWVLARFSGVPGAKWFLCHLELGSARGKFYGHRIPTG EIDFTNSTPEPGLVKAWQVYMERKKSKMCHLLERYLKSRENAKHGEAKLKQAKKTLAD VAAGVMPEGHSMDDDDEEGTVVDKDSESEDEESTLFDADEKDEEGGTSWRKFKEQSKE AARELGEFTVFLAQEKFWLWRAERLERKLSTAVLKRTPAILRTAVENVSDNKGLLPGM YHSAVGVHMF QC762_208070 MASYHRVLEVFGRSIDQKSLECDYDIHPWDILINSERWSGKVRL IGFVDSFFLICYSGVSRFEHGLLIYKDDEIIRNTLKSANVIAESLDLELQNPKRVKEN NEEPTYFKFVSEKGGLDYNITTLGHPVGLTTQYPASSLKKYDIKLRKGRDIVMRKRIR RGVTQHNFFGLLHDSVRLGVVTQAELIGTIIGKTIGGSTFEDVAGKYLDALSGAKGSR NLALSGERT QC762_208080 MMPSPPETEQECDSNDPVDILSDRDLARYIAKARQHRESDTIEN NTLLLTSRYITKFYTTLSHVKDVEVAILQAHALGIRAPELKRVIKAKDGTYECIMTRI QGVTLESCWPDLSLFTTIRLAIQLRTIVQRMRRITRPTAGSLGTGICRSFWLEEFYGV PSHASAAVVGQIVNFWCGFQSYGRERRKTLAEHRESCEMVEQDARGQELVFTHHDLAP RNIIVESGTSKLWLVDWDESGFYPRYFEYAGMRNLEYPPEWGWYGEWRWKIFCWIVAK FCSYDKQAEMLRDIRQKAGRFPGGRRFNIKAGVTSSERPVDD QC762_0040130 MTKISLLKAGILKNRLAYKDITTGGMDGIIKQLEAWHGKLPSDM QLTNLGNFNVSPHARWSIYHIHLLYLGAFMLVYRRLAAQTIRSIKAGDESLLDTNNST VIKLVKLGVEAGRDSARILGLLYADRGIFKRCWLVIFQTHTSCVVILHSAAQKMLHKH PESSWTEDMNRARGCLDVLRYCGTIDPVALRFLIRLSSIYEKLLEFGETCRSDRQRIE DWVPPPPDLVEDPAATPEPEVSSNTSENPSAPSEKWEYLLRIPKDADPDLAKLSVSLL YALCRPWGDPNARGTTEPTTVTQSCKSEMAERGLPSEKPNFFSSDVDWSREGASAPFR WDTRSMGICGISNKVTSKIVPPKMGPEVMFLGSEEPNGWSPAHDVEIYEDE QC762_0040140 MAPPPTTYQPIQPASAKRERDGVSSGPRSGPSSIFSGGSRQQTK RLKAVTQACHTCRRFKARCDGARPRCGGCASKDKPCGYEGEEGQSLQAAKQARLEALE KLMSALQYKSSEEAEELLQRIRTGDDPAVVLGGTDSGGESQSPPAAVAPSVTESGSGS GSSRLSGPVTSIAGSRTGSVDSRAPTSSSSTLVALSVSARLHDPVNLYLAAFPSAKTM WAGVQSFYSSSGKLFHVFTVEQVNQHHRAVFGLDNRPKLDERVSISCLAIVAAIGVQY NPNEFEKGTDELLYSAARLLYTDVLEFGPLLAIKVCTLLAMYNINNKGTVALAYIETG LTMCHRQSDSAGVCNADHLTEQEWVEFRKTWRTLMFFNSWLASTLGYISGADDSAFEK VVPLAENEVDIYTKELGESRSSMLPTTMILPLY QC762_208100 MKPLSRGPCMLRPSTSYASIQARNLSPQTRFTRHSSKMTSKPQP RPPPPENHTVELLRRHLTPVPPISQSSSTLTNFFAPFAYNDTKIILIGDSTHGTSEFY QARAELTKYFIQHHGFNIVAVEADWPDAEAIDKHVRPRSPSAPSLTQDSEKPFQRFPT WMWRNVEFQNFTKWLKEWNEGKDSKTEAVGFYGLDLYSLGKSMQAVVDYLDKIDPEMA KVAQKRYERMMMWAEEPHEYGLEALAAGFKGCEKDVIKILNSLLRKRVEYESMIWDGE EFHSGEQNARLVKDAEQYYKAMYYGRDESWNLRDKHMFETLNRIIKHRSRSTPSKAIV WAHNSHIGDARATSMGWSNDELNIGQLCKEAYGDQALTIGCLTNTGTVAAARKWDGDM QVMKLRPGLPNSYEQLMHATAVKNFVLDLRVGHCNEELIKALMEKRLERFVGVIYAPG TERQSHYSHAVLPEQLDGFIWFDETKHVGALEVHQPHTTVEFDDTWPFGL QC762_208110 MTDPGNRERGLRAAISNPRVSERAKQRDREILEQEFGESFETDP TSATTSGKKKASSEESIPDTSSRTFRASQTYGSRKTRSSSSGDLETSAPSASMSSTVD DSSIDGKDRGNVVRGLKAALTNPHVSEKAKDRDRKKLQELGESVE QC762_208115 MASSEPASQEPVGSETVEQPEYAAVSDVKSDVKEEEDKTASEGE TKLGETAEGEAQEGESAVTEGETQQEEEVEERKPKNRFW QC762_208120 MQSVLQSITGLGPTPVHPSNLDGKVAIVTGGALGIGFEVSRALA NAGCRVIMVNRKEEQGDDAISTIKSESPNAQVEWKGCDLGSLKEVREVFSDLRNSLDR LDYLVLSAGINTNQYGLDADGIDRHFGVNFLGHFYAVNQLWPLLRKTDKELGGKPRVV FEASEMHRGAPKNVHFASLEEINDEKLGPTELYARTKLAMILFAKYGLAGKVIKENGD GIIAVSVHPGAVNTAMQQQWKDAYPGITGKLLTWAMLSFGRDVEQGSYSALWALTSPK IEEENMNGWYFNDPDTPGKESAQASDEKLGTALFDLSEMIIKEKVGEDALVDWKECA QC762_208130 MTAISSSCRSGLIRAALLGLGVFQLHGLVEGQEISDSPPPDKFV RRGFARVALIGDYLYIEGGEVSQQVGDQNQQGSRSNYMNSTLSIDMSKSWDAKTVPIR IIDNKISGKPPARSRPAFWTNQQDGSFYVWGGMVSYRKDRVMPKFPELYKFVTDGSGG GKWSIETPSNPDFLRTVYLTELAASAATDETAFIFGGLAGEWTDLTVGWGRTEIATGA LAFNMKTKTFEKLGYEETLVGAVAEHIPQFNFGTKKQGVILIMGGYIPEKRVDGNSAI DYSSVSSHLFDNITIFDPETRQMHYQTTTGPTPPGPRREFCLAGFATKEGGYDILLFG GESKREPELDFRYEDAWILSLPGFVWTKAPNMPTQRRADHHCTPAGKRQVISVGGTAP GWREPDPAPQGLMVFDMPSMTWRFNYDADAGDYESPQVIKDWYKNGSLSKVKWSTPIL QQVFAPDSFGIPNPDSPDPNSQSSGQGHSIGAIVGGVLGGLAGLLALIAVGIWYFFYR PLKQKQKLPQTGSQEALAAGDKYLYTRTPVNIPLQEAEAGWKPAEVASNHGYAELSVF SPGLGPSPSVSPGPPQYSFRPAGPQSPMEMDGYSERR QC762_0040200 MLPVCAVDANRLGANVSRMPDVRGCRPLETATIEPTKFCTTLLN NHSGQLYGQTTDSFLTAKA QC762_0040210 MRLLERNDTGDVSLTGDIPDDQVPPYAILSHTWGDEEVSFEDVT DGTRKNKRGYSKIQFCGDQAGRDGLKFFWIDTCCINKSDCDEFQEALNSMFRWYRNAA KCYVYLTDVSTYQQDADSNPGWELAFRKSRWFTRGWTLQELIAPTVVEFFSEDRKRLG DKKSLAQHIHNTTGIPLRALQANKLSDFSFDVRMSWIKHRSTTREEDRAYCLFGIFNV QMRLLYGEGEERAFERLREEISKHDRCLSSLHSTDPRLDKKRIEEAKGGLLDDAYRWV FDTPDFRDWHDQSESRLLWIKGDPGKGKTMLLCGIINELEGAIVAEGHCRNLAYFFCQ ATDSRINNAIAVLRGLIYLLAQQQPRLIPHIRKYTDKAKSLSDANAWFVLSDILGGML GDPNLKPTYLVIDALDECMGDLPRLLKFIIGMSSTFPCVKWVVSSRNWPNIEESLEAA EKKIRLSLELNEESISSAVSTYIQHKMDELAGLKRYNDRTKNAVQHHLARNANDTFLW VALVCQELTNVSRSRVLTKLNTFPPGLDSLYQRMIDQVRRSDEPDLCKQVLAVLSITY RPITIQELAVFVDIPEGISDELEFMTEIVGLCGSFLTLRETTIYFVHQSAKDFLLREA AHGVFPSGIKDIHHAVFLRSLHVMSGTLRRDIYSLGAPGSSIDDAKLPDPDPLAAARY ACIYWVDHLCDWQASDDSKHPDVFQDGSIVDGFLRQHYLHWLEALSLCKSMPQGVLSM ANLESILQHRSITSQLPSLITDMHRFVLYWRWVIENYPLQVYASALIFSPARSITRGL FRQEERKWITSGPIVEDNWNACRQTLEGHRYHINSAAFSPDSKLVASGSDDKTIKIWD AATGSCTQTLEGHRGWVWSVAFSPDSKWVASGSYDKTIKIWEAATGSCTQTLEGHRGS VRSVAISPDSKWVASGSQDKTIKIWEAATGSCTQTLEGHGGWVTSVAISPDSKWVASG SDDKTIKIWDTATGSCTQTLKRHRRSVQSVASSLNSTLIASGSDNANPPCYGIDLDNR WITRGLENWLWLPPEYLPACLAVAALTVAIGCSSGRVLIMTFTPDS QC762_0040220 MESLPQGRFLTPQRLVHETMAVWFGSIQAVAGAITIALQDLCLH PEYLEPLRQDIVNHHLDFEHIAQGLPLLDSFIKESARLTPTEALSTRRYAVESHTSSD GTHLNRGDWACTTLIAINKIPEYYPNPEQFSGFRFAPPEVLESLSKMDQEGLAKQDTP SKLVDTDHKWLMFGTGKQACPGRFYAAAAAKVIMSQMISKYDMRMVDKTAKRWWSLRS TIVLREDLMVAFTCRAKN QC762_208145 MQFSTLLVSALAAVATASPSLRRRQADCPEVDAVPACGLPCIYT AAADLGCPDNTDYACMCGQWDALRSNAAGCVISSCGLLNAMTVLNAVQAVCDACVA QC762_208150 MLLRATALTLGATLTGHLASAQPPGIVIPPMMRFACSQLVVDRI DPLVNPGSVPSPHLHQIVGGNSFRPDMTHPNHDLVSNSTCTSCTFTEDLSNYWTAVLF FRARNGTYKRVPQHQEEGLRGNGGITVYYIPSTTITTPGTVKAFKPGFRMLVGDAAKK EGPDAGPAPVKVCHRCMPESGDNRNLNCASPDTEKLPSKPCVGGIRSVITFPTCWDGV NLDSPDHMSHVAYAKGAGAYDVGPTGNCPDTHPVVIPQVMYEVRWRTDLFSDPDLWPE DGSQPFVWSTGDEKGFSQHGDYVFGWKDDALQRAMDARCTMDVCDVLETQTPEEAVKC TVPPRVNEDYEGWLTSLPGEVL QC762_0040250 MAQGNSEVDEKALRAHPIPRSALVESQQFGKSLWGKTGKLTVKL PDATLEDYFLKMCHGESGEAFIFDACSFHRHNEHDTGNWRAPRHNLSGKAHIENYELH FPVSEPVEDWDARNQLYSLPYNLGNAIYVSGSSQ QC762_0040260 MASASVFSGGQTSWLEFPAEPSQEHQFSKQETSTRVRLECYTRT SDGEEPGTKVISSIQLRRWLPEILAQLGGMLCLIAIFVLLWRADNRPSEEMYLGVTLN TILAFLTSLAKVAFLVPIAEGLAQLKWIWFLSPVGRPAKHRPLLDFQVFDDAIRGGIG GVRLLVGFKGILASFGALIMLSGLFTSTLTQQAITYDVRKALSLQANDTAAVDRATMF STYDGNMLALTPYDTLREQRAIFEGFFTPSTERVTELRANCSSGDCIWPAYGSLAVCG GVANLSTVNNPALHDRLRKTTEKRLQLLLQTSNITAGSAGYGNFYTAIDKVFPVIIGL LDEPTGAFNQSITDLIVSDSFIAYTEEMVSTSSDADALAEMMSKVKYLELAFWWCTKT YETEVTQGQSVTREVSTLSQVTSELNNTLNVAWDPKFYPCYSTGQCNDTYGGAEFTLE RPPNAEDSVDFTINVWTSLTASMLLASTMLDSLLLDRTRGVVASNGGGSAKAFAFSLL GDFLTTELPPPEKRLADIQTVMHNAARSMTNLVRAGTTRLSRPESVVQGSVYAPQAFV RIRWEWMGMLTTQLVLTTLFLVLTVAATYMSRVQVIKSSSLATLCALDDETRQDIGGI GDGVDKLEQKAKKVSVRLERSRHGDIEDSAGRPALWLGTPKQERLEPRTW QC762_0040270 MSPCLLLSSRTDTFFAFCSNLSTPSPIPPISCRVSSSSAQSVAR LELLITCTRDMYVAATVRTRNKVVNTSCVLWADNVTHRFVIERAALCITVWISASRFS GGGNSVVKKSPSRENANALADPPPFEATTPRVLSSSNESSIVDASNMDAVNEVQTFIV KSTESSAFGGLSKVNSAPP QC762_208165 MGTKKATLAREVKKARMVFRVTPRYISSEDSESLYLPIRHSIPP SWASISGNHLLNWIEEITFVPGSSPSLVLV QC762_208170 MKAFTLLALAGSALALPASTGVLEQRQSAVQVTDELMYGISLPA FTARRNARNPPTLDWTSDGCTSSPDNPLNFPFVPACHRHDFGYHNYRAQNRFTESGKL RIDNNFRTDLYYQCSTVSLSGVCRGLADVYYAAVRAFGGGDATPGKRETHDELVKEYE EKLAIYNALVAQAEADGLIPAQA QC762_208180 MDAQAATEPRTHSDYTVGWVCALPKEQTAATAMLDHRHGDLPKP PNDHNTYTLGSIGNHNIVIACLPKGEIGTNSAATVATSMANAFPSIKIGLMVGIGGGI PPKVRLGDVVISSPVGQYPGVVQWDLGKAKEGGKFERTGSLNNPPASLRTALTKLETE HEMSGSKIPQYLEDLKNKWPNLALKYASCDHLKDPLDVLDDPVRSRRGCWALASMGSG AEQVAGTTVNTAGDRDGGKLRNIRVHYGLIASGNQVIKDATFRDRLDEEFGGHVLCVE MEAAGLMNNFPCIVIRGICDYADSHKNKDWQEHAAAVAAAFAKELLQYVQPSAVVGER PVKDILEQTLKQVHKETSATREDVTQIKSKLGKEEDKEVLDWLTKIDYGPQQSDYLKR RQPGTGQWLLDSEKFKGWLSASNQTLFCPGIPGAGKTILTSVVVDHLGSKFHNDPKIG IAYIYFNFQRQDKQTIDDLLASVLKQIAESQPSVPGSVKDLFDKHKTKRTRPLLDETL RVLQSVAATCSRVFIVVDALDECQTSESCRKRFLSELFNLQKMHGINIFATSRSITEI VDRFKTSISLEIRASTADVAQYLEGHISQLPSFVQQNRRLREEITAGISEAVDGMFLL AQIYLNLLYDKMTPNDIRSTLEVFRNRGQGRDEIQKVGALTSAYNQAMMRIDGQMPGC KKLAMEVLTWITCAKRQLTTLELQHALATKPGKSELDDGDLPCIGDMVSVCAGLVTVD ENSGIIRLVHYTTQEYLEGTRPRWNPNAELAITTTCVTYLSFTVFETGFCTTKRKFEE RLQSNPLYDYAARNWGHHARKAATSSQVVIDFLESKAKVEASSQALMATNWNRSYRRY GPKVPRNMTGLHLSGYFGVIEAADELLRSRPGPDLKDTYRRTPLWYAAQNGHEAVVKK LLAAGADVNTSTATSSGRTALQAAAGRGYLEVVEKLLIAGADVNTTVTFRGRTALQAA AEGGYLEVVEKLLAAGADVNAAAAICDGRTALQAAAGGGYLEVVEKLLIAGADINAIT TGSLNSQTALQAAAGGGYLKVVEKLLVAGANVNAITITFNGRTALQAAAGGGYLKVVE KLLAAGADVNAAAASYDGQTALQAAAKGGYLEVVEKLLVAGADVNAAAATSDGRTALQ AAAGGGYLEVVEKLLIAGADINAAASGGRTALQAAAGGGYLEVVEKLLIAGADINAIT TGSLNSQTALQAAAGGGYLKVVEKLLVAGANVNAITITFNGRTALKQQLEEAILR QC762_208200 MSTTSYRTATAGSQWPPSYNSTTAAIGANTDRSIGQPPDFDPPT PPTSRPWSPPVPRSEISTQPWPFSDGGGTTIVDRTVKKRVSATKWFLAGIVVALLIII AILGGVFGSRLATQNSSSSSNGSSGGDSGNEPGGIVSQGKPTAFPDPSGGRGNSGGNA TPTSPTDSSPTFESNSVCQGNICPSVLSVAQYASPPTAFLFGLGQDNAVWYRTTNGEK WLSDWESLGGDMISQPSAASLDNNLVDVFVYAKNNTIQTKRFYNGKWNDTWTELGKAI TSPPYAVACGDSQMDVAIRGTDGGLYRIYYKPYAGWSGWESHGGGLSSYPVIGCGPGP WRMAVLGFRGTAQPLFTTTWLGAWTGWVEAGGNFRGQLAIASRTVEESFVFGITVNRT MEYYNWTKTGDAETNNLVDLGGSFQSTPVVYVSGPDRLDVLAVGRDDRLKHKALIGQK WAEEWQDLGGAFNSTPAVVSLVRGKVSVYGLGVNGMLFHGTWKVGKEFEWRGGPEWLA DGGKLSLTGMKFSGV QC762_208210 MICADRRAWTGEPEKEMSGPVHRLFKLGTAPASGPQLDWRNFIA QKARLFDHLSHLPSPIAPGSVFAFVSISSYHGVNATTTIESSASTTSMAPTITERAAF LARLHFGVTVPLLALVLVPFCARIYVRIWPVWRLGWDDAFIIAGLACSIIDWGLLVPE MHIHPGDISMDEVREAVFVAYFAIPIWAISMTLIKTSIVLTLLRLPLKKSWRIGLYVI AAVQVTYCVADMQYLFFKCRPFHAAWDIMVPLRERKCPSLHTDIIVSSIGSAINITTD LLLSVAPMFILWNLRRPLRERVVICILTGMGLFASVASIMKAVVVADWRNVDDQWEMA MSIATWTILEQLISLFAACCPSLKGPIQALLSKCGVSLTRQNTNVSFVHIPSRMRENQ LRREAREWLGENDLQPPRQGPSELIAVIDEESERSKNNSSLVLPPLPGSKTLIPQFLL RRQKDGA QC762_208220 MSDPCKILVFASGNGSNFQALIDAVSSGAIPNSKIIRLIVNKSK AYATTRADNAGIPWEYFNLISHGFRQKGETDPAKLQESREKYDAALAEKVLKGDYKPD LVILAGWMYVFGKAFLDPLEAEGIKIINLHPALPGKYDGTNAIGRAFEDFKAGKLEDN KTGIMVHYVIAQVDRGAPILVKEIECREGEELEQLEQRIHSHEHELIVEAAAKVAGEI LDKKNKTQ QC762_208230 MGAINPQEDSYLAQVPVELLLRITRWIRTSDLANVRLSCKCLER NLFNFFAHEFFRKRQFMVSVQSLQTLVSISKHSTLAPFLKHVIICTDRVGNSWEANKL PAEKHRIWLRAKAEQNNLFATGLLRDMLAEAFAALPHLGTVDLRDFNSETRNRDNGSW RSYGAVTLEKSIGLRLETGVDNGQLMSDTYPTRVFTAIISALGASGAQPNTIEVNLRD KNWGLHDSAFAIPPPLEPKLALILANLKTLHLCFCTKDHFFMIHDFLTMARNVTWLRL NFNHQSSPHDRTELGPVLFKWLEQPESDTPLNDIDRKPVSFPNLERLDIGWISVAPQP LLTLITKFSPTLKHLCLRRVSLCHSERDSQTETNPWVAFFNSLKKVRGIKLRVLELSD IYHGKPKIAHWQDLITFEADQDGAKKPLWARKNWRGTTDRVSLAAMIKSVNNSMVCPW EHRYSGSDVDMDGDSEDDEDDFSEDDGHDEIDELEE QC762_208240 MSSPPQPCTGFQCPGGCTNQDCPSTWYPYTTQEYTAMFLSYYKF LTTLHYSPSDLKIPPPQGWPNLTREAGMPRYYKTDFAVEVMRHLPYLGGNHHYEYKSI MIDYSTLNPRTSMWFQPNGLGNYPKDDWWEHAWPYDLPAGQKPPRGDSLIPLTVGWES GGIVLILDVQRGKIIEEELRCDNKMYDAQEYFDMMTCKFRNLVRVPCDGRILGEFGEM KERQEPITEEEFLGQDPNEGWGTDLDKAYIKQLYREHGWPDNFLREECFWVVNDLMEM VMETRDDEWEAWDETDFVRARR QC762_208250 MPNSLKVSLVNNAPPGTTVYAYITGLAIQHSSRRVFVKQDSSLY FPSNPSQILQPLEEDCAIVLGSQSSVVTIPQIAGGRIWYSYDTPLTFLRNQGGPGGGA ALVEPSVLNPTDPNNQVNFGFCEFTLDPAQLFANISYVDFVSGIPAGLTLKERGGKVQ HVSGMAEDGLERVCQGLREQTKKDGWPWERLIVMKKGGGGQVLRVLSPTHAGAARVKF DGFFEPLVERAWRKYAQGVEGGHTNGHKEEIKAEKDGKRNRLSALFMGFKNKGQSQSQ QSHGAETGCEMHVDTQTPMGVLSGCIPKGKNELIIGGEAFSKPTTADILGCNSGPFTT GPSPKRNAIIPRLAAAFQRSCIADIMEHPSPPETFYCCEPTNHYCRIVHECNLDGKGY AFAYDDVQPDGGADQSGKVNAGDPEEFIVLIGGRGAYVGGRMP QC762_208255 MLDVPRSVLDASTSGTSTEPPSTTTSPPPDPTPQSPDPSAINTG AMAGGVIGGVAFLSLIAAAIFFLHRRLKKKSPPSPPPPTNQNYTPIPTNNNPTPNQQP YSPPTTRLPLPPSSTLPSSTPYLSNISPPIGGDPYFPPQYDPSKQQPPETQQQQQGYF LYGPGSGDAGVGLYPHGTPNTQNSHFESQYTTRPAQGQEPYQLYTPPQQEQPQLSELD SDDVAKGQSGNPAEMMGSCAEQQNRNRAEMTEKCDAERQSANPAETPIIPEP QC762_208260 MNLPSSFPLVKHQKLGCGAVNERSRHLVSTMAEPTNTPMPASTP VPASTPRSSSPLQQYSIPSLTPNATTATIPLWPSTTSSPDTATRKPQRPIVLHIGDPI KYNPDTYAEFNAAFEVIRPPTPERERNELIRALKEERWGHFSAIFRPFWGTGGEMGRW DAELIDLLPSSVKVFASAGAGFDWADTKLLGERGIIYCNSGLAAAEAVADFSVAMIIS TFRHLPWCMNAATFPYLMSSSDARSTFRECHARATAASHNPRGHTLGLIGFGNIGQQI AAKMGNPAFGMKIAYYDVVRKPAAVESELRATFYEKLEGLMKVSDCVVLCTPASADGR PIITAERLGYLRPGTRFVNIARGSLVDEEALADALDSGVVGAAALDVHMDEPSVNERL VRMVTGLGFDDRGQHPGRVMLTCHNAGGTVETHVGFEELSMRNILRVVRDGGEAVTPV NLHWLREKKGV QC762_208270 MSRSLPQIPSLNTGLNASQHQEDLSSLLGDLSQPARVRSCVTCR ARKVKCDKVSPCSNCKRYGIACVLAPYRPPRWARRAAPGASSCTTSPPSTAPPQTPVM SSLPNTNSQKADATHVMQRLEKLEQLVKDLGGEAAIRNANNLSKSPVEMTQKLSLDDT NQQDHVRSGIFSRIYDELDLLRSQTGALHQEDPNSSDSDPEDAFRNANTAATQVSSPR HTVFLGQSLGSSGASIYHLYPAPAQVPFLLGVFGENVNSLMQTVHMPAVKQLLLPKHD GAPPVLGPAQETLMFAIYFAAVTSMEEPDVAGNLGSTKDELTRKFRSGFEHALAKTNF LGSPSLIVVQALLIFLLLVRCNDSPRFVWMMTGIVIRMAQSLGLNRDDAKMKGLSPYE AEMRRRVWWGVCFLDARTSEDQGTEVTISHGTFDTKLPLNVNDSDISPDMTELPAERE GMTDMSNALYCYEVSNMMRRMMNPTADGIRDMDRILDELYSKFDQRYIRHHQAGEPDI KYWIGITVARLVVAKVRLILHFPALLSSPNKQDMPMEVRDKLLLSAIEITEQNHAINT QTEIKGWSWVSHSYTHWHAIIFILLEITQRPWSPTVERAWLALHSEYLVPSKSSLERG PRFWTPLRRLIAQARKHREAELERLQKDPVRARLLEEEDARRTPFASDGPFPDSLSAQ LFRERWQGLVAAKPVGISYSRPETSGIETSFGGANLGGFGHTNSNQAPSGFNLAYQGI ALTASPLTNPSNTPSASTAAFANIPPFPMPNMAIDPQPWMGVSPTLAGDITGEFQPGM DIDDNVDWWEWLGKAGVMDPHGDPGGDGI QC762_208280 MRLINTTTLRLESPWSTPIYAILSHTWGEDEVLFADICDPQQLL PVHKKGFRKVSQSCERARQDGYNYMWIDTCCIDKTSSAELSEAINSMFRWYHRADRCY AYLSDVNVPGEPSVEVENSRWFTRGWTLQELIAPRDVRFYDSQWCFLGSRQLLRGTPI ADISELTDLADTISKVTGIPVEILRWFSPKPDSQLVRRRSKRAGLDKYEPTHALDKLL RACSVGQIMSWAAYRFTTRKEDEAYSLLGLFGVNMPMLYGEGRSAFHRLQQEILKTSN DQSILAFERQFYSDSNSLLADSPRCYASSEIIQSLNNGPLLSGATPFFELSPSPKAVE AGLLLCPLIRQGKEDTTRYLAILNCIYRSDFTSHPAIILRKIDSKSRTFYRTPKSGLH RITPINDQDLIEWSTAVDGVSNRLRYDLNKVYFETIRLYLEPPQILSSISYGVGQPAS SSLSTPGMRIKLEMPDTMRFSSGAYPHMLQVTKNRVYAPSITLDSWPKRFLDNQEPMT NYLALFGTVLLHFEGMGAVALSWGKSCAPDRGDRSPEPFIAIMDWAKVVRAAHGKELE LFDLENLRLRTTAEFLYATYSWTWVSLLATKPDIRAEHDSPRIKVRCKIRTVEFLGRP LFELELSVLPQGRSSFVGMVRSSFS QC762_208290 MRISTVLSLASLATAAPVPEAEAPKFGIAPAGQGFFGAGQVRTL WNQGDHSNLGCLTNTGLWTTNESQCGTFVSKELTTGYSVKTFQLFTSAGPCSIYGAKF YCDKNATPFLFGLWPWPNSIPGVDSLRAGQYGLMATFGNNPPLKEEGPQEIHFVTYRE TGKYVWLTWAPLRGGPILTPVPIE QC762_208300 MSKGPKKKRVLVVGAGAAGMSCAHHLAQHPDMFDVTLIEATNYC GGQAFSIPIDKDKHGASWLNQGVQGGSYIFHHTMTMFARQGHVANPVKLQVSFGKGDR FWTNVYPTKLLEKHQNEIRRFVQMLTLTRWFEIFFALLPIKYLMKLFMFSYEFANAVA LPMVALFLGTGNYTPEVPTIILERLCTSPTYGMWYPPDKESIASNLPPMVVFPNFSQF YEDWRKHLIKNGVKVRLSTELTETLYRDKNGVVVKLIKRNPAPDNHNPSGAWTPEDYT ANAGPSAEETNEHYDEVVFCCLADTANRLLSKSRTKRERLILPRAIWSNDLTITHSDT SYMIKHYENFFTPDQAVTTLSGTDHSTRVAIARGDYGEMNSFKPMYYIKPYPSDMSKL EMSFDCTNYQAQFPPSVPFENHVFQTIFLNKERDGHLWTDNEIDQTKIIRKDWWHQLC HSWTHYLLVVPWLWLLQGRRHVRFAGSWTLINAHEVAVMSGIAAAVDLGAEYPRDLER DGWALLCFRLYYLLVYGRWYRRRNKSKTSTGEGSGWAGGLYGSVYKGPGVVDEERQMW RREKEGEGVNGGK QC762_208310 MEDYDVIEHSDTALGPEVVARIRDWLQPTDYTAESGEYYRHLSS QAPGTGLWLCQTDEYKKWHGSPDHGSLWIKGVPGTGKSVMAASIIGHLETTENCPVLF FFFRNIVAANYSPRALLQDWLAQLLPFSPKLQLALQSQLNTDLAIISNNDLFDHFLSG ISCVPRLYCVADALDEMNTDSRPFLDKFNRLATHRPGSLKLLLTSRPKQYLQSALRDT SIVHISLQRHLVDVDIVSYLNCRLEKLSTINNAVEKNQLVDLVAKRSGGLFLYAKLTM DQMEEALSRQDQVDICALEASLPIGLEETYANLLQKHRQESQVDLEFQVFVLETITHA SRPLRLTELANLAGCLSTINEPLTHWKQLISTCCGPLIQVLESETLQVIHHSFTEFLR GDGRNSPSGTEPSSAFPVINSTIAHKNVALRCLGYVQSLPLPSVKQRRSYREQSDDRR NVCLLHPFFDYAMENWVYHASRYDARDHGFFHTILCFLTPDNLAFRQWTVPPWYLDTG NPSEGIPIALHVAAHAGMYEFCLWLINEQKMSVSSIASDRRTPLHRAAEKGHGKVASL LIQYGSDPNPEDIYGLKPIHLAARYNHADVVSTLLQAGVEPDTPTTNEDDENPDYYDA GRDLRENRPGECAIYYASRYGHLETLEALIPYCAPSALEKMLCECCRFDRTEAAAAVL AHLSIPVDASYSGATALYFACGNTNTDLVQALIDHGADSKALSVLASLATDYGGPAVE EVYEDTDEGTELVFQSYWYLDAKQAPLHRLVQSWNYNNDAACQVILRILLDAGADMEQ LNGDGVTALLLAASFSCSFPFGSWTAPCVPALRALLASGANVKATCPNGNKALHYALG QDQESLEAMRILLEHEEGQQERRSLDTLRPPRKVASADLADSEALSSRFMEYFVRGGL DPSYEFYKGKTMLEAVMYHNPGLFQTLFSLCKDESLKQRCWFALRGKWPSRESCIQYL KIMVAQGMDPNITPKGGRPLYLHFYRYDDLLQALELAGAKASVVDANGNNVLHLLCKL GRVERSQLEHFIARGANPLATTLEGDTLLHLVAMWYGGQGNQPDLVQWLISLGISADA TNISGQTPLHVHLQHGESRHQDGHLLIHTELFFFDAVGSVNLEIPDKNGFNAIHLACT KSESDLAILLAAGASLFSRTADGQNALHLACRARKADVVSRVLGLLGDESSNTDGAFN MINKQDNGGRTPLHYACVSGEVETVDILLRSGANVNVEDLHGHTPLHLCAQFRTELAL WDLSGYKRDPDLGGVDDFYFPAMNIIVKMLLDAGADAKGCASRETATPLQMAIDIGCT EFIDAFYAQQNMSVNSGRTLSNDGLSRETARVDVASPLLNQRLDKYLDGSGKPVLELL LDNPSRYLQLLTPDDAASLINHGFSNNRHDGRYYQVLLEMMQSSEHLLLARQVSGLIH YYSSPDNLRLYLQTVRSQSTTNSWMWTWVGRYFGTRALTPLQIICEAQRPSMVLLRYL LGTLQVDANTRCIMVGRKKGELISGGTALHRLAVVDFRWKLDAIRYLLATGADINALN ESGESPLHVAACGGVKDYHRHGPDRFSDNRSLDAVRILLDHGADPDLLDEKGLAAIHK ACMLFDQSTSREIIQELLSKGASALVGVRSPLFEAIRYHNLPAFEVLLEHGLDVNTVY DEGPIIFPQNGNPIDETKPRRRCLLWFMAIVSCWPLARSPVTLSMVRIMVEHGADLYL PLNDEETVCNYLFEFAKREIVEALLQEPCVSRIDFNRPGQSGQTILMAACRRAIEWRP HDLKDGLKPAWLPLQTLRLNLGIKPDATAVDNAGQTSLHHLLQNKASTEDEILHFMRD EGVASTLLTKDNDGFSPLHYALKLLRPKVCEFLCDKGADILEPDPSGSFTLHHIAAQC VQTARSFADPTDGAWDWNPIPHSTTYFDDCLALWKRCLKEGASINAPDRDGNTPLHVF VVSPDAWTPHKYPRGEDEYDPVACHLKRYKQLFPPDSGVDIWASNREGETVLHRIAGH CVERRSDRILATHSGRPSDVKYMPHDKQLFEAFLKMGADPLKEDVKGRSALDVATVWE KKYILDLVALDGGS QC762_208320 MPSTAQAKVKQQPVAVASSTSKKAPAASVSKAVKKTTTSSSSKP TTTSSTKPTRTASTKPKDVTSYATSKTPAQARSAAKKLAPAVASTPATQSSPKPNFRP VTMTAHTKKALGVSSPSSVNKSVPIGQVKTTAAVRSSVPARPAPTAARVPAASPVMPK TATSQPKTKPAVSAAPVKASPQPPAITQTPRKKKYAPEAHIPTSNFTKQLSNTVGGVA QGVGRTVGGVGQGVGRTVGGVTQGVGQTASGLTTDALDTLNRTTNSLGRGDIYGTVGG VAGGVGNTVGNTTKNLGGAVGGVTRGLGDTVNQTTDGLGNAIGGPVGGLTKGVGGAVG GVTRGVGDTVGGVTRGLGNTLGNTTGALGRGDLGGVVGGLVGGLGETVGEVGKGVGGI LSPVLGGVLGGGK QC762_208322 MSRYDDDGGYGVTRAEDPYDECSPYRSLYEDGDRHRTVLSQPEW YYSEDLSSDKGKGKARVDTQPAGDSSSGSRSHGKKTADSKYGTTPVSTDVRDHSRGWG WSVPPSHRPLQYRHKGHAQPASTEKQTKLNPYTDANGTTWYPAPDGTVYDSGGNRYYI ADGSFYPCNLSQPAGGNNIDLQQAPNYGPVDYDQSVAGSQQYQYWTRGYAQ QC762_208330 MPRQARTLSVLARKMVSLSGWSFTAFPPFPATFLPNYAVWNATN ESKNLTYQIGVSWPFEWQSRDVTNKTALTMYVTDGNAHGLTAAENFKRRKGVDSAQPD SIVVTIGYPLSNHVYDLTRRFVDLRPPLPDDPASDPPLSGADDFIAFINGTLRPWVQH TIFPSVTFTRDALYGHSFGGIFVVYALIAYPGLFDTYIAATPTMIWNNGSLLDEVTRR WGTGCVQQPVLLPCANETEAIEKKPAVFITYGSAEQFPPRRRTETEEAFQERKTLWQS FKQTEVTQELFYRIQASRRVRDVTLKEYVGQDHAGVASSTIGDGIGYFVDW QC762_208340 MTGVRAWARRGLAFDPTATTILDGHEKAWRALHLWAKKTTGATQ SSTAASGEEVDITPAVQEQGPVKDGRDEVSKVTKLFHNVYRSFISPSARPDLPEGEQT LPHDRGVPRLQPGFGSSTRLSCLNNFIRPNIFLAQCTTKAFPASGFTEEIESEPTGNE AMSGYATISNLMPTANDIVPRATTFTNLAERILGYLATSNHVRWKGFVELYASVNYRF TGKPETLRGLLEAEVDNTLGYATTAHPDKWSFQQPNLVQGFIAIWLFTLARRRAAIRD VISNPWVKELMFRHEYIGPLREAIGPESELRYLRIIGTPGTQTDAVERWIGVTTTGIK TPNSGSITQHEAAEQRDLSPRLVKMIKYTVIGLPMRLDDTLNQKTMISSSNIAPY QC762_208360 MARCAPSRGQSKADDSNRVQVFHYEPDTSDSYSDSSDYVEQNAR SPSFLSRVFKPKKKWQDAAVQVYKRHQGDNLYIHKVRLQSPQLKKALKGLLERYGLVY RDDSVMVESLAPHRALFFVRHHVAELAKMSKDDETRAHCSLLSGIIQEIFKDKFEEIE TLNKKEKITFELLWTLYPEGSIFGTQLADEVPRAYKVNKITLTKEKVEIKCETIMFSG YFFRRYFWNVGIERFDGEIDRLQIPIIPYIDLECNRGLRDRLIQRGKKALDFQVPRYM EYDPEACRDDAVASPWVWKGSDHKLEKEKVVVDFFLVRKRVPYRFANELLPGYNTGTR MGAKKFRRATPEEMDHSRRVVMKSADNLLIMSPYVSGFSLSKRTYADFELDALKPIKR DWNAMEKVIFDDTKKAILKTLVENQKLTAQNQDGRNRALVISITGPSGTGKTLLAESV AAFTGQPLLKDWDIVRDTFEEARDWDGCILVEKPSLGPLPAQLDANAFVKELENFNGI VIATSPDRPFVSPAIASRAQLHINLRYPSFFERKRLWELFNDQLPNDVGKLTSSELEL LAAHPTNGYAIKNLLDVSAAWYRSLHRPISLDMIVKLREDTATPGGGGPPPPPPPPPG CARPFRPPAVPSGMGGRVRGPPLGPIGTVVYASPSRESKKKVRRCILVSDDDNDNESE QSISTLSSDDDDKGLESDSDTSRD QC762_0040510 MNKQFLTCAFASGNAALTEVLDWLTKIDYGPQQSDYLKRRQPGT GQWLLDSEKFKGWLSASNQTLFCPGIPGAGKTILTSVVVDHLGSKFHNDPKIGIAYIY FNFQRQDKQTIDDLLASVLKQIAESQPSVPGSVKDLFDKHMFKRTRPLLDETLRVLQS VAATCSRVFIVVDALDECQTSEGCRRRFLSELFNLQKMHGINIFATSRSITEIVDRFK TSISLEIRASTADVAQYLEGHISQLPSFVQQDRRLREEITAGISEAVDGMFLLAQIYL NLLYDKMTPNDIRSTLEVFRNQGQGRAEIQKVEALTSAYDQAMMRIVGQMPGCKKLAM EVLTWITCAKRQLTTLELQHALATKPGKSELDDGDLPCIGDMVSVCAGLVTVDENSGI IRLVHYTTQEYLEGTRPRWNPNAELAITTTCVTYLSFTVFETGFCTTKRKFEERLQSN PLYDYAARNWGHHARKAATSSQVVIDFLESKAKVEASSQALMATNRNLSYPEYALLVP RNMTGLHLSGYFGVIEAADELLRNRPGPDLKDTFRRTPLWYAAQNGHEAVVKKLLAAG ADVNAAAATSETALQAAAGGGHLEVVEKLLAAGADVNNTSCGQTALEVAAEGGYLEVV EKLLAAGADVNAAAATYSGQTALQAAAGGGHLEVVEKLLAAGADVNAAAATSGGQTAL QAAAGGGYLEVVEKLLAAGADVNTVAAATFGGRTALQAAARVGHLEVVEKLLAAGADV NNTSGGQTALEVAAEAGHLEVVEKLLVAGADVNTVAAATFGGRTALQAAARVGHLEVV EKLLAAGADVNNTSGGQTALQAAARRGHLEVVEKLLAAGADVNTVAAATFGGRTALQA AARVGHLEVVEKLLAAGADVNTTTATSGGQTALEVAAEAGHLEVVEKLLVAGADVNNT SGGRTALQAAAEKGFLEVANRLKAAGTLR QC762_208370 MKLSTLILAPLSLASAWKLELFASDGRKMTASGRDPNSGCKNIA FTPVLNVNRARFNKDTSLLPDPNTFELFVNKNCDGLSYRNGNGDHRLTPARKIRSYRV KR QC762_208380 MLGTGYRGSPDPHTLDTAFPHAGSSQPSAMPPFKERLGMRMQKV QSIFRPSKRRIAEDDASSQPPKQLRTMLGPTKKAVQYPPSLSAPSTSPAGSSKANELP STSPSGVSNTLLYPTMPFIMSADPPGSRRGNPINLDAPPSQFSRSNSSSQSDSSSSSL IYDDDDQHQVLNDEAIARILQEAEHEYKNQPESNPQIFSPVTEEETQSHLAEFREKYH RWKCCQCGKSNDMTADVLVQKTKSMLKTGKSFLGMIHPFTKCRYCGCESCSACGTRGT SLVRQRANAVRVTDAIRASWCCPEGRAFVIFSLLCGYERPTATKISTPASKEQPKQQQ KLQSPQQPPQQQTAFARGTGYAHDSYSFNPFKGQPQQFHNSKPTPESADAVSYFLTLA EVLPSVDKKEFESTPGLRVMIHASPMMRLACEILRSAAIGEMDARAGIIKAALLFVHR LARNWDAASCIFHEQIHFPPSQQLLMASMASMPPSRQAISSNQIKPETAQSVYTVVEK LSVVCRVFMDGSKSFGSADDGDEAICIARQVCDLQDVLHHLKPAPEDDKARPGPRASA STTSTVVTRSKNKELESMAAAAKMTDYHKSHGVMEIKDDILLANFYTGFQNQVVNSNG QRMRKLFAQISSLHADLPDGIYVRYGESRPDMLKVLIIGPKNTPYEHGIFLFDILCGS DFPTAPPKVQFLTTGGGKVRFNPNLYANGKVCLSLIGTWNGQGWVPNVSTILQVLVSI QSMIFVERPYYNEPGYEIVPNHAGSEAYDRNIECNTVYHAIMPWLQALGNSPSTSQYS NTASPADCQIWGDIALKHLQLQKPAILAKVREWSRKSSSRVSGSMVGMLEKALNGSLA VPEVPAVVTHAGTPAKTGQTGTALNQLAK QC762_0040550 MLLFVQSSTTSTDPYTARTFSFGPAMKRWLAAVAPSALAAAQDI TTPSIRIETRVPLNSRLCNVYVEHVQPVKGAVDFSYGSCQQLTPHDSHHFIATSTDAS QDRLVWTLPEDIFSGGCISAWSTTTNVLLGRSEVQHLDLHTMARRRHARLARRSNDPN SILMDNSTGVNVWGPWFDGVEVLKNAECSAIDSAAAKQKSIAIVGAGMSGLMAYLCLT QQGLTSVSLIEGGDRLGGRVQTVYLSGGPFDYSYQEMGPMRVPMTLTVANQTYNMSDH QLVFQLVEEMNMLNKDNDDDLHIDLIDWLEAGSRTLPRDGGSNRVGEVDGQQGRPDNQ GVAGQLGMSVEEIFDKVNKALPCEDFCVEMANNMFTAHRKWLEKGLFDLAGNQWSEFA FTAEYLNNNVNNTHFGYWGKGASSFWDKVYRSKSLQPD QC762_0040560 MMEAISTLPYTSACKVALEFETRFWEHLDQPIYGSCYIAGPDYP GIGSVCYPSYNINGTGPGALLGSYISNPEWTEKWMAMNENEHVQYVLDAMVGIHGSVA QNQYTGRSSRVCQALDPLEGASWADPTVGQHQLYLPEYFKTHNNMIFIGEHTSYTHAW IASALESGIRGSVQLLLELGLVDEAKATVEKWMARWVEV QC762_208400 MSSYKVSDYAGPTEMAHEGVNPLLEDINAPYSAGDFAWIMTCAG LVLLMVPGVGFFYSGLARRKSALALIWLSLMSIAVVGFQWFFWGYSLTFTHNTDASPF IGDLSNFGLMKVLGQPSVGSSKIPDVLFCLYQGMFAAITPALAIGAAADRGRMLPAIV FIFIWATIVYDPIAYWTWNPNGWSLVMGGLDFAGGTPVHISSGAAALAYSLMLGKRTG YNKVNGLPYRPHNVTHVVLGTVFLWVGWFGFNGGSALAANTRAVMACLVTQISACVGG FTWCLLDYRLEKKWSTVGFCSGVIAGLVAITPAAGYVPPWSAVIFGVCGGIICNFATK LKFLIGIDEPLDVFAEHGVGGIVGNLLTGIFAADYIAALDGSTAIDGGWVNQNYIQLG YQLADSVAGFAYSFVMTCLICFVLNLIPGLSLRVSPEVEEIGLDDAELGEFAYDYVEL SRHVNDVLVGGSAAGSVKESNETPTEKA QC762_208405 MHLTKALPLVGAIAFALAQDTTEPITITDTPTPIRTPLPSSVAD STTAIITSTWVPPDFSDAPWGDACIDEVKSILNAQPTPPYGQPLRDYFESSFSVWVTA NLATSSPAAGHTPINSADIVTLCSQWQTSRWVGQTVPASVTADHEAYKLNWSSWARNA GSALESAVEECKTVVYNGAMGQAVLAVATNQRQCSEGFSLMHSLKTAPSGAEVTSLGL GAVQTGGGDGGDDGGDDGVTSTTVSTAGAARETGYVVAVVVAAAGVAAAL QC762_208410 MATEDARYRDSSQYRLWSFSPTQLSALREKTNAAARARISERLL SHPLPVSTSKQDLSAPTSNANTPDPDGNSTPALPEFLTPAEELTLVGYYTSEILRASE ALHYADEIKATAAMFLKRFYITNSIMTYPPAEMFFVALFFACKVDTGHVNLAEYTKIF NKSAEEILAGEFLLCQGLRFAFDVKHPYRALRGAMMELASLPDMQKDLKRLDEAEAKA RKVLQFSPLMTDAYFHYTPSQIMLAALSLADRGLAERLIQETFHFVAAEGNDTPGGGG GDNNKGNEEKARVIGSQIRDKVLGAIEGCRDMLSRELPERKDHWLNKQVIKTQITPLR KKLLKCKDPERWNLVELQRVRREQAAKKMDSDDEDDLGGGKKVKKEEDGDIFGGDLGH SAKKRKMTVKKEEDPFGGPMVKKEEPFGGTKVKEEDLSFGRFGGAL QC762_0040600 MWGIFTEERPGRQRQRSRLCVVAAVSHVSRVCKWRSTKQSESFN FPSENPESSDRPTKH QC762_208420 MNIGKKFDRAFQWAGEKMGSEAKTTMSDDFKQLEMEMALRFEGM ERLQRSMNQYVKWVGRRVEAAEDREKGLPAAYLGRTMVAHGEEFQPDSDFGNCLITMG RANERVSAIQEAYVGEATTTWLESLERSLAMMKEYQAARKKLENRRLAYDASISKSQK AKRDDFRIDDELRAAKAKYEESSEDVGRRMQDIRDAEADSVRDLTQFLDAELDYHERC AEELRRVRQNWPGAPAPGGMSTYGSIERRPTTGRARSNTAQSFSERAPRVSSQKIYES DETESSAPPVRMPASRTGRIGLPVQPQNQQDGTVRPTISKASTFQGGASLERERIGGG RISGASTPSSVYGVNQNIPNVSNLRGQLRPVNKIVTTKDDVFGDRDDDTSDTGSPDWG RSSSPATSVGSASLTRTSSYPVVKKAPPPPPPSRSKKPAPPVPARREVGY QC762_208430 MSWAGFKKNVNRATTQVMMKTGHVEKTNDRDYEVEERRFRTMEA ASLRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGEAGAKDGVSRSYKQAVEDLDAET IKALDGPYRTTVLEPISRFCAYFPDVNECIKKRSHKLLDYDALRAKVKKLVEKPDKDV TKLPRAEKEMDMAKAAYEQLNEQLCTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDADTRDQYAEGHLDSRVEQVLQEIRELSISGTV QC762_208440 MSVRRILVVGLGNPGEAYRNTYHSAGNIVLNALRNQMAGTQPSF KVARHGKKSTEASIGPKYSFLQSPCVMNVTGTWFARAYREHLIDNGLSPAELGVVLVH DDLEEELGVVKIRDWARSHRGHNGIKSVNASLKAHPEGKWARVSIGIGRPVERERASV SDYVLSKIPRHARGILEEKGGSGLLAALMDLERKWEAS QC762_208450 MEEQQKRNIVIIGGGIIGCTTAYFLTRHPKFNPNLHTITLLEAT AIAAGASGKAGGLLALWAYPQSLVPLSYRLHKALAEEHNGAERWGYRRVGCGSITATV TRDDLLARTKTNPTPSSPVKGEQNGNGVATNSQNNDALPIQSSVAGDPAQGDKESDWQ KLPKQDEDATTLLKPSVLPQDLDWFDSTVVQHYQEMGQPGATETAQVHPFHFTTSIAA LAQQKGVDFRIGAKVTRLKYNSEKTKVIGLQYEDRNSSEVVDLDNVTDVVVSAGPWTG KILPRTKIEGLRAHSVVYEVDVTPYAVFTDIVLPTDFVPEHRARKGQKRKHKRNVDPE VYARPFGEVYACDPSIPLPETADLVQCDEDQCDDLTAYMGTVSPILASAPIKAKQACY LPRHMRFGTERDPVIGQSYVKGLWIASGHTCWGIQNGPGTGCLMAELILDGEARSADI TELEPKKYKV QC762_208460 MTSSPWTACKGQEYPLSNFKDKVILIVNVASKCGFTSQYAGLQE LYKNITAKHPDQFVILGFPCNQFGGQEPDAEAEIVTFCERNFGVTFPIMQKIEVNGDN AHPLFEWLKEQKSGLLGLKRIKWNFEKFLIGKDGQVKGRWASVTGPASLEKEILAELE K QC762_208470 MTATNGATNGHSSPRRPLPCGIYAPTMTFFDAETEDLDIPTIKK HAERLVKDGLVGLVTMGSNGEAIHCTREEKLAVTKATREALDEAGFTDTPIIIGATEG SVRGTIELCKLAKDVGADYALLLPPSYFRFLMDEQAIYDYFVSVADESPLGLILYNYP GAVAGIDMDSDLLIKLAAHPNIVGTKFTCGNTGKLTRVALATEAKTPWAEGSGYMAFG GMCDFTVQTLASGGSGIIAGGANVMPKVCTKVWNLYAEGKTEEAIALQKTLSKGDWVL TKAAIAGTKQAIQSYFGYGGHPRRPLKRLDKVKVTAIEEGVREVMEVERSL QC762_208480 MEPLNVLMVGTGEYTTGFVGTGGSASDKKVGVVGLSMFDLRRRG KVGKLGMVGVNGTKYPAIREHLHKNITLAYNNLDTSFESFPSDDTKDPDAYKTAIDAL KPGDAITIFTPDTTHYPIALYAIERGIHVMITKPAVKLLEHHIALLAAAEKHGVYVYV EHHKRYDPAYADAKFRAKKLGDFNYFYSYMSQPKFQLETFKAWAGIDSDISYYLNSHH VDICDSMVSQLGYVPVKVSASASKGVATSLGCHESTEDTISLLVHWEKKDDPSKHATG VYTASWTAPQKAGVHSNQYFHYLARDGEITINQAKRGYDVAEDAAGQLIWYNPFYMRY APDEDGNFNGQSGYGYVSMEKFVDGCRSVNAGELKPADLDKKGLPTLRNTIATTAILE AGRRSIDEGREVRIEQRDGNWSLL QC762_208490 MGVLRTAVKQFSNTYIILDGLDECPRKTGRGCLLKAIEEMRGWS DSRLHLLVSNRDEPDIRDYLRLATHEEVKINNVDLDIETFVTGTLRNNRNLQRVRQFH PLIQGALISRAEGSFRWVECMLTALESCPVVEAPVKSLFSSVPYPLCETYERMLLYVE QGFLEDARSILTLLCSAERPLTVAEVDGTLRMGLGAEDILLCCPGLVVIEHNQVRLVH SSVREYLQSENLAQAKFRASNFWVRNVPAQSQA QC762_208500 MWEDFELDAEQIPAFKLAFHIAQIVFAFVLWCLEIAVFRAESAK IVGNNGWTFAVFFLSLPAWIYLIGAPRFPRTRKIANPTVMVLVDVIFTIIWLSAFATQ AAYNSSGLCGDVCGISKAIVAMGVFVFLFFCATTFLSIWTLKYYQWNNRLPGYDRGDR GAGSDSQNIDPDKAAFSLAPHDEEAYAPVNIHDADDDDRPAPYGGARSDYSSDPYGAP KSDYSDPYSSAVGGGAANASTIGSSYQDNPFRRGEANANPFDDDTEYNSGRVSAMGMG GASTLGGSMNSRYQAPSVGTYDEEEDRTGPARFPQANYDHLHR QC762_208510 MGRELQKRKARSSRSKVKMPNRRTKALNPLGNSIIAQNWDKKQT LSQNYTRFGLVARLGKTTGGTAPNDKSKLRTDVQDPLAVQSYSNSGSLRVREVKVERD PETGKILRIIKDTNPLNDPLNDLESGDEGESTEKKEYEEWGGLAGETYEKSEVLKALE REAGREVVAKPRYQSDREREWLERLVERHGEDVGAMARDMKLNPMQQTPGDLKRRLKK AGLI QC762_208520 MTAPINTPSTGAAKDAASARKRRRRAPAGGAADDCFTCAKRNVK CDRRRPYCSQCLEIGNECSGYKTQLTWGVGVASRGKLRGLSLPIAKAPPVNQAKTSLS SIKSPTTTTRSRTTSVTSNGQWPTEQDERAVRGDLEMGHGRSPSITIPPFHHHPYDMS HMSPTESAPPGWTHIPFSSSMPPVDGPRFPPPRSLHIPVSTPGDMMMHREMIHTPLDT MSEVDYMSPIAHSFPRDDVPQYIHSPIVYDGFHNHGSPVPQSPTGGIMIEQPRAPTSC PSLVYGPSEPASSLQSHMSHVESLEAQLSRKLPHECDVMAPGTPDLDTYGSSVQSHHG SFWATSNADEDSLSCSVNERTRAPWANSYPSQSPSPVLQMSPDLATKMPFFIDYYEKS MCPSMVFIDGPNNPFREHILGLANSSRSLQHAICALAACNLRMKRKLSLGQHSFDMRE KSPVESPSDGQDQSLTEEYQHRNLAVRLLDEQLNDAEKSTQDSVLATILLLCHYRMAE SGVAKFHTQFAGVKKILGMRRMSPYPPSRDSAWMEALFTYFDAISASVNDREAQLNTS FYGVLPDAQLLPPGAENLVGCDRELFRTIIKLGRLNLLSQQRPVQNLLASSPLPRAND TSRSASPLGAPFKSSPLLSGPDHHHSLFGGLPHPINSSVRFDGNGFGSTLDDNDHLGA NSMQSPSSAYDDHRSAFWREWKEARIALQTWEFDSNRVRASLTGPPLPLPSLSSSPTS PGVGMGVGAGVNGTTPPPTATQIRDLNSLSEAFRYAALLYTERLASPHSSSTHNNFRN LVSQVVYYATSLEAGSSAEKFLLWPLFVAGSECVNELQQNIVRSKCREIMNRSGYMNN LAALEVLERLWAGEGVQVKDEYNRMRPGTAGQQQRGGPFNWIKCIGGNQEVEWIMF QC762_0040720 MDFIQTRLWCIEQVVHALAWGLAISPEPDHCAPLKRSRPAPQQG PEVNLKPLTITAHTAIFGGGHVRVVADFHCMQEPPENKSLTDNKTLQPADAAGRKRRP SQRSLEAREALVRTLKDGSVLSTARPSNDKSRAGKAAKR QC762_0040730 MYRIQQSVLRPHCLGSRHYRTKYLRDQDFIVYGLFARIKPTHTP VTCPPLSPNKKARIHLHINIAPEY QC762_208530 MPARANLRIPFAPSRTLFQQPRVTSYLPSHPLSPIADKPHHQRL HNRLSLRFHIPSSFFHTYSTLAPPLTMPKRKTTTTTTTKPTTTRQSKRTKTTTPSSPP SSHPTMSPLPKASSLFTPLPPSKTNRLLEPGPILLVSTGNPQTQTHNLMTLGFHTMIS HSSPTLIGLTLGPWDHSYSLLSSSKECVLSIPNLTLAKTVVDIGNISSSDLPPSETKW DRFKLDPIQGEKVSCALVGGDGIIGNLECKVEDESLVKKYNFWVLRVVKGWVNEQNFR LDGTAVGTDKGKMMHHRGDGSFFVGGEEVLDLRGRMTKWRMLQD QC762_208540 MPGFADSFWSNDYAAGLGVLFGKLQQGVVENRQLLTIARMRAEA EEIYGNKLSDIAPTVDKVQGGFNRDDGASVRKAYEGVRTEMEEAAKNHKKIAQNIRDL VVNPFGRWCEAHESRIQDSQDELQSRIKAHDKQADLCKKLRSTYFNKCRLLEDLEEEN KLAFQDPETSPKTGQDIPEIKVEPEIAEEEEPYEIGDETYTPDQVKKILEHMLNTIKM GETKVPILGTYQNTSAGTDIVEYLQRHMGSSSVSYAERIGQDLVTHGFLRLVGNVGNT FANSSKMHYQWRPKAFEMSGVPEKKSISRAFSMPTSGSDGSDSPVVGTVTEYLAKWDV LNTSRPNETPAERMRREAREADEKYKASVLKLDEMRCELEEAIFLHLKFLERCELDRL KAIKTVVLDFSGTISNVIPSLQATVDNMMLFQETVQPLGDLRYLLENYRTGSFAPKVV TYENYYNKVDDQTFGVDLEARARADRKRVPIIVTTLLTYLDHHYPDLEGDEARRGVWL HEVPLSQTHKLRALVNNGKPPALETFADFDIPTVASLLKLYLLELPDSLVSSHVYEII RTIYTTPTGNDSDAARVPILQQTLSQLRLTNIATLDACMNHFTRLIDLTSADEEYIVK LATSLAPCVLRPRTETSLTMEEKHAYRLVRDLFAHKDAIFNELKRLSTNNASGSLRAN PGGRPRAISTDESNRKAHMEERNRVLLEKAQGSRSRATSPAPGPRGHARDKSVGRPET RFPISTGLSSPTQAHRKRQSMGPVLPKRTSLEVPDDASMGLSHPADGNFLGGALPIVP QVQQVAQQDSEAVLEKRNSLGRSGARFSSGRRVTPASNRTETPPPSSNENINKRESSG SNGAQRGVALVDAPMDY QC762_208550 MKSDRYPKWAELEAGDFGSDSRSRRRPARAKRVTLCVVFSCLLL SYLFFPISGAGYIPQRPPASKPQLPNSIEERVKHILSHTPLIDGHNDLAILLRAYYNN HIYNDNFTKPFTKGGLTGHVDIPRLRAGMNGGAFWSVFWPCPSNGSDFSDSSYGSIVT STLSQIDLLHRLSSSHSETFSPIINISSPSALAAFRKNNQLISPLGIEGLHQIANSPS ILRQYHSLGVRYATLTHNCPNKFADSALDTLPDDPRKVRIAPPVHHGLSAPYGVDLIR EMNRLGMIIDLSHTSVDTMLDVLGGNPDKTNGSRAPVMFSHSSAFAVCPHPRNVPDRV LDLVRQNGGVVMVNFAPDFISCVEGGEGELPVFDGENATIEQVVRHVKYIGERIGWEH VGFGSDFDGIESVPKGLEDVSKYPDLVGKLLEEGVRDEDMKKVVGGNVLRVWGEVERV AREMQEKGEPVMEDELHSLW QC762_208560 MVSLFGVNFHEAKLVQKALQHFYALGPQTATRIMAKHSIHPRAK IGTLSPKTVTALTAELSTMVIESDARKIIQDNIKRLRDMGTYRGRRHAMNLPVRGQRT RNQNMTAIKLNRVERKG QC762_208570 MRTFQSRPERDLPYSDEFSSAEEYIESLLDFTTNSETFRFLCGG VHILDFFTTEPGVFVAAVPKEWQAFLLEKEPMVLLDFLMRDDLSSLSPNRGPGSPPES LVRYVKDIRKHSLRRSFQPSKPKLPILPRSVALGMKTKKVHEVTHFAGYIDRLAEDIA ETRGKDLTHFVDFGSGQNYLGRTLASPPYNKHIVAVESKEANMAGAKDLDILSGLAEK EKRVRNKKLYHRILEATDPSQHNDEEALKRVARELGVTDEELATIDLRSRKEMQATYT VEEGKGTIEYVVGRLEHADLTGVLSQLRGCEEEVDQDKLAMMAVSIHSCGNLSHYGIR SMVLNPCIHAVAIVGCCYNLMTEKLGPPTFKPPFGRPSLQPINARVAREAEKRDPQGF PMSEQVSTYNDDGVRLNITARMMACQAPQNWTEQESSGFFTRHFYRAVLQKMFLDRGV ISRIYHGEETDKSVFNTSTNPVVIGSLRKQCYTSFAAYVRGAIAKLTTNSEFNQYNEV ITEKMGNITDEEIARYEDEFRDRKRELSAVWSLMAFSACVVESLIVTDRYLFLREHSD IVQDCWVETVFDYRESPRNLVVVGIKR QC762_0040790 MASPLRSPSPSIIRGAAAARDRTTTAQQPACTVVGKLMAGWSCV DSAKKGKKEGWKLAGEKAN QC762_208580 MALTAQVHFLDGTLSLIHIPLSLYPTLLQPILRLLLPQSRGSPP DLNLDSLTLDSSQHGFLNISVTPLECSIVCHSSWAKTIFEPAIKQLPRDAAKTVIISK DDYVVFSVISVGMDAGSRVADLTSPLALANIPLFFITTYYSDFILVPVKDRQAVENTL LSRGFVFSEDNDDNFPFTSPYSTNHGRRASQGSSLNELPTTARQQPPPPSAIPELQER TFDNLKKRNVVPYVEQGLLLVQCSGRQENNMIGGYSERPSTFQTNGHGSRNRHSAHKP CWADTVDTKLYTGLVSALVSQPRFFSITLAQDDPPSLLLDRELVGIFGDSLVGATTEG GVSLVPIFLDLSSLPIEATGIVSGVAGTLVKELKEQYGVVAEEEDGGGGRRGRGSAQE EELELSFLSTVRAGAVILGEEVSIRAMEALRRVLVREGK QC762_208590 MSRCRVLSIDASARADMTPVPLIQLTRGPHPNFNHLSFSQLTTY TNATPHHPAHLQHILSPQSSPRLPTNPHQRPKSQQTMNEALQDEISALNSIYGDSTLL PSPTDPPTSSIYILTLPPLDPTSPPSSSLLIQFPPSYPDVPPSTLSTHSSNPALPKGT AARDLSLFRDAITQVHTPGQVCLFDAIESFNDLLTLATSPVPSPSPSPSPPPQSQPET PLPPPPWILSAPFTELKSTFVARTVPVTSVSQAKGYLAHLLATDKKVRSATHNITAWR IRGENGTSYQDCDDDGETAAGGRLLHLMQVMDLWDVMVVVTRWYGGQKLGPRRFALIN MAARDGFVRAGLVTEEKEGGRKKGR QC762_208600 MVTLENIQPSVQADEGTHTAFFYGTLMVPDVFYTVCYNQSNVPP EIKALHTFTPAILPGYIRRRVRGADYPGITPDKDHKVFGMYASGLTNANMNKLDIFEG GQYVRKTVEVKLLEKVGDVKGEGNVEGETKKAEVYVFHPDHEDELEDREWDLEEFRRE KMQRWTRAGYVFDGCDPNDPAKVEAAV QC762_208610 MFFTKSVLAVGGLATLSQAHMLLRTPVPYTSPALVQDPLDPTGA NFPCQARAGAQFVGTATPMEKGSTQIMAFTGSAVHGGGSCQVSITYDNPPTAASVWKV LHSIQGGCPARNQAGNILPDNAALEGVDNYEYTIPADIPTGNATIAWTWVNKVGNREF YMNCAPVSIEGPEGSEDALAALPDMFTANIGGDCTTVGADSKDILFPNPGSSVETNGD VSAMVPPTGNCGGGAAARAVRGRRAAKFAA QC762_208620 MASGTFEDIVRKLSVPDIELRVKVEAATALRDQLEHYTTGQVYP NFLKRLMPAFIIILRSPCIFQANTPEQANAQRLRNCVLEILHRLPTQPSPPEPFAPYA EEVVDLLMTLVRTDNEDNAIICIKTISDIMRHQHAVLGSKVQTFLSLIQDLFEQLDRV VREQIDNTSSTGPPGAPSTPGSTQAAFPPHQQSPRPGSPVATGGPPDFNADANQQSNR PLLKGMQSFKVLSECPIIVVSIFQVYRNTVAQNVKAFVPLIKSALSCQAKAQDQAHKD AAARGTIHTGVSPNIKNRAAFGDFITAQVKTMSFLAYLLRQYSQQLTDFLPSLPDIVV RLLKDCPREKSSARKELLVAIRHIINFNFRKIFLPKIDELLEERTLIGDGLTVHETMR PLAYSMLADLIHHVRESLTPEQIRKTVEVYTRNLQDNFPGTSFQTMSAKLLLNMAECI ARLPNKVDARHYLIMILNAIGDKFAAMNRQYHNAVKLSKLYALQTAGLFPETYLADKK HPPEWDEIDIFTAMPIKTTNPRDRAADPVVDNKFLFKNLMNGLKNTVYQLKSCNPQGS VDLTGAPHPWAEVAHGFTAEEVKVIIKLFREGAYVFRYYEIEKPVTESLYSSPVEFMA NFYMVSSGKEEKDLLETFATVFHCIDAATFHEVFQQEIPRLYEMMHEHTALLHIPQFF LASEATSPSFCGMLLRFLMERIEDVGSADIKKSAILLRLFKLAFMAVTLFASSNEQVL LPHVVDIVTKSIELSTKAEEPMNYFFLLRSLFRSIGGGKFEHLYKQILPLLEMLLDVL NNLLLAARKPSERDLYVELCLTVPARLSNLLPHLSYLMRPLVVALRAGTDLVGQGLRT LELCVDNLTADYLDPIMAPVIDDLMTALFDHLKPHPYSHFHAHTTLRILGKLGGRNRK FMTDALPVTFEQYVDDRASFDVRLIGSKRDRAFPAHLGIDLAVQKLMETPKPGKGLSS VAAKQYDAYYKKQALNLITAQVKLRIGFDNLPDDLPRLVRLQAQDLVKRNRAVDISAF ETTDRERSIVKKNEEEALLKRLIKALVFAESIPAFKSEVDGFLMNLARHFTIVEVGRA LVDMKRLVSPFDHKAGEGPLFLDSRVFSDAILDSLASENPEIREAAERMIKEVYNSAL TIFNDAKNVSRLAFFNTLASAFCHGCYEEEWFTKTGGTLGIKYLLNDIDLGDQWVVSK QMDFVRALMYVIKDMPQDLSEKTRRSAQVTLEMLLTRLTKGIKKSDCFHQQPSTPAAG QQPQPPTPQSQVNPKFARIPNIIVMLNGELSHMNRHVRETARRSLELIAKAAGAEVWE LLEPNKAQLLRPIFNKPLRALPFAIQIGFVDAVSYYMSLKKDFVPFDSDLNRLLMESL ALADASDDSLAQKALEFRTQHFIVNLRVSCIKILSSAMSFDEFGQGQNNPTRGKVVGV FFKCLYSDSQPTIEAANNALKSVLQHTTKLPKDLLQSGLRPVLASLQDAKRLTVHSLE NLARLLRLLTTYFKVEIGSRLLDHIKQIADPAFLQEASFTFFEQQQSMKVIAAVFNIF HLLPDAARHFKERVVDNVLDLEEKLRRTHLSPFRVPLYRYLNKYATDVWAMFIGKLDE LKYGRFMSQALRHPDSQELRAWGAANVDTIMKRCITAGQQNKDNKFVAIVNTINLLDA LCQFPSSNLMYWLDGKERIDWLKQVGKELERSLKNNSLPPFLRLPADQASEQLMSILT KALERKPEDLEPLLSLIESVTADDFRETQVLLSHIYKHIICSNSIDFWRAVVLRCLDI YAGRTASQRTKWYLIHNIVNPILAMDVMRHWPNKTTGPKFLDKSVIDSINTKIWRANP TGPHDDLSQPRIDHTRMEVLQLSAMLVKYHSGMLESFRKDFIKFGWTYIRLDDVINKH AAYVVIGYFIAHYETPVKIVTQIYSSLLKTNQNEGRSLVTQALELMAPVMPKHCAVSP GDRNPPWAMAPRRILAEESQNAQQIACIFQFLVRHPTLFYDSRDKFAMAIIQCLRKVA SPPNPSNESKKLALNMMWLIWEWEKQRVEGKPTEPPRALSESPNTKKRRLEDATGSSP SATRQPERGEYQIPALARQKMIKYLVEFIAQLNERYPLPSARPHAAATPSLPAPAPPS TDLCCKALTLLYNLLQPQYWGDLEVDLFPNVTDLVLVSDKAVAVLTAEPSGDKESPKF DDKFTTNIINTLQVVRTILNFKSDDWIQKNMTQIQRILEKCLKCENPEIQDCLHYDDG GYHGDLDIKPIVKRILDAVPEDVPMEDADADGETEAQTSEIITFLSNIATESMNAGNY VSGINILWSLGRRRPESIDQHIPAIMKSLQAKLARDHVSHYAFVAQTTTGTRPADGQS SATEMKPYDLQVQTCLILKAIEVTALRMDILGDNRRPFLSVLATLVEKSLHVELCEKI LEMVEGWVFRSEGTWPSLKEKTAVLHKMVTFEHRQDPKLLMKFLDLVIRIYEDPKITR TELTVRLEHAFLIGTRAQDVEMRNKFMSIFDKSLSKTASTRLAYVILNQNWETLGESY WLAQASQLLLGGVDMNPTIQLQSDDFRTLPLSALAGVYAKDSREPELIPDDKYEAFMA SHRRFISEIGDVRVRDVLEPITQLQHIDPKLSDQLWVALFPMFWSATAKEDRADLERG MVTLLTKDYHSRQIDKRPNVVQSLMNAAVRTWPDCKIPPHVLKFTAKTYDAWYTALYQ LEKAAIKPEIDSVAVRESNLDALVELYASLGEEDLFYGTWRRRCQFVESNAALSYEQH GMWEKAQRMYENAQIKARTGVVPFSESEYMLWEDQWVICAQKLQQWDVLQDFAKHENF QDLVLECAWRSQEYWQNAENREGLDTIIAGVMDAPTPRRAFFRAFMSLIKFYHKQESL QDFNRHVDEAIQLSIRKWHQLPERLTAAHIPVLQNFQQLVELHDASIICTSLANTTQQ NLDVKSGELKLLLTTWRDRLPNTWDDIVAWHDLVTWRQHIFGLINNTYLQLVPAQGQN AGGASYAYRGYHETAWIINRFAHVARKHALPDVCVTQLTRIYTLPNIEIQEAFLKLRE QAKCHYQNADELTNGLDVINNTNLNYFNTTQKAEFYTLKGMFQEKLGQKEEADSSYGT ALYYDITAAKAWAEWGYFNDRKFKDNPADLSTAKQAVTSYLQAASSYKNYKSRKLVAR ILWLLSLDDANGTIAGGFDDFKGDIHVWWWITFVPQLLNGISHKEAPRVFAILIKIAK TFPQALYFQLRTSKEDYLAIKKAQENKARQRSQQAAAAAANKPNGSPAVAKQEAGAAG AKTEGADGSRPATANGDANQVKTEPKEAGNPNNAAPVPAEQQAGHKKQPWELTEDIMS VLKTAFPLLALSMETMVDMIQKHFKCPPDDDAYRLIVALFNDSLSYVSRSPQLYAREV KLPQATESNIIKFAETILPAHIRSSFEADFVRVKPTMYEYIHKLRKWRDKFEEKLDRR QTPQPLESFAHYSPHLSEFRYQKFDDVEVPGQYLQHKDKNQDFIRIERFLPNVDLVRN TGSTHRRLKIRGHDGSMHAFMVQHPAHRQCRREERVLQLFRQLNQTLASKKESRRRDL QFTLPLMIPIAPTIRLVQEDTSWISLQAIYEDHCRRNGISKDEPIMYTMEKLRALMDN KGGVSFSRSGPPGHSGPAHPNQALTPQQKQEQLNTARLEVMRAIQEKYVDHTIALEYF QLAYPDFSEFWLFRRRFAYQLAALTFMTYTLHIDKRYPNKFNISRKTGNIWGSELLSQ IAQNRPIFYHSEHVPFRLTPNMQTLMGPLATEGIFAASIMAIARCLTEPQFQLEHALT LYVRDEMMFWFTSNRTTGLTETQLRETVQANCDIVVKKAVSLAQAPAANLPAHQTVID LISKAVNPVSLAVTLDPLWMPWL QC762_208625 MATTAHQSSSSSNNSNMEKFLRSWRQDAMNKAQYDSAIFIGDKL LAMTKDDNDAFFLAQVHFAAGNHTRAHSLLSRHSLINRNPACRYLAAHCLIKQGLYSE ALSLLGEHSPRHLFSRTGEEEGPRRKTSRTTGNNTTTKAGGDGKVKSRLRIHDGQQEV EEDEGRTTRKYEAAMCHLRGLCFAKQNAFDRAKEAYKDALRIDVQCYEAFSQLVKNNL MSPDEEDEFMSLLDFGSVGSERGEEEPGDYTHMLYQTQLSKYRHPMAFNTAVESLTTH YGLEGNADIMLARADQLYTQCRFKDALAVTERVLEGDRFNFGVYPIHLACLYELKRTN VLFLIAHELADSYPEEPASWLAVGIYYFATGKIAEARRYFSKASMMDPNFGPAWIGFA HTFAAEGEHDQAVTAYSTAARLFTGTHLPQVFLGMQNHAMNNMTAAEEFLRTGYGLCR EDPLLLNEMGIVCYHQDRAKEAAAFFREALRVAEETESESGAWLGARTNLGHAYRRLK MWEEALGEFDAVLREGGRDAGVFAAKGLIYLDLGRAGDAVEVLHEALGIWPQDPIATE LLGKALEMSMEGGLGLGGEGGLVVPGEEGEVVVEDGKAGEGNEEMDRFEEVVEQRKKA ARERVAGRGRNGGSLDKGKGIAGRGGGTRTGLARGQGPWNAGDMDMSEEE QC762_208630 MTTPHPIPRKLWEHPAPETTLMHRFMRSLNRQHNLSLSSFSDLH QFSLSQRSLFWSAVFEASDYLYSGSYTTVVDETATIDQVPVWFEGVGLNFAENMLFSR DPRNGQRSTKHKEDDKVAVVEVREGGSETREVTYGEVRKEAGRLAAAMLKRGVKKGDR VVIVGANSIETLLVYLATTWVGAVFSSSSTDMGVKGILQRAEQVDPVWVFMDDAALYN GRVVDLRGKMGEVVAGLGNCKNFKGVVAIRRFEEARDVRKVPRAMTLDEFVGAAGRNP TPPGFVRVGFCEPLLVCYSSGTTGTPKAIVHSVGGILINYFKEGRLHEQIGPDSVTLQ YTTTGWIMYLANVGSLLFGGKAIFYDGSPFQPDAKILVELAAKHKATKLGISPRWMFE LAKAGISPREMADLSSLETVSCTGMVLSDQLFEWFYDVGFPKHVQLGNISGGTDIAGC FGIMNPLTPVYVGGTQGPSLGVHVAIYDSLLPDGEPGVEVPHGTPGELVAVKPFPNIP CAFWGDKLPVASPGSKYHSSYFNRFPGVWAHGDFCVIHPVTGNISFLGRADGVLNPSG VRFGSAEIYGVVERWFADKIQDSLCVGQRRKQDADESVMLFLLMKPGHKFTRELVNEL RKKISDDLSKRHVPKYIFETPEIPTTINLKKVELPVKQIVSGQTIKASGTLANPQSLD FYYQFAKVEELVGPKEKL QC762_208640 MLPRLDLAAVWLAATASVASAQTYRRFGTCPSLGCVIPPDQQDF LPGQEFDIRFEVHAPKNGSEAFNNGVPDEKFTATISKDGGRPRSIADFFKIRSEPSLE KWTFSWFEDLFAEDAKTPSVVNVASKAYRRVALYEPGTYTVTLNYFSGKKTTAEWTVR PLATKKKAKNVIFFIGDGMTTNMITAARLLAHKTVNGKYQTLLSLDDFPVLGHQMTHS IDTFITDSANSASALYTGHKSTVNALGVYADTSPDPQDDPKVETIVEMLFRIWGSAWG AVSTAFIADATPIALSGHSRLRSQYGVLIDQTLNGVQNYSWTKTDGPDAYFGGGADQF IAGPGSYQGKDYYAEFAKKGYSVSLNKTSLLSAPNDKKALGIFCKNNLPVWIDRHIFP ENLEINNDPTGAAKPAKDLPGLKEMTLKAVDILHNRGGKEGFFLMSEAASIDKQMHAL DYDRALGDLLELDDTIRATIEKLKKLRILDDTLIVVSADHGHGFDVFGSSDTKYAEAQ EDERSKRNAIGVYQNSGLSQYTEPKPGVSYGTGANFPMNWDPRYVLAAGVGAAPDRRE DFGIGATPRAPTTSRGGEAYVNDKDRPNGFVVNGTLPTSESQGVHSLTDVPVYARGPC QETFGGTYSNIDVFYKIANCLGLAHGRNVTEGAGKRHGKGKGKGRD QC762_208650 MEGSGLWLNQRNFKIDSPRPNSSPKHQPLSNPGLSGSHTFLTIK ATSQLSKTKMSGIEVAIFGPRDGVAAVKAVGEKLNGKTRLELNRTGSYEQNGLTPSTW ELEHLNASLDHAGLQDVPVRIMIRPCGAPKLGPDFVYSDAEFEQMKSDIRKFKESKHM SRERGDGFVFGVLRQSCSVPQMLVVDRVRTAELKHLAGDDFKCVFHRAFDLVISTSRD EMWVDDLEWLKTQGMAVLTSGGCGNASNNTKALKQVLIETARIGQELIVGGGVRSDTL ESLGNGMGGLGYIYALTSLHSVGMVLHSSFLRRDPSNVVSFDVEEARKFKAKLYTLIS SQGCAN QC762_208660 MANKVAKIPVIDLSGENQEQVAKELVEAAIEHGFIYIKNTGKDI PADAVHGAFDMGRKIFKAPLEEKQACTIQKNNRGWSAMQYETLDPSTQRVGDFKDGSR AFNFGEFINGKADQPMPPTIAPHESQINDFRELCYNLCLKINTLLGIGLQVTPPDFFK NAHVRERGASGTILRFLYYPPHTDTPDANREEDVRAGAHSDYGSMTLLFRLKGQAGLE ILTQDGKTWAPVPVVPPGTENDPSPPILLNIGDLLSYWTNGLLRSTVHRVVFPGPGKT SVAGETDTEPRYSIAFFCHPVGTTLLEPVPSERVRNHEGDAQAKQGNPYAERKVLTAD EHLHMRLKASYLQLYKDKE QC762_208670 MAASPSSAVAMSEVDASSPPPQHHHHIEKSFMTVVTDDDGDTKM ATATPEPTETQPRGKKRARDDGQNGNSIIGKVRHLKKNDGEPLWREDIQYDFLKAVFD NEDKVFTNSYEPQRLGKQCFADLYIDTMARSSKTSKVLRDKLLSDRAAAKGMAMVCLL VNIGRMNTTLNFFPEMRAQLRTYHAIPSLQAQADSNAYKQLQDAPRLKSILKGGMEDR TEPNSLDAVKAVNVPRTNPVNLLFLICSNATKVAELHFPPGGEFHDLIMKKQFTSESR ARAFLWIMWFYLESDFTEEGCEENPFGAGVDYGVDVANQGVPQLVLMSEEEMALENVD TEEEKRFGREKQEMRRKIIEADQQFMAESQTKRGGRARALAGEEVGPSTGILPRIRPS KHESDHDSVRSTPPRALLGRPSVGASTGRRGPHSLKYVVDGSSPGGPQLEGIVARKPR PPTAHQIAVERNRNQRVEYILDRRLRKSYHQARKIRRVDGAIIHALQRLEQLDDEDPW EDSEDEDTVKIHKAAIENAGVNVDTHHFRERGYGGLCQLKNETDDFGEQFHAYTATLR RVSRRLTRWENSDDPNLGVIAPIKRPKATNGTVNGDGSDGEGDQSPSKELIDPAETED EAEMMSGRQTKTVRRPKANGLNRGDTNGDTPMEEADDLDDVDRGLLGLGDEDEGDGQG DDDLDDVEKTLLGLDGDSDSE QC762_208680 MTLSLSPAKLVLLAVHFAVQADIDSLAVLAARHDTVLRKDLVLR ILLTYLPETLQSNEYVGFLEKIEKGTLSENTNHDVDASSVETLAEGDATKRVRKLRLL PLTFKDTPKEVGDDPITSFLVRRSYKVDEEAGLLAELPTLLLPFMDQSAYVRTLLIST ILPLLRRNCEYHPEDPIQYTLSAFQELPDRVAVNLLLSRTGAREEDLPVVGRDLRGLI GPWLVDEKKWKTRRRSIVSPNGEEDDGICAGYDEVLRWLTTQASKNWRVAVNAIQQWG GPGDADLAGWGKLELTEQQQDRVQRTYAQAALASAYVLPEASSEAIEGAYSIVAQVAN LRGLDPLPPFPSAVALLPPLAEQISENIISTKTATFMRNDLLASSNILTAPDNSAVAF LEALILSAHLLTHAGQACTIRRAGELALLQDERDQKEQASKLIHHLNHNGPKTDDKYW LGARNEILWLRDWGAEEVSLEATPKGVFSKLKKEFLEVEILKALLFNTRYSLARSLYE DAPDRPLDEKVLQDTVYATAMTAYDNASNPNRTRGGLKKCDEIIKAFPSTLPKSHPLA QKVEALLQATHALSEYRLVLKQGEPFTPIVLRVHSDPISIIGKILEQNPKSYTRLQDF VDLGNRMVQAGLVKQSPSSSHLSSLPHPPEQVSQRITAMCIDAALTEEDFETAYSFVV TRLDSPATGQQKDDYSWRVALQAGKYRRTARTTKPTHFGTGSANEEIRHLEQRIECLS VALRIAPGATLQEILNVYRRAEEELEVKVRQEEEREEEWDWRGDSVSGTNMPGGFNST NKVKQARVGGSSRGRDSKEEEAPMSLFDLARATGLTAPRNLSALSGLQRAAGGALGGL GRGVVGGLAGGRDKNGSERGSMDSARSGGGEVVSPTGGSHDGEGGQQRARKRDQLKNA AMGTLVSGVGWLVGAPGPAAAGGGNGRE QC762_208690 MASGYGVNGGPSRCFPFWQELLSCYVVNTTEFDDSGKAKCAGHM EDYYECLHHRKEAARVKALQAAYRAAEAKKLKENPPNATQIRNLGLLDKEDDTKKVLG SS QC762_208710 MPSLRGIEISISTSPDDERIPEYPHPEGSRCPARSFSQTVPDDI PKSLATTFHPTPAQYHKYGPSVSVYIPSVSGTRFSINYAVNAPPPSPCKFVFFRLYMN ARPISAWGIEPAVKDNGRVVKSLWAPTSRYMDQVGIESRNFVFLPGQEHKSVAEDGGL IEIQAFRAKARRARAPKLEEYRLQDNYGIAAPSVGLVEQPQDMCYYTFILMDPKESPF ASFRFHYRTWSNLEQLNLIPTKELDFLRNISPNTKRDSGPSIDSTENGSSEEVRSPLE NSDEAVFDDSEEVEQENVLRRKPSVYVLNTPPERFQVSTSKAVLPQPSKALRDCYRES YLQRPLPELPIHQPSGRPSRRSSAASAVSAVSGAPSITPSLCQHIDDDSFSLDNTEFG VAAVAKRVRSPESVRQLVTPEADENIDVGNEEEYSISNYEMSPLSTNGSITESRLSPG GYYPMTGSKFDNGLASFSPPHRHQAAYPLRQYTRPPSMSFFHPQQENHHQNIDQLITQ QETLTLTEAQWMSRSPSPPQTTQGGDDGRRRVWSPKAAERVGSREGLFSGLKKKKFSA SPRKLAQMVRRREVSVGNKGETGSEGSGERVGNWI QC762_208715 MIPTDKTTHIDRTAHEPRDNSLHELLITTITPITPTIRLFHLTP SQPSQPPISFLPGQWVDLYYPPFPSCQKPGGFTITSPPSHPHMELAIQQSPLNPPAAY LWQDPSTLLHTPVRIRIGGSFTYPPQIFSRPSEQQPLLSSPSSSSTPPGFKKLVLVAG GVGINPLISILSHISTTRPQPEITLLYSLKDPNSKIQSGDTSQALFLDRIINLFSNQN DPLKGNIKLFLTTTGGPNNTNNISTNEITTKHLSIPFEKRRISLSDVSNAIGEHKDDV AVYICGVPSMTDQFVDGLTSPSPQGLGIDKSRVLCEKWW QC762_0040960 MSFWIVSTARTVSSSPSWSNQGTRKIHQSTLHLLRETRTKLEPG QRPC QC762_208980 MSLRSVFKSLNPWGAKAVNTLDSSPKKTTERPQSAHSNDSRETP RAKRQKTSRDTEVIPRGSQESIEEFPSQPIQSRGHTYSPSISPSPISSHVPMWNSHAM AEYQGRGNRRHRHRSLGSNKSQSSAEDVPSAFGQKYTAPDNQEQTRSKVQSDAADLEI LRNISAHLQPAQPKGKKRLKQESHEDDELAMGHTPNDSKKRQPGPSVSRRGDIVPTQF PRKAANGREDCQSLGDSERFTVSAAVCYRNYYYVAGEKGGTDACYMQAHHDKPQAELR AFTQDGNPHGTQQWLKLTNKIKALHFHPSSSLIKVTQPTDTSLDIGKLLVIKFATPQD ASSVARWATRVLKLHAIYDKALGEINRDTPWSSAGIVAGAGSPNAQPPDAGKARGTSA SITPSQVSPSNKPRTTIRGSMQVSEPATPQPVATYGRRSLRSTHGSTTDATSAPIDVD LSLSPETPPPPRWSLQNRSWLEDWKTPLQFGRVQVTKDDIPRLDEGQYLNDSIIEFGL KYLFEKFTDKHPDLSKRVYMHNSFFYTSLTGDGGNQFKYENVKRWTAKVDLLSYDYIV VPINQHFHWWVAIICNPGKLDPAVRQTAKEAEATIPIDVEMTDAPKLVTSDVVDKATD GKPGFRPSASTQPKQRKPAYSLDDPRIILLDSLGSSHGPAVKNLRRYLVEEFEDKRGR RLEQGDWPTRLGMKATNIPQQSNLTDCGVYVLGYVQEFVKDPDTFVKALLSKEPHEWA LSAPLLRTLWRDTIFYEKSMTRTEPGRQQNAGMIYPMSAERMTKLFAQSAKLSTSPSR DSAVGRREESRHAAVPEPLRPVGMAGEPAQGRIGSPVEAPKPAKTAKEPAGRMIPTEG VQEVTKPMDLVVNTPLIPSIEDSIEDSPTPEPPQITDLTTLVEPGFSKHVPKLKERPA SKLGTSPTRQSSHAEDDEVMLVPLGRPDSTLFTARISSSPAEAKKAVDTSVQELDAKS FYNKSATPPGHTKNAKPRQPTRQVALMSQSSPAQAPNPKRADAGSTSTGTSKRPHTGS TPTGVSRPKRPGASSPPTTGSQSRYFNGTPSPGRRQRVATNVGAAYTSVGFAPTREAT VEQNLAAVKHHEPINIDDSD QC762_208970 MSSFFTTSGAQKKRKRPAATEVPKKRLATTKSSSKSATRGPTKP TAAPKKKKDIERDEDISGSELDTENEDDDIVSRSGSDDSDNEGETAAEKRLRLAQRYL EKTRKEVDELQDEYAFDAEEIDRDLLAERLQEDAAETKGKVYRKLAPELDFPHADPIQ FRWNSGTVTSVSVCPPYAYTTTKDGYLTKWKLQDLPKNQWPQTTRKKPKKPPAPPKRR PERIAFVKSQPLKAKDKTFQGHTAAPITVKASQDGKFVVTGGLDRKLVVYDAETLKPI RAFTQHRDAVTGIAFRRGTNQIFSCSKDRTVKVFSLNELAYVETLFGHQDEIMDVDAL GQERCVSVGARDRTARYWKVPEESQLVFRGGGEGGSTNTKKHKLPAGLNPASAAHEGS MDRVAMLDDEIFVTGSDNGDLALWSIQRKKALHVVARAHGLDPALTPRELSGGNPEDF NPKDIPAPQPRGITALRTVPYSDLIFSGSWDGSVRVWRLSDDKKKIERVGVLGQPIDS EDSGDKEEKSLARGIVNDLAVFERGERGKDGLCVVAVTGKEMRLGRWKYMKEGRCGLV IYEVPKNVVDKKKEEETNGHASGEE QC762_208960 MFEVPDAKRVRREDLYSSSDEGEVHRYISSEQDASLLRQKLSSL LAINLAAPPQDEAEGDVSMADADQETQPPLENEENQEEEFSFRLFSSAPTQKVVLAPK EDELQASTEEIPFKERPLSYYIQEPFTPEQQEQIRHSAMSAQDILALSKQRAWGLEVP WRVTKIEIVATKKPTTMTAGGKIVEEGDKKKKRPGKKTRIKLRIREQKRKEEESKKLT KEEHLKEKKKRLNREKKLKRRQKEKAKKAANGAAGDQDGADKMSEDGDSGEE QC762_208950 MEVLLGITGKDFTLIAASKAAMRGATILKASDDKTRQLNKHTLM AYSGEAGDTVQFADYIQANAQLYSMRNESDLSPSALAHFVRGELATSLRSRNPYNVNL LLGGVDPITHKSSLYWLDYLASLAPVPYAAHGYAQYYCLSILDKHHHPDITLGQGIKL LTLCVDELKRRLPIDFKGMTVKAVTKDGVVDIQFDDDKVVKAA QC762_0041010 MADQLSGGMGNLSLDQAPAAAQLAGQHPGGRSYIPPHLRGKIGA NAPAPAMNNGPAPGAMNGLNNSAWAGNNSFDARANTNFGPTPGGAASYESAPPPQQQS WGNRQGGFNRNAYGGGRSNVGGNMGGGGGPVGRGEGRWSDGQHIIGNPDPRVERELFG TADDPSKQHTGINFEKYDDIPVTPSGHDVPEPVLTFSHPPLDKHLLSNIELARYKIPT PVQKYSIPIVIGGRDLMACAQTGSGKTGGFLFPILHQSFVQGPSPIPAQGGGGGGYRQ RKAYPTALILAPTRELVSQIYDESRKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLL VATPGRLVDLIERGRISLCNIKYLVLDEADRMLDMGFEPQIRRIVQGEDMPPTGQRQT LMFSATFPRDIQMLAQDFLNDYVFLSVGRVGSTSENITQKVEYVEDVDKRSVLLDILH THAGGLTLIFVETKRMADSLSDFLINQNFPATSIHGDRTQRERERALELFRNGKCPIL VATAVAARGLDIPNVTHVINYDLPTDIDDYVHRIGRTGRAGNTGIATAFFNRGNRGVV RELLELLKEANQEVPGFLETIARESSFGGGRGGRGGGGRGRGRGGNTDFRKGGGFSGG GGGGYGGGGFGGHSSGGGGFGGGGGGYGGAPPSGGFGGGGYGSGGGGGGYSGGGGYGN PGGGGGQSWW QC762_208930 MPPKKTTNQKAAARVPRKRPATTDPYEIPEEDEIEPPKRQRHAA TAAADTDDATVSTPSVSRLKKTVATPIKLNGLNGIDTPSRKNNADRSARRKSARALVD RVISGAISDDEAEEGDIAREIYESSEDEEDEEGEEENLNGETEPTTTPSKFAPGRKRK TTTTRTRKRSPTPPRDLPPHEQYFFQNKPGLSKTSNNTLSSLQLLTHDEYFSLSRDLS SANPHRTDITHLASLHVSSFPQWAFELSQSFSLCLYGYGSKRQILHKFATYLSSHPPC SLGQANKIVIVNGYTPSITIRELLCSLASAVSSPTTTLPGILSHLTTHPKTTLTILLN SVSSPHLRKGSFQSIISTLASHAQVYLCCTTDSPDFALLWDSAVSTNFRFLYHDCTTF CSYSPSEMEVVDSVHELLGRKTRRVGGKEGVAFVLRSLPENAKSLFRLLVGEVLCADE GDGQGQGQGEEMSVEYRMVYNKAVEEFICSSEMAFRTLLKEFHDHQIITSHKDALGTE LLSLPFRKEELEGILEELTT QC762_208920 MNLNLSASLNIFKLLAKPSLCLPQATVATFADLPIPLDKAFAGQ REKVDIKAVVLDKDDCFAYPEHNEVYDQYKQRFEALRAAYPGRRLLIVSNTSGAQSYD RDGKLAAAVEKATGVVVLPHQTKKPGCGDEIMSYFRKHPETGVTSPSQIAVVGDRLST DIMLANMMGSWGVWVEDGVVPLSEKSVFSRMERRLAPWLIARGYTAPDPSSPFE QC762_208925 MPPPSTVGKGGKAWIREEEFLFWKKLVPFTKKRCGDDIENNEEH EWNWVASEMRKRMREKYLKEGEPDRRNYTGLAMFEHYWQSACHRRPTVAAGRFPNRYC NLEANEEQVRERDERLVAIRKKRRDARKAARKAAREAREAREAEEAADGSPIRESIES DNNDADMSDDTDETSDNDEEETPEFDEAEAIRKMEESTKIKQESGSEYESDEEDTESE PDAKPRRRKGGKNNRRASIKKENRRSGSPEVTPFANNGTMAAKRRERLRAGEEIRRRN REMYAMLGRGEGNGGCYGGQGQGQGQGQMRG QC762_208910 MLKKISIWIAWFIHRALNNRSKRFLVLPRINRPSTHYMAVVSTA FTSQLADCLQKSFSLRLLTRTHLFTSPFLVWILGLTSWLSCLKFEMRGLSVANIADKT ATGLSWLSIKTEGWTIWDEAGTTSQPRPTWKPVSVDKLSKKPRPRSRAASPSSVPPAS KRSLLQMFSHYVCDACQSLSHTNINLSGLARGIALSKILHTKPRMPSATGSETWRWEV LWHEVKSFVNNYWERFLDYIGAKLSGFKFMAQSLQISIEFRMKSWPASRLRSLETLPY ELPEKILRCLDYIVTNLFGFESTAQSFQISDNEFLIKPRATSTLGSLEALPYELRDNI LRCIPDFADLMSLVQASPVFLRMYLENKKSLLAGHLKMSLDTGVMTDALALQKASTLR VDKSIDRDKVQEQLNNYKNLRDRDGSTIGEPIDGYSEDAVLGMFLFWHTYARPLTVHF ARLFLCRFDPQRPPIFTHLSPTENTRLLRALYRFELYSCLFGGESRHLQLNYKEEEVL KHFFCLFRPWEVEEIFSVYTLVHDEMTTRLRMMEKNLFHAVTNEFALAGGAASWGLRG FDSALRDVNFYSMITIQPGNQWTPGYGFMENVLGQGPQRLRRRSGITEHDLAQDRGDS LPYVGESESEPPYGWVLVCNGKYLNAYGGDVWKPSPRDWGYVFWDRWRLIEFKGDRKL KSTSWVPGAGV QC762_208900 MDDTMAPKTRSQTPKISPFLEPGKTTQVESLAILQLSRDNLQPV YLKAPSGKHDGYAEGAVVNTRYGSFPHSTMIGVPWGSQIRASKVDTGSRGRNNKRKRN KSDDDGKEDSATVAEEKTEQESTPAEVNDDSAAVAKKIVADVSGFIHILPPTPEVWTS SLPHRTQVVYTPDYSYVLHRIRARPGSVLIEAGAGSGSFSHASVRAVYNGYPSEGERK GKVYSFEFHEQRFHKMNQELKEHNLNSLVHLTHRDVYNGGFLIDGKSPEAEAIFLDLP APWEALHHLSRRNPKNPEDKEWVSPLNPKKSAHICTFSPCIEQVTKTVSAMRRLGWVD IDMVEIANRKYHTSRERVGLNLSMDRGVNNSARDVDEALSRLTEIEARFREHAARSKE SDDEVDGDEDDGLIKRREKKEQKGGRKRGGEKKVKIDHKPDSPESFEALPSGVPMWME GRLITRGEPEIKTHTSYLVFATLPIEWTEENEAAAAAARHPVIGEQKVIGLVDKAARK QERREQLQKATGNRKARRMERAAELAVTAEEEVGVVVP QC762_208890 MGFFDFIEARDARDQLYSTDDRPSSKPNLGHEILGGAAAFEAMH LWEKEQRRKGEPVSHGFAKEALAAMAGAEADKLWERHHGRDGGNERDRERGREHARRQ VEELYDQQYGERDEWNPNHEIHESMRFSGY QC762_208880 MSALPENWEWDYDGESQRWFYRYKPTGITQFHFPQPGDEFPQSI DDSAPIDLEPEERLVSQLQVKRRSTVGERTSTAKAKSTLAKATIAEDEDGSSAPWFQP DIFMYMGPGAYDDISPLQEDEDDLPPKKDSETGQTNPPKPTSAPVPTRSPAPPPTSEV SPQQSNISPVVSAETTPLVVQSLPVIESPPVIESPPVTQSRPLIESHNVIESPPVIAQ PAHESRPPVQSPPARTTQEIDSVQISEVHGESAPVVDAIPLLDSRQVAYTPVGFVAEL PSELTGQCHEDINPTPVELPGNDIMMDTGPPLIYANAFPLAPSELHSEAIPPSRMHRL GSTEQKTLSSGSPSLDNQQASSDPHRPPLRQNSMPQPAPTATQQNSARDPYQGQYRPW NPTINTVAEEAPRQAPVGENKRHSLAGPPPSNWRRPEIPVALSAPMVSPKQPVDDEST PPAPGYGLRQENTSSPPQPGNASGLTHVPSVLQPARGRPVLTKSRPQSKSPPSQGPPS HSTDTNQRYTAYKPTSWDLQRDIEETVEMLSKTGYGQAAADPGGPDRPAFPRTSTAPG DSMTGSYYAMRPQIPPSAPSAPSALQNVKSQPALSYHYNISEASTTRSPDFAAPLPPS SLDVPQPLKLTRKSPPPPSDIQNRLPTVSSTLRPPAPPTEVYIVSRETTPVPSDHQMA SVPAMSTPEIQATRVPDPVSPPQTPPLPSKHAATTPSEPPFPSFSARTYTWGKGQQAA EPGDLLGVTAQALAKVHSGPVPGQISSPDTTNVYTLDNTPVMPAPQGPIRDVGTPLQH ILPRESSRNQDHGQFSSAANKRQSLPPTTRPTPWQAQYFQSGAGENSNLQPGPNDGGY MNPQSTTRQAPNYSGARDSSSQIPNQHGPPRPPKMPLTPKSHSSNLRTPPPSLPPKAP TSGTPPVSKATPYVLPAGHHPLSSHPVDLSQIPPSVSPAPPKSNCQVPPAGASPPSST SNNRYSMFISPDTDLSSQPPPTTNKRWSIVGSPPQITNLHTHPNTDDRVPPGLVPQRT ASLRRTTPTPNRSSPAPPPSQPKKYTTFTQPTSPPSTNMNPPGARAQAAPPPQPQQFI QPQRMFSPPPQQEPQKSSFFNIGKLFRSDSLRKGGGGSSSPGMKLQKNTKHAGGGGGG QMQQGGRTLQMTAHVPHHQQPVQHLHQNSQPPLYQPGNYPPFPSQGFSGGGNSGGPFF GSGGGGFSGGGGRPFSMVVAPSGTGVGTVIRPPGQMPSNSRDGARWGYQYR QC762_0041100 MKFSCETGILQTAKTRWRVHPNHREPRHPDQRSLSFPLGGHRSL HDIDRYRQDEMNVSGSHLEVLNTAEPKKAFSHAAIRNRRSQHYYLPFHDKTWLASSHN CCGSLVLICRTV QC762_208870 MRSSEEGMPELGSEGRPTLRVQMPSYSEMSSNSEKPKGTLDPDH LVPETAPLSPAESREVANRLNDDLELLRVERIVSHQQQNDARSRNRSHHERPDQVEDA FNSATPAPITARTPEKKSTWLTRLWVSLKRFPRVLRYVVYAIPAGILILIPVFLDLFA YDGNSEPVGGEGGVQLLWFGIWLEVVWLSLWAGRILTSIMPATVAFIADTVGSSNHKK WRDIGRQMEFPTALFVWMLAVLVSYKPILNHRVINDPDNDGSIPYVTWVDVLYKIIIA LFVLATLNVAEKILIQWIAASFHLRTYSHRIRENQMQIDCLITLYSYAKTRLEEQDPV WDPNSDRNNSSGSRTPMKAIHSNARQAWNKVGNAASRMAGDFTGRKVAKNNHKRVVLE LLRETASSYTLARVFYRTFVRPDHNTITVDDLLPAFPTPEEAELCFNVFDKDLNGDIS MEELEMVCNEIHLEKKAIAASLKDLDSVIKKLDEVFMFLVAVIVIIVFISIISNSAAA ALTSTGTVILGLSWLLQATAQEFLQSIIFVFVKHPFDVGDRVTIYGNTGSMMRGDDYY VIEISLLYTEFKKMEGHVVQAPNSLLNNLFILNQRRSQGLADPINLKLRFGTTEAQIE ELKSRMLEFCLQNKRDYAPRIISEVQTIDEVASITMNIIFFHKSNYQNELLRLTRHNR FAVELMRQMHDMGLETPRLVAPGGGRDMPMYWASIPPPGYSQRDHGPDNTPGTTGEPV TAVPLPSPNAAARRRANSRAAVVEAGMDFQDVYHSRKRDNSVTRLASISQSPREDEEE ENNGDGASRAGVSIDQHLEKVASRDSASTRRGKLWPMRSVSRAGSGQHHSRYQGSGGS GAPGAIV QC762_0041120 MILTARSVPGGKPTPTRHPVWGGSATADLRWHHDTLGTKHANPS RSRSRSMQRFYEAAPIG QC762_208860 MASKPREYNIPAHPNRDFWSSLWPTSSSPPAKATTVEPTPTYSV PKGSRRAKTPVISEEPSKSKKSKPTRTKSKSKSKKSSTSKGPGSWSEWYLSEDNEYFW RARKLPNDQWDYEKQPKPEPPQPEIQPLPHPHLEPITQQTQSKTSPEPEIKPLPHPHL ETISQQTQPTTTITPQPEPQPLPHPHMQPISQPSSPKITIEDATPLSTSSSSSPSPSR TPSPQSNTHLKPPPSPALKSHRSGRSALTNPKSSYPTIITKSTGRPTEAITTSNPSPR TSGLALTRIESISPIRKPSPLSKPPKTASQQQPAPKPPKVVNPPAKKSSSPKRPIGPV MWLFTEGRSRKGKSLASPPPPAGRGKEGGDGGVVITKNKGTGVSNSELAKKKKMLDRK IREGKVVDTKVDSKKRIRAWLGGVEGEEELIPLDGEGFPVYR QC762_208850 MSLLSSLLNPVPGFPEYTGAYRVGTVDVEIPISKLPAGKKPEGA ADVHTVLFRIFYPTVAEAQGKYISWLPAPQRLHIEAYAQFLGLGSKTASVLSFLPRHL HWTTIPAIKNAPLLPPPAEHSSSRWPTMIFSHGLGGNRNAYSHLAGSLASHGVVVICP EHRDQSAALTLIRDPQTPKKATPLAYLRIPHNQTPEIWAQRDSQLRIRLWELDMIFEA ILAIDRNDNKVIASNLNTSTPVSALFALHNKLDILDPGKVIFAGHSFGSSTMVQFLKS VFYSSHPALESFEEGRLFTPRPGSAIMSQINGLNPAVLLDMWCFPLLSAASDKLYRLP LPCYSVSQEQQKMSKILAVESDQFFKWGTHLHRTAKVLSADPTAEVVKESPDHPAPYL FYVEKSAHLSQSDFAVLFPWLTNKAFGSETPEVVLSLNVRAAVQFLRGNGVVVGESRL ERERGDVLGRGVEVAKWRWVDVVGMGRRVYPSEIEMRREERGEEEVEESRREEKGMGG EMEPGVGEEDKMKENGVTGGERL QC762_208840 MTRHTPAFIRARDSNLSIRSMAMEQAMMASRASPVANDGLNKVD AKELKPEAFTKPYCEFMTENPTVFHAVGYFKEKLAKAGYKELSHRDSWIGKLEPGGKY YVTRNGSSIIAFAVGKAYKPGNGAAMIAGHIDALTARLKPTSTKPGNNGYVQLGVAQY AGALNETWWDRDLSIGGRVIVRDPDTGKTTVKLAKLDWPIARIPTLAPHFGIGMMGHN NRETETVPIIGLDNSDVRGASTTSSEPPLGGVGSFAATQPPKLVKLIASQIGVQDYST ILNWELELYDSQPAQVGGMDKEFIFAGRIDDKLCSWAAFMALLHAKQEEEEGIIKLVA LFDDEEIGSLLRQGARGNFLPLTVERAVESLAARDGKTPFGPGLMGQTFANSFLVSSD VTHAAHPNFTQTNLAEHSPRLNVGVALCVDASAHMTTDSVSMAILDRIATLAGTVNQR HMIRNDSRSGGTVGPMLSSAMGCKAADVGIPQLSMHSIRATTGSLDPGLGLKFYKGFL DNWEKVDKEWRP QC762_208830 MKFAKELEQDAVPEWRVKYLNYKQGKKHVKAVIRAINRAAATPT LARRAEADQHHYKTPSTYFNIGHNFTPPPPKANDDGLLGGEPDETGKAKAVKVVATPR DDERSGLARSPGSEVQYGSFGPVPSRSSYRHDFELPAPAMRVPSRTSEHGAPSSPPLN RLALHRSASMVATVPSGYQTPSKVNLGADGTPRQRMSRLFTTGSTHTRNASNRFTGGN KMEIGMQNLDSVRSAERDFFAFLDSELAKIESFYKEKEDQATERLMALRAQLHEMRNR RTAEITEARKKRETGRNRSPSDDDAGEQQDKDGSRDWIAPLKGKFFKPGPNSKALQKM TQTPVMHPQNVDEGRDYVRRPPGDDVPYRSAKRKLKVALQEFYRGLELLKSYALLNRT AFRKLNKKYDKAVKARPSYRYMNEKVNKSWFVNSDILDGHIRTVEDLYARYFERGNHK IAAGKLRNLNKRAGDSSDSAFRSGITIGLGGVFAVQGLIYGAELLFSEDDDLRTQTAY LMQLYGGYFLVLFLFILFTLDCRMWTKNKVNYPFIFEFDQRNFLDWKQVAEFPSFFFA LLGVFMWLNFSRLGDWEEMYLYYPVVLICITLGILFFPAPILHHKARRWFLYSHYRLL LSGLYPVEFRDFFLGDIWCSLTYATCNIELFFCLYANSWYDPEQCNSSHSRLMGFFGA LPPIWRALQCIRRYYDTKNVFPHLVNCGKYTMTILTAVFLSLYRIENSQANLSLFITF GTVNAIYCSIWDLFMDFSLLQAGARQKLLRNITALRPVSIYYIIMTLDPILRFSWIFY AIFTHDSQHSTIVSFLVAFAEVFRRGIWTLLRVENEHCANVAQYKASRDTPLPYHLNT STSSVEDTTPPAQQQQQPPAGLLGPAAINPATPGVDQQDQIRPLGQHHRKPSTIPPRT PASQVLHRTPTNVGGGTPQSIAVSDTPAEESGPAAAFRRRYTDTIGKKSILQAMAEAH KQDFEKKRLPLGSEPSSTARRGSARPDEGDDEEIKSEEEEEEDDDEDDETGSVEEERM RVREAEGLVNRARGVNAGSESD QC762_208820 MLVQASHARPAGTMLETEYARRRAPPMDPAMSAIAYSHSRTRTT SSNIFPAHFQSPAAPPNNYYPQTHLAQPHVQHQRRSPSVNTFSTNSSGGAPYRNSPSM DIRRSTSSRSGGAPGSPQQPGGYVALLRKQKATVWCDRAQQEDARLLAQQRMAKVRAN SEVVGANNSPSLGPVSAGRTSTGLSSAGGKVAAKIRHHGKPTVIGYSPGSNFNVVGGV PLRLSATEVEGEESEDEATIQRSNHRRQGSSSRSSTTSSRKTAPPYRSSGGLGQVTQG GGTRWSPHGTPERSGSLVEDTQGEQQFASEEAYGKAKSFASGSSGERLDTVPDLQANS AQVANNSARNASLTREKSLRTPDELKRRGSVDERTMTLTTGRLYIANPD QC762_208810 MKSTTQDPSKDPKPTASVNKKRTLMDFLESERPKPKPCTGSDKE NDNDSPAKKKIKSPKTTNDDSVANDDDLDSPPSSPPLIPPGLTASGSSPPDRETTAPN TPSRPPPVILTKAPADGPSTASTDPTQKTGEKRKRTVLSAEEKAAKRAEEEAKKAERE AAKQKKAEEAAKAEELKRQKQAEKEAKKREKEDELAKKKQEKEEKERKKREKEEEAAK KARSQATLTSMFGLKSSTSKKDQPAVKSQSGDATTSTETPTKTQANGQSAYQRMFKDF YLKEHVKLAPAPVQMDEETREVQTKILDEYINGTRTHTPSSRFNAMEVLELPFKVKRG RTFPSVKKIMAEVSSSDSRTSQQANHLQELLNQVPVISIKFSEDVRPPYIGTISDYPN GLGALKKLARRPIRTDILPLAYDYDSEAEWQEEDGEDIESLDDEDDEDEDEDMADFLD DENDVGPSRMVFSGGMEPESSGLCWENRKRGTSEPKMYKLRMEFILDKLEHHHSVDPF SAAYWETYKPAKSETSNQKSSAAAKATKSSSGSDSSPKTKKQSATQASCTTPTDAFQA LNAGTRKKKSDQPLSPDLQEKLKALVRERPTLSKVGVIELFMDNNANCSKKQIQNAYS ELIVRVGREHKVKGE QC762_208800 MVKDTSTVIREFNELVNMTATELEDGLTTSASTSSGWAKDDGSG ESIGHESGRYIVEMLSKNPERDPQGYEEGDIPHMRKVVAYCKRHLAQEGEAKKDAEGR SYKSLKNWGHDALKKE QC762_208790 MAPTSPPPPTWATLGRATVLTLTPHLLPRDHSVISKRALAVTDA QKVTLGVIAAYVVGIAILWNVPYIRWILWPFKMLVIAFHEFGHAITCVLTGGKVKSIS LDPREGGVTHMQGGRSGLTLPAGYLGSSLIGALLTFAGFNINASKVASIVIGVAFLMT VWWGRRDWLTVGTVAAAVGLLVGCWFIRGAEALRFVVLFIGVMSSLYSVWDICDDLIL RKVNSSDASVFAKRYGGSSQCWGVIWSVISLCFMAAGIVAGIAAFPQSAEQQREDAQK FIPTRF QC762_209000 MTPTLSSWKGGSRMVWSQLWTTVVTCVLLVSPVNSQQTGNNPDW PRWCGKVYEAGYPNFDPGGQTVEPPPHPCGCPLLHVQFQPRYSLYVSTETTGEFVVNA AFSPYHGTIWPPTNSTTRWADRLVFSINSAETDAPLVQATVPVNTTGNRFQFNLSHLG PPRLEPYPVVLYGAPESGQPSWTATANVSYLPDKTNGSITRIDSWKGGLWVKNAASNN TFEPYLPYGFYASHDNFLRENDTKLIDAYRDLGLTGMVPLASWAEIPEVLSHMDGIDL KYMYNLRYGYKNLSYVEENVLRARGKEGLFAYWTADEPDGWQDPFHLPVAARSRIREL DPYHPSVITLNCQNYHFAQYSSGADILMSDVYPIGINATFSKWNTACNLTHGDCGCDN CLGIVQDVPSRLDTLGQHERWLGLWPKTKFHNPQSFHGQDYWLRDPTVGEEHAMNVLA FNHGAKGIISWLWPTSTVLAEAHGQLAKVVTGGMVRGFLVGGDQVEGPRGVGTRVRGN ERVEVVDAALVVDEGLPALATSLVLVALRG QC762_208780 MATTDDRLEEKPPYGVDVDTEKNTTAVTTNDGPVAAWTRIRDLA HKAIVFGRVELRGVAPIPVKERTVDRTINIFTLWWSMNANILPIAFGMIGPASGLSLR DSSLVILFFVLLTTLLPAYLATLGPKTGMRQMIQARYSFGRYIVSIPVFLNLATMTGF CIIIAVIGGQCLSAVADGNLSVTVGIVIISLVTLVISFCGYKWLHIYERYAWIPAFIA IIVAAGCGGRDLANQVPAEPAKASAVLSYGMIMASYMVPWACLASDFTTYLKPDTSSV KIFCYSYFGLATPTILLMTLGAAVGGAIPNNPTWQDKYNETLVGGILDAMLAPAGGFG KFLVVVLAFTLLGNLAATSYSITLNFQMLVPVLFKVPRYMFSIVLVAIMIPVSIAAAD DFFLNMENFLALIGYWSSAFLGVVLVEHFVYRKGDCGRYDPEAWNDAGLLPWGVAALG SVALSFAVVVPSMAQVWWTGPIARTTGDIGFELAFVVSGLLYVPLRWVERRHLGR QC762_0041230 MDSVRCSHKELPPYLYRIQGRTTETQYNQSGGLEARDTTTLFGR TSGQDKFSEAVYNQFDWYLGGRTQFISFFSSKIHAICWGRKLKKWGRRSHSDDDWSIL TIDTSCLENTYVFKLSTVIDELGVQIPQKAGDAHKDDAYFCLYRVPPCAIVSKKTGSE LDPSDSIGR QC762_0041240 MTLKDCATPGIKVALIDDGVDYKDLPDCNFIGGQSFSTRDRTRN LIHPFYASSIGHGTAMARHIYFMCPGAEIYVLRLDDYPDMDNSNIQRITAKSAAKAIK VAVRKGVDIISMSWTIEPSGTNSKDDQDLERAISAAAAQGILMFCSAPDRGAKQTDTF PSKAAPGRIFTIGAASTWGNSVPAVGSLDGITFTLPGDKVSIPGIEKASIAYKDGVSG SSVATALGAGLAALILYCVKVHHALTKPGPEKDRIQEDFEALKKHENMLKAINGIGIT ADTKFVMVWNVFGPKGRQKKEWSAGNEYITLIGEVGKILCTKLL QC762_0041250 MDGNLCPYAIRAWVHHVAQVSDESSKHALIAKLLGEQPTRNLAA AYWAVSNRATRSPSPPKTLFPVFASYGLWNLVQPLDEDDAKLGLLEAASKGQVEVSKA ILERFIFSQEILLEVLVSAGESGNETLLLELVDYVLAKSPAHDSISWPNHVLHRAVWL GLDRFLEKMLGLGVNVEDEFHLPHSSRPMSLLYRSVASSHPSAAQILIKHGANVEYKT RWDWRVMHVAAAVGNADMVQTLIETAKPNLEAEDEDGRTPLYHACVWGHHKAADVLLQ NGADANMGSREGVWSPLAAAADDGYDKCVELLLAKGANPNSRNTYGSVLRYAAIKGHT NVCRILLDAGANPNNPEAEVPILSEVIQASTKESTFLDTLKVLVDRGANVNAQSSSGV SPLMLAACHVNGPDIIPVLLDREADIELADESGETALHYAVRDGADVGALTLLLERNA NPNHLNKSQKATILQRAISNHDFVRVLLKHGADQNLPTETGFTPLMHAAFGQYDTSLE LLLEHGTSVDKAHENVDHWRGYTAIAFAVRFGTAKAVRALAEHGADLRWKGSTENPQG LQPLFTTAVATGDTDTINVLLEYPTRIDIVATDGDGWSALHYLGTDITMYKRIVNAGA DVNSRVDRKDTPLSRAAWAGELEKATYLLQHNADVDLGHRRVGSPLTQACRAANLQMV KLLVEHGADINHSCEGIAGTPLSAVCVAYGHSDPSQVEEVIRYLFEKGVDVNAAGGLF RYPILAAAYNAPVNVVNQFLQKGAKVNTKDGMGRSPIHMAACRGGTENMEALLQAGGD IKATDGHQRTALHWAAAAGRASVVKMLLARDDTNVDAPDVDGWTPLCWAARGGECWLS DSKVGESSNQSDVIRMLLLHGANRSTFVSISNKKWTPLKIARFCRVDDDEVIQLLSNG VDGPLNPSPQGEEYDSLKGNQRTGYCDFCQWVSQIKPIICTLQPENDTDINMNTYPNT APHWVGIQLQDL QC762_0041260 MKSTTLLTTLSLASTALSTAIPLPIRSNTRPIRLLHKPPLSPPS QGARILSSQSEESTLGGAHLPSPPSGKVASVTATFTIPHASMPVTGPTANNTVGLYAA SFHIGIDSFSGLCPNNRFIRAGVDIFWDGTIGGEQTPWVWYQSSPADEDGMGFGNFSA KAGDVVRFVVTGSEVKVENYGNVTCTKGLKARQVGVQRVDGGGLCGGEAAWAVEDFPL AGMPHFPVALADFGGVVFKNLEVGFGNGTVRRNAEGAGVRDVRLAAQGGRLTDCVVGE GGGSVRQYVDVPAIADMSTDVSTQPEDLSDEDGRLIKRTLSPDLIESCDLCNFFAQAA RYYTGNCKEYLNADGVPEISLRLGRWSRRTPCLRDDHDLVILIEKQDAEKEKEGSRLI EPDRIDYSLLRGWFSQCEDGYMRDYFKYDIDTLRVIDCGDVGDGEQEPRLVSWASVGK QLDGNPPEYVALSYVWGAAPGGLPTLAVNSTLPGPLPKVISDAIVVVRNLGGRYLWVD RYCIPQGDNDERERQIHAMGQIYQSAKFTIIAAAGDGPDHGLPGVTTTRRLPHPFINI QNHTLTLIPQPDGYIHHTKWATRGWTLQEGFLSSRRLVFTDHQVFYECQCIQAVEALV GTYWHPYSYSSRELWFHVFAGDTSKRKGRGRPGFHYVEQLHGFIENYMTRDLTDANDG LNAFRGILQHAEQNWQTPIHEVCGLPIYVPEYLGTPNNNSAIAVSLSWKMSDLRRRPE FPSWTWIGWRSVKRTGGGSFYLGTDERWYSSADSKHYITSVDVAFRDGQVIQWSDFDG RGDRGKVFEKSSMPGMSELPQSLLVTGWLFDLTVVAVGDPGDGLATTTEFHTVEIREQ YRRPMSFGVEREHLMPFFPANSDGNRKHTFTCLLLAEVDKSNDRSTLVFLVLRPVLQA EALVQTFERLDCWTLTSSTPIVQVDGTTMKAGDCVFKNDALVLQ QC762_209010 MHLSGPLSGRWTAYLGLISTLSGVITPAVAVKEHDFKKCAQSGF CSRNRALADHVLSTNSWSSPYSILPESGSFKDGQYQAVVLKTINDNGDTVRLPLTVSF LESGTARVTIDEEKRQKGEIELRHDSKARKERYNEAEKWVIVGGLTLDKEAKVDFEDK SQLTVKYGPTSNFEAVIKFAPFGIDFKRDGVSQIKFNDQGLLNVEHWRPKIEKPAVEN KDTSEGEQNQEDKEEEKKEEEEPKGEDESTWWDESFGSNTDSKPRGPESVGLDITFSG FEHVYGIPSHTGPLSLKTTRGGDGSYSEPYRLYNADVFEYILDSPMTLYGSIPFMQAH RKGSSVGVFWLNAAETWVDITKGKDSKNPMSLGIGSKTSTHTHWFSESGLLDVFVFLG PTPKDLTAKYGELTGTTTMPQEFALGYHQCRWNYVSDEDVKDVDRKMDKFKMPYDVIW LDIEYTDDKKYFTWDEHSFKDPIGMGKQLESHGRQLVTIIDPHIKNTDNYPVVAELKS KDLAVKNKDGNIFEGWCWPGSSHWIDAFKPAAREWWATLFKYASFKGSMENTWIWNDM NEPSVFNGPETTMPKDNLHDGNWEHRDVHNLNGMTFHNATHHALKTRKPGELRRPFVL TRAFFAGSQRIGAMWTGDNTAEWGHLKESIPMILSQNIAGFPFSGADVGGFFGNPDKQ LLTRWYQAGAFYPFFRGHAHIDARRREPYLMGEPYNTIIAGALRLRYSLLPSWYTAFH QAHVDGTPIVKPLYYTHPDEEAGFPIDNQFFVGNTGLLVKPVTEENKETQDIFVPDNE VYYDYFTYNVVASGRAGKTVTVAAPLEKLPLLMRGGHIFPRRDIPRRSSQLQKWDDYT LVLTIPKDGKHAEGELYVDDGDSYDFEQGQYINRRFVYDGAAKTITSVDKEGRDTKSV KQGEWLKKYNGVRVDKIVVVGAEEKVWGKKTEVDVVDESGKGAWKAKVEFTPADKAAG RASFAVIKRVGASIGSDWKVKL QC762_209020 MPSTDTAVPRRQQQRDGGGGGWLARCRGVFGNESPAFYVLLMWV VVLTAVVFSDGFDPTSSRASSAVRAPAASEQNSFFPSSSSPPPPLLVNSPADSQEPAK MSTEQTFIAIKPDGVQRGLVGPIISRFENRGYKLVALKLVTPGEEHLQKHYEDLKDKP FFPGLIKYMSSGPIAAMVWEGRDAVKTGRVLLGATNPLASAPGTIRGDFAIDVGRNVC HGSDSVENAKKEIALWFKPEELNSYKAAAFDWVYEKA QC762_209025 MRPPPFFQSLLRQPRRPIQLGGVARPRNSSTFPPPPPPQSSAKL SRAEKILSRLPRPLQKYTTSLRSAPTTHVVAFLILHEITAIVPLVGLVGVFHYGNWLP VGWLGESETVKEGVGIFERYARRKGWLDEGEGGSEGDEGEKGGIMEHWRENGRYKLVM EVGVAWAVCKFLLPVRIGVSLWGTPWLARGIGRWGGVFKRGC QC762_209030 MTPFYHALIRTHHITSRKKVAHLRKAAREFEVYALLRSGGCPGI MYCKGSEQGVKSWVGTVQRLRYKDFHLASKPSLTLSPENQSQEPTGLFELSAVNDFAS AMESRGITTWWRKAMDFT QC762_0041310 MNDSAIRDSLQIGQPTCPITKGCILEGELAVYSGKEHRILNFYK IRKHIFRSGTFIGTAQDSQAHSWEHLMIVYYDVRMLDDTSLLSVRIQRAKSDLRRAFA ECITAKGEGLVLKSDDPYFNFDSMRRPYRCCAIKLKKEYISNFGDIGAFAIVGARYDA AKARTYNIPHLKWAHFYGYDSAADEGIDRDRDRDVLSEDAHDENTLQNRTRSIEASCG LSSFSVLLSPCIANFPYVGDLLRCHGIHEPVIDANSWKETRFASTKRLALVEPQRREA TQAFFDVITSLELRKRGLDEHEYIPIFDWRVLERMKTEEAKKEMEARGER QC762_209040 MTSTIGIPIKLLNEAQGHIVTLEITSGQTYRGKLIEAEDNMNVQ LKDITVTARDGRVSHLEQVYIRGSHVRFFIVPDMLRNAPMFRSRNVRGRGVGLARGRA TVSRARASGGPPRGGR QC762_208750 MAPTLALKRALGGGIAGKNQGLFSWGRTRTSSICLFCSLSTRPL TTTTRRRPLPLREQNSRLLAGGARSFHTTETLIPSATQMQEQQAVEPPRAKLARLLRE LQIQIPEYVKSERLNLALRNLSEPPGQESIRVAILNPARENGHENTEASKSLLRAALA DELGEEAAWETQLERHNLAEEPLIVRVKASEKGTAAPDTQVPTTFPEITAYSPTLGRN NLELLLAKFRPQATKTAEELEAELLVPGVQTTKPTAVISTPQTTLVPSAVHMTLLVGN GLRGALNALELAKSNPSSVIKTAVNLKSGTAVKEELEIQSQNYAYSPSKPHGLLDPNS SLPFFTVDSFAAIEGLDALRAGRAEVFSELWTEGNVKQIRDWLRANTEATEKIKPPVQ HLIGSILSRAKEGLEQQERQQQLSQHNQANLVEIEATIRRLDQEIVSWAQSAHEELQS RLDSAFSNHLWRSLSWWKLFWRADDVTLNTSELVTSYFLPRAEQEVVYLAGKVAASFP TISPAISYPVDPEEKLVSTPGLTAVEMEHHGKWPVQITSTRQYLLETTVPALQALAQK LVVQSASLTGLNSVLAGLVYFSGFGAYECGAIAALGLVVALKRMQKRWDEARGYWEEE VREEGRKAVKRVEGQVARGVGDVLFRGVKTERVGGGRGERVREVLEEAEEVLRRL QC762_208740 MATSTAHRRLLQEYRALTNNPPEGITAGPVTEDDLLHWEALIQG PEGTPFEGGVFAAELKFPRDYPLAPPTMKFLCDVWHPNVYPSGMVCISILHPPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERRGEYEERVREGV RKSLGL QC762_208730 MDDLVDLTWTAPDAGKKPAQQPTPISNPATSNLYPSLRPTPSPF NSGRNTPLSVQESGNAGARPPAAKPAQDSFSNLLNFGPAKSNANLSLKERQEQLEAEK RRKEEERRKQAQSSFGDGHFLDSLGRNLSGSQSSFRTPSPGLAPPPQIGTPTVQSGLR KGTNISEGDEDLFAAFNASTKVDNSSHYPPPASHTPSPAPGLDLSNPSAWGKPAAVAP TSGGFGDDDDDDPFGLNQLKPKSSTPAPPPPAGDDFDILGDLGKPVDQIKKKPTPAPT FSREPEPGKAIEDSSSDSEDDRPPPPPQPSRPSRPSDDPFDRAVAQLVDYGFTPENAR RGLTESGAGLNVQAAVNWLLDDAHRQAKEQAKSKGQSGSREQPSRGGGERASSQSRNG GPAWAREEHALRSRDNQSPASMVDGDFAKTAAAVGTSLFKTANSLWKTGQKKVQKAVA ELQQDGDPNQPKWMRSAQDHSGGSTRREPPDVTNEAVMLEGGGPPPRKTGRSGVDSRP SSNAPSRDRSPALPVRPGSGAEGTKWQQGSRPVLDPRARLGRLAAEDEGAQAYVSPAR RKKTTPQPQPAPAQPEEDLLFGASSAPKPRPTAPSRSPQPSPAPRPAAARSSPMPKPA PARPPRQIPPVSAIALQSSTQHRLQGTAHFKRGDYASAHASYASSLAAIPKEHPLAIL LLCNRSLTALKTGEPRQAVEDADNAVKLIGPGKGEGEHVEVQSETGTTEKRDMRELYG KALTRKAEALEQMEKWADALAVWQTCVEAGLGGATAAAGRQRCQKALAPKPAPRPASA PARPRPAAATGHKSAEAVRRLREANQAAEKEGDEKFQLADKVDARIAAWRDGKRDNLR ALLTSLDGVLWEGSGWKKVGLHELVMANKVKVVYMKAIAKTHPDKIAQDATTEVRMIA GTVFSTLNEAWDKFKAENKL QC762_0041360 MSYPLSSLCDSSLLSPFCERTSNPTERHGLALNIGWLDCCVPFL TNDNSPRLDRIDLSKHQDRFVVKSPQHLASRSFCKDSTFGSNF QC762_209060 MKVTNSLAAVLASAGLSEAFWRMECRGRAGLARLDPIVAPGRVS QHAHAIFGSSGFSMNSGHAELAAGSCTSCAAVEDKSAYWSPQMYFKHEDGTFEEVTQA GGMLAYYLLNKDAGNPDKGVKAFPNGFRMVAGDSNRRNYSIGSRNFKDADPEKSLWAM LGETSQEDLAQRAVGFNCLDYNKTPEGALVRHYLPEKGYLDGNCPDGIRLELMFPSCW NGKDLDSANHKSHVAYPDLITDGWCPKGFDTKLPSLMFEIIYETNKFKGIPGEFVMAN GDAQGFGFHGDFASGWDEEFLQDAVETCTDPSGLLSACPLFNLQSEDEQRQCQIELPE DLVNEKVTGKRGKSLPGDVPIRYGPAPANVQAPGADQTSHIPVPTVTYQPAESSAYQP GGIFNGDAPSSNSSSSSEEVKVTALAQPEPEPEPEPTPTPTPTPSEAPLPSGYELVRT EYVTNGKVVSKIVVIETVEYVMLAAATEIETVTVTATLDAQKARRGLNHLHRHRHAGS H QC762_208720 MPPNNNPHPGSGSASQSLPPSSLNPLTSATGIVVMVLITTISLG LTIALLLLYLRKRKRSAQRRLAARLSGMPEIRAGDSTETERSHITTHAHQEGSRLQKW GSKEAFEVVEIGAPSLASMAIEGGRQKRARSLPALWGGERGRGMLRRLTEEEEERGLR KGREGGKKLSRSRSCAWPSEMKRLGEGDENKAEGGLVNETTAAAGVELPKRSFFRGGS LRERGERGSWPVRELTGGLSRVHHTVHGYPSGGVSAWGRQQEEKEREIGLGSDKIRGY GCLRLGPGFADGAGRVLPEPPRAVVTGGQGGIVRSHTVGAMVGSCGQQQQQQQPQVQP SVAPPPPPHRSLPQTPPRAVARRRSRAQSTDSTLSEILKSTEKRLRAGSATGGVGRGR HMRMGSLNARTMSMAGTSQECLLAKKGMGHKRQDSEVSAVSESDSLADEESPIENPAG LTSPSRSGLVRKPAQEQPQQAERQVEMVIQSPRSSISSALSTVFSEDEMPDEVKKAIM PLDGFVVAPQPAASVQAPAMNDPFITAPVPLPLSINRYSPSTTSVGLRQQPKTQGLFR ESLERSTIQRRMTLASPTQDLILAPGPSVAPGSTLIQQQDDNIPRIPAGPLFLRLTKT STLSTIPILPPPAAPGPVFSQYQQRRKTLSPTKTVSFADDLPQIHNVPRHSPTRPSKK PGIKMSPSQHQLQLSLSRHGNRDSACSTFSVGNAPASVLKRSAEVNNASFFANSLLFP DPLNLRSAATSPPPTTAAGSEGEGESEDEDDIPLADTVASLRRMNSQMSTSSVTSLHN ENPKRFSGTLQNVQKRKSIGARNYLSLGGNVDTARRRKRSQSVTNGGGGGGLHNRSNS QPALPQHRRQGSRGKGGGAMNASLSQTSLGSPRVSREVIPLAYPVEKRQEGWEWGGSV GAGNVSPPHKRRKPGSVHERRQSLLRMSVLETVTDSSPGVVGGGGGGCGNKENDGEGG PFKLPVREEFTFHSGYAGIGGGVSLGQFGTPSRGGRGTGTGRNSVESLGLYDRQGFLI TTPVRGGAGGGGNNNGGSPLRGLMVQQDRLQSSPSRLRV QC762_209070 MSRKADFKSTETQQRLLAALIASQVQNMSIDYKKIGAMVGMTAS AAEHRFRGVNAQAKGLRLAYELHEEGRGPPPSEYDFTKITSNVWGRGGPRAEDLQKYF GASTEQGLQYHFRAIKQQANVLKEAVESGQDPADAFEEYLQNGGHSVRKGVSVKGGTT KAAKAATGARASPAKPAPTRKRAAAAPAPKTPHKSPTKKQKVKGEPDVTSLDDEPELV FPLDDSPALPKVLDSSEVVTSSSDVDSPEVNYDTLDEEAPKPKANSPWKKMAGQIGGP GQWSNLMTGERAKLKSKNDQVMAQHAANGNVIDLDCSSSPDNALPGLHDSASSTPTRP QYIKKQGGAQPPSTPGFKFDNIDINDGGDEDDEMEDDDEDDGAI QC762_209080 MSWLTTLSGYLTPGFLVLSPLLSYSDQAYSMHRAKSSAGFSLDI PLIMLVASLLRIFYYPGAKFDIALLIQSLVMTVMQVVLLKIALDHRPAPSSKGGDAAV PFAKVNERERQRPFNFWQWRSPKPYWQFIMSLFVGLVACELLLSPIPSVYQGYSSLIG YIGLAVEAILPLPQIIANAKSRTCKGFRFSVLASWLLGDSMKMFWFFTSKTTIPWAFK LCGIFQACCDSFLGVQYWMYGKGLTEIKEHELPSTATWAEPKADIFAGGRRRGTSVLQ AQKTT QC762_0041410 MPWPTTHPPAERAPPKFPSSLHVHLLLIAASHRIANDKSPRRQD KHLDCAGALVCAVPAWAVDRTGEPRSPAYVPSAVPTDSSSGYLTATLVGWACPLLQAS HSPVFLSSFESRPKTASQSRVALRRVVPSRRWQNEFQNNARNGWPTKLKGGTQLSPML ASSRQLSHSVLHQRPASQDHAKTVKLRCEETSG QC762_209090 MGIASCLEPRFRAANPNTPPEVLTPDSASYSSRQSEERTWNPPA PLSPPMSHYDPAVKANDMSSSSNKGPEERRDTGSDHNAPRQQLPSLSSIFGPPTQIRS FHSPLSERPGSYPATSPLDRPPSSVPSLDRPFSSSSYFPPATTSTASQPRSVLDPRYQ ERPQIPALSRVFPGPLSPHSREEQQPRPDSRLEYTSGGQWSVQHEASKEYSLGSRGET TYRPTAERYPAHLSGTSRDEGRHMEYREQLTPQTPSHTLPATPTSSAPSEGAPAKDGL GPKIWTGTHFLPRFVRAAEVPGEGMCYFYDDGSHCKTVIDGEQVNAHWGVTKAGKPRK RLAIACVTCREKKIKCDPDYPRCVQCEKFGRVCKFKNAPRGGHNASSPSTPPAESEDT RRLGGLIRGPTDYTRPGSHSSGSVSPRTTLRHPSPDMPTSAPAKRIRIGYDHYSPATS VRSPMGPVPDTSRQSLPWRQSETLPRIHEDILCRAWQTDPYVSDPESVTSTIASVFVH TESAALRFLPPKPSFHTWVQNSAHRKSPEDLMLVYSILAIGAVLSRSGSRSVAHEYAQ VARYAAERSQPSLQLVQARLALAMHYLAVSRQIDANDMLSRAISTAMWLQLNVELDHV GENDSRVTPWGLTRQEYAECRRRTFWSCCLMERLNETFATRPVTINKDDIFLRLPAAD TTNLDDRAPHFDPMLRPLSESARAGIMSYLIQITAMWGDVMTFINRASRRGEPYDAGF GDFHRRTIATLDAWESSLPERLQFLPARLEMVSPEDQGPLILLHIVHHLTRIKLHRHV HLRALQPATVHDFIGVSMKHAAKLLEVAVAVAQAQVITPPPFISTGILEAMDVLSSTG CGSELPGLVDRFAVARSVLEVLGTSWEDAKVHCMAMDHRLERLIDLGDWMGKLGRVGR EGVEVQGTTVFESETEEGVVVMRWRMPDGMDRRFPKEMDLVYSGVVMGK QC762_0041440 MHEHIKGHLERLAYYCLPADPFNKNQHDGLRETADSDSQAVRND ENSQVFSETSLQWPDDTNVQGSPSPEEYTATHKFEDIRLQFQPVQLGRESAEAWLKAV TPDASGAPESSFSQTLPLRFSEYSQIPEYVENLSWGEPSFQTSTFRNSHFNVAHYNSP NFQPEEYRPEGDDTRNRTTATQVDHLEIEKIPDIVTDAEETQRLDSLLLKAVVAGDTD LTKLLLNKGANVSFEDKSVGSPLHAAISLGHLEVVRLLLARGADPDDGDWDRPGSDIL GVASARGDQEIVQLLLQHGAKANSASYTYGSALQAAASKGHHEVVSLLLRNGHDPQYF SSLPPNTALRAAVEGGHKETVKVLLASGAAINDMMFDGTIGSVLEVASHYGHREIVQM LLDHGADIDAKSIRHGGAFRAAVIRGHQEIVQLLLHREATIDFKSDGFANMIRAASAL GHQAVVQLLLDKSEEVTGEMAEESLIGATGMGKLLAWRSPLSGVESREWHSNEEHILH QDKDSLTAENQQPYKCHTCGKGFGREDYLAYVIESFSLLPKNHHADKCLGGTPEFTKN LANVLLKAVLRAQPK QC762_209110 MSGFEVAGVVLGAIPLVIASLEHYQVGKGAVASFVKYGGLLENL ILRLTIHQHLYHTDILFLLQAAGVVELNLRDEDTVAECFRLLRDPEVNEEIEDWLGPL SDPFKQLVGQYESSLKAIVGHIKHIQRLPDTQKDDLGSLLQANPPDKKITFTERVSFT IKRGKLKALYEELDQSRLSLGTIIEKRKGLQEFSSYEPSPHAGRIVLRLTQIRNPACS LSYALHKSCCCRCVGSHRILFRLESRIPIDRQHKRTSRLLKNSTTFNLVLSIEPTISS RALVHVLSPDTELAADDHPTDSRSVSPRLPTVRFAIAEIPTRPHLQPSRPNTLDLCKA VRDTHHRSRMIRLQLTADVLNMDDEEGADEITTALSPSPAETLKRVLEQGHLNEDIQL TYKQRTILALDIAASILQLQRTNWLVTPWDCTKIKLLAVENANSTTGMSGKMFGPFVE HEVTRSGPRTRSISNTPEPKDVLLELAILLLEIWTHRTLEMWAEKADQAIMTDTPDRR LIALIRWLDATSQQLPSQYETAARQCVAMCVEQRWAWDDIEFQKRFGENVVKPLLDIC KVWD QC762_209130 MAPVSSVHGVSVVASPSPSPSPVPCVSETSTTNGFRNLSIDTDD HSSTRASRIIAIAIAAIVTTIIVAVIVAIVAIFTAVTAIVIAVIPVLTVVVAVTTTIS SISITIASTTIVSIPALVILMLLIIPMSSHHLRHLKASGSEHAAEQRAGKFVSIDSLG HQLSWGAARDRCHGSSEPDRREEGDGGQKVSCKRTFMVAIVQRV QC762_209140 MLISSVRKFYLLLAAVISQLPKHPSTPHGPSLPSPPEITGQRYT RKGSQTYLVTLLVSLHRFSSHSASSQQSNCLQNGTDTPTTPPSCHHLLSNSPHAIRRP HFCPPFASTLPSPSHSPHPRRDSHQRGPQRPHPKRPPPPHPRFQTLWAELRILQASGI KVLGMLGGAAKGSFTRLDYPSSHPDFEKYYAPLAALIKEQSLDGLDLDVEEEMTLGGI IHLIDRLRSDFGPDFIITLAPVAAALLNHQHNLSGFDYEALEVMRGREISWYNTQFYC GWGDCSNPVMYEMLLVKGWDPEKIVVGLVTNPENGGGFVPFEVLGNVIPLLAGRHPRF GGVMGWEYFNSLPGGRERPWEWAELMGGYLRGRRSVDDQGLKQAEEDVKRLREKAEQE RKRGAAADSDGEDGNEVQVPVPKDFEYHSDGLLEDEDSSQLP QC762_209145 MPTTQNASYSVGLAMSPPPPSDLGSYARSMHQHTKRQMDSISQA PTSPERRSPSQSNDRSSGTNSMPNGVSNQRRNPGDYNYQ QC762_209150 MSERKVLSKYYPPDFDPSLVGRTRKPKSAANTPKVQVVRLMAPF SMRCTACGEYMYRGRKFNARKETRPDEKYLSIQIYRFYIRCTRCSAEIVFKTDPKNQD YTVEQGAKRNTDPWKRGLDDGDNGDGEDETDEQRLDRLEREMAEAAGEEEKNAMAELE QKTEDAKREMAVADALDEIRSRNARLEKAKSEGVDLLEGLVSKETEEEKERRRQEEED AEAARRAFQFARRQEMLEEIVEEPEEEEKGVDGSNGGPSLSLSEGIKPATTAAATAAA TTAGTDTTDMPPPSFKRVVKRKKDHAALLGIKKKKIL QC762_209160 MGEFLPSLELPVEAGKNHAMDKITDKIAALPAETNYCSLEFFPP KTAMGFSNLRDRLDRMARALRPLFVNVTWGAGGSTATKSLELAEICQRELGLTTCLHL TCTNMSRKLIDKTLEDAKALGIRNILALRGDPPRRAEYRDSNEPQTDDDEEEEFHWAV DLVRYIRKTHGDYFCIGVAAYPEGHADESHPLGQSLEHDLPYLVEKVQAGADFLMTQL FFDIAAYDHFEKTLREHPSGTFKDIVIIPGLMPIQSYQMIKRTTKLSHAKIPDALMDR LEAVRGDDERVKEVGVDIVSELVEQIKEIKGRTAEGPKGFHFYTLNLEKAVSFIVERT GLIHPETPEEEELQSAVRHNPLPDIRLLRINGSVPDDGRRKGSIGSDPRDRVIIQGRS TSYPDWEATAQEASIPAEPINSRANTLAISEGEGVLGREATWDDFPNGRWGDARSPAY GQIDGYGVSLHVTVAQALRIWGTPRTTEDINNVFIRHLKGELTTIPWSEEGFSPETDK IRDQLIKLNSKGWWSLASQPAVNGLRSSDPTFGWGPANGFVFQKAFVEFFIPSADWKV LEQKLRHPDLKDDVCFYAINAAGDFVSSDAAGSLEAEGEKEASTNAVTWGVFPGKEIV TPTIIEEVSFRAWSEEAFGIWGEWAKIYGKGSESEKLLEKIRGDSWLVNIIHHDFIDS DAIWRVLLN QC762_209170 MENIWSRRPGSSKLSLSTSGSGQGDSPSGRNNSFRRIGGDSSSL QKTNPFSSITTPGGGLASPTGGASNAFGLGSGAFASFGSAKTPKATGNPFESSLGAAV KTPGAEKSAKEGGLAGKSVGRVASNASLLDSARTSGASVHRLRDSWVFWFRPPISKAN GFIEYENTLHPIASVDTAENFFGVYGHLKRPSTLPLVSDYHLFKKGIRPIWEDQENKA GGKWVVRLKKGVADRYWEDLLFAIIGDQFGEASEEVCGIVVSIRNGEDILSIWTRSSG QRVLKLRETMRRVLSMPNDTKIEFKSHDTSIQQRTAIEESRREKAANNHHGDKRNNKQ QHYQQQLQQSNDEQQKNL QC762_209180 MSKRQASEALEELVGSPASKKSRFDDYTKSLTPSANGDDNHTNG LQRIEDEEEGDDFDDEVEEKAPIRQAAPTAGYDDLYLDTIDRNVLDFDFEKLCSISLS NINVYACLVCGKYFQGRGPKSHAYFHALDEDHHVYINMSTQKVYVLPEGYEVMSKSLD DIKYVSDPRYTRQEVAEFDRKPRTSRTLLGKEYTPGFVGMNNIKENDYLNVIVQSLSH VSPLRNYFLLEDLSKRDELVKRTSILFRKIWNPRAFKSHVSPHELLQEISLRSNKRFT LTAQSDPVEFLSWYLNNLHLGLGGSKTKPHSSPIQHIFQGKLKVESQAITAKADASDR LRFEESTQVQTDISRFLLLTLDLPPAPLFQDEQEANIIPQVPLSLLLAKYNGVKAQEL NAQRKRYRLMHPLPPFLVFHVKRFSKNKFVSERNPTIVTFDARNLDVGPYVEPDPSHC QPGEPIWYDLVANVVHEAVRQKEDVADSAVGEEKKTWKVQLRDKSRDGEWVVAQDLFV EKIREELLYLGETYLQVWERRDRPRVQGGSNGKGKGRMA QC762_209190 MAFLAQLGASTDELVDAIVGIPESDQETRDIFREAVLRLLRNHT YLRTNQFEVEDRLKGLEERFRVVGRDALADAFRKRLEALEPHHNKFTPDVFHFLLELA DQPAQKTDLTALDALIVPEEIPPPKLTWKDIAREDGWVQERDIWLFNRHAPDSSDDEE VADLRTVASVESLTSASSVDDRAPCTALDSAFKPQGEELLQHVKEALSWRDASTKNGG EQPEKVTISTLQLLREVLFMLSGLPTTFFDADCSPSPLYQLQGISTEASTALFNSFAE CGRKLAPLRAFSKRKAQSPLLQVFGSSLQKALTSLDGKLARIQGRYVAIKEDVVVSLV GILTEVQPILKPLYALSDIIRQLQEERNTHGFRYLELLYDAAGMAQLQAHRDTYHLLG SLFLDCFQIYLKPIRLWMEEGRLLPGDRTFFVSESSTKLPLPHIWKGQFNLLRSPEGH LHAPRFLKPAIHRIFTAGKSIVVLKNMKRHAAASKYRATDEPRMDFATVCPDHLEFAP FSELFSAAFDAWIQSKHHTAAATLQELLYNSYGLLQSLDMLEEVYLMSDGSKSDALAS AVFRHLDNFSSSWKDRFTLTEIAQEAFSASLDNYRIFAEIDPRTVVHSVIAGRSSVRV NLPAIRLGCRLNWPVQIVVTKESIQGYQTIFTFLLQVRRAIHVLKHPVKNFHPRGSLA ATGPMGQYYMLRTKLLWFCDAILTYLTTLVLAPNTAKLRANLGDAGDVDDMIKAHANF VNRIIHEACHGAKLQPIRDCMLDIFDLAIKVADAQKVEMARLEKEEHEITRLSVISSP YTSPVKAKAKCAAATPKPKRRNDEDDDTDKENQGLEWKIKQSAKVNEGKPHHVLLKEY QGDFERHLRFVAGGLRGVARASKEQAAVKWDLLAEMLEVGIKD QC762_209200 MAIARPVRVLGFAAVVMWFFFIWQVLKPTSPPKHKAKEIIKSFE RDPNLDPTGEPEGILVHASEEYAPGPAGTARINATLLALVRNEELNDMLSSMRDLERT WNHKFNYPWTFFNDVPFTEEFKKKTQALTKAECRYELIPKEHWAVPEWINMDLYKEST QILKEKKVQYADMISYHQMCRWNSGLFYHHPALANTQYYWRVEPKVHFFCDVDYDVFR YMQDNNKTYGFTINLYDSPDSIPTLWPETLKFIAEHPEYVHENNAMDWLTDKVRRPDH NKKANGYSTCHFWSNFEIADMSFWRSKAYEDYFNHLDRTGNFFYERWGDAPVHSIGLG LFEDKSRIHWFRDIGYQHIPFFNCPNSPKCKGCVTGRFTDGESFLYREDCRPNWFKFV SQG QC762_209210 MSEFPTRPVAQLVGSNGPIHALTYSSPPSTYILTGSSDRSIRLY NPTSTTPSPLPNSPPTGRLIQTYTGAHTYEVLSLSVSSLNDRFASSGGDRTVFLWDVT TALPLRRLGSASTAHSHTGRVNAVLFSGHDDSLVISGGHDTTVRIWDVKSNSNKPVQV LSDAKDAITSLAVPQNGNPELITGSVDGRVRSYDIRMGRCTTDVIGTPVTSLSLSKDG KTVLVGGLDSKMRLFDRRDGTCLKTYEHPGWKNTELRVQSCLGGKERYVVAGDELTSE AAGVTGTTSQEEGKIWAWDLLTGKLVATVPVPWGGDASNKKVTIGRDGKEKARKNVVS CIAWKEGGFGNQFCVGGTSGVVNVYGEL QC762_209220 MARQYDNIIFDLGDVLFHWDASTVTALPKKTIRAMMNTTIWLDY ERGFLSPGQAHKLLATELKTTPELVAESLEQAQLTLRPDAEMTDLILKLAAARTRSGQ AKVRILGLSNIAKDHFIGIQKIQFPWHLFDRIFTSCDTGMRKPDLCIYQHLIKETGID PARTIFLDDRVENIFAARSLGLRGEVVGRLGPERSQLVRLLTNLLLPDDTIIRAEGFL RSRAGNHLSEFEGKDVSFRDNFSQLLLWELTGMEELVYLTWPSRPSPEVSSASSPVDT ISNPDSAVELLLDESPSSSPQKVKPATTSSPFWNYFSSQPVLTTNTFPADADTTSIAY LSLPEQHLSSVVPPSEAMAAMAANTSADGIIQVYFSSSRPHTCPVVCVNVLRFFNKFS STPVETDDRLKPTVDFVINSLANRAYVHGSRYYVPEAFLYFAALFYNECKGSSPGLWG RLDEHMKGALLERLRVSAAGNAAALAMRVRACQAVGLGSEVARGDFEELLGLQRGEDG GWPAGWFCRMGRTGDAIGNRGLTTGMVLRVLRDWS QC762_0041570 MAVFYLICLLLFQPAASQSLKSLAGYFSSLSLIKCPVRCDDSGP SQNWTRYHAVDQLTTCDKPPHLSFAFSSSSPNVDNQDNITIPFVVLSCSTNKGHLVLD EQSKVFDSLTKKGAKNSTANIEIFSESVSDLQIPGQKFAVIREIQASLLFNTSAATEH FDGSIKLVSIMETPYWLEEFIALVQDRDDKTLTGLQLCGANRTVQYTMGVFLDITADV ALRLFRVQHALEAWSLSHCVVGLGQRESLKPSTFWLREPSRTALLNGTNSIGNWTTPV SPFNRAMAKTSSHVAECRTIQVASGDSCASLAHKCGLKNANDITKFKSTEALLCHTPS KSAHLLLGWYTARRSTKAYA QC762_0041580 MKVINNASPPSEFKRIGYFEAWRSANKKERPCLFMDATQIPTGY TLVHFAFGEVSSSFAVGVSKLKNQFDKFVKMRGFKRIIAFCVKPGNREILATNIAKFV VDPDLDGVDLDWEYPGAPDLPSADPLNGLAYLELLKLLRQKLPWTTFPIGEISKVVDY IVYMTYDLHGQWDFDSPWSSPGCPAGNCLRSHISRKETLNALSMVTKTGVSSTKLMIG ITSYGRSFRMVDPSCTGPMCTFTGALSGAKLGRCTRTAGYLANAEIQEILHYDKSSRT YYDEGIMSDILVYGSDWVADMNNDNKGRREALWKSMNFGGVSDWAIGLAEFLPNDVSQ VPSLSMEEIKEDWANITCKHAYARNSSYRELDRWKTLKADEAWKHVVVECSDESERRP TAALLLSSFVKLAGIYYELYDGIQAAQLSLITTLSKFSSTFAPEQKADESLTMTLNIL SAGFGVLAGPDFAKAFSSGNFFSQNPGIGEKLESAVMKGVENEPVTEDLASQFNWIVM SWKEIVDITQRQAFSETKDGVCYLSSMMSNGKLLKVEPMNRFDTESAMVKVLYAFLIP HVWHLRAYSPVLIHAEWDCQKVGVDKYCCVEGNFLPFSDSLMGCRNNKWHPTLFAVHV IFSII QC762_0041590 MASSNTLPASNRQHYETKAPAQHKDEQCLTHLQATDPRHDKDNI ELRKGGLLRESYCWVLSHDNFRRWRDNRDGQLLWVRGDPGKGKTMLLCGIIDELENDT ARTDNIAFFFCQATDDRLSNATAVLRGLIYLLVTKQQPELISHVRESCYGLGKEGFQG PTSWVVLSKIFTNILEDPKLQGTYLIIDALDECTGDRDLLLDLIAGKLSAYPKVKWLV SSRNWPDIEESLNTATQKINLRLELNEESVSAAVTTYIQSEIDKLAKRKKYNNDTRDA VKRYLDTNAHGTFLWVALVCQELAKISRWEAVEILTTFPPGLDAIYEQMRDQINKSRN AKLLQRILAVISVVYRPITLNELPALVDMPDCSSGNVEDLTEIVELCGSFLTLRQHTI SFVHQSAKDFLLSNGTHQDSRDVVSWVFPQGKDDVHNSVFLRSLSAMSTILHRDIYGL KLPGFPINGVPTPCPDPLATVRYSCVFWVDHLRESISDKDTPQRNTLVAAQTFLEQKY LYWLEALSLLRAMSEGVIAIRKLEGLLGRIHQRQLTTFIRDAYRFALSYRWIIEQAPL QAYTSALVFAPLGSLMKKKFKTEEPSWISAKPVVEADWNACLQTLEGHSGSVNSVAFS ADGQRLASGSHDRTVKIWDPASGQCLQTLHGHSDEVNSIAFSADDLRAHGYRLGSDKT WVICNGQNVLWLPPEYRPTCSVIQGRVVAIGCSSGRVFTIGFSRDI QC762_0041600 MVESAPRSGCTGHILAAVDMTGVVEGIEAVSGLAGLFNTAITWF DYILVAKQAAPRLQSLLVKLDAAQLRLTRWGKAAGLTGSQIEDEESLKNSGSFQLDES EEKLAVVTFQAVADLFEQCQKLCHDERKGKSKDGPSATENEVSPFGTVGLNWNPMHRY LHGKMRDIADGRKNKVSVAQRVKFAIYKKEHLEKFIKDINDLIDELYKIHEPPVEEQK ELGKEELAKFLEVLKELDVASDRDPVIRSAVQNILKQEASRTSFNLAV QC762_209235 MQLTNLLVAIMATASAVSATCHTSGVTWNDKAAARRAITDACTK GRFSVTFGIQEKIFLCVNSGGKQKMEFWVQNKANSRRNWDDADCIHRLSREVDGCNQN KGGYSNVDNWYYSSDPNEGQC QC762_209240 MATRQAAKTTFEVGNVIQPIYTGGSVALENGARILASTLGENAV LTELTTGKNLAEIEGDGEPISTLAITPSGSHLIVCSRSLTMRIYALTVSPEFDSIETT LVRTSKPHATPVVVLAVDRTSTLLATGAADGAIKIWDIVGGYVTHTVSGPSVLISALH FFEIAATAADTTIDRRPKKGSRKNDQDDGTNGVDSKFRLAWGTQDGKVRIFDLHKRTA TPVYSDAKRKREAHESNVQSIDYSPEQHALLTGSRDKTMTMWLWGENGWQGTPMLRHE LVESVGFLNEGKWMYSAGESGLLRIWDSTTHQEITAKQDPKADGEAILSTVYLPEKSL IVCAQADYTLALYQVPKAEDISASAGEFFWEPFRRISGTHDEIYDLVYLLRDQSMMAL ATNSEDIRIVSVKTDGGEEGGSYFGHDVALLKGHEDLVMSLDVDWSGHWVASGAKDNT ARIWRVDSANNSFECYATFTGHLESVGAVSLPKVAPPEDSEAFKNPLDHPPAFLISGS QDRFVQKRLIPRPSQPFKETTSLRRLAHEKDINALDINPSGKLFASASQDKTVKIWDT ERLEVQGILKGHKRGVWAVKFAPLHTPAIQGETGGAVSGKGVIVTGSGDKTIKLWNLS DYTCLRTFEGHSHNVLKVVWLHIPTVEEDPSRKNVHFASAGADSLVKIWDANTGETEC TLDNHEDRLWTLAVHPKSNTIVSAGSDSKITFWKDTTSETQTAATEAATRLVEQEQEL ENYIHIGAYRDAIVLALQLNHPGRLLKLFTNVVTSPTPEAGSFTGLRAVDQVLASLSD EQLFLLLLRLRDWNTNARTAPVAQRILSALVRSYPADKFSGLSVKGARGQKSLKEVLN ALKVYTERHYKRMEELVDESYLVEYTLREMDALAPSLKAVKEDGEGDVDMIEV QC762_209250 MGLLEPLISMALGRSPYDEVIFPNTSQQNEQDSEHDGYRQLYDE RGRPINPETRRINRDVVRSHNEVMTVIGVAEPDNGPDDAHAMSSDRHNVYEDRWGKRL LNLGGVLDTACVWGVNGMRQRILLYKRYSRVPFKETFALARQDQSLAAHFLGGLPAFG LNAVIDRMVAPKAKEYPILGYASMYIRLHLVVYTTFQRFGIIPATELLPNWRFFIPGS SISPIVFPPLPTSLCPVGILKWIGGTLLGVAPLGGFFAYMIVYNHVAKFFRMKIISNL PLPSNPRPAKRRALQDITPLPPTFTLEIPPPVDQHPVVSGSSSTPPPATAPTQQQQPQ PTTRTAEVPPRRQSITTTTTPGAGIPLVTDDYASEEEELEVSATLISFDVEAADSSNT LSGNFSSNPAETINSSNPGIWSAELRPNPSDNNRAAQASRERTFRDNKLRRLPAALAT DVFAIGPSRLIMSPFAALCWTAMIRPYLARAGRWGELEGVNEVGLWGAFSWEGVSSLV GMELVLFNLMGSVWTVVSGLAWTVKMDEEEWEECGEGLRWEEQEGEEER QC762_209255 MTDQSEDEIRERLKTALWFSIGKIVDEESMRRNRNATPQFIGAL VDMVWSQIENVVIDLESFSRHANRTTVTTDDVLLLARRNQDLHSIVKDIVDKEKTRKL RAKAKGKGRA QC762_209260 MDDLANLATTIGDRFTTNLKSTLDNMSPQKWIRVIIVAGAYLLL RPYLMKLGGRAQMASYEDEHAATEAEFAAKAKAKISPNELRGKVQIPEDTDSEGEDAE GGSTAADWGKKARRRQRDMIKKLLEAEERRLQESKEEEEDKDIEEFLIKD QC762_209270 MPPKGKAAQKPKAGSCAATTTTTKPSAPAAPDNNNNNNNASKQP EKEKPSTVAELSRYHFELCHPFEGKRSTTQADQLVWLASRLVTRLPVRFLGAKGQKDL WKTVNEHSLPARGFNLRKYKKRQQQHKGVDSRGRDIGEYTAKEWGIRQEKRVTLSCLQ LQSQRFRELRDRQKRGFVDCQTGDQVLVTDSEYTEEKQRRREMERLSRELYGAEGMAR QGKLALDPEWDDVVPIVMEDPENGLAAIAYSPDYAEAMSYLRAVMSAKEYSPRSLKLT EYIINLNPAHYTVWLFRAANIFAMKLPIPDEITWLNQIALENLKNYQIWHHRNLLVEH YHPSIASDPPALASFATSEREFLTQILAEDTKNYHVWSYRSWMVGKLGVWGNPEELRS TEELIEQDVRNNSAWSHRFYLVFSDPENCTPGEKYAATEADPKVPGEIVDREVAYAEE KIRLAPQNQSGWNYLRGVLVKGGRRLSSVREFAEEFVKGLGEGEEGEEVRSSHALDLL AEVYKELGERERADLCLRRLMEKWDRIRGGYWQWRREGLGLAEVAA QC762_209280 MTQAIANGRNGQNGYHVDTEPESSSISASSSNASEYGVEGTNGI TNGTGNLTLNGNGNGNIRDRMQRKKSSPMMPSFMVSAPGKVIVFGEHAVVHGKAAIAA SIALRSYLLVTSLSKSRKTVTLKFPDIDFDHSWRIDELPWAIFQQPSKKKYYYSLVTE IDQELVAAIQPYLADVSPDKPADVRKVHQNSAGSFLYMFLSLGSPSFPACQYTLRSTI PIGAGLGSSATIAVCLSAALLLQLRTLSGPHPDQPPDEARMQIERINRWAYVYEMFIH GNPSGVDNTVSTQGKAVMFQRTDYSKAPDVKPLWDFPELPLLLVDTRTPKSTAHEVAK VGRLKDTHPKLVGSILDAIDKVTQTSAELIAEDDFSTEKEDSLRRVGELMNINHGLLV SLGVSHPRLERVRELVDHQGIGWTKLTGAGGGGCSITLLKPGVPREKLARLEEQLDEE GYQRFETTLGGDGVGVLWPAVLKNGTVEDEEGGMEIDQEKFLNAQGNDGVERLVGVHG GMPGEREGWKFWRVESNPDI QC762_209290 MPQEIGDIKQFIEICRRSDASSARIKKNKSQIKFKVRCQRHLYT LVLKDSDKAEKLKQSLPPTLVITDIPKRNKKVQA QC762_209300 MPTPLDRAMRSRNAFLAFTGLVTGVAVWAIWGGDMFPTSPDPTG NPEEWSKEDLRRWLAARNLHPQDSDTREQLLERVKANMRIPRQ QC762_209310 MDILQRLARFLDRPLFPWKKLIIGFSVAQFLFEGVLGIRQYRVL TKTKPPAVLQHEVTQEVFDKSQAYGRAKAKFSLINGLYGQIQNFAFYHFDILPKLWSW SGNLLLRFAPTRFTGEISQSIVFILAFIFIHQVVSLPSNIYQTFVLEEKFGFNKQTPK LFVTDMIKSNLLAVVLTPPILAGFLAIIKKTGSQFFYYLWMFGAGLQVFMITIYPIAI LPLFNKLSPLEEGKLKTDVEDLAKKLKFPLHELHVIDGSKRSAHSNAYFFGLPWKKHI VIYDTLIEKSETEEVVAVLAHELGHWSLGHTTKLFGISQAHFFYIFALFSVFVNNNSL YADFGFHAQHPIIVGFLLFSDILGPADNVIKFLMNILSRRFEFQADAFANNLGYNAEL ASSLIKLQIQNLSTMDADWAFAAYHFSHPILSERLKALNWQPTEKVVVKDTVGEEKKE DTAVTTGRDEL QC762_209315 MKLDDEAFAAMLAVTPLATLNKLAKETMSDEESMLPLAFEGLEA LEDYETDHPPRSSTENPCLIKGTVSEMYRTEIGHIISPLVNRDLGKTKEFLQCLEQAW PLLFDSAIDINSSKNLFRPSGSSEVKEKFIDNESWNQICLGLTVKRLWRHARFGLKYL NPDSKAFDKEGSETQLQLHWINTSYFSLEDSPLL QC762_209320 MECTPPFLRLSPDIRRQIYEWVGLADYHPHFFRLNGQETEFFGL LISCKIIYHEASTLLYSRNRFIIRYTSPHSLAPLRSLTSRSLASLTSLRVILNEASCH QPEDGNHSYCCHLLRVYPYDGQTYCSHAHGDLHQAPATLSVSSTKDMLAEWTDAATYM SAHVNPRALEIKLVCDVQEDDVEAAQLAVAPLKLLSPLANCHVRLCRKTNSQLQQIAD AAVKHARGITQTAPDALTKPCLSSSTAPLASHLLKLPQELRLRILEYTDLVTPWNEVT WSRQGRTSRKYSLCRVGCEYGASCPPEVHNGCQFVECWTIGCFCRLQHSAASDSCRCW AAPTDLFLICRTLYLDAQVVFFSQNRFVVHDYDSSSPSDVPNHEELSPRQYPFDRFAV SEFLRDVVPQGCLDMFRSLEVVFPPYNWEVWPQDDSPAQKDWLATVDWAKDKLNLRGL TLRVVMADVSDWGAPDDRVDMTAEQGRAIIEAYHRIITPLRLWGQPNSSSDTVLARFC ANLVEPSKHTYSIEDPFWEEERSRVFEDQLKEKVERLVRGDELWEEQSGLPEPPRGLW KYAFRRDA QC762_209325 MANSMTRHDSGNNATWTKTIVSKTDYRDIMWTDLLEIAEDHWKW VQAQPGAYTRGESCLVAALFLPSTKGGVIFLSTIPRGSKHTEMMNGARAAPAWFHATT GGTNRNTNLQLHAEDGAEFLFETSPYSRGIVSRNLQYVTPDRDNAHSRMKLAVWGRHR TSPAQGESIALCTVGEKQPKCPAVARALNIAYCDRYKVRFEQQHR QC762_209330 MAYRSLVLGAFASTSLAASVVTPRDPVPPGFVAAPYYPAPHGGW VASWEEAYSKAEALVSQMTLAEKTNITSGIGIFMERLGFPRMCLQDSALGVSSADNVT AFPAGITTGATFDKKLIYARGVAIGEEHRGKGTNVYLGPSVGPLGRKPLGGRNWEGFG SDPVLQAKAAALTIKGVQEQGIIATIKHLIGNEQEMYRMYNPFQPGYSANIDDRTLHE LYLWPFAESVHAGVGSAMTAYNAVNGSACSQHSYLINGILKDELGFQGFVMSDWLSHI SGVDSALAGLDMNMPGDTNIPLFGFSNWHYELSRSVLNGSVPLDRLNDMVTRIVATWY KFGQDRDHPRPNFSSNTRDRDGLLYPAALFSPKGQVNWFVNVQADHYLIAREVAQDAI TLLKNNGSFLPLTTSQSLHVFGTAAQVNPDGPNACMNRACNKGTLGMGWGSGVADYPY LDDPISAIRKRVPDVKFFNTDGFPWFHPTPSPDDVAIVFITSDAGENSFTVEGNNGDR NSAKLAAWHNGDELVRKTAEKYNNVIVVAQTVGPLDLESWIDNPRVKGVLFQHLPGQE AGESLANILFGDVSPSGHLPYSITKRANDFPDSIANLRGFAFGQVQDTYSEGLYIDYR WLNKEKIRPRFAFGHGLSYTNFSFDATIESVTPLSLVPPARAPKGSTPVYSTEIPPAS EAYWPEGFNRIWRYLYSWLNKNDADNAYAVGIAGVKKYNYPAGYSTAQKPGPAAGGGE GGNPALWDIAFRVPVTVKNTGDTFSGRASVQAYVQYPEGIPYDTPVVQLRDFEKTRVL APGEEETVTVELTRKDLSVWDTELQNWVVPGVGGKKYTVWIGEASDRLFTACYTDTGV CEGGRVPPV QC762_209340 MKASLFTSLSVAALALAVPQKRSVPTAAELARFKVFAEYQAAAF CMTEGQPAGTQVACLEGQCNTLTSRNVTVHSPSFTGTILDTRGFVSVDPVAKEIVLTF RGTVSIRNWVADFIFVQVPCDYAFGCLVHTGFLASWAEVKSRAMAAVTAARQAHPTFK VTVTGYSLGAAVGTIAAADIRRSLKIPVDLITFGSPRVGNNAFAKFVTAGAGSEYRLT HANDPIARLPPIIFNYRHTSPEYWFDEGADGVVTLDEVQVCEGHANIQCNGGTGDFNM DVHGWYFQRFTGCAPTEQPFKARSTPISDAELAKIVNEWVKEDKVLAKNLELSGEA QC762_209350 MFSYTPLDHDRREIRLLTFNFVNPPLSHENLDDSPSINLSLSHA FLDAEPTPVYNALSYVWGDANDTRPIILDGHVFQVTKNLFTALTQLHASQMSARIWID AICINQSNNDEKAVQVRLMNLVYSLAKNVLIWLGPEPDGGAFQTIRTLAAMYRDSTDE GYINIETITDREDLQFEERMARLGEEVVDLADSGGDEDGRLDFEALWRLFFDRPWWHR VWVVQEVVLAKAAMVLCGNEFVLWEDVKDIWSVLLAVSHRVLRIGDDKYSNLAKAVDI IIGIFGHLEAASTNYEASLINAQERGVGEAGLSLFEALEVTFQSNTVDATDERDMIYG ILGMVQPEDRCKIPVDYSSTNTMERVSFDVSKVLLMKYGPNILSSYQRLFSVKHHPVA IPSWVVDRTSEFRTALAVKVEDRHPINNLYHATKGTSWEEWSARSEIGQASYGEPRIS LPGRIVGRVVNVGKTLVDMTVQKYSAEWYAVVTKWLFELIDMLETYRASLAGNSEFLT STLENVWRVPVLDSDVDGTARADDGLWGEVFLAGFETLTLTGKKQSWSDVYDEEKTEL PNDLFMYLGACKVQRQRSFVDSTGRPGTTLQDVQVGDQVVIFPGAHVPFVIRPVTRKG GDVVHHMVGAAYVYSIMDGEAMEDDSEFQDIWLA QC762_0041790 MSSCRVPKSWLEITVPCRHVEGKLAEPHHNQRCCIQTPTSTIVV TFCGDILLILLCGVAPQASAIPVVVYHHLQSHPYAQQPPVNNSATATEPIKTWSNLQV SLILDELIKASHPTIKLKKGRVHQVLLSSDKYHSVEHTRRGLYVITVLVTNSNARAFL HAWADPSSDAALSGDIAGHALHPAPNSPGAFRQIQTWLETCLRSHTECNSVPLADADT SSTTRFPTRLLDVETNNTPFVRVTDRQNALGRYAALSYCWGPAATQLRTLKSNLAEHT TTGINISAMPATLRDAVIATRNLGLRYLWIDALCIIQDDSPDWLREAPQMGLIYQNAH LTIAATGSSSSTGGLFHPRDTTTNQSVIELSYLPPGRTKPRTFFVGPHTPFFDKVVTA SVWNSRGWVLQERNLSRRIVLFFVGQLFFECIRHSVAEDGLVLLAHQEKRLGSSASVS HGPAWAWCAIVQNYSACDLTYGEDKLFAVEGMIANVKARDLRNSISFCAGVAVGGPGS DMLAVNMMWRADGNRAMEEVKLGEKTKWTRRAPSWSWASLEGPVAWEPFVPEGRVECC VEIEGGVPSGVMPDDQMVREARLLLRGLTVEVVRSSGERLGDVGCDIHAYVIDFEEHS ACYALLGGDVEEVLGWGVFDRGDRVAGPFMAVVVSRNVDEEDEQKQSLNVLLVKQNSA ELGDLYVRVGMGELTKFVIVSLKRGS QC762_209370 MRTRTSKGSILAGLLLAAGAGTGRADPTWPAPTDQMEEIVFQLQ GMDGSLFSDNITPCDNEAAGPGRVTASEWLRVAFHDMATHNRFFDRGGLDGSLQFELR SSENTGPGHNTTLQFYATYLSSRSSLADLIAAGAYAAVRACGGPVIPLRLGRKDALTA GSSGVPQPENSVGSFVSQFDRMGFSQAEMIQVVACGHTLGGVHRTEFPNIIPAGVSNI PFDTSKATFDNRIATEYVSGNTTNPLVVGPAIAINRHSDFKVFNSDGNATINTMTSPS AFQSICQTVLQKMIDVVPSTVTLTPPIAPYTVKPQDMQLTLQSGGASFLLTGKIRVRT TEIPGSTITNLVLTWKDRNGGNSCGSLSSCSTTATLQGIANGFDDTFAFFPIEATIPT STGISSFTITINRNDGSSQTFDNNGNTYPLSDAVVLQKTQSCLLQTSGQLTVAAVVRN DVVGVPVNLDIEYQTPRTGNSGNPVPAINTATVQMTEGDCVGPYTFYSASYTIPGGRS YNARISITAGEHTDDFNKASIFGGTCGSFTGTLACGNVTEPVSTTSSSATASSTSTSV SSSVVTTTTTGSTGVPTPSIRPSVGGYSFVDCWTEGAGGIRALGGASFAYDEMTLESC AANCTGFDLWGTEYGRECYCGNSLHSSSSEAPEAECNMPCGGDPSAFCGAGNRIQLYS TTATRSTSATPTPTATLSTKPTVGAYVRVGCQTEASAGRALSGNSYASDDMTLESCAA FCSGFTYFGTQYSRECFCGNTINGNSAPAPDADCSMTCAGDPFSYCGAGNRLELYILE TASTSTTGAPPVEVTTTATATATSTTSAAPTGTLSRVPTVSPYSYAGCYTEGTGSRAL TGKSTYDSEMTLEFCASYCSGYKYMATQYSAECFCGNTLHSTATEAAQGDCSMTCAGN EFQYCGGPNRLELYVQEDVEAPAAPSQPETVGNWTFYQCRTEGSPGRALAAETYAADT MTLESCADFCAGYTYFGTEYARECFCGNSFGVGSIEAPAAECSMTCAGNGSQFCGAGN RLSIYQAA QC762_209380 MPGSESPARQISPEAENMQPRSPPSGSGSEPESSSQQAGTADRP KTTKRRAARACESCRRRKVRCDVVTQSPCTNCLYEKVECLVPECRRKRKHHSRVSESL GSSVGSSTEASLLRAKCLGSAAPMGYAQNGQNLDFTYTGNPLLRQQLFSQAGSDAIRN QQYLNLSYQNGAAGFGSNAPLRPRVSPSLLYQNTGFPPLQPAIEVSQQLKSVLEAQAS PIAPAEPQLPAFIKPIPKAVSPEDLDYLNAKKALTLPHPQLRNALLKAYVEYVHPYMP VMDVHPFLNAINDQTGQSGKISLLLFQAVMFVATAFVDEDLLKAEGYQDRREARKAFF SKARVLYDCDTELDRLHLVQALLMMTYWYESPDDQKDTWHWMGVAISLAQTIGVHRNP VATNFPPAKRGLWKRIWWSCYMRDRMIALGMRRPTRIKDDDFDVPMLEEGDFEIGRLR EDNQLLGPDCALVRDVEMQRELAFMCIEMAKLCLLVSEMLRAQYSILSRGGMRPDVTT ASTMMLLPKKDQNPDGFAMTQQVDAMLNQWAVGLPSCCRRQPVPLTPIEEGRRPVVLQ RHLLHLIYYTTVSALHRPQFLRPQRAEPVIPTKAQQYSQERVRDASREVIKMVTELRQ HGLERCLPTTGVTVLLPAMIIQLLDSTALDADDQTRAQAAQGFKELLAVMRNLKEIYA AASYAVNFMTCVLQGRASQQQVQRPQQAFQSMSTATPGGGMNMMPSSMPERPSTPPPD DSQFISSAMQGVNNLYNHPQHQTPGFAGSGMAAGGGGEMEDTIMMMGGQTPPGTDYDS GSPGAEHGGGGLDGGGEETLKHHFGGAQQSATNGAGNEVVYSEWLEEYPGDIGGPDGE FLGMGMDMGGSGLGVVGEEANARYEWNSMSMGG QC762_209390 MDDPPPMTAAHHHYAAPRGRQLGTIPEHHATTAGGPRTTDDLLL NLTPRAAVEAFQNPSGSLKASIDAATPFEQAFAMRAAIASSNIADWLEDLSSWPWPKG GGSAGFEMPMAKRRRVSNGIDRADEDDQMYIGSLEVSQVVRYEKRIDEINQGLEKLDI EEIKTQVLHNHIMPLSRPGTPLLDSGRSVSSALSTLARMDDLTALLTATLMQALPNIS KLTRLLGIWTFRLLVLRRIPVFLKSLADAEVALQSGWHAIGVKSTKTDAADTASSNGP PSGTNGVTVLSQKEHEVMKTVLERKVARTGRDLDAMLDLLEGQVDTLPEEWIDRVDSL EHEYGEWTVACEQKIREAELAELARTTPEPKTRQVASGDKSPIPPPTPESKAATDIAE PVVVGGEENSISEEFNTSASSRREYFPLFGMPEEPTKSEPRSQDGINNAPQDLSKRLG LPIKFDRPDWPAIKVHEPAEEYDTNPIRSSLDGARKWSRFDGNGHLVSFDGLEEEEKK DSTGLAGSSSEQDRVSDALDGTSGLDTTGTPSYRSEDEYELTDGSDDFVPQPDLPVLP RSRRASDSGTVVHEASLARFMDFSSDSLDQGTPERPRRRDVDLARSLAESYRSPSSPP ALNRVANRSMSVSFNEEPMIRHLPSISSSPMTPNYNQSSLYDDEIPTQPNTPDKANGP DEKLQQQISDILQSVPAKIRLTSVLPPINLNPPDFKMPTARKKMSNPNLKRSVSNISL RSNGSRAGTPSFTLAPALGRTPRQRPKPGNPEIKLYHLSRSNGEAPIKLFIRCVGERG ERVMVRVGGGWADLGEYLKEYASHHGRRSAVAEASKVEVKDIPRSATAMAMRGDGTLG STPPSRPASSHRPMSSHATRNDDSPGQLKVRKVRRSSAAVGSETPLATVTGPCNRSNT PSTGGSRSSSRLSWPQAGEKDKEEEVVLGMAGPRAKQIEMSEENKQWVESVKQKVRLA SGEKLLPAYPSGAPDLESRFGELGKVGATKRLFRKPGGL QC762_209400 MVKETKLYDLLGISPTANADEIKKAYRKAALKWHPDKNKDNPDA AERFKECGQAYEILSDPEKRKLYDQFGLEVLLRGGAPPPDAGPGPGPNPFAGAGAGGM PEGFASFFSNAAGGGGGGGGTRFSYGFNFSDPNDLFRNTFRESSGGGDPFEDILFGAT RGASAGRSRGPRGSFGSESMRARQPTPEVTTVERPLPLSLEDLFNGVTKKMKIKRKTF DETGKRITTDTVLEVPIKPGLKKGSKIRFKGVGDQEEGGQQDLVFIVEEKPHPLFARE GDDIVHTIDLDLKEALTGWKRQVTTIEGKNLNIDKAGPTQPGSSDTYPGLGMPISKKP GQRGNFIVRYNVKFPMTLTPTQKAKLKEIL QC762_0041840 MSSQKITTILLDCDNTLVLSEDLAFEGCADLINEIATTKSVPLP QPFTGPSLITEFVGQNFRGMMVSLQKRYNFSMTDAELDDYVRREEDVVIAKLKEKLVP CVGVDAVLEKLAKEGKYKLAVVSSSALRRVKASVEKVGQDKYFGEDVYSAATSLPVPT SKPDPAIYLHAIKVMGKRAEECIAVEDSKSGTLSGTRAGIKVVGYVGPYPEEEKEHMT QVLTEAGAVVVMRDWSEFEGVLERIQKGEL QC762_0041850 MSSTLKLALLNPLQHPLKLTPIPHHHHRPRLSQHLCHVLLLLLR IRPNIPHHLNSRPRPAQRPRLAVLNSNTLLRPLPHDLDRMQINRRIRLARRHRQARRR TINILPKLGDDDVFLAADVVVEFGVGHGEVVALLEGDHHAAEVLADEFCDEGGAGEGL GEGDGFGGGDFVD QC762_209420 MATATPTLAEVIFPEQANHNFSRILGDLKRSNLSITNRLRSIQH DASFVESVADALQLPLVANERCGSWYIDPARKTASAYFKSTDGHTGQWKFSTRRINLH LLGVAGEHNGCIIVDSTRRGKRHPDALSKTIPTWCAVLNRALFPDIPSSHTLHVPPNA VSDSEASQISARLPDFVESFRSLKIDLAPLRAQLKKPLRPFWITQDDALDLAFSEDFH PVVCCTSSRRVTGTELGEGGYIQGAGDDTENWALGLTAQIWWKHKDELVSTPESDLPE LIERLVAEEGPAGDSAGQVRRVAPGIYVGTLEAADAQLAISGTCVVSLLPKTTPQDSW VKSPSHMEVGLGKNKAASRLLRDALPRICEFASRFLCETKPDEEGTVPEKRFVILCGS GKDLSVGVALALYCWCFDAEGNIWSASDQRESFTKTAIRVKLGHIMNTVPDANPGRAT LQSVNSYLMDWRK QC762_209430 MASLMPVHAVFAPAGNGASNGGGGGGGDGQKPKRARTSKPKVKT GCNNCKQRRIKCDELRPECYNCVRSKKICSGYPPPPRSARPFEEIRIAPKPIAGAGAV AAAPPPVRDALQLQPHPRRVAKQLKRTTSPLTPVTPQAFMMPTMPTMPVLPINVSINL PFTAEEGLYFQLFRERTASELSGFFDSAFWARSVLQECHGASAIRHAVVALGALYKTL DKTNESPPGSPSSNTSPYDSARRHWEMAFRQYANALSALIKADSAESSNRTRLMASVL LACFDSFVGDHQQAIVQIQNGLRLLEKLRQERRRAFLPKPEEPVEEELIQMFTRLAIQ AKSYDMAFHFPQPYVVRLTAAAQDPSSPGSEGGSPISTDQGPVPERFSTVQEARIIWD RLVERIFRFTETMFVEAQHGVMGILPTTLAQRGMSFKKEMDDWAHAFEHILQSRTAPG VSSQEKACIAAVKMHQIMSSILFMMTFSDSELHFDKFTPDFKHIVDLALEVVGDEERR AAAKRCPDQRFCYHQSRCEPDIFGGHEYAARHIKPSFSADLGIVPPLYVVATKCRDPI LRRQAIQLLRSSARREGMWDSELTARIGMWIAEVEEEGLFAPSDFAPSPTGSSPVQLY SPRPSTSGSSLTPPPSATYSGGRSSLSPQPGFEYTDSPPSQNGYARQSSLSPSVLSVQ IPKKIVPAEKRVMVRAVEFSLRDRSATIQLGSRNLRLGTPDLRTKVTRITW QC762_209440 MNSLWPSRASSAANDASKKGKEASQPPAAEPPAQKTGVDQDGTV DASAAGPANTSKPPPPPPTSLAKRPLLVRNQQPSAPSIPPAVPSVPAPPIPNTANSNE TNDASQPQQQQGQQSQQQQQQNVSPNDSLSLAQLRRIVNDFPNREPIAYDYEYTDMGP LEEEIDEWFMYNFWQWVRLNAANRAFHTAWGKYFASPESSPTESQAQPPPGWDEVDAS KRKEFITSILGHIKPLSDDQDDRMVRGEAIGAVVYLVLGRWTETVTVRKVGMLNGVVE GKCKSAATKVQLDAMKEGVRVLAECGGVEVMWDALRGAFEPFWADEPPQSLQMQAEEL IHLMTVMYVVIQLTLEDSEGMEEVRPRLLALNPNLVDFLMLATAKLRWDEAGILPQTQ IFLLFWKSILLVFGGMKELAETKKATSEREINEKDMELITASPLDYHVFRQEITSKYP AYVPPQPAIPLEAEHTSLLPHLPSHPPRNTAQTGIISGPPNQTGGGSILNQPVHIATP APSPPPSPAAGGKGAKKQNYQTNQNFPFMYPPLDATSNSAGGKGGAGLQDLLVGRKWE GSDVPASIMEAGELFSKRVRMTRATRQLWDERERFLKEGRGGCEGADEDLIDELDLDE LTLEEKEELGLVKPGDKDGKASRSGADYGPREVDDNTKRRLDAVEEFYKEALPQLQSA VVVLLKQVLAIASNMVIASPNGQQQGGGLPAGRANGPSQAPGPNGTGGKGPDPGSPSD ADVDEMRNREIAAKAATGILILLLKWLKLSHVLKFEYLTQLLLDSCYIPLVLKLFAVH DVQQVVENKLDRLEHSFFYFCGSRAGVIPHPGMPNPTATEFEDVEEVSEEEDDAAPPP IKRRRSPTTTPDQQGASQDAQQSFDAQQQQAPPTRPEVDELGYPVNPLPSEPITDFSR RNFFCLINYLRIMQKICKNKAHRNLLLVQYKSSPILRKTLKVPQQELRLYTLKLFKNQ VPYCGRKWRQSNMRVITAVYLYCRPELRDEWLAGSDIDAEVEEALPLEQALRSLTHWF NVRKYPETMAVFDREKGKRVMMEKIERSFFMREMEKLDPGGGLGFGFGFGGDGLMMGP EMMMMGDEQMGYGYMMQQAQMQRELQQQQSYQQMMHAHQQQQYQGQGQGQQQQQQQQQ HSGGWEGEGGQPGQGQAWGMS QC762_209450 MTYVDDTNTRQAADAASKFVNWYYSQINEGKGVSQSYVTNNDTY KNAGHPPADICVNGLVCPTPEDWEKILAQQREAPKATNDKKHVSYVVDTFDAHVINAD YRFGATQNLIDIHGPNDGVRMMIMVNVSGTVYFGVSKRSNEEYSVKQHFNDVFILVPN WDSLAKQSKYGKRFLIASQTYRAY QC762_209460 MVRITVSSLLRTALRPTASVPRCTARSFSSLPTLRPTLAPTPSA FRAPSQTLLSRGPILQQQSPSSGAEGVLDLISSTSISSNPAMQSMQVRCGPRPTMANA SRLIQKRRHGFLSRIKTKNGRKTIARRRAAGRRRLSA QC762_209470 MSATATYTMAELRAETQHGSLWSNYGNPVQMTGRFNPQDPSVPP PAPSHLVRPRSRQHTMDYPQYHHPRPGQEEGDGYDRYPHPSLMNIPSINSLKRPYSQV DQAPYTEMVQDLREDYKPSNHDQKLLSFKKVGDKHTIVDAKGRMHEMEIDAQLHGMFF LSEFPSAAGDGNVLNAELTCYRRNLFQISGSLCFPQVPLSVLLESGETSQIKNMEVSI SAIESVDGHPVRLIVIPWKTPPPNSPEVNQAPDQEPPNLPLIPWAEDEDDTSGEHYAI YPIGWRRLQFRIATANNGRRKELQQHFVLHLKLHGTLANGQKLVLSELTTAPIVVRGR SPRNFQARKEIPLLGSSAGSRGQTLVETGQGIVAQAVVLNKPPHDARPRISSLDGHVP RGAFTFTAPKQMPQSPMQMRSNSYPTTWNPSSQVSMPHNPGSATYPTATMPSEPYPKL PLSGAPSYTAEPQEIPIQQTSMPSVQLSLVSQDQQPPPIRTQFATYVQATSAPPHLSL STTADNSLNVPRYVDSNPRPSKSPRHASHQSVTSSISNDTAPGEYRYGPPQSAYAAND MSPQSQHPSSAQGGPSQYGAPSQENNTSAPSSATAPNAPPPRDYFPSSQSWTTTAGEP SASSVSYNNGSSDRPYAFPSVKPESHQHGHQQQQHPHPPPPPGSHSSGAPGGVYGGVG HYAWNAT QC762_209480 MAETERDTELQSLEAIFPEIQTPNKDDPYTIALELPVTPSKPVI VYFPTASNDGPPPDPTVGRAPNGVNPHAGPAIAGGGQQGEPQVDSHELAHLPSIRLEL SFGPRYPQEEPPKVSISTNPPWLPSETIKKLQDDGPRLWEDMGRDMVGFSYIDHIQQA AEEIFGLVGDGDALEVDPSHRIAILDYDIRARRAAFEKETFECGVCLDPKKGAFCHKM MDCGHVFCVECLQDFYNSAIKEGNLAAVKCPAPNCAKEREKSRSPSGRKRKKPKVFIS PSELLQIPIDEDTVRRYVTLKYKTELESDKNTIYCPRQWCNGAARSKRHKRPTGLELA DASEDDESLTSEDDDDDDDNEETPEGEVGKSKPYNKTEDLLSICDTCSFAFCSRCMQS WHGEFVGCRRPKEELTAEELATIEYMKAHTTPCPTCAAPAQKTHGCNHMICYRCQTHF CYLCSAWLDPGNPYQHFNTAPDGRVTSCFMRLWELELGDGADVGYGFAGGGAGRPAPA VVFDERVEQFIPEIEEATDGEEEEGQEGAGEDQGVRGRPAVAAPVQQPPLRPPAPQQP AGGPAQEVGIAREGPLVLRIAANNPAPAAPAPVPAQGGGNNAGVAAARGGPRGRQGAG GALAARGGRGGVHRGGHQQRGGGGGRGGRGGGHAGFGPGGQGGHNRGGGRGGGGGGHR QNVNNQAQQGQRRNNNQNNQNQAGVGGGEHNMVEMMEMLDGNGELDPRQEEWVRRFVR LALNDEEDDFNEDDFDFVVPR QC762_209490 MSDNITPLASLSLTHVYYNPNDPLSHLCAFLALVPQALCVVYAT LLWSTREAEVLLMFLGQLTCELINFVLKRLIKEERPKHVISTGGKGYGMPSSHAQFAV FWAVALGLFLMVRHRPPRSHIKKGQMQKEKAEGEQEGPALVDVPRRYKEGGLRAVNAH LEAYSHTPWSWAQRAVVSLAAGVVAVLVAWSRIYLGYHTPKQVLAGSAAGAVSAVAWF AVTYMVRETGLLAWGLEFPVARWLRIRDLVVEEDLCQAGWEKWEERRILTLSKLKEKN K QC762_209500 MGAAKGTALKTLQFLLRTLQFCCAALVLAVYAYFLATLSTHSLP IGTFVRAVLGIAGAATAYTILAFLLLCCAPGHPFPSFLMMVLDVAFIPCFGYIAGVNR HGVGNCTGEVDTVLGVGNSWSERGGLQYGTACEMEKAVFSVAVAACILFALSCLVNLA LARHRKREKRFGPSPANDYTAGYGKKKNRFSALFGRRRGGQHQTDLGPDPNALPVHTT PDEVRNSYGTDNTRVASNQGYGGFAAAQKYEQQTLHSPGVANGDMGLMNGSGNGYANS GNGVANGNTYGNGHTYDGNGNGYGNLPPPQPAARYWNSNTHTGGYRG QC762_209510 MPPLYSSAPPPGGRDSLELASLASSSPGVDTSETDSRPSISSSR RASLERDDPLDSANPAVRTRPDRSYSVTSNFDFAANLFPLSSTTGAGYAPIGAPTSAR DVSGGLGGGSLEKHKTLTYLNGLSLIVGLIIGSGIFSSPSQVNSNVGSPGAALIVWVV AGVLAWTGGASYAELGGAIPLNGGAQVYLSKIFGECSGFLFTWVAVLVLKPGSAAIIA IIMGEYLIRAFIGAEAEMIDPWFNKTVALVGLSLVTFLNCVSTRLGTRLNDMLMFLKF VALITVTIIGVVVAITGYSASGTTANVEWKERGWFEGTSTETSAWAVALYAGLWAYDG WDNTNYVVGEFRNASRDLPRVIHTAMPLVIISYVLANIAYFFVLPLDAINSTNTVAVM FGSKVFGSIGSLVLALIVSASCFGALNSSTFTSSRLVYVAGKEGYIPSVFGRLGNGTG SPDSLSTVRTRSWFKKKMSKLFGDEDTGLFFTPIPALILNAVLTAAYILVGEFSTLLT FYGVAGYTFYFITVLGLIVLRVREPTLERPYKTWITTPIIFCCVSLFLLSRAVFAQPL QTLIVIAFVVAGVPVYFWRVRGRGAPDRSRMKRALSEDDERPWWKFWGR QC762_209520 MTVIYGRSIGRALPRLGALRSVLSCSRFGDDIRYLSITAHRYAN SDAKLDLPALDQKWRQRWAALKSTKTSDGAEKKYVLPMFPYPSGYLHLGHLRVYTIAD VVARFHSLRGHDVLLPMGWDAFGLPAENAAIERGIDPATWTKSNIAKMKEQLDVMNGS WDWSRELATCDPSFYKHTQKIFLLLREQGLAYQDEAEVNYDPVDKTVLANEQVDANGC SWRSGAKVEKRKLKQWFLRISQFRDSLLQELEILSKDNNWPERVLTMQKNWLGKSTGA TIKFPLMAFEHITHSAIEVFTSRPDTLFGVQYLALASTHPVVAQLAAKDPELQAFLDI LPGLPPDSKVGYLLPHIRAVNPLAYHDETPEATKRSIPIYVAPYVLGDYGEGAVMGVP AHDVRDHSFWKTHHKDEPVRFVLAASEDESTTAMQNEPFIDHGVMTAHSGLFKGRSSQ EAGEMLVRILEEAELAKPVEKWRLRDWLVSRQRYWGTPIPIIHCGSCGAVPVPEEELP VELPEVDEHWAGKKTGNPLETLEEWVNTSCPQCHGPARRDTDTMDTFVDSSWYFARFI DPHNDEVPFSREKARKMLPVDLYIGGIEHAILHLLYSRFIYKFLMGSTLVGETEGVQE PFKRLITQGMVHGKTYTDPATGKFLKPDEVDVTDPARPRVVRTGEVAGVSYEKMSKSK YNGVDPTDVINLHGADATRAHMLFQAPVSEVLDWDGDKIVGVTRWLGRVWELVQRVST VSSSASVREFFEQEAARLGTMKEKELQQWDKAARVWREVQKTVESVTGSYEKVYALNT VVSDLMILTNVIVEEEKGVPDGVKREAVEGLVRMMAPITPAFAEECWAVLHPGETSIF KSEKGRFPVTDGTVDLLQPRKQVCAVQVNGRLKFAAEIAVPPEGLGEEQRREWVVGEV LKTEEGRKKFEGVDVGKAKKVVVVKGGKLVNFVM QC762_209530 MPRLAPSLFRQARKQISPFAPLLLPVCRTLDSTANELRWIKEHV NSTDSLVPRKLGIWQACLKREKGIPLQYILGNQPFGPLDILCKPGVLIPRPETESIIT HLTTLLPFSSSPLKILDLCTGTGCIPLLVASLLPSTSQTLGVDISPLAISLSRQNLSH NISLSHLPLSASKSITFTKSDIFSPSFLSSLPFSPGELDILTSNPPYISPAGFNKNTE RSVRLYEPKLALVPDVNLGKGYDCLPEDAFYARLLEIVSVLQPKRVLFEVGDVEQARR VAEMVVRGGELKNYAGTVEIWRDDPEGEEKERISLRNNREEVEVKGRGNGRGVYFWRK DC QC762_209540 MILQRVAQVCARPITLRGFATKAKSPPSFPTTPTCPSPTCACAP TPEFPEGFEIDHKTNINGLISNYAQHVLVCTGKDDWPSRIEDDNSGDNLAADLRELVG RGGQFNDPFHNISVLNASFPSSPAPKSRPELQTTSAYLLPSFKYVPWLPRVSFDSVEA LVRGYLLPEKLHPLHDGLSPIHRDRLLRKPAYQNALYGVKDVDEVVVLICGHGGRDQR CGAYGPLLRGEFEKRLPEKGIEVVTGPVEVEVDETVQALEDGEGKKDEGAKTAARIGL ISHIGGHKFAGNVIIYIPPNQTTKDGVRHPLAGHGIWYGRVEPRHIEGIVEETILQGK VVEELFRGGITQDGKILRL QC762_209550 MSGRLVSSGIRLPKHVAGDGRLDLMKISLSSHAQRQTLQRIYTQ RPQHRQFHVSLSQAAKSRFDSPKSEKDESRFAAAKSKKNAPKSTAELNAAQDQGEPRV TRKEVKRERTKILLEQREQKIRELEAQEKERPEQEKQEDERVEQERQELKRLVKEQLG LETENPAKEEKSRSEQSSNVVRNIFFSGVASAGALVLWEMWRFAYSTEPLNDQKFSPF EIVDRQQVSPTAFIVTVKPVVSRLGGFVSQWKLAWLETLQHKLAWEGTWAVEIKQPEL QVARDYTPLPELDVLSATNEYNIHNRIELFQLLKGLFSPQQSIPTFKFLIRRTEGGEV SSWLSRRKVGDTIELRGPHQSFNVVARAGYKEAEEPKRVVFLAGGTGIAPALQAAGSL FREHGQTLKKMPQVDIIWANRSKEDIKTDNPVIELLEKLKEMSRGKINYVCVVDEEGR FITANDIVAKTQITPPRKSWFWNSSKAESTPSKDDVNCYYHSSKHLITSAGEDYEKVD GENPRRQCTCPVGRPSFKNLLMVSGPEGFNNHFAGPKYWADGKERQGPVGGVIGELAK KYPSLASDWLVLKL QC762_209560 MPPPSQYHPSAPPDSPDDSDSELDLDIEELDPTPASGSSQASQQ PKQTERRAPRIALRTLRMGGLRRGANRNGYGELGRNRAAGEEDAEALLRDDDGNNPRY SDASTGGRDDAPLLSEHGGGSGPRRRRRSFAADTLRVGRLRLPSFMSGTGADHGKDNQ EEEEKEEDDPSSSRLVAVGSRQVIRFPPNSISNAKYTAWSFLPVTLYNEFSFFFNMYF LLVALSQIIPALRIGYLSTYIAPLAFVLVITLGKEAYDDLERRRRDNEANSELYTVLQ FDEPGVGSANLRSRKTLKSSHVRKGSKRAIPQGDRLSDIREEEEQMEADGSHRFASSH VHEISKKSKDLKVGDVLKLSKGHRVPADVIVLKCLSNEAAAHQGEPEVPPPKEESLLV DHPVDPGQGSSKDVESAAGGAAEGSSNGETFIRTDQLDGETDWKLRLASPLSQNLPIE ELVRLRVTAGKPDRKVNEFTGTLELLPTRQDAVSTNAFASSDEQAKAAPLSIDNTAWA NTVIASHATTLAVVIYSGPQTRSALSTSPSRSKTGLLEYEINSLTKILCFLTLALSII LVAAEGFGNTKTDVWYIKIMKFLVLFSTIVPISLRVNLDMGKSVYSWFIQRDPGIPGA VVRTSTIPEDLGRIEYLLSDKTGTLTQNDMEMKKIHVGTVSYANDAMDEVAGYVRQGF NLGATNLSQVSNPPLATPSSSSAAGVGAGATRQRREIGSRVRDVVMALALCHNVTPTT EEDERTGRMVNSYQASSPDEIAIVKWTESVGLRLAYRDRRSIVLESTETGRVVVKVRI LDIFPFTSEGKRMGIVVRFAERLNETGDGDGEIWFYQKGADTVMMGIVAANDWLDEET ANMAREGLRTLVVGRKRLSNAEYREFEQEYKTAALAISGREAGVQGVVGRYLEHDLEL LGVTGVEDKLQRDVKPSLELLRNAGIKIWMLTGDKVETARCVGVSSKLVARGQYIHTV EKLRRKDSAQEHIDFLRSKPDSCLLIDGESLGLFLTHYRTEFISVAVKLPTVVACRCS PTQKAEVARLIKEYTKKRVCCIGDGGNDVSMIQAADVGVGIVGKEGRQASLAADFSIE QFCHLTKLLVWHGRNSYKRSAKLAQFVIHRGLIIAVCQTMFSIAVDFEPLGLYKDWLM VGYATIYTAAPVLSLVLDKDVDEELANLYPELYKELTEGRSLSYRTFFVWVFVSIYQG CLIQGLSQVLTEVDSPRMVAVSYTVLVLNELLMVAVEITTWHWVMVVSIVGTFLVFVG SVPFLGGYFDLGFLMEVGFYWRVAAILAMSLIPTWAAKVIQRTMKPPSYRKVQGI QC762_209570 MSSDAPTASWHPAMMPNYLAAEPPPSLPTEATSSPTPSPPPQNQ PEAARETRQLSHVHETSEDHDTGAWFPDYGTSNADWMKKTTDDAPAPAPAPVEDSVEP APEKPASEEKAEETAAETTNASKHLSTMSFTRTVSSEMNWNDDDDPEWSITSPAAQHD PSKFLPETGRTNSFPNTSHLEQQPAPELEQALPATEAEDLIREIEQEEAAENAADAGF DNQFGEQNDHQYIGGNITGTAEDAQEARFDEGLALIPSAEPAAPQPAKNDGAGDDLFG GDGESEEDDFFSNVKGDETEHQDAFEPQPLERKSTMDVLNSLNVGSAHNGFEPLKETV EEEEAEDEVPQPQETQELKVEETPAASQDEPKPETQEDLDAKWKEMFGDEEEEEFLLE SDTEPKEEVDAAAFLGSDDESLLDDETGTEAPAAPGYQPAPTAPVATSQYLPQPSSAA APVVSPYVPTGTSRTPTQANPYFPPAPTIPPAAVSPYGVPASAPPAQNFGYGAPPLPV QEKPKAQSFVDKGKGGYQSPYDLPMEVVKPKKRASALPLQRNSSAPLAPGPVVPPPPR SASLYQSQPMASPLPSSGLAGPSLARPGSSGLSQGQAPAAAKKTPQEAFFEDLPITTK PRPASRNKGLPSPSQTSPYGPPAQSVPPHAVPPPVNHQMAQPPPGPFSPPGSQSEFPS LVAPPKVNPYAALPSSAGLAPAPAPAASTRYSPALPGAPQPNGHVPPPALSRFSPAPP SRQASGTYPPHSAASAPPILPHQPRTSSPLAHFEITNERSRPHQPTHLAENTLAERRS VSSMHDSRLQRVSSLPPTREVEEEDGPSAAQQSPPGRAMPPLPMSPPESKYAPSAFQA RQTPPPSSHSGQAILSPPKRAMSTHSPLVMSPEFAPPPRSQTQSPGALYGNRVTKQAE PLPRPSSVQDLTSPHLTGYAPQVPAPAAPASTSSRPRGFSLNMNVIPPTDGREHDPLQ RWRGAPLLSWGVGGSMVTMFPKEVPRYGMNQSVPSVVRSPGEVKVKNAKDIAPLEERL TKFPGPLKGKSKKKEAISWLSAGIESLERGLPNTFGMQSHLAHDDKRAFERVLLWKIL RVFIEHDGILEGNPAVEKAVRDLLSPSPATEAAVPYLNGGASLGLSDSPATSMRTDSV DSATVESIRRHLLSGESEKAIWAAADQRLWGHALLLANALAPNLYKQVAHEFVKKEVN FPGHNNESLAALYEVLSGNHDETVDELVPVHARAGLQLVAKDPSLGPSKDAMEGLDKW RETLSLILSNRSVGDAKAINSLGTLLSGYGRAEAAHICFMFARHNTIFGGFDDPNSHF VLVGSDHKRQAEQFAKEIEPLLLSEVYEYGQSLAGNSNVVISNPHLAAYKLQHAYALA EYGFRDKALQYCEVIAASITAQTKRSPYHHHILENAVEDLMKRLKSAPKEESNSWIPK PSMNKVSDSMWNRFNKFVAGDDNDESGQGAAGDAAESGPFGRIAGDTPTISRPPSANN LETFGAAIPSYGMPVASVSNGPVVSSAPPTRAASRYAPGGPAQPAAGAGNPYAPRTSM ERSSGEYNRSSVELPRRSLDMQSGYSPVRTSSPAQQYTAYGGGYNPAGESPRSPPVQQ QPAQFAAAAPGHQPYEYPGAPINGGNVASDLPTPTSEKQGFETKTEAAGTSGYQAPSY GYEPPSFTPYEALKEEEKPASEETTGDGGYEPPSYQPYSYEPPSYQPDPPSNEDEKSG DEKPKPKKKSFMDDDDDDYPPMKPSSSTPAEKSKEEKDRENAEMFRKAAEEDAKRAEA AKAQKKGWGFGSWFGGRKSDAMPQQQEAAGTPNKPIRAKLGEANSFYYDPELKRWVNK NSSGEDTVKKATPPPPKAGPPGGGARSATASPSFPPPGAADPGRASAPPPRVASVGNL TPPGSGSEIGLSAPPAGPVGMLRSASSTSTASAPPGGGARPPMGGAGPAKSLNNSSSI DDLLGAAGPRRPGQGKKPRKSARYVDVMTKD QC762_0042020 MPDLPPESLPHLRTPGAPYAMRWYGLKAEEGDYKTKNCTRRSGL EELWVLRSGEGPGRVHGEEEPSMEAFSIYCRRTEPSPEKRESSAGTTVSPLCAGAMGP AGPGQSEGFGMGEVVESTSSTGELAAALNSLEKIAWIRLDDDTVRFTVIPEVGSQVWA SLSADLIFDNYQLQSNEPANTINLELPLAPLQRALRSAINSHNANLRLTKKDGAPMLS MVIHTMTKDSGGPNPAAPHSRPAADDDDDNDPFNNPSPFPTEPLELQMRREREKIITQ DIPVRVLHPDTVETIMQPKVREPDVHIQLPPLLQLKAISDRFTKLALTTASPSSSSHN NIVTKDPKLELSANMHGSLRLRLRTDTLDITSVWDGLENPELDPSQLAMPLEEHPSTV FREAGRDKWATVRVDGKDWSRVLSVGRLEGRVIACFSDEHALILYVYVPHSSGGVGGG VGGMEDVVTYYVSSFSS QC762_209600 MDSAPAQSTALVRKRTDTELMPPPPRAKRIKRPKRVLDEDTYTD ALSHIIARDFFPGLLESETQQEYLDALDSKDDEWIASAGRRLQQVVMTPGRRRNLATP LRQPLQTTTGQTPLNFVGDTPASIASSSVTAATNKATNVDTNMSLAAFQSKYTSEDNE SFYKLLDRQNQKHVEKYAWLWTGNKLPSKQQLKQKEVQAKLLAQRGATGLTDDGFKKD RLAIMDQDAFDRPAAPDQWKFKPQNELMFTPAGIEGVETVSERRERESRMDQKRVVYE NTRVPGPNLKITTEGEEDRSRAGSPTLSEIRNAIAGKRRACDTETKASSVAGGETPRV NGYAFVDDEEPEPEPVRRSKSSKAPVINLGPGDATPNPFKIQEQRGREALHHRMVERI AQSKRTSAKLGVTGKVERTPAPKFPSSPKVGAPGLTPAAQRLWGKIGGSGRGNESPFS GSVKATPRAATPKLKGSGLKSMGK QC762_209610 MRLADLLVDLAAVASASKSVAAKHVALRARQVERYGQTSSILRD ALLGRQKAAQGQKEEPPNVERGDEEKATPPAAAETHQQPEPPKEKEVSGTIPKEAPPP PPPPEETTQPAPTPEKKRPTQEFKPAPWAEGSIALLKQGFVPPRRPPTSLPSIGKQKI DIPLLKVDETEEVDVSSLFHTSKGSKILEALKSKEDETKEAAGEYVQVAEAGKTENKV VEKEEEQPSKEVDSAPAVKAVIFEPTKEVVAEPVKEVVSKPLEEAVSEPIKEVVSEPL EEVLSTPEKEVTAEITPSPPPPPPPAQYELRESRVPSTRLGRLWNYGGLAAGMLAGAV TESVSRTFNGSSSSSSSSVMLSPSNMTRLVSKLSRMRGAALKLGQMMSFQDAKMLPPP IQEVLQRVQDRADYMPSYQRDRVLGQNLGENWRELFSEFDEKPIAAASIGQVHRAVLK QSGERVAVKIQFPGVAESINSDLDNIAVLLTATKLLPKGLYLDKTIENARLELGWECD YEREAGCAERYRQNLLSDEVFAVPGIYPEASGRHVLTMEWMSGTGVTRIAPNLSQEQK DWIGTQILRLCLREITEFKFMQTDPNWTNFLYNPQENKLELLDFGASREYPDSFIKLY VQLLEASSRNDKDAVKELSEELGYLTGHESKQMLEAHLTSVMTLAEPFMETAPEVYDF RDQTITERVKAQIGVMIHERLAPPPEETYSLHRKLSGAFLLCARLGSRVRCRELFQDA LEKSGYKKD QC762_209620 MSSVKRKQPPPTLLQRRVRPRYEPEPESDVEEISDAPSEEGAGF DSEEDEDMSEAEMRSGSDEENSELGSDPEDGEDQSEDDTPQPTHQLSFGALAKAQAAL GDKLNKRKRRSSSAASEASSSRDNSNNDNKFSLEKNHKKPLEKPSRTSKHAPVELSSK RQVSRRRDFLLDPTSTKPQHRDPRFFAPSTMSATSKIDEIKARKAYAFLDEYREKEMQ ELRVAIKKSKNAEEKEKLQKALLSMESKKKAQERKDKAQKVLDEHKKKEKELVRQGKN PFYLKRSEQKKRVVVETFKGMKKGQVDRAIERRRKKVAGKEKKLLPWARRTVDDR QC762_209640 MGKAEVGSTKYLSNKMKQKGLTRLRWFCQICEKACRDENAFKMH CQSESHTRRALSVGQNIKQVTDDYSRAFQQEFISLLKTSHGEKEIHANKFYQEVIAKK DHVHLNATREMAVLKGQIERARREAEERGVDLEGEREKELRREEGERIKLSFGKKGES TTPTPTPGSGGEEKVEGKDQAEKKDGEKKEGEIKEGEGKLAEGAAAAAPPAKVSLKFG VKPPAAKNVFRNALAGAPKKVMVAQPKKMSAGERIMMEELERKRARESRGSGGDAPSK RPRF QC762_209650 MVQALLKNTSTNGWVVQKFGGTSVGKFPDRIAEDIIRTHVENNR LVVVCSARSTGKKVTGTTSRLLEVYKKLRAIVALAGDEESQDVLLEEAKRIVQDILDD HVDAAETFIKDAELSAAVKKYTEKDCHLLNEYLLAAKRFNLEINSRAKDRVVSFGEKL SCRFMTYLLKDRGVDAEYVDLADVLHLDSPGRLDAGFYRQVTAVIAKKMLACEDRVPV VTGFFGNVPGSLLDGDIGRGYTDLCASLAAVGLRAEELQIWKEVDGIFTADPTKVPTA RLIPSITPAEAAELTFYGSEVIHHLTMDQVIKAQPPIPIRIKNVKNPRGEGTIVKPDP TLAADQQIQRPRKPSDPSARKKPKRPTAVTVKDKISILNIHSNKRSIAHAFLARVFSI LNQRRISVDLISTSEVHVSIAVHNGSSEYDSLNQAVEELRECGDVTVIHNMTILSLVG AEMKNMRGIAGKMFSTLGENSINIEMISQGASEINISCVIESRDAERAMNILHTSLFT FLEYGN QC762_209660 MVSGAVAAAAFRRAALLPQRTLCAGGIGYANAPLLAPSPSPASL ILSRDAFQTLRPSSAAAPAKLQPCRHFSSRVGAGRTPAAATPTCSPQSSLGLRPRLAQ PTWTSPINILRRLSTSPPNPEQAVAKKDQQSSTQEEQEEKEEEVDQHLKAHGFQKSAK AAKAAHINMAARLSKEGKGQEGKPGWAEVWRLIKIARPELRWLGVAFVLLLISSSVTM SIPFSVGRILDLSTKEDADEVRLFGLTLTQFFCGLAAVLTIGATANFGRIILLRIVGE RVVARLRTQLYRRTYVQDAEFFDANRVGDLISRLNSDTVIVGKSITQNVSDGLRSLVS GAAGFVAMAWLSPKLTSIILVMVPPIGIGAVLYGRSIRNLSRQIQKNVGTLMKIAEER LGNIKTSQAFAGEVQEIGRYSKQVKKIFALGRRDAIISGTFFASTSWAGNMTILAMLI VGGNLVRTGAMTLGDLTSFMMYTVFAGSSLFGVSGFYSELMKGVGAASRLFELQDRKP SIHQTVGTKVKSAQGPIKFSNVHFAYPTRPAVAIFNGLDFEIPSGSNVCIVGPSGGGK STVASMLLRFYNPTSGTITINGVDISTMNVKSLRRRIGMVAQEPVLFSGTIAENISYG RPEAKRWEIIAAAQKANCGFISDFPDGLETQVGARGAQLSGGQKQRIAIARALLKDPD ILLLDEATSALDAESETLVNSALAELLKGRSTTISIAHRLSTIKRSDKIIVLSSEGTV AEIGSYTELSNNPNSAFSKLMEWQMSGADVPTSTSPRITEAEEIEEDLEEQEEEEYDE HSEEVEEVEEKKR QC762_209670 MASQSFVIRSPCSSANIGPGFDVIGLALSMFLELHVTVDPPASS TENYPLNCKITYEGEGEDEISLDPEVNLITRVALYVLRCHDQRAFPKNTHVHVKNPIP LGRGLGSSGTAVVAGVMLGKEVGGLHHLTMDRLFDFILMIERHPDNVGASLFGGFVGT YLKPLTPEDTARVEIPLSEVLPAPQGGVDTGDRPPEPPVGIGHHIKFPWAGEIKAVAI IPEFEVPTAKARQVLPAEYPRNDVTFNLQRIALLPVALGQSPPDPELIYLAMQDKLHQ PYRQTLIPGLTEIVESMTPATQPGLLGVCLSGAGPTILALATSNFEEIASRIIKKFEE NKITCSWKVLEPAEGTTVVRTK QC762_209680 MIIPSYFSNTTTLSLQQLLLLSLLTTNYTQDSPNYQNPHHQHNI MESIFIAQSKLRAELGLHKVKAIPNSKFQRHGTKAYASAINRYGLQPTKPGPLTSGKI RDPSTNWTSGKFAVGAIRDMWTSLVEKTGDNKPGEVGAQDQQGDMEYLCEVLIGTPPQ KVLLDFDTGSADLWVRPDAFKNDQSSTFAPMHNKSWKIEYGDGSSASGFVGQDTISIG GLVIKKQAVEVAKHVSSQFSQGVMGGLLGLAFKQINTVHSTMGIRDPQPTPVDNMIEQ EDIPKEAELFTSAFYSSRDLKPESFYTFGWIDQDLVKKCGEEITWAKVDNSQGFWMFD STSASVDGDKIELSDNKAIADTGTTLALISDEACDALYKKIDGAAYSEKYQGYLIPKS IKVDSLPEFSVAVGGKEFVIQKEDLIFAEADESNYYGGVQSRGSMPFDILGDTFLKSI YAIWDQGNSRFGAVPKIEKEQKAPEPSKDGDGVQKVICTLDKSPAKKSVCIANSAFVC PSDC QC762_209690 MASSDFQIFTSLRYDPALLQVHSHPDFTHASWNHGHSSPFYMLD YHRDRMLRAAHHWKWDSVISSLTGDAGLQLLADNITAYLAQKDQPARVRVDIFQNGKL TVTSAPVPSVPLSRLFPTTLDPPTLDLYSGSVFEVVVDTTDNVNPSEFTHYKTSQRQV YEEARQRAGITSPTISREVLIVDSKDGSVMEGSISTPYFFRDGKWVTPFVNKETDKEW HGGQEGTTRRWALERGLVVEEVVLAQCLVDGEKCWLSTGVRGFILGRVKLNP QC762_209700 MAPTIASGPAGTTVTVPGNSSLWDRISNWASENKGLVYTIAGVA VVVTGAGVVYYIRKGPDEESVPKPSKKERRKRKQAEKEAEKGAAAEKQAENPKTATVE TADELPEIDEASVIGLSESQRKEYAAKLKEAGNKAYNQKDFNKAIELYSKAILCKPDP VYYCNRAACHNALSEWEKVVEDTTAALAFDNEYIKALNRRSNAYDHLGKYSEALLDIT ASCIIDGFRNEQSAQAVERLLKKFAETKAKEILETKPARLPSATFVGNYLQSFRAKPR PAGLEDDAELDEETGKGQLQKGLKAMESKIAPSYEEAAEAFEKALTLGDLGEYEAYAH NLRGTFQCLKGKHQEALADLSKSIELDPGLTQSYIKRASMNLELGAPDKAEEDFEAAL AKNPEDPDIYYHRAQLHFIKGEFADAQKDYQKSIDLDPDFIFSHIQLGVTQYKLGSIA SSMATFRRCIKNFPKIPDVYNYYGELLLDQTKFSEAIEKFDTAIELEKETKPMSMNVL PLINKSLALFQWKQDFSEAEKLCEKALIIDPECDIAVATMAQLLLQQGRVTEALKYFE RAAELARTEGELVSAISYAEATRTQIQVQEKYPDLASKLSGMGGGVVR QC762_209710 MSYNPYNQGPSAEAGYGGGYGQPEQHEMQSYGQQYGQPYGGQSY GQQPYGAPQQQPYDPPQQQYGSSATVLTQNQFLERVSAIRQEIQGLTQIIRRVESLHH AALSSTDGHAQAELDAEVAKSQLKNTAIKDQIQSLKRDTERTTAEHGTFALKKRQFDS LNNDFKDTIQKFLQEEQAYRQRCREQIMRQYRIVNENATEAELQQAADANWGDEGIFQ TALRSNRSGRASEVLGNVRARHNDMMKIEQSINDLVDLLDILNQQIVQQSAIIEDVAQ KAEQTTDHLGNANTQIQTAVKSARNRRKLKWWCLGITILILIIIAVGVGVGVSLMQKS PPSAQ QC762_209720 MIRKQARQRRDYLYRKAVLLRDAEVSEKRAKLRAALASGKPLDP ELAKDTQLRKDYDYDASRDVAEDDSLDIDDEYSELSGVVDPRILVTTSRDPSSRLMSF SKEIRLLFPTGIRLNRGNLVLPELVRSAQAEKLSDVILLHEHRGTPTAMTISHFPHGP TLMASLHNVVLRADIPRSIKGTVSESYPHLIFDGFTTKLGLRIVKILKHLFPPRDVTA SKAAGNRVITFVNQDDCIEVRHHVYVRTSYDSVELSEVGPRFTMRPFSITMGTLENKD ADSEWHLSQYTRTSRKKNYF QC762_209730 MEDAFNHLGNHLVSDSAATINATADDFDDETESFLGDLKEHSSP TNALRRHDDNDNQGPEDFRDDDSNFSGPRNDVNSRGPEEEKELPAHACAYCGIHSPSC VVKCIAKECNKWFCSARGNSSSSHIVNHLVRARHKEVQLHPESALGDTTLECYNCGNK NVFMLGFIPAKSDTVVVLLCRQPCAAGSSAKDMSWDVSRWQPLIEDRSFLNWIVSPPT DAEQLRARHLTPPMIAKLEEMWKEAPSATVADLEKGTGVDDDPHPVLLKYDDPYHYQN VFGPLVKMESDYDKKLKEAQSEDHLVVRWDMGLNNKHLASFILPKIESGDVKLAVGDE MRIKYKGELRAPWEGVGYVIKIPNNQSDEVTLELRKAANDKSVPTECTHNFSADYVWK ATSYDRMQFAMKTFAVDELSVSGYIFHKLLGHEVAVAPMKTQMPKRFHVPGLPELNHS QITAIKTVLSTPLSLIQGPPGTGKTVTSATIIYHLARMNNSQVLVCAPSNVAVDQLCE RIHRTGLKVVRLTAKSREDVESSVSFLALHEQVRLYKQSSELTNLNKLKAAAGELSSQ DEKRFKQLTRQAEREILNSADVVCCTCVGAGDPRLSKMKFRNVLIDESTQSAEPECMI PLVLGCKQVVLVGDHKQLGPVIMNKKAAKAGLNQSLFERLVKLNLVPIRLNVQYRMHP CLSEFPSNMFYEGSLQNGVTITERLRKDVDFPWPVAETPMMFWSNLGNEEISASGTSY LNRTEASNVEKIVTRFFKAGVKPSDIGVITPYEGQRSYIVSTMQNTGTFKKESYKEVE VASVDAFQGREKDFIVLSCVRSNDNQGIGFLSDPRRLNVALTRAKYGLVIIGNPKVLS KHELWHHLLVHFKDRKCLVEGPLTNLQTSLIQFSRPKMSFRPRHNAQAQHVSGGYTNG RGYGGGVNGSHRDFDTGSVLSYANGIPDDVSSIHSSALGGAGLTSAYPQMFSNFHPEQ WPGLPGANPASRPGAKGRARIAESVAGESVANSEFTDASASVIGGKGVGQGGASLGAG LSEAISSARPTSYSRSDRLKQYVESGGRSLGLGSGYGRRFDDDEKSVSTAFASQIGTG FD QC762_209740 MASPPKDLAPDVPTTTVTPDTTVTESGAGAAAAKPETNTTLGGD GAGTTTRRAATFSVSPSQSQQQSGTNGGSGNGGGSVKSAAANRNSTADIDTTNPLDFS EDVSADNSLPTLATLKKIDNYIVLDRNGKSHTFRSLYTGRHVARRVLLVFVRHFYCGN CQDYLRTLSSAFSPSDLLGLPIPTFIVVIGCGDPGLIDMYTSETGCPFPVYTDPTRKL YAELGMIRTLALGERPAYTKTHLVRSSLASVVQGLKQIPKGLAHKGGDSRQIGGEFLF EPAGGEDVASPIKELGEWGRGERAGNGDGESVQMGVRHRASETPSIDGKNMELGGDGS EGVDKIVSWCHRMRNTRDHAEVPELMEVFGMAVGEMEKIPGDVKGLERERWERAIRER KGVGLGGKGRGSLER QC762_209750 MASQVPCPIPGNPSMYGLGLRTSFYLLHLSTLFLELLEQEYIVL LLSAELVLNLALLLALILQVAAGGLHIVEVYILLLLLSVTVYLFVPRHAADLAMVFCP HLGLRVKSRGRGVDVLGCLRGGYGLVVAGVQVWFWGRGVDSVRYDDGGGGGGGGDGKG CLEYGFLFGAMDLKSGSMKGLNILLVLGMLTGGLVVVGCVKSGWWGVRKGGRKKKIRS RWEAQKWLEALGGLAVAVAVIVAIELTIRWNRIGAENSVNQATTAAQLIPLLLVIALI STFLYGVFSNDKSDDGSLVVTLEAGSSSSPSGSGTGSSPSGSGGSGPTPVVVAERSRS QTRRSRSRQSSRRPPAPSPPMPPSRHSPRFPGPPPGPPPASHHSGYSHSGSPTPPSPL SPRIINVSPSGPVARPSVNYYRQVVPHPYFPYEGPEYYDPNGSPPRMSGGVGARWPRF AKPMGPRPPPSPTKSIDPANDKLWDEVLSGAPGTQGEPLITQKDIDDLFNPPPSAMLI TDADVAAVMEPLVTDADVEEVIREMLTEQDIADALKDKVTDADVDRVMKSSFTDADAE AVMGDLIPDELVEEVMMSGAIPDEMVEEVMRSPRQAKVEDESDHLSQQAHDSEDSDEE EESSSDSSSDSGSSSSSSGEKHDEDEDEEKGPAESIPETETEPRTPRSVRWAMPLDEE IDSRYASDGGFYHSPELRSRDDDVEEIVRDRYGDDDARIVAVVRYFPTPGYSSGYGSS WGQKY QC762_209760 MGSTLCQNRLIEERKQWRKDHPFGFWAKPQKNPQGVLDMKVWEC AIPGKKDTIWEGGQFKLHITFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEE AWKPAITLKQILLGVQDLLNDPNPESPAQAEAYNLFKKDRAEYERRIKRIVRENAAP QC762_209770 MCGIFACHSHPDVAKFKPTALKLSKQIRHRGPDWSKNLTPDVIS NNTILCHERLSIVGVESGAQPLTNADDSIILAVNGEIYNHRLVRKTLKTPYHFKTTSD CEVVIPLYLEYGIDAPKHLDGMFSFVLYDKKKDRTIAARDPIGITTLYQGWSSKEPGT VYFASELKSLHPVCDKIEAFPPGHIFDSLTGERTRYFEPTWWDGEKIPQTPVDLKKLR ETLERSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRLKKLAEEANGAAEEKPED LDKGEGLVGLDDEGKLSTMTFLPQLNSFSIGLPGSPDNEAALKVAKFLGTKHHVMTFT IEDGLNALSDVIYHLETYDVTTIRASTPMYLLSRKIKAMGIKMVLSGEGSDEIFGGYL YFHGAPNKEEFHTECVRRVKNLHLADCLRANKSTSAWGLEARVPFLDKEFLEVSMNID PADKMINKERMEKYILRKAFDTSDDPTAEPYLPDNILWRQKEQFSDGVGYGWIDALKD NAELHVTDEMMKNPKPEWGSDIPDTKEAYWYRLMFDEHFPQSCASTVMRWTPTWSKQT DPSGRAISIHQAKYEDA QC762_209780 MAAVPAYSDIAKAANDLLSKDFYHLSSGSLEVKDTTPNRVAFKV TGKSSHDAATSGAIEAKYSDKSTGVTVTQTWNTANALESKVELADTLAKGLKAEGIFS FLPASQAKGVKANLQFKQSNFHGRAFVDLLKGPTTSIDALIGHEGFLAGGSAAFDVQK AKITNYSLAVGYHAPTYNAAVTATDNLSVFSASYYHKVNKLVEAGSKATWNSKTGNTV GLEVAAKYRIDPVSFVKAKINDRGVAAVAYNVLLRDGVKLGLGASFDTQKLDQATHKV GTSLTFEN QC762_209790 MPFRYYHPPRSAIPRHIANPPHHVLVNNPQPCRPFHSSRHDRAP AGSSNPDDSTHDHYETLNVHPSASPAEIKKSYFHLSKLHHPDHNPSDPSSSHRFMRIS EAYTILSHPANRARYDRSRATNPRYAHQHHPHAPKSGSYHSSNPAGGRPPSGLSSRRK TTFQGPPPSFYKSGGWGAHASKRRAAHESSTAQQGSPEGKTHARQDTSAKDHQGWENT TGPESSPGMGFGQDPYYKYGFGGFGYGTNTGSNPFFDPHSHQRTHRRHEERRAKRAMK RRGLSLDADDSMIGTFFVLSGCVAASVVGAMLIGGFGGGLGR QC762_209800 MAAQAPVDEIAQLSVSDAATTKPKPGLDSATATNGNLNRDSDDS DDDAENAAPGAETGAVKKKKKRKPKKKKKNPTAQSDPPRVLISQLFPDKVYPKGEEVE YVNENRYRTTNEEKRHLDNLKNDFYNDYRHAAEAHRQTRQWAQKNIKPGWSLTDIANG IEDSVRALVGHQGLEEGDALKAGMGFPTGLSLNHCAAHYNPNAGNKMVLQQDDVLKVD IGVHVNGNIVDSAFTLAFNPRYDPLLEACKAATNEGLKQAGIDARLGEIGGYIQEVME SYEVELDGNTYQVKPIRNLNGHTILPYNIHGGKSVPIVKSNDQTKMEEGDVFAIETFG STGNGYVHEEGEVSHYAKRMDAPKVDLRLSSAKSLLNVINKNFGTLPFCRRYLDRLGQ DKYLLGLNSLVANGVVESYPPLVDKKGSYTAQFEHTILIRPTVKEVISRGDDY QC762_209810 MKVFSNTETFNYSWEEVSTANWRKYCPWNDKSTHVLAVDTISRT VDPETGILRTERLITCKQSMPEILKKILGAGMEDQQVFETSYVDPKQRTVTMVSENIT WNNLLNVQETVVYRPLNDHQTSFEQAAKITALCGGWQKIKNSMEDALVKRFRDNAARG KEGFEAVLAMSRRVFAEEQQREKMMLIQAQAVNIRMAA QC762_209815 MADDLLFNLNLGSSSDSDPEDLASCPAKQPVSRADRSALSQSAF AALKKEYIPRVENGDLWTQIPLPLSPEPDANRGGLISKPQAQDLLHAVEELYFYRRYS DGIGFVKKIMANGGEQKIDLETRELLRKYEGRCKSKLESSQ QC762_209820 MDEHLAQIVSLAQAKFKSTPPNRRLLIGISGPPGSGKTTLSTLL TTSLNSLLPQTTTFLPLDGYHHPRSILDTFPDRARAHKYRGSEPTFNGPAFLSLVQSL AEPITPSTSPIYAPSFDHALKDPVENAIEILPTHRIVVIEGNYIMLNKPPWSSIPPLL DIKIFISAPEPVLRQRLARRHLAAGLVDSVEKGEERADFNDVPNGRQIVENLVLYQGD VIQIGSADDVTWGPVSSSSM QC762_209830 MSIDKENKKTSEELAEEAAIQQAMMADLGIGRLDELPVDDGELA AMNKKKGGGKNGRHGRGDRGDREPRQEYIRRPQIQNDALVARGAKMSNVWQDAIASGV FEDDDAAAVKGLADLGGPRLHELKAEAKRKATALLKYQESQSRNNLHPYATRSQMPPA QEPHHSFAHVQQMVKSGSPFVSNRSRKQKMSSSRNAGPSRLPSAPVHLRQPSESAPLR QPVSSPLPGPSRIVQQAPRDVPVLPSQYGGNQHVANPLHMVARAEVLLNPPGRNQPLP AIVFLTVAPAPTLGFCMMFAENKLFVQFTIAEYQDFVSSDLTLSLHFGQNVLYFGLTF STAGELESFLKVLRDLKAGKYAVGAFETSGQKPAPEPSVAPKPSIATQPPVTPANAAP ANENTTVIRLPPVKPQASVSNNRAVQYASRFITGEASGTRQHDDESELKATQGVLINV EGKDTSPVQKRGSSEASALLSTLEPYGNEDALEVAPAVTSLGHDISRTSTPVENDALT HEPVRLSVPDAVAMLRNMLTAFLRKKAGGKTKREITATVEGIREAFIDVVCQDHTKSE RQEVVAQIEDYLNSSAVAFVKPRRFQYTNEEMMAMKDSQVQPPAWLADVPYPHGKDGA PTPPDDGVDTKEYIRKSTIGMDWVLGKAESSAATTQKQPMTIVKTESSVAVENISPQA SAAALKPVQAPVKPMAEYRKLDAGLGASRWSSTMDAPLQNSNAFTGLPYEKRWKEDSY FHDLAQLDPETRIDEAENLEDFFFPGSRAVGDRPGALATLQPSARDLGSDTQVGDLDR RMSRLTLESPAGPRATSCSTVRIDAFEQGSQLIPIEEPTPPLNTAARTFTPTARAFAP AVATPPPANTRRSSSASTLRGLGASRHASRAALPTAGRFNFHLPK QC762_209840 MSSSFIFRQSTLQSRYLEVSCFQFCSSQSVHQTNQSIKQFKIST NSKDFVSRQQSPHQADKIEEVRQNLPLPQMPPKASDWQSADASKTNVGSGRFSSDVST GLGSTAGLREPATKASEDIDMSGIGRQGNDGLSEPPKDARSK QC762_209845 MSMTKQATKTAQTSRLDRGYFEPHVSSPLRPLVLDPESQIDEGD PSIDEDAPATTTAGPASLTDEAENSTAAQTSGTDDDEIDEKKLDDDKFDDDDGRDSGR VPLGDITHLLTIPANSKTFGLPQIARPTSHNFAPKKAHKVAEQGPEPEPSGKTQAAID IPHTHRNNAASSFNLKVELPKQDENAQPLSMPPRRRSGPLAHRAGPSTHYIHVPSFVN NDGSPDHAEMARQWVLEYRKEIQECEEWNAESRKLMSMRGTPRGRRLVKKSGRISSSP LFKSETKTKFGFDLGEQEQKLDFEIFVDEDCEPITSTAAQKWKMKAVAAVVEDTDSIS DFELPSPIF QC762_209850 MLSSRASLAATRRLVPTTSFAQQAGWTCAQCRNSIPTLRKGVPS LLRANGPTTRRYGSKTGDNGGRQDHNYGGRSRARRSAVLAATGGGVAAAAATVGGSLL AFGDDIKNSYEAMERTGRVAAALVLCINDYYTTLGRRDKVEKPEEKETLLKACHQRCA DRTLKVLEQSGGIFIKLGQHLSAMNYLLPPEWTTTFIPLQDRCPVSSFESIQAMFKAD TGQDLLDYFSEFSPEPIGAASLAQVHMATIRETGQRVAVKVQHPSLKKWAKLDMNLTS FTFSTLKRFFPEYDLEWLSSEVEVSLPQELNFECEAENSKRTKEYFGSLSQPLPLVIP DVLWAKKRILVMACETGKRLDDLDYMDSQGIDRDEVSATLARIFNEMIFGEGAPLHCD PHGGNIAIRKNDTRRRGPNFDIVLYDHGLYRDIPQDLRRSYAKMWLAVIDGDMEGMKK YVNEVAGIGEDKFPLFASAITGRDFMIVSDTNEGGVMKPKEASEQKTMSTALQEGLLA DLVQMLGQVPRIILLILKTNDLTRSLDESLHTKQGPVRQFLILARYCMRTVFYEQLEE IKKRGSLYWPPNAIRVFAAWLGFLRVELKLEAFELWLSAKRLLGYKNLRLEAAA QC762_209860 MLSSIKWPFLSSSSSEEKKPTPHPHIQPHKPIQTKYISLPVDIP PSFLSLTPDPALVPDAKPITFHPLDWTSTAIPENKGKLAFILENVLSPSECGQLIEYA EESVPLDSPTAASGANNGPWSPALVNMGSGFELYEPSYRRSDRIIWDTKEVADRIWER CLSVEGLRREIEVIQGQERIKKVTGRGEWHGDGGRGRWVMRRMNERLRFLRYEKGGFF QPHCDSAYYASMDKEQVVKTLLTVHIYLNDCKATAEDPDSTELVGGATTLFSSDEKRR YDVECKAGRVLVFQHSAVLHSGDEVKQGVKFSVRSDVLYEQVLAKEEEQEEAEEEEEE GEGSKYLRGCL QC762_209870 MPPPDFTSPLTFKTFNTIPSTAASPPPSSETYYLLAEIKENLSL TRPTLICSDLSSTSFALTWSDLHASPHASDVDFKALGLKKGNCVLLPNARRTDSSDEV KQGKVVIPGGKDEWQGKSGLRVIPGKLEKVVEVGQDWEFVIEEGEGKCGNCGKEGREE ELAKCTGCRGVGYCSKECQVKGWTEGGHKSTCKIIKTFKEIWP QC762_0042320 MISPIRHTAAVLLSGAAVANAATYSIASAADIKQTSSLLAWDLL QYYKGNLTGQTPGILPGPPPAGDYYWWEGGAMWGTLIDYWKFTGDDSYNDLITQAMLW QVGPDKDYMPPNVTASLGNDDQGFWGMSAMLAAENNFPDPPEDEPQWLALAQAVFNTQ ASPDRHDETCNGGLRWQIPWSNNGYNYKNSIANGCFFNLGARLARYTGNTTYADWAEK TWDWMRGVGFMDEKYNIYDGGHVEHNCTDINRAQFSYNNGVFLLGAAYMYNYTNGSDV WRERLDGLTDATIRVFFPDNIAYEVACEEHMSCTTDMLSFKGYVARWMATATQVAPFL APKVLPVLQNSAKAAIASCVGEKNGQRACGFKWSTGTFDGSQGAGQTMNVLGAVSSLL IGQSRPPVTNSTGGTSKGDPNAGSQSDNFKDKYLPPTTGDKAGAGILTVLILVSVVGT FGWMSTGV QC762_209890 MVHISQPFQYHDTIGQPSSADKFPKNTITQTQLDLLIHTIRTTP IVDHHAHPLLNWDNQTGKYPLLHITSEASGDAIESATTSLAHLRAVRQLSTELKCAPN WESVVAKLEAVRLDPDEPDIWGDWISRCLEGVHTILLDDGLDNKEAVEDFDWHTSYVQ SPCRRILRIEAIASDLIKHLSAGEFHDVQKAEAIIKDPAALKEFWENWAGSFDNAIKN AIDDPLVVGFKSVVAYRTGLDVAGKEPSGDAVQPALKEVVKKFLKVRTARLEDSSLND YVIHRTAALIRDYMGKNHEEDIIRKPRKPIQFHTGLGDSDLTLAKASPSHLQEFIRSY PDVPMVLLHAGYPFTKEIAYMATVYKNVYADIGEVFPCISKDGQERVLMEILELCPWS KILWSTDGHWFPETYLLAIMQMREAFENVLCSYVLKGQIGWRAAIVLVQDLLFKNANK LYHLGLDFPKPEEVASRSLARYPNPSRNLTLLRELLNNTIEPTFVQICWNDYTALQRM RLVPFRKFMTLLEAGRSLDIGITKAVFGMIQNDHLIPSSSATGEYRLHPDFSSLKHGP VAGHISMHGEFREQDGFPVALCPRSLLLRSVEIGSQKGLGFLLGFEIEFLLLERVEDD SVVDRYTALKTDGHAWSVSKYYANPKINALLKNMVETLAQMDIYVEQIHAESATGQFE LILPPYPPVQAVDTLLHTRDVMANLATEAGFKMTLHPKPFAKACGTAAHMHMSILPEA DAFDAEKVTRHFYAGVLKHLRAITAFSYSNAASYDRAQDGVWAGGRWVAWGTQNRETA LRKIEGSHWEVKIIDGLANPYFVASAVLLAGIRGVETEEKMVWDDCEMDPAKLSDMDR KELGISQMLPASVEEALRALQEDTEMVEMLGDELVERYVAIKEFEDEFLGKMGAEVRR LWLMERY QC762_209900 MMNGYDEKRGHRTDDDDPFAAKGNIVSAFDAFPKAKPQYVTHTS SGGKWTVAMVILSLCLVWSELARWWRGTETHTFAVEKGVSHGMNLNLDAVIKMKCADI HVNVQDASGDRILAAEALYRDPTNWGQWVDQRGIHKLGRDTHGRLLTGEGFVDGTQEE GFGEEHVHDIVALGSKKGRWGKTPRLWGREADSCRIYGTLELNKVQGDFHITARGHGY AQFGEHLSHDAFNFSHIINELSFGPYLPSLINPLDQTVNSAPEHSHFHRFQYFLSIVP TVYSLGHPDSYSSRSIFTNQYAVTEQSAPIPENMEMQMIPGIFVKYDIEPILLNIVED RDSFLVFLIKVVNILSGAMVAGHWGFRLSDWVNEVRGRRRRNAGHSQGMLGTKGGGEY EE QC762_209910 MGKRSRRTLLRILSIILIMAGFHPLMWETWCKDVIRQLSSNVEW LPLLWELRGIADGAEVTLEQVVMLNARHELAAWERVMRNDEVGRPGCLRPMEDFFILS EDPKPTEDCNILSEYADTSTSAYFSDAVTNNHPVVAQSWNMPSTRTVEEPEKRDRDVV VPKDHAVILLKVTPCRQDGPAAVPHFIVTKPGVLMKSGMNQHGYSVVVDSVFSTRDRD LAPSDLPMTLLARKMLTSCVSLACTTNVLQRYRTGSTHSLLHACRGLRSLNDDTTSAS ILELLPHTPEAGSLRGRRVLPDANLSYCLVHTNHLIAPQLAPFQLEELVKRVSLPAGL LEVAKHSKKNLQSMAQIVRRYLVQLLTKRFVNFVFRAWDSPARFNRLRQLIEFGDHPI NQESILNIFSDHIGEMSVCQHTKADMFKELSEVSGELDKPWSRPQGNHTACLVTYHLE ELKITVSTGPPCHGNHLVFQLLDPSHLDEVPTVAAAVPEDRKFKWPQKLKQTKPPQKC HLLSAVDPPILSKRLILGEASNPNTQFKLTCASWWGVRRMSLQRQRALRGRKRAAAIC SAWNDEWERQGEVRVQPERPVFGPETKACYEERKRRFEQEYRRLCGFWLLKARWQTPG RPDDKIVGDRSWPDHEVIGTPPRAEGAEKSRSPRTDEEEAEMINHFVETRARFFDSAA ARFGTMNWDRWKLANGLMPRGSSMLRNVETWTDIDNDVLETTVVVRNNHCLLNGTDYA AKRAFAVRYDERTGHRHYMRWGLKWLRDIAPPRVIDERDTQRAKFLARKRKHQMRWQE KQEPPKRARARRERLEKEAAEEKAREEGKERARKRAMYQERWKEQAEEKKRREEEAKR YPPWTTVSVGRKDKKRVRKRTVRYSK QC762_0042360 MSQMPKCRRAGSNATTAQPSNPPFVEGAWAAQRPPVREISFGQD GLSTTCLGKLLEVGDKQRHQQALLLVSSPPRACVMSGNLAKKEERNGVRELQSLEKVR VGVDCAACRILSADKISTGPSEITETNTLEHSNDDLENGGAAGTMVFGKDGP QC762_209920 MSSRIAPTFSKFTRSLSTSSPVARPSHLLSATSAATKSVRKPSP SVLEENAESTRAHSTSTSPSRPTLTTLQTSQPHPFRFMQTFHHSAPRPATAHHTVDSL VLPDLFAMDPNFDPYSNIRVPLLPDNISGPPSGLFAPEVSDFVPEQAAKEVKIVAANP DSVALPEGGFVDGVELRFVYQMQPEQSGQQEYDEMGSGMIKDLWRGLVDDVMGSKNST A QC762_209930 MAGLFSSGTSSASASNTLGDLKNDVALANGPEDSISDIVFNPNP ADTKDLLAVASWDKKVRIYEIMSNGQGEGRVAYDHDGPVFSVDFFKDGTKVISGGADK QGKVVDLATSQTMQFAQHDQPVRAVRYFENSGTPMAVTGSWDKTIKYWDFRQQTPVGT VTCQERVYTMDVRNDLLVIGTAERYINVINLKDPTKFYKTITSPLKWQTRVVSCFTDS MGFAIGSIEGRCAIQYVEDKDASLNFSFKCHRDPPQGNVTNVYAVNDISFHPVHGTFS TAGSDGTFHFWDKDAKHRLKGYPNVGGSIAATTFNKTGSIFAYAISYDWAKGYQGNTA GYPNKVMLHPVQPDECKPRPSVKKR QC762_209940 MSATDPVNPPGEPAPELLEGRLWVDGCFDFFHHGHAGAVVQARQ LGDELYVGVHSDEAILENKGPTVMNLKERLMATDACRWVTKSIPYAPYVTQLDWITHY GCKYVVHGDDITSDGSGEDCYRFVKAADRFKVVKRTPSISTTDLVGRMLLCTRGHFIK SLQKTLEGEEGPGTAEERKKEGEAMKERIRLYAADETAKQPGVEVWFWSGENGFDKLF GGIGPKIGQRVVYVDGGFDLFSSGHIEFLKMVVEAEEELARRDGWYSEQNVNERKGKG EDYGPVFVAAGVHDDDVINKWKGVNYPIMNIYERGLCVLQCRYVNAVIFGAPFEPTKE YLAKFPWSTPDAVYHGPTSFMPSTKDVYAAPKEMGIYREIGHHEFEEVNAGTIVQRIM KSRDLYEARQRAKGMKADIEAAHKERELLEEEQRKKEEKLQK QC762_209950 MQRSGATPTQTAAPFFASLFGGGHHSSNSNSNSNSNSNSNSHSH DDPSSLSPTTRKSPGKSIRSLSRPTSSGVDAAGDTGASPARQHNVLHKSRDRRPSFGR KPSFSFASSSSPKRRANSSSSANGAARPPAIQLFPDTDNPVPPLPDYALAQAVNKLSR ETDAVLSSPTSTPEGFSKMLSRTTPANGQLAPPPVLQGGSSSGQPSELSVVHQHIQET ANKRISTLDYLRKAHEGRIYWFNTLLFDKPDLQRMPYFDPRKLARRATNYLLLGISLP AVIDLNSSNAVEFLKSFNTLLTEFDSFQQLHSESGASSSSLSRARIPQMFRRAAGPAK TRRSSSATTAAAAAATASLAGTAGESSLGEQQLAQLESLALTPSITNTSTTYPGVGSG TVNVTHPASIMNFAGSEIDLLPGEEYTHLLTPSLPFDPDFFETFATLCDVLIDTYTRL LGLLPSPNHCGGSVAELFSKADGKVRKLLVQGVVREFEEGTRAGVKSEVANVGKVVLS GLM QC762_209960 MSNDTKETKHRLEGARRPQRKDSFSSVHSAVLSWTGLQTKIGPK SIISNSSSLSSSSSNSTSSTATQHTATPSQGLSKPQRRHSHSFGTGWDNSNRDNTTKK KARPASMSRKLSFSGFMGLEDPVSAKARHAKPNFSNLDPKRSEESTKSGSKNGSLEKV NKTAPEAAKRLSQASTLVGSVVRSKSPPPPTSTMVPKSILRVSSPDGNARRTPRFLDP PGPGEQPHSPTLNAALLSPLPSPSSSSEQQQTPPLSEKPPSLSPPLTPLTPLLDSPPA SPLLTPARTMSPSTVRFAKATVHRVEVGPGRRFLPVKRKSKSTITYVSPHDPGPQKTA PKTVLKSATKLRRHQENQKAMGRYWMRTEEEEAQWRAEAEARAQEEAERYRNEPSSPV VGGLEKEVGSLGEVMMGKESEGVGEKGEGKGLGKLVEESEESDNGGVEEEVVVEEEEE EEEEEEDSSSDSDDGSEAGARCVEEVVITEVIDLTEPTEEEEDGDKTVVELPKAAKVS VEEVPAESPTENHHPTPDPNPDVTEVDASPLPQPPSPPPISSSNPAQFKPNTASFSHL HSQLLSSEKEAAALRARRTAERLQQSLLNEKPATNAIISVHLASHQTLKSPNFPAQLR TPSPGSEKPDNRRRLSQTRSTADLRTFKLPEPATTGSGNVVGQSAMASVATAVGSEIQ RSTSPNNSLQLSRGRRERSRNRERGYFQAKKEGVVV QC762_0042420 MELGHKGTTSRAARDPITTAGRTSCSNLLPCCHLAALDGTGSFT RGWGNRQPKISPQEVIANSTENSLPLFWYSAPRCVDYRA QC762_209970 MTVPQKRPAEDLTVTALDNRIAEEPALNGHSNGANGHAVSSLPT LDASKITITRADPNARTVPTEAEANSGNETICTDHMITVSWTAAKGWANPELKPYGPL SLMPTASVLHYATECFEGLKAFRGYDGKLRLFRPDCNAERMLMSTLRISLPGFDPKEL EKLIEILMSVDGPKWLPKERAGSFLYIRPAVIGTQPQLGVQAPKEALLFITASFMPRM DLPEGGMKLHTNPEDMIRAWVGGFGYAKVGANYGPSLLATAEARSRGFGQILWLYGPE GYCTEAGASNFFMLWRTKEGQLQLVTAPLDDKLILDGVTRRSVVQLARERLAGELEVV ERKYTIDEVLEADKEGRIVEAFAAGTAFFICPVSEIHHRGIDVKIPMGKEGKIGHYTA KLKGFVGDIMYGNEQHPWGVVIQEQE QC762_209980 MSTLYHYHHYRQAEHNNISVPQAEGEDEHADRGPAVLAVTAATL TLASVFVAARMVSRIGIVRRFGADDYIIVLAWLITVFLSLSIIFGTMRGLGRHGDHVE AWKMPGLKMCEYVFSILYNPALMATKSSVLIFYLRLAKNTQKILRMASWGVLGVVNLA GVILTFMNIFQCHPIAAAWDINTPMIRCIPLLTEFICSAPINVTTDLAILALPIPVLT SMRLPPRQKIILILTFSLGIFVTIVDVVRIYYLQKAIDITSMNPSSNREALYGQSPSF SWNASLSLMWSAVEVNVGITCACIPTLKPLIVRILPAMLYDPNATRRSTTLTGDEPSP FKNNNDNNRAGVDGLANAEGAPVDIVGPSPFTQADPPAFVITPPDERSSEEISIRDFL AGAAGSRGPSSRRVSMAHSMNYVPPPHRDSAATATAVYFGFVNMKKPKSMIRTNATES FKYCTIVCILFFIWGFSYGLLNTLNNVIADVAGMSFAQTLGLTSMYFGAGYFAGPLLV GEWLLRHDEHRRSGRKERPGVEAIGGFKTTFIVGLLIYGTGTIMFWPGAVLTAYGGFM VSSFAVGFGLAVLETAANPFLILCGPPAYGDLRLLLAQGVQAVGSVLSGLLANKVFFR NLEKESHGELEDGEMSQTLIDVQWTYLGVTLLSVLLALYFYYMPLPEVSDSELAELAS KLPLEPKKRSLGGFKLRNWTIFFAVLAQWCYVATQENMSVYFHQLFSSFASYSLPATA KRAADYRPQGLKFSILNYLLIAHTAFALSRFLAAGVVYLNVSKPHIRLVPKPRTVLTL CVFLSAVFALVILVFPHTGNPNLVAIPLMLFFFCEGPIWPLIFSLGLRGQGERTKRAA AWLTMGASGPMFWPFVSYAIRERGGLSVELAVGIPVLCLLGGCLAYPVLLGAVRDGRE MVDFVVRWEDGGDKAKGGDEGGEKGAGILGRFKGLRRGGAEVEYCEESGRRGSDDEGD KDKEKEQGEETEGMSSSEGTVMSAGGGGGLEKPPPVRSRTGSSARSIPPWERNIPGLD LTILKD QC762_209990 MMAQAYDPGAVAALPRMHPPGMSRPQVSIERLPTRRMSNEPRES MNCKSCRKRKIKCNRLRPACEACQVFQCPCVYDAVPKKRGPKTDVLEALLKRVDGLEA RLKEKKTDPEASTSENPPINISDESVSPTATTGTKTDDKPEQQAGPSEASHESDDLAI FSPIDSSPPSPEVQPDDLLDTYFSRLHDKPFHILDESTLRQRRQLSQAPDYLIHAIFA VAARYTPHPSGYQSAVKLSEDYATRSRLEIDTDEPSVDALQALVLLVTAFTAAGKGKR AYMLLTSAVGMAMALELHREMDVNARVTPTERETRRKLFWSCYLLDRFMACGSKRPSL IADRAIILRLPSWLPVPGSLPADGDYFQSYTNLQYLQGTGKKAQGSSGMLIDICRILG TTNQYLAAGGVKGDSHFPWHSLSNLSKIRQDLDVWASGTQDVFSSLDSLFGQPDSTVL VLSKLVYHLIHCLIYRPFLPIDLSELTGTGQHQSWQIEATNMCFLHANAIAELVEVGK RTASIEWPAFVGFCISTAGTVHIHGAHYSRVGNTGEMNVFSSSAEFLSREMQQLSELR YAWASVQHQRETLQGMYNAHSGLVKSHNSNAMRQSPVFHLEDFFDRYSGTTSPGGQAF SFDAANLNLSDVVVDFTADAYTGQDLYAPRLTAGDAGPSRPNLKRKNTASSGRKRPDL RNLLSLNSSLKVATAPVLSTPSSAHRHSFSAASMSSQQSPNMLHTPHSLTSGFHGMHE QSAPHAMGGFSMGGGHPGELPAGLSSMSSGLFSPSFNFSGYRNPATPGTGGPHQGFDP MFGELPTNTFSTPTPWHHGEEAGNGKGAMVTSPTGITPSESVGTAGTAGDEKDPFLSL LEQLAENESVMGTGNELDFFLAGAPNTG QC762_210000 MMAAVQPLESLPAPGRRQSFGRNHIAMDIDIETRAPRASHEMTI RGGLQQGDARIVVIMYGPGQEQIVAVFAEVLGKPYRLKGGIRDVTRDDQDWVIGVSAE SAKAEIASRNRGLVVTINAHCTTLGMPPDVYLSAQTDYEWLYTEASFFRRDLTRFVSH TLGQLSHHETLMAKPRTYFISTTFPDVHAALPNIDILTVGSDAVEIRVDLLKEPLGNG RFSEIPSLSYVGEQLMLLRQRTELPIIFTTRCTKENGRFPMDNPDLFYEYLYRAIQWG VEYIDVELWLPETIRRRLYEQRGSSRIMSAFHDFSGTFKWPSQRAESIFLQSRRYADI VKMIAIINDHNENFELEYFRSKIKAEYPDSPPLSAVNMGETGQFSRTLNKVFTPITHP LLPIIAAPGQMSAAEINQALALVGQLPKKNIYGITSPSMRSAIPQAPFYEKCFNELGL PHHFAVVERQPKGLASIETWCNQRNFGGAHLNPAMSLTNLATSKGFFASLNNGNGPVL SEAARLIGMVDTIVVRPATSSSSASTPSSPPRQQNGDSVGAIGSTQSGLPPNTSLVFD NASWKGILSTLTRDLAPSAYFGCAAVVLASSADDAASALFALKALKVGKVYTVGFKTP PAFAKDLRIEPFNSLESIQRARTVNANGTESIRAGAGSPFVVVSALGPEKSNLVGMLV RLFGSPPRGAAGAGRENSRRVFLDLADGSGHGPRKGDPGLIAEQCGFAAYGAADVTAF TTVETLRLLVGQNVPYSFVRLASGRQFF QC762_210010 MSELPPPIKINPPLINSANPWASGDVDLERLYLCPSTGAVTTRT ATLDGFQHDEAIHRYTFFDPSSPEASKSTNLTPQEASKAPAQKASLNTLGYSPYALIQ YLQWIYKIYTTCSGTQPIKPFIISVTGTPTEVSECYTCIADYLSEYITAGHIYMEINL SCPNIPNKPPPAYSKDALVEYFRRLRFTMRDKLRPDLPRLPFGIKTPPYTHSSEYNEL ISALEEEGDQVSFITCTNTLGSCLVLSPEGDPVLPGNGIGGMAGAALHPLALGNVATI RRMLDERPSLKHITVIGIGGVEDEKGYKRMRAAGAGVVGVGTALGVKGVEVFEEIEKG LKGGW QC762_210020 MAGKTYIVEHLDEELGPWSELEYITIARESQETGSKFFLSSLHP QFKVPDALAAIPSFTAERRGVEELYADKKSRVCLLDPQGKSDLAPEDADNFDVFLFGG ILGDDPPRDRTSELRAKGFEGRRLGPVQMTTDTAVRVTRLVVEGKTPLKDIPYVDFPE LKFNEYESTEMPFRYVKTEDGKPIMPEGMVELIKKDADKGIDDML QC762_210030 MAVGRSLADWRRLPSIVTAAAVALSWTATLAVADVKAAGDYFVH SLPGAPPGPLVKMHAGHIEITPDVNGNMFFWHFQNKHIANKQRTVIWLNGGPGCSSED GALMEIGPYRLKDKDTLVYNEGAWNEFANVLFVDNPVGTGFSYVDTNAYVRELDVMAD QFVTFLEKWFKLFPEYEHDDIFIAGESYAGQYIPYIAKAILERNKKGGESSYKWNLAG LLIGNGWISPPEQYEAYLQFAYEKGIVKKGSDAASKLEVQQRICSKQLAVGPALVDNT DCEKILQDLLQLTATSKGGEQRCVNMYDVRLTDTYPSCGMNWPPDLDAVTPYLRRNDV IQALHVNPNKVTGWVECNGQVGANFKPSSKPSVELLPDLLKEVPIILFSGSEDLICNH LGTEALISNLQWNGGKGFEITPGTWAPRRDWTFEGEAAGFWQEARNLTYVVFYNSSHM VPFDYPRRTRDMLDRFMGVDISSIGGKPTDSRLDGEKVPETTVGGVAGNGTDAQQAEK EKLDTARWEAYRKSGEIVLVIVAFSAAGWGWWVWRERKKRRGYMGVSGGENISPPGEA RGREGFRDKRSAADLEAGDFDENELDDLHMRTPTTVMGGEGNDPRYSVGAASEDSEDE EDVKGKGKEKMSG QC762_210040 MPPAAVMIDTTPDFDFSPAHAAAAASAKGDSGERTLLLAPPSIA SREDRLTSLFSVYDRSATDLQMLDRLAAGLVSLPAKTYDLILVLTDPDGSRRSEVSPL LSNREIWGKVVPALKAGGTLRSEDGSFGQGNSTEEKEAILAGLVLGDDGYTKPDYAEQ EVVPLRFGAKKVNADGSVPLSFGKKAAAAPAPAPAPAPVSKGPAGVGFIDFSDDLDLD AEDDDDVIDEDTLLTEADLKRPIQQPPECAPQPGKKRRACKDCTCGLAERIAAEDEAR REKAEKGLATLKLKSEDLSELDFTVQGKTGSCNSCYLGDAFRCADCPYIGLPAFKPGE QVKILNNTAQI QC762_210050 MTRSHKFNDKDHSMVAPVTGHPQQQVPKFFGKHGFADADPKKTK KNGGGKGNWGPVGLEAEDEEFNFVHTRRRSNSSSVSSHPEHFKSKFEINEPEPVFEED IHGALEEEEEKDSSQSSTSSGKPEDM QC762_210060 MLWLASSRPLRRSVARPLSIATYSTQRGNPLRILFCGSDEFSCH SLKALHKKHKDDPSLIESIDVLVRPSKPTGRGLKQVTEVPIASVARELGLPLSTLPHD TFTNWFMKKYINLIIAVSFGRFVPPRLLNQAEYGGLNVHPSLLPDLRGPAPLHYALLN RYTHTGVSIQTLSPHSFDTGTVLSQTPLPGIPIPPNSTLTSLTSLLAPLGASMLVSSL SSGFHLPPHKDVSWQPPYPIRHAPKVRTVARQIPWLTPSISTLSTNLPSSAPHLEDIA HQHHILGPLWSKLVVRTPKKEQNKRVVCDDISYILDLTSSDLPPAVANEVASALERCK EDPWAEEQVPVLEWLQIGDDEQQVPEWYPPQPESLEMFERVVALERGEISSVDAAAGV EGGQQQPKRKKEWRLSIQTAYFPDSETGSIYLRDPIRGGKGLLRIGKMTVDGKPTRPA ANVAAQLGRTVTDYRGMHFDEEPIGKALQPATRRPEEDAEVDEETKRRRNRAMVRRIY SY QC762_210070 MTMATRSFLTDRQAEELHKSIIAYLTSLNLATTANTLRAELNLP EETFDLAKAKQYEGLLEKKWTSVIRLQKKVLDLQAENAHLKNEIENAGPLALSRKNQD PANWLPKGPPRYTLEGHRLPITSVAFHPVFSSLASASEDNTIKIWDWELGELERTLKG HTKAVLDVDFGGPRGNTLLASCSSDMSIKLWDPADQYKNIRTLHGHDHIVSSVRFVPA NGTAGAGGNLLVSASKDNTLKLWDVTTGYCVKTIEGHNDWPRAVAPSADGRWLLSTGS DKAARLWDIGGTEPECRVVMFGHENFNLCCEFAPSTSYPHLARLAGHEKVPPANSAAE FMATGSRDKQIRLWDRRGQCIKVLEGHDNWVRGLAFHPAGKFLISVADDRTMRCWDLS QDGKCVQTLSGMFDGFVSCVRWAPGVTKDGLAGGDAGDGTPKKKIGAEANGGLQMRCV IATGSVDGTEGKVRIFAN QC762_210080 MGPSPATPAPSRFLLSKRPGTHQPHGQTPNQSSSAAPYRFYGTP KFSSTTKPLSHLSHAAPYSTPALALKAKASRARATQELLIEDSSPVQDQERSRHDEEE PSRSRTTAVRDNLPETIDIDSSLVPQSSLPEPGDNDEEVDPGPLPKRRRIYIATSEPD LEPKEEWIPSSAFPIDSDSDNELPPIDDPEIDHIITIYSDDDDDEPPIPHSSPLNIKS DSESDSDAKPPPDKDTRPARKEIFYPPPRFLQPPSPPTSTSPISDSKKFSIQNINPDL FSPPKPRRGRNRRGRGQYLTNGLAAELQNWLIEVKKTSEYTDTPVKQEADAPQPLPPG AVQLTVEDVKRGGEGLSLISAALPNARVGTPGMQAVLAGDGRIGSLERVDHGLDRRST DKRNGQERLAVGTVVAVVPPAWDVELEGGLGRWAVAYRWQVIKDAPQPQVPEKQPEPP AQPVPEPLSEQHLEEQHIKVEE QC762_210090 MQDRTLLQKKKKKTTPGAMFAMVDPAMNSGFQSFGGNNQHSSFV FSSPHKPAKSSPLSYAPMRIPSPTLPSDDSPDMMLSSPLGPPSDSSHLRMSQSSPIRS SFDNNESSGPQPKFRFANRNPAKNSNPLVKKRNDVQDSRRRLFLNNVRQRQEDKKFQR RGGQDEIARLEFNRLQNERLAYLDRERAKNPYALWEQELEDEHRSLQSQQRQMQQQNP DEMMLDALEEAEMAEIAQAEALLHQDNNSSRHVNQDDSFDDDEDWDELFMEAIQTSQQ HHIQGQQSGGQDVEMS QC762_210100 MSEQQTNSAAAPAAAAAAVKPDATLPTTTTTPAATITTQAVQDG KKAVTVEDVADDDDDVPDPDEDDLDDLDDMLEEFNAVKLGPPSKPPAAAPSLGPERPP ASGDGGDLPLDEDEFARQLQAGMADLLGEMESSPEMQAQFESIFKELGAAASAAASPD PKSPSAGAAAAAAPTPPVVPPPNIRPPSSSGSGAGGAEASFQETIRRTMERMQTSGEQ ATAAAAAEGSDDFLAELLKQMQAGGGGLGDLGGEGSEEEFSKMLLGMMEQLTNKEILY EPMKELHDKFPEWLEKNRDKTSAEDLKRYEEQQGLVAEIVGKFEEAGYSDEKPADREY IVDRMQKMQASGQPPADLVGDMPSTQDALAMPDEGCAPQ QC762_210110 MASDPTSIAVSDDVKAIVKDKEAAGLAPVLSSTVEDDDLGVSAD GRSPTDEELHTLRRIPDKIPWSIYTIAFVELCERFSYYGTTAVFTNFIQQKLPDNSTT GASYDIENGQAGALGLGQRTSFSLTTFNAFWQYTMPLFGAYVADSWLGRYRTIGAALG IDIIGHILLIISGLPPVIKNPNGALAAFTLGIITMGVGTGGFKPNVNPLIVEQLDLER MVIRTLPTGERVIVDPAATASRVYHYFYLFINLGALAGQLSMVYCEHYVGFWLSYTLP TIMLCFCPLVMLWGRRRYKRVPPAGSVLGRAFKIFALANKGRWSLNPVKTYKNLHDGT FWENVKPSKIQNKPKWMDFNDAWVDEVRRGFNACAVFMWYPLFWLCYNQINNNLISQA ATMKLGGVPNDVLTNLNPFALIIMIPLMDTLVFPALRKLRINFTPIKRIAAGYFVAAS AMIWACVLQYYLYQKSECGNHASGNMLGPDGAELLGSDGKAIKCPNVEISVWAQTGSY VLIAFSEILASITSLEYAHSKAPANMRSMVQSVCLFMNAISSAIGFALVPLAGDPLLV WNYGVVAIAAAIGGLCFWLQFRGLDAQEDELNMLPKGDVGGNSSEPQAYEKKDSVA QC762_0042580 MYLKRRLLLENKALKMVREPRTTVEYRVDYFPGGHSMSPFNFHP QNLLSFPSSLIYIYPATVKLISRMPTNCPTNSLSRCHCYPGTPGIHTHSFQIYISKMF ESVRPYLPGFVLFSMLLGIGVDVYKRKRSQDRRERERDDFNKKFREALAKDRIGRAKK ETDRLKRDKNTANRLSAVEHWLPHSLPKLEDRIEKLEHWKRGLTPTVRTPDAPKDAWQ DIVQVGKM QC762_210120 MGPPSFLMRSKSDDSFLSILSTKEEKSLLPAPVHRKPKIRVRTL LGHCLYRRVILWTAGILFLLCLALSSPGGRQRRQRLMELVDLSHQDVRSSGGEGEAPT VSKDTEGVIIVGSAGDAPQVQVQGEHMPTWLRFRHLDGFFNGLKALVPANEHIPEYPR KPGEASPFPLTLSYTGLPTPTPYISQPDYESPEYISQYHAVEKCYLDKERRIPVPDLY AYNGVVQGQPEPAMGSRNLLGLRDDVCFDRFGRYGPYGLGYSFDEGGAFVGTDTEQEG SSTVWEKTGKINYENMDWGDAQTRCYESNKKRFADPAAPTKTAAVSGQFHQRSFLRNE RQKIPRTAVVIRAYVGFQWTQHNILNFRALISELALKSGGEYAVHFLLHVRNNNEAIW ADPMTAQRILDENIPQEFHGLCTLWSEAQMRLYYPGKFGGSFQNPSGGDIHGVYRSAH FPLQHFAMQHPEYEYFWNWELDMRWLGNYYELFDRLSAWAKEQPRNELWERSAKYYIP SYHGSWENFTSLVHNETLNSGREATYGPVQFPGRQPLRSELRGESFMPSNCDPNDKSD TKCGKGEEADLITLNPLFDTEHSGWVFSTDVTGYKRSLPLPPRRCSIITASRLSRRLL NTMHEETWRLKHTMFAEMYPATMALHHGLKAVYAPHPVYLDREWEIEAIDKAFNGGRD HTAGGHGSPFDLNNEHNHKGSSWYYNSEFAGLLWRRWLGYAQFDGRGRNGGRSGEGQL RGGKEEEERAAGTGRLCLRSMLVHPIKWEHPSELD QC762_210130 MPIIPTTEITPPAPPPGPPRSRGSTDEGVFDDARTYYTADERHL NTRAGARTRTYSQNSLFKQMERMGLKEPYRRGSHDESTIPHSRRFLIQVEPTLQSLQS QEDTDGNMQITIEDNGPKVLTLRTAASNGHNRFDIRGTYMLSNLLQELSLAKEYGRKQ IILDEARLNENPVNRLSRMIRDHFWEGLTRRIDASSIEIAARDPKDWTDDPRPRIYVP RGAPEQYEYYTKVAEERPELRLDVQLLPEKITPELVRDMNSKPGLLAVDMEEEVDPKT GKKTLKGRPFVVPGGRFNELYGWDSYMESLGLLVHDKVDLAKSMVQNFCFCIKHYGKI LNATRSYYLCRSQPPFLTDMALRVYDKIKHEPGALEFLRTSILAAIKEYHSVWVAEPR LDPVTGLSRYRPEGLGVPPETEAGHFVHILEPYVEKHGCTFEEFVEGYNNGKIKEPEL DNYFMHDRAVRESGHDTTYRFEGCCADLATIDLNSLLFKYETDIARTIRNVFHDKLVI PAEYCVGNMEPNHVEASAIWDRRAKRRKLAIDKYLWNEQEGMYFDYDTANRKQCSYES ATTFWALWAGVASPKQAAAMVTKALPKFEAVGGLLSGTEESRGEIGLERPNRQWDYPY GWAPQQILAWTGLYRYSFTEEAERLAYKWLFMITKAFVDFNGVVVEKYDVTRPIDPHR VDAEYGNQGLDFKGVAKEGFGWVNASYVYGLQIVNAHMRRALGTLTPYETFIRAVEEN RAKALAELV QC762_210140 MPITSLSDPKQEGRHIPPGSNQILAAPAWDGITNGSTGAELGPN APRQIIPDAPDSLVLLSCFFLRRTASRDQPRIATNFDLRFILQTFYELQDETRKIATM AGASAITSTGEPVPLPTQPIPSSNDATPRADGDEKKTKKRGLFGFGKKKVEDVIKSTS KTVASPSTNETTSSPTRRTAASPIRTEHTHYTPSSPSRPFASSPRLASPAGSQIFERN VQESAVALPTSPAIPSHIQTENYIPPVLDASSEAITDTHLNPDSVEIITHASHQPAAV TVTGGGGGYNEPTWVDELAAFSLDRGNSPTNPDSASNYGSLDTTDVRRLSFISFADVV QAEQQSFGGVAGSRESMHIPGLTSLTSLNNNANRSPSPIRSPVSSSAGDPGSKSGSVK GLELSPARKPLGSPTSLMGHSIPPLGLSAAGGPTVSGELSIETMSQALRRTGSGDLRG GLMVGASLPQSPV QC762_210150 MEATLSRPAARCCCLLRTTAPSTSRLLPLPSNQQQTRQKSTRAR HKASLSIPPHPSFLSNPPASSQTGSTTLIFNPPSSAPSVFQTPFKFLPKSDPRRRATL AKNLFSSSVTTNFASQPVDVSSLPTIFDDRSPATAPKNHSLTKEDVEEMRRLRLADPI KNSVLNLARQFGCSVMFVMMCVQAGKEHRDKVHVEPHAAARERWGPKKRQAKEERKRR MEMLMRGEI QC762_210160 MAVFVELDDEDIESQRDGQVWNATEAQAHQAKGVSTDKKDNQEA HEYAVKEVLNQDHLMTKALGCYPIAQSVARNLDLNSLDSLSRTCHILHSALLQDRRGL INSSLRCSLEDVTVDPQDTLRFRARSANWYYGQDSSARTDFQGKSGQCARDMVGPCRR CGTIVCRNCAIKPPAQIVLRDRHRRLCTKCVTAPLGQLVKPPMRPEVRIDSDDMQRAI CQCGSSGVWLCFPCGRSIRNDDNTYQSVWKWRNQYTDVLSGLGTGIGDGDRGVQCGRN SQCCGAREVEQETDYDAEAAREAADQQLQQDRLRAGLGSRSDSSASTSTMASGLSAAS NASLSSLASNATGGSGSNTLGGLLSSGSDHMRRTPSPAMKVGYVRHEVEGIGGVMKKI SVKRVKVGACVPEWDEERLKGEVLGREVQGKRRSWCGWCSRVIPGQGDYELDRHGTSD WKDEDSISSWRGKNKGKGKEAA QC762_210170 MKKFQSYFTPATGPDDQPGSTDGEKVKGGRGKKQGTKPTTHQLQ PIQPRGRQPPPPSEATSGHATPQVASGGASMLSVPDAATVRSGSKRSPSRSRASFRHS VFPAGDQRNHDTGTIMEIRNDMMVNWLYEQQLRKQYASGMDPYEGVVLKKARGNFTCC PPQMAGIPESLYAMVSQMNVRCAMTVNTPVVRALMDSIVTKTDIDYVPLPDGLRVQIL PSMVDLPRGQLHHFAAFIEDVRMLVVWDDEPEKLLERAHTLEQRFIEIIWGRGEEGED DEKDEKNMANVNVDELDPNQLEGAPEKRPIRLESAIIVTLTMILWIVCSGLGWRALAY QSAVDGTYLRFALLAVCPLQMFVSLFFFQSIVSNLFQIFGPISAVANNSKYYSGKPPR RLNRDANALPHVTIQMPVYKEGLNAVIQPTVVSLKAAISTYEMQGGSANIFVNDDGMQ LISEEDAQARRDFYDEHNIGWVARPPHNPNPKEEGELKFIRRGKFKKASNMNYALRTS NLVEAKLDAIQRGPKWTNEQETAAYRQCLAEVLDGDEGRTWADGNIRVGDYILIIDSD TRVPKDCLLDAVSEMEQSPEVAIIQFQSGVMNVTNSFFERGVTFFTYLIYTCITYAVA SGDACPFVGHNAVLRWSAIQDATAYTDEDGYEKYWSEAHVSEDFEMALRLQVAGYTLR YASYTGDGFKEGVSLTVYDELARWEKYAFGCNELLFHPLRFWIVRGPFTSLFREFICS SIPLPKKMTIMAYVGTYYAISAAWCITLANYFITGWFYGLYDKYYLDSFAIYVSIVVV FNGLGNVGLAVLRYRLSEKGLLSAFWECIKWIPMFTIFLGGLSLHTAQAILCHFFEIE MIWGATAKELENLSFGSEILRIIRKFKFTFVYCFLCTALMICGTTVFPHQWRIGEFYA IYPLSTTVVAHFSLPVFLNPALMKFTW QC762_210180 MCGILALILADWQATDAAFDLHEALYYLQHRGQDACGISTSAVG GRIYQCKGNGMAAKVFEDGKRIADLPGYMGIAHLRYPTAGTSSSAESQPFYVNSPYGI CFAHNGNLINAPELRKFLDQEAHRHVNTDSDSELMLNVLANALNETGKARVNTDDIFN ALAETYNRCQGAWAGVAMIAGFGVLAFRDSWGIRPLVMGSRPSATQEGGIDYMFASES IALRQLGFKNFQDILPGQAVFIQKGGQPQFHQVTPIKAYSPDIFEYVYFARPDAVMDG ISVNESRRIMGAKLADKLKEILGEEGIKEIDAIIPIPETASTSAQVVSDKLGIPFSNG FIKNRYVYRTFILPGQKARQKSVRRKLSAMESEFKDRVVCLVDDSIVRGTTSREIVSM AREAGARKVIFASCAPPIKYPHIYGIDLASPQELIAHEKTRQDIARHINADEVVYQDL DDLKAACTEASGGKITEFEVGVFCGEYQTDVPDGYFDHLNELRGKKREAAPTAGGETV VASGGPVNVANGEKSVQFKTDLSGVTPGGLTPLTEEAVKGTAAVSPDNRQDISIHNLA TDPNNR QC762_210185 MTTESTTPPAAQAEGEKTTTTPKTTRSSNDSSSVATSTTASKCA AVSAVDASDKSKENMEASLPHSIPKKPTSSEMYSACHYD QC762_210190 MSLVSGTLLLFVAWLTWRVWKFTLSPLTRPNEPRELPYTIPFIG HAISLFMNSTAVLTKGWNKLSGDNRQPYALQAAGTFFYVISHPKHIAELSRNTQNFSF VEFSVDIVKATGMSPEGIAKTYNLDPDALDAKVDHFKHPMKDKHFEHLNRQLHTTQLS PSSQNNNLPSLDQTILSWLQNHLTIPNLQEYSLVQDDLEVNFYEFVNTVFIRAGENAF FGPALARNHPDVAHDFRIYDELHWRDLYLFPPFLAPELTAIKEKLTEQFKKYLALPKQ ERDKQNPSWFTPNFELALDKLEVGEQDKAVFFLMLYLATNTNTPKLLFWCLAHLLSSP ATCLDKIRAEISPAFTGDELTDPSYLLTPGNCPTFEFFYLEVLRFRSHSVSARVVTAD TVLPAPGSFLLRKGAKVLIPYRVFHFDETIFGKDAKFFKPERWESLPASARGVVRAFG GGKSICPGRYLAEREVKKAVALMMRRFDFEVLAEGGPGKWMPKGDDSRPGVGMMGIEK GGDFKVRVRKREVV QC762_210210 MDEATLKSLSARMKRRAHLDHNSRLGFSARQKTTAHYTLLIRAM RNVLSSKLAQFTYAQIIDGLPIEDVAWDQRIPAVCGNHPIEHHPELCPGALEFALEYK DKLDFKLLSFPRELTTKYVQSTPDSKVFNTRLIELVAMALNEIGVLLFDVGIEVHQGT GDRSIEAITHWKQDPDDETPPTTFHHPYYLHGDIYPLGVSNLVGYWAEDRILGGVVTF DRKAEEQNLAQPPNVYIVPSRAGTTPRYWQLLDSQQEGLVDFFLKEDDAGEGPLPLMC TEENKVRIDERFAVVLRGGYRDVWERLPPSEEHMRHMDWRPRREGDCPRMERCI QC762_0042720 MADPSHITYTENLQKFLRLPQGGKIIAEYIWVDSEGGVRSKSRT LDAKDTDYTPAELPMWNFDGSSTGQAPGENSDIYLQPVAVFPDPFRGTPNILVLAECL NNDGTPNKYNHRHECAKLMEAHAEHKPWFGLEQEYTLLDLNDRPYGWPKNGYPAPQGP YYCGVGAGKVVMRDIVEAHYKCCLYAGVKISGTNAEVMPAQWEYQVGPCEGIEMGDHL WLSRFLLHRVAEEFGAKVSFDPKPIPGDWNGAGLHSNFSTKEMREEGGMKHIEAAIKK LESRHAEHIAVYGEGNEKRLTGRHETGSIDTFSYGVANRGASIRIPRECAAKGYGYFE DRRPASNADPYQITGIIMETCFGAVSE QC762_210230 MNWVDGDLHRSKRGRLRKVNPAKQRQKEYFARIRAQAEEREAAR NDGRRSSSRQTVILAHQPSPQPGRRGSFSGIQNQYSPGHEEAIEQLRSFFKVPARQDS HGSATHQPLEQPPRNRGSETLLAKYGEEKLKEMRLKFLADLGRDPTPDPLKRRRRPEP PPRPDPWLHAPVVRHGPGNAPSHADTRKRKLDLSVPAIGRDAVKIRVGSQQKRLGAEG SAISGWNTIRTASQARTETTSHPARPSSQHTYRNSSRLRQRSGHSLSKAPLSSHMDRN ERIRGEYTIPLVPGSIGPSSSSSPLGFSEDLPSFPYSQESTSTAFDHSTKQPISHGSE DTSEALDRYEQLLAMAQGTQKYDGYSYSASTIDSFTDYSGLNTLSSPGERNMYRDNID KRQAHRLEDGNVTNSSSSLNLTRKFGDENTSNSCFSSLASGQIDNPTVDATELWGSGS YHHGGENTITTPDPQNHQRILSSSISSGNIANSSGNSWPKESPSLERSCEVPHQQITT QTLKADEGWRSFVFGDDSSNIIESMAFAQASRDAARALQPSRSSSLSCTTGPPEMEIN STAATGCPLYTADIGQPSDSVEPWSSSDVPSLGAIASSSIIESDAGISASYIDDNSQG TPQSQNGGSQSQSIRCASIPSEEPGSKVLDDQGYRHLSDAEPNNQNTISTESVSQESP RGEPGRAHTLGSAGYVGSNSSGSLPASVDLSSAAPALAPPRSVGVKQSGVQGVEDQTR FAPPKLFMGSRSQLQERSKAPVVARAATKRRGRPRKRAVDGRADIRSIPNYSSDPIED FEDQQPTHTSIFPALELA QC762_210240 MRASTTTTLRILRRLTSLSRHPTQTISCAQLPLPSFFRKMSSSP PPLRPNDARHYPPPPARNPSLHPTPLHIHQIRQPLPRRHRRLLGRQIHLGQNRRPPRM LQLPLRHRPLSLLRRAPPRRPLQPLPRPQPILPRPPKHRPRQQSLPHRRLHPRACR QC762_210250 MGDITHSTIKDGWFREISNMWPGQAMTLKVEKVLHHEKSKYQDV LIFKSTDYGNVLVLDNVIQATERDEFAYQEMITNLAMMSHPEPKKVLVIGGGDGGVLR EVVKHDCVEEAILCDIDEAVIRLSKQYLPHMSAGFNHPKVKVHVGDGFKFLDDYKNTF DVIITDSSDPEGPAESLFQKPYFKLLHDALREGGVITTQGSENQWLHLPLITKLKQDC KEIFPVAEYAYTTIPTYPSGQIGFMVCTKDANRNVKVPLRSWTKEEEEKHCRYYNSEI HKASFVLPTFAAKALQ QC762_210260 MCFGAREKGDEAGAARSRELDKIIRADEKKMSKEVKLLLLGAGE SGKSTVLKQMKLIYAQGFSKSEKLEWKPVVFQNIVHSFRLIFDAMNELNIPFENPDNE KNMAHIMVDYDVVADEPLPEDYLEPIKSLWQDQGVKSAIAKGNEYALHDNLDYFCGDL DRVWAKDYIPTDQDLLRSRLRTTGITETIFDLGQLTYRMFDVGGQRSERKKWIHCFEN VNCLLFLVAISGYDQCLVEDKDGNQMNEALMLWESIANSHWFSKSALILFLNKMDLFK EKLAKSPITDHGFTDYHGPPDDPNLASKYFMDKFRALNRNPEKEIYGHFTNATDTNLL KITMGSVQDMIIQRNLKQLILARPL QC762_210270 MIQKDEYFYGGSEPVYPSPEMTGSGEWKEAFNRAKEFVGKMTLD EKEAQISLTSGTNSETSCPGFLPAISRLKFPGMCLADAGQGVRGTDFVSSWASGIHVG ASWNKELTLARGKGMGGEFRTKGVNILLGPVVGPAGRVVSGGRNWEGFASDPYLSGVL VGETVKGIQGVGVVASTKHFIANEQETNRNPNNGVEAVSSNIDDRTMHEIYLWPFQDA VRAGTGSIMCSYQRVNNSYGCANSKTQNGLLKTELGFQGSVVSDWGAQHAGVATAEAG MDMAMPNGGDFWGSHLKDAIKNGTVPESRLDDMVLRTIASWYQMGQDNDFPTPGIGMP KDLTKPHRIIDARNSSFKSTLFDGAVEGHVLVKNIRNALPLKSPKLLSIYGYSAKNPD ENNPTDGLSPWLMGSGSFDYQEFGAGFFGWSGATPGTTGIAFNGTLYSGGGSGATSQS LAISPYDAILQQAYEDDTALFWDFHNGKPAVDPVSSACLVFGNVWAAEGADRPGLRDD YTDELILHVASQCNNTVVVFHNAGIRLVEEFIGHPNVTAVIFAHLPGQASGKALASIL YGKENPSGKLPYTVARNEDDYGVMLRPDKPEGIFKYFPQSNFTEGVFVDYRHFDEKKI KPRFEFGFGLSYTTFGYSNLAVEKNGNRSFEEFPKGKTVEGGQEDLWDALVRVEAEVE NKGEVEGKEVAQLYLGIPGTREEKVPIRQLRGFEKVLVGVGETKKVVFELTRRDLSIW DVRAQKWRLGKGEYNVEVGGSSRNLPLSGKFTV QC762_210280 MRLSTSLLAAAGAVPLATAQLHELAVKAGLQYFGAATDTPGFRE REPYPESYSQYDAILEDPKEFGQTTPTNGQKWLFVEPEPGVFNFTEGDYVADLANRTN KILRCHALVWHSQLAPWVETTEWTKEGLREAIVRHITEVAGYYRGRCAHWDVLNEALD EDGTYRKSVFYNVLGEEYIRLAFETAAKVDPEAKLYYNDYGIERPASVKTAGAVRLVK MLKDAGIKVDGVGMQAHLHADNHPSEADLINTIGMYKEVVKEVAFTELDVRIKVPVDE QKLQWQSECYQKVVGACVKTKDVCVGITIWDFYDPFSWVPHVFPGNGASLLWFEDFSK HPAYDGMVNYFGELIEAQGGNSTCS QC762_210290 MVSKAVVPHDTTYLSSRCAPWAESLLTASSAFIHFQGSIWSLVG GCRRHSSLGIDDHTHLRTMAPIPDAIDTEANTTNQPSSAPAGLSTSDVVGIAVGVPTA VLALMGLVIATLAWKYPKGSAAKIQNAILRRPKQIGTSWNSNVMYGGKQKAKNMTFES IGDMESPIQTRMNISTATTDNAEHVGDTFNSNVLHEGAKQEIDSMTFGTWNANTKA QC762_210300 MSNIPTNLKKKGVYGLAEMSTTTATTVMQEPSSQFRGDGKTWDG SIVKVNTSPDASKHRGNDVSSSVNYGGHQKVKKRIKFGACNESELKLALSAPIDPKVS ESTHTGHNYKSNVLYAGSEQEVDELVFE QC762_210310 MAASLSLPSQLFRPPSPPPSTPQSSPSLPPHLLSANLHSSEVQP KSNTLDQDVERLECEETLSTEGPRYWQDNFQARQMQHSGHNVHSNSNMGYQRIGVMNL VTKGHRTGAQPNDPDFLADKQPLDWLSKFDHRTAHERALESRTEGTVSWLLNWDAFKK WKVCPKSFLWLHGKHFCGKTVLCASIIEELEREATDGVPIVAYYYLDSNEFCIKPSEG ESRQESFPERLLRSWLRQLCEGLSQLPKGVQKVRQEFKKTGSLDYTLLKYAIRCLTEE RGQVYLVIDGLDSLTESPNGKDSLAVLFELLECLKSHDPVHILVVSRDHVDTALEDRC WDLSHDREGGFDVAVEGTAHTNGIELMVDQELKKSNWGIIRRKHPEWIEIIKKNLVDN SDGIFGLVKLRLLDPEFHELIRRRDPAPDEEEVLDALNEIPKEINAFYDKALERICVM PNAYLSLQWLMCTLRPLRFVEFEDLINWGQTNKKNSVSIRHSFGSLVAFPESPDRDGK QIVDFAFSSLEEYLVNKADRCGGLAVVHLMMANQCLEYINECVVNTDDHTLHESDEDE VDGGKVLECDLQRRPLLEYAINNWYRHVLEYLESRQDSELVLESVPDNGTQGILLTWL HRIYNYWGTPLRNIFHKKPQTPSALDFSEGVSASSEFAQWVSSTRRLVDDLDRDVEQV HNDLKAAAYRCFELMVDLLIQHQAPTETEATTHTPLQAACMCEFNLLIYSLLHGHIHN SNIPHCKVKNSDIPKTGRTEQIKARPDPSHSWWPDSDGTKEKTADYDGKRMVRALLLA GADVNKPSSHGDTALHHAMAKGNLAAVTLLLQRNADMEICATGPLNVFLKTVFQAHDS EVTSELEWPMPMICCGTPLLWGIQMGQHDAVEFLLDQGAEFKNLAPVSGHTALHAAAY LSDQRMARLALDIGCRVNDCEANGFSALHFAVYQNNLGVVELLLANGADVNILANCGY PPLMWARHINIVRVLLRNGADWNAPPDKPHTVLTVAAFNGYHEIVRMLLEKGAPASST ALRYATCEGHTEVVQVLVDFDIPVDIFLHAKLGITALPLAAGRGHSDIATILLKKGAS LYNKHPVLGSILNAASFGGEDIVFDACWQQEPSMRHEKDAYGRTALYFAALGGQDKMV GKLLKLGYKANAKDNHGRNALHAAASGGSLSVVEKLLEQHPEVDPTETDDDGWTALHW AAKAGEKKVVEKLRGVCLREGRMPDDNKWPPARIAIYHGHRDLLPLLEGKQTGENVFP QSEWHWWSAGLMHKDYVCDSCLRVRQSSLQAAMMRGFLTEKG QC762_210320 MSSEETKVVATPEPGEQCDVKHLKRSYVDGSNDPVVTEGDSDPV KKEEDTKHQSFALVSTQNFDKDNNRTDTTLLINSKHILAALTRVVRYYPDQEEEFDKP SELTSPFNLLYHHRKELSEEASRVGGDGTLHLNLLLSYLDKQKWAEAETLTTRENPVI TFDLLWFVFKPGDLLYRMVNGEPALYWLVSVCYDETQTTGDPWKYLELECLYQAHDGK KTGVVRESLKIYEHQEFAGDTPEKITSLSIFPLKYHKDREGIKERLVKRGQRYLELVQ KQGQAYHYEGLCRRLKTPPGGSYFPSEEEFIGVWLPETATGRVVLDCSTFMEDNPFHR VNVSNWSISKVQWLKQESLDKTTDGFDDPTLLCLPYVYGYSLDMRCWCMFSVDKVKTT DWKHKDFDSVVLPDGYRKIITSLVKSHKFASHTRDETALKGKGLIFVLHGPPGTGKTR TAEAIAETTSKPLLLFPTGELGGDLRSIQSELRRLVRYGTAWKAILLIDEADVFLESR QVDGHVSLERNALVAVFLRQLEYFQGIIFLTSNRAQMFDPAVKSRINVMLHYPSPDKE TRKLLWAQRLGPILKLKSLPKCELDLDSATETLSEYEMNGREISNAVNSALTIAKADT LTLNMDHLRSVAKIWKDSQEKSVELGPVVDAVQEIKRIPSISEVLRTLKIPLWVWKIF VSAFLAGALFQGSLKIFKARIRWRGRRAHR QC762_210330 MLLKSVILALATATGISQANPIAPRQGPTGNGPFAPAYYTTDSS LNGHTLYLPRNVPQGAKIPVLVWGNGACSANGLDFLNFLTQIASHGVFVISSGSPGGQ GSTNAQMMTRAIDWVTNTATKQRYPWLETSRISVSGMSCGGVEAYTAGVNDNRVTTIG IYNSGLLSEQESRNVVPRINKPIFYFMGGPSDIAFNNVGVSSRMRVGKKLTEDQGERD YRLLPQSTPTWKGNLNVGHGGTYGDQNGGKFGVAAVRYYQWVLRGNATAANFFTNNQE ASRDGWSVESRSLGNLRVNPI QC762_0042840 MPKHFENICSAIDQLPSDLDFDVPPLSKATGLSQDLGLMRSDAS CASIPNEQDSQSSNAEQQAVTPGTSFSEPKRRKG QC762_0042850 MSPPFSAFLLEVQPGPGGDLLRPQDRLLSLLPASYRTDTDDIVA AGRDVCACIAKELDLKRLDRVLSWLWVAGRPMPPRPLHRQLLLSRELFVTEQMDMHLV WTSGRLFVKPIPRFLLDPAFWMEYLCCQSGCSCSVASECNRPALQRRALGFLFSYAAL ISHESDFSIAQDKHLLPPEVTWLAWRHLVEQLDTERIYSKVDVRFHYGELRLSRLNKI YLLSQRPFLLHRYMSHWQQYWAFFQDNFAWLASATIYITIALTAMQVGLATRTLADND AFQSVSYGFTVFSILGPIAAVGLIVLVFCYMFIHNWAATVAYGKKRQQHIASSSESP QC762_0042860 MAPKGLRRIAPVHDVPDNTTIDIIAIHGLGTESPRTWEFKKKGR GGVVSWLSDSDMLPAALPEARIFTYDWNANYFKDAPVQTLLSHADTLLKLVSEGRGSQ TRPIIFVASCFGGLILAEAVNRAAQEGSDYRHILLSTVGVVFLATPFQGSDAAQQARW QVLVAGIMGEQASDQLIQDLEQKHDFVRQRIQKFTEIANAEAVRLPLYCFFEMKKTEM LRRILSRGWAKKLTERVMKKILVTESSACLHGFHRQGLDATHSGMNKFEGPECPNFKL VKDAIKQFAENAPAVLTRRENLPGKRHWIVPFGRNKEFVGREKILQDLLGRIHPSADK DDCQRTAIEGLGGVGKTQVALEVAFRVSNEHPNCSVFWVPAVDVTSFENAYRAIGQQL AVPGIDEVKADVKALVKTALSRESTGSWLLIIDNADDRKLLLSDTALTDYLPFSRKGS ILFTTRNHEVAVKLVGPKSHIISVEEMSRDEALKLLQKGLKGDQMRDTASTVALLEFL TNLPLAIQQASAYMAEKQILTTQYLGLCKSSDEDMIELLSRDFEDRHRYQGIQNPVAT TWLISFRHISDHDPLAADYLRFMCFLAGKDIPQSLLPPAGRLRTVDAIGTLKAYAFIS QRKEPDTYDIHRLVQISMLRWLAQKGERKEWTAKVLQRLDNMFPSPDHGNREVWMSYL PHTQHVFELRERADDEEVPTGLLSKVGQSFHNLGKYKEAEQMYRQTLQLCEKVLGKEH PGTLTSMNNLASVLDSQGKYEEAEQMHREELQLCEKVLGKEHPDTLTSMNNLASVLDS QGKYEEAEQMYRQTLQLREKVLGKEHPGTLTSINNLASVLDSQGKYEEAEQMYRQTQQ LREKVLGKEHPGTLTSMNNLALVLKKPGKYEEAEQMYRQTLQLREKVLGKEHPGTLTS MNNLALVLDSQGKYEEAEQMYRQTLQLREKVLGKEHPGTLTSMNNLASVLDSQGKYEE AEQMYRQTLQLREKVLGKEHPGTLE QC762_210350 MVIVLGRCAQVPLQQLTPAISTSERQWNAAYDSLELEDVDLVGS YRKILEKRGLVENGQQKISKASRISIGVGDLADFVLSAKAMVDLVLQSVPQAASAALP GTGDCLGLQLRGTRTNLAGTAHIISRMDWYYAPSEYLLEKDHIDESLESILPQLKARI VALYKALLPYQIKSICSSYRHQGLVFLCGLANWDDWDAALKAVTDAEDSEKQERAARR GIQLCPRHGGISAFTNWSYDGAGLGAV QC762_210370 MQQTTERKYQLFPKERQVATCPGKALDPEQAFALAMLQNGEKGE KTPAAAGLRIRIKEHNLIRRRKVSVPDLGPMTTVQEVAMDSPTIPGRPPFHERSISAP GASWKQNQMVDFLSPTLEQSPEQKHELRGGFRSHGELRQPLSPKSLTPLVIPASTSAV PRLTQQASLNRLRSGSTPVDMPLRSARTDDSPRIKTPFTPCSAALTPASAATTAMTNS TLPTPVSAPMSAPIEHRSSPRPWERVTNYALVGTPKEGSPDPSATPKAEPNDETPQVF QGHTRNVSDTGSIMERGRPRKRSDLTLRAMSRRGESKRSSSAERRAFEQLPKGWKASD AVNMLSPVEAAALHKQALQQAARFEVLRKDDVDNLSRELRQLDERTEYLRRTYTSLRA GRRNLHTRICQYLRSPRTAKFSHDSMLKQEEALAELDASIDDWVTKLEHAENRRMRVR QKLLEHVAAAATLAVPPTGVASVSESLQLAMGVRPLNCPTSMSTPPRSPTKTAFTQTS PSSSPSSSPQRVVAQVPSTIMEDPLTEETAAAKEKTGESVTTLKRAETIRIYADNDVY ALLADVEQTISNMGGTEAVAKEEPVVSVVKEEPAMSVAKEDPVSDVERKKWNRTRGSQ MFLKSSSPARMAIPFSKLEAKTSSSSLSSSSTPPTTTTCSTASTSPIVTPTPASEEFF LTNAVFKP QC762_0042890 MQDSDGISNFAGHRSVGGGTVSERQMKKGAELGLDNPQNGAPML AGLDAVAPKQATESTRDEAHPRPTVRLGRNETSTQAFRMRGNEVEKHCFIQDVLETGA VIGQVARVAQLPPPTFSRPDILFMNSLIGLNGAIDEAKQNPGSFARDQRGLISFPWSS PSLRLNQGREGSTLREMDALFSRSSGWEKSKRCNL QC762_0042900 MLAFCTARKPQPKIILLTSRIVSNGPGEAQFTFHLLGGLPVCFS VLEASWLAFTFFTPPPLAIRTGPFLFDVRRRLSLGNNDQNHTRSPSTLSGRVSLSQDG DRHDKSSS QC762_210380 MWSSLFRQQAGKTATTTTTTITRITAHRQRRFVSRLTYTNGTMR DPNTLSNYDAWRTRHTTTNLKVDFTSKSLRGKVILELESQTDESSKEIILDSSYLDVS AIKLSGESTKWEIKDRQGANGSPVHIAVPNGAPKGDVVKVEIDVATTDKCTALQWLTP AQTSNKKAPFMFSQCQAIHARSLFPCQDTPDVKSTYTFNITSPHVVVASGVPVKGGET EGEGDEKVYKFEQKVPIPSYLYALASGDIESAPIGRISSVATGPNELKASQWELEGDM DKFLDAAEKIVFPYKWGEYNVLVLPPSFPYGGMENPIFTFATPTIISGDKQNIDVIAH ELAHSWSGNLVTSCSWEHFWLNEGWTMYLERRIQASIHGSEAHIDFSAIRGWKALEES IEEFGKEHEFTKLCISHKGIDPDDAFSTVPYEKGFHFIYYLDKLVGRENFDKFIPHYF SKWANKSLDSFEFKDTFLGFFSAPEYARLKDKIAEIDWEGRFYNTGLPPKPEFDTSLV DECYKLAEKWKQKNFQPSPSDIEGWTGNQILVLLNEVQDFEEPLSVEQSQSLGETYGL TDSKNAELKSAYYHIAMKAQDTSSYQGVADLLGEVGRMKFVRPLYRSLNKVARDLALE TFEKYKDFYHPICRQLVEKDLGVASNSA QC762_210390 MAPGAGPAGGGGGNIKVVVRCRPFNSREHDRNAKCIIEMKGNQT ILTPPDASAGGGKLSGKDSAPKAFAFDKSYWSFDKSAPNYAGQNHLFDDLGRPLLDNA FQGYNNCIFAYGQTGSGKSYSMMGYGKDAGIIPMICQDMFERIKVMQQDKNLKCTVEV SYLEIYNERVRDLLNPANKGNLKVREHPSTGPYVEDLAKLVVGSFQEIENLMDEGNKA RTVAATNMNETSSRSHAVFTLMLTQKRFDPETKMEMEKAAKISLVDLAGSERATSTGA TGARLKEGAEINRSLSTLGRVIAALADLSTGKKKKGGTGQVPYRDSVLTWLLKDSLGG NSMTAMIAAVSPADINYDETLSTLRYADSAKRIKNHAVVNEDANARMIRELKEELALL RNKLGGGGGAPGMPVESYPEGTPLEQQIVSITAPDGTVKKVSKAEIAEQLNQSEKLLQ DLNQTWEQKLAKTEEIHKERESALEELGISIEKGFIGMSTPKKMPHLVNLSDDPLLAE CLVYNLKPGITTVGNVESNADHQANIRLNGSKILHEHCTFENAPDGTVTVIPKEGAAV MVNGKRVTEPYQLHSGYRIILGDFHIFRFNHPLEARAERQERADKSLLRQSVTASQLQ ALERTSPTPSPRPGHDRNLSTAISDFGGSRPDSPSPFMRNPREADWSFARREAAGAIL GTDQNFASLSDEELNALFEEVQRVRAERVNGRDDNEDMESMASFPVREKYMSTGTLDN FSLDTALTMPSTPKQGEAEDRLREIREEMQTQLEKQKEEFHDQIKSAEAANVEVAEIK KEKAKMEETLVKIKAEMQKQLEVQRQEFEKKIEKLDPLKRPKPKPRLSEEEIERAKVA VKHWRGRHYVQMAEAVLQHAATLKEAQIMSHELDEHVVFQFTVVDVGHMMCSSYDMVL NGLTGEGEDPALEDAPKPCIGIRVIDYKQSVVHLWSIEKLYDRVRRMRQMYQYLDQPE YAQHLSLDNPFIEDCMPQYTLVGEVDVPLKAVFESRVQDFTLDVFSPYTSHTIGIIKL ALEPSSARAPTNTLKFNIVMHEMLGFPEREGTEVHAQLFIPGISEDGITTTQMIKDFD EGPIRFESVHSMSVPLFAPPQTTLRVAIFAKVSAMHLDKLLSWDDMRDAVPANQKPKT PRISETQFYTEEKHDLLTRAQILELNEEGEYKPVEVTQTSEMDSGTFQLHQGLQRRIS LNLTHSSGDALPWEDVANMRVGKIQLLDSAGKSPDMGSSGPDLHLKLASKPVFRTNAN GTRGLTIIGQWDSSLHNSLLLDRVTSEKYRVQMTVSWEILSEKLAEPMKFSMNLCVQI VSRSFIRQTSMFSSLWQNVRFVHSCTEIFTLQMRPAPIKRAGDLWRMNSQHDYVKGEE LLTAWTPRGVSLVADFIGSRRKKQMMSEMAIIQSLMKTYGYRENGHLNGNGIDGGTED DLLPPPKINSDADSIAELLKDDTPEVSPTTSPVISHSELAKDGEESPESRTTEEPTPP LEEEKPGSEYDDRQTALLNKCLKLWQRYPDSTLKLISPENTDPPEDGVATENNPNGNG NEHHYSAPTFVATVIRVPKNPSVLKGGYLLVPNADSTRWVKRFVELRRPYLHIHSVAD GEEIGLVSLRNARVDSQPGILGLLHSHQDYDHNNFDPDNVAQQQSGGIVGGVASTATG LISSLTGTPGQGQGISRLSERLQAGVFAIYGTDNTWLFAARSERDKLEWIFRIDQSFA QTGSTPAGSVMGAGSNSGTASPMPRW QC762_210400 MEALLEDAYINSQVASYPVSMLEDHAIAVDATYYLHLQLDSQPS EPLLSALGGVTGIQSRIENDLNQWQANNVIPFFIFDGQNLKGQDEVNIRRAREGIAQT DRAWEQYFNGQADAAVQSFGENTNAFHPHNFYRLLQGILKERKLHFLVAPFNAAAQIA SFDMTDSDQAGGVMGSVELLMYPINDCVIRSIDWETRTVRAVSKTHLLSVLNVTDHTF VDLMLMAGTSFLPAFPALTDGNIIKNQPSNINDALNILRVARKDVTQVCITFADTLKA QDPNWLDKYRKARMLVDHFTYIKENGEITVHNYDTLTQDNYEYLGLSLPPEMFHYLNT GLIGPRILNPITHAFTQAEASKKQTSASMEVLPTLDGTVSPEYRKLVTQQTPQIKEAA LSLVVARLHRAFLHSTVTMGVWFDRDFKRKLWDFKNQGPYLEQWKSWRVSAEIVEKLF PDFVHGSIFSEVLALEKPEFVATTFSQKDKKVKNLSPDLIKSFSIWRFLHIRGYVDSK HELTSWGKALSQALTALQPTAKRYWKVPHLYESIIVALELVRFDLLNAKNKHEELRGL PMHGSEDDQLSLLLISRCACLLKLRHESYGYTGPLSKSLLAFRSLASEVRAADRDLVE AILASTFMAAQADRRKDANWELGNSLPFHFDPDVSLGIAVKTFFDEINPNDPEIAKKK KNFPSSYIPYATAFPEDLEIACEFFKAIYAGIKALPQSELSANDQAVWGKAAKYLEAR A QC762_0042950 MFVLIPCLVLDSRPYAKSSITRCPDLTAWRRRCISSLGPVPKPV VTAMHFVQLALVPSSTFGPCRASHIPRGRDMYLDKAFHQYHPVVSRCLRRVGNVRKVR FLFALCHLADLGPPRGTVACNYMAVQERGRDEVAHLSSGIDMSFKVIYIRNFVFPGSG PVFIINISNTSHQPRQPAQLFTHSITTSKLFQHNLSTPKPSSLASAFKLQPITQTFAM AAFASFTTEPCVNYGRSGYNKGNSEDDEENKFSNFGRSGYNKGNDEDEEDKFRNHGRS GYNKENDKEEDDK QC762_0042940 MEKQRRKQKTVGESCPAGAAMVAELVLLVFVIPLVVSRAAKVAK LVLLIILGVAPEAWCQSMETPRC QC762_210420 MFRYVLKRALTARPWALPVTPALQQPQPWLSCHKPSMRQFGYAT PRTDDMLFNENDDTIYALSSGAGKAGIAVIRISGPGSLSVYKALCPTKPPPKPRYAGV RTLLDPASANLPNGNILDSDSLILYFPGPKTVTGEDVLELHVHGGSATIKAVLAAIPK CSTTGKVRYAEPGEFTRRAFLNGRLDLAQVESLGDTLDAVTEQQRRVAVQGNSGVLGK TYERWREELLLARGEIEALIDFSEDQHFDESPTELLTNVRRLVKGILHSINMHKLGSQ KSELLRNGIRIALLGPPNAGKSSLMNQIVGREASIVSSEAGTTRDVIEANLDIRGYLC SFADTAGIRTESTRSIANLDGDSSPVIGAIEQEGIRRARQKAMDSDIVIVLASVEAVK GGGHQISYDVETLKLAAAAQQCLVAVNKSDVVERETLEPLIQGFKNSALGSVQGLQGA EPLTISCKAAATAAAGLTDPGGVHTLTERLVQSFSELTSLPGDMHHLLGVTERQNQLL FECQMHLEGFMTEAQACGAGREPDVVLAAEHLRLAANRLACITGRGDSGDVEEVLGVI FEK QC762_210430 MSDEIVWQIINQQFCAFKLKTTKGQNFCRNEHSVSGLCNRQSCP LANSRYATIRSSPKGTIYLYIKTIERAHMPAKWWEKIKLSQNYATALQQIEDKLQYFP KFLQHKCKQRLTRLVQVATRMRKLAAEEARLGEQLVPKMAPKIKAREAARERKALAAA KLERTIERELLERLRQGAYGDQPLNCNATIWKKVLNALENEGEGTRDKDMDKGIEDDD ESEKEMEEELEEEDEEEDGNVEYVSDFDESDEELADIEDWLGSEEEDDAEDDDDDEDD SEEDDEEEVKKKTGDKRKRGRAVKPSARKRKEIEVERETERSKLLAF QC762_210440 MASWYSSLLTNASTNITKLQRTYFAGESDGDTEDDTHVCRVLRN YYTEKGSPFPGWLPPDPKAPPPQQPVLAQQQQGRYGGFNNQAGPGGSQTRLNSLWDNN KGGQQQGQVASSRNPFAAGGQAVQQDNRPQVQSLRTGGSYQGGGRPDGMSPTGSAASG TSAQEKLKQRLWGGGARTTSPNAAGPFQPPAPQQQQQAPPPQQGRRGWGGAAAPEGGY SSRRDERPVMSANAPWADGGFDSPSGGGGGGGGGRSYGLPSGPRGGLPSGPRPR QC762_210450 MTRVTKMTSPSFPNSQPQPPSADSHSTISISTSTSTSNISRSEI PPRPSSPSGSLYAMSDDEESDYNTITHTETGRGVKLLFSKSKVYVHPTPSAKDNIPGY IALLQQKASSGLTRPTSSSSKASIRSSDLLLAWVPESQLGDSASIYVKVDLCDGGSPP KQSYLVPPPPTVTTHRGSVGSYAFAIPVSAVYSLLVRPPSLGWWYGSVIINSRAGDSF PALFFHDNECQSTLLKRKQRARETFDPFGEGGEMFWGGDEVLRWLRRYVEIERSAAEP NVYLVEPSQEDLEGFGGKVTAGGRAGLAVGGGVPGPSSSRDTSKDGGMDPFTKFIKET GWNIMEKFSKVTTFTRQAAQDVLDNPRIPPQMRRLMKNPEVQTLQEEFDSARIYLARW AMGIAEQSERDRNQRIWTAREVMELEDTDVGEFELLDSTNSLTLEQMRKPVTLSEWRK FFDPRTGRLSVTVDEVKERVFHGGLNPDDGVRKEAWLFLLGVYDWYSTADERKAQAAS LRDAYIKLKGSWWERQIDQGGEGEDGEWWREQRARIEKDVHRTDRNVPIFAGEDIPHP DPESPFAEVGTNVHMEQLKDMLLTYNEYNKDLGYVQGMSDLLTPIYAILQDDAMAFWG FKCFMDRMERNFLRDQSGMRAQLLALDHLVQFMDSKLYEHLRSADSTNFFFFFRMLLV WYKREFDWPDVLRLWEGLWTDYLSSSFHLFVALAILEKHRDVIMTHLKHFDEVLKYIN ELSGTMDLESTLIRAEALFKRFQRLVEAVDRKGHFPAPKRVPTQPAGDGTASGSNTAA TPASPQSNTNAIEQNSNSLNNNGKGREQVEKVISPELRKLLKREVEVLPRRDVATSSK NGGK QC762_210460 MPSATLPQKRAFGEASSTRRNIAATPSIATTKKRRIDEPTSSPA QRFKSSQNDSKGRMASSQQKSVFESEVLERLNQDISDLKQNNSEKDQAWERPPIPNDF DPSKHSLCFQAIEAEEGTIGGGQPAVKLFGVTENGNSVLLHVKDFKHYLYVAAPVSFV VEDCLAFKAYLESQMSQNHQYQQVIHNVSLTMRENIYGFQGNVQNPYIKVTVTDPKHI NKVRTMIERGEANWKGMWKHDGGIMTYDSIQYLLRFMVDCSIAGMSWVEAPAGAYDLI HMNKQSNCQFEAVISYRELISHKPSGEWSKMAPLRILSFDIECAGRKGIFPEAQHDSV IQIANIVTKYGDKKPFVRNVFCLDTTSPIVATQILEFNDEGKMLAAWRDFLEKVDPDI IIGYNIANFDFPYLLDRAKHLKVHNFEYWSRTHVKSVAKETNFSSKQMGNRDTKATNT NGRLQLDLLQLVQRDHQLRSYTLNSVCAHFLGEQKEDVHHSMITELFEGTPESRRRLA LYCLKDAYLPQRLMDKLSCLENYTEMARVTGVPFNFLLARGQQVKFLSQLFRKALEQK LVIPNMRSESSEEQYEGATVIEPTRGYYDVPIATLDFASLYPSIIQAHNLCYTTLIKK KDIERWSLVKDEDYIVTPNGDMFVTTKKRKGLLAQILEELLSARKEAKRELAAETDPF KKAVLNGRQLALKISANSVYGLTGATNGKLPCLEIASSTTAFGRQMIERTKHEVEDRY CIKNGYSHDAQVIYGDTDSVMVKFGTKELAEAMKLGEDAANYVSSKFIKPIKLEFEKV YFPYLLINKKRYAGLYWTKPEKYDKMDTKGIETVRRDNCLLVQTVIEKVLRMILIDRD VPGAQEYVKDTIADLLQNRVDMSKLVITKALTKDDYAAKQAHVELAHRMKKRDAGSAP ALGDRVAYVMVKGATGSKNFERSEDPIYVLEHNVPIDTKYYLDNQLAKPLGRIFEPIL GETKAKSLLTGDHTRAISVAAPKVGGLMKFAKKTQTCMGCKKPLTGKEESQGAVCADD APRVGELYKKTLDKVSDLEVRFGRLWTQCQRCQGSMHCEVICSSKDCPIFYMRMKAKK DLEDANGELARFDFDQAAIW QC762_210470 MASSPSPAPAAAPTNPKIAKIITRLQSKITPGMPFEAQYEAAQE TRLVAARYTKSQNYTAAIDILSSVSQSLLKTGPTGGGSGGDLAILLVDVYKQAGLKVD ATSKGRVLTCLRLFDPAEPNRKKFVKDVVEWSKKYSDYPAGDPELHHVIGSMLAEEKE SLDEAERHLILGTTKDSPPVLAQLEYEWYKQDETHTAPLYCARAVLPYLLMANLKAAT TCYKVFTSQLAAENPSLAVQDVGETKIFPSLPLMNFLGLLIVAVQKGNNPEVFRQLKG KYMPTIKEATDGVWDTALELIGEMYFGIQRPRQSNPLFDMMGSFLGMPGGGGGSGGRP MARRVEAAPAAEGLD QC762_210480 MADESMLTNKEQEAHKMATETGIVFPDNLMLIRALRKHNNNVNA VVEEWFTLCGTDEWVSRYKDKPSTDKSATTGWDSWEEMPGLEATETSNITPLLGAESS PSFRIQGEDEVLYGQTPGTTGAPPTRVPSRADNRAPTSKEQEDEDIQKAVAASLAAQK PTLPPRPSSSAKGQFGPATREHYSEEEWAVTSHYPPPLEDEVPTCRQRKPGLPVFLRR RPNHNSHLLGGLLMILQRIPAARNTLLRIGDEPAWGYRCSQDWWKGEPGTVNGPRWEF EVHRLMAFLEGTTRSYATADILAQFPEPERYFDDDEEKEFLHRFAYNNALRDVEGSSS TVGYSVLMSEVEVVPIQDITVHQSEDQFGLLDLFIPAPLHHIDTKYEAKSPKTLYDYL DLLFYPDVPVAAEDLDQGMLAMIRHPSSVLTLRTRDSRINDIEIPEIFYLDRYMACNR EKLAEIAREQIDLYRRKTNGLKTAEGEATRKELALATIKSLKDKIESMRKGALWRNYN DAFSNAEDVMYLPSSQDEPSWSDEEKEILAHYRTRIRQLEQVIARTESVTEKLNEQIF HPIAAKSEANSAKFTTPSDDPAWNPTYKYTLVGAVIGDSQVLLRWAGEPLDDIIQEGS SPGVEDTGGWWLKMSYRNRVEYEFLKLKSVLDMWNKSKENRMLVYATDSAMNEKYDPL PDKLQKFVKDDNHFFKKELQTDQSQRPPEAEASKKRGADDQWAGIAGPGKLQRSASLD TLSSNRASAGSGGDKDDEVMLDVVGDGADGGEPVGGKGVSVAVQEMVERRTSAFFPII ASADAYEIAQGDAATKTSANEVVGNGGGGSLADPITNNGDGDRMVTD QC762_210490 MSKVSKATAAKTRLLGEMKTLRQEKWIHFDDNEDINIMKWRFAL MVINSDSVFNGAYLQAEMSFSEDYPYSPPKFRFLNPITHPNVYPDGQLCISILHTPGE DVMSGESASERWTPLHGVESVLRSVLLLLDDPEIGSPANVDAGVMYRDRREEYNRKAK ETVEYSKTKVPEGFEMPRSFESAPPPKMENDDGFWQESDEDFDFGGSDTGDEEMEDFE GDEDDEEGDGEEEGSDDDGSEDEVASGAGTKKR QC762_210500 MAGKGGQKKAPPQTKKNGTESFPGLKGPSPAQPAVKTQYQEKQA DEVIALAAIYGEDFIRHSAPHGAWKKTEPAFDIRIKAVSDDNFSVLLSVVLVATYPKS PPLLTLKNDGHLRESTRFKIQKFVETQPKIWAKDDEVMVDRLVEGIRDILDEAALKKA QGLELPSLEEERAAHEAELAKQAQDQKEQQEQKKLEETMEEERVLGDMVQEELKRQRN KLKESRKKNRSHNMSPNRAQADPSETNVALVFDQACSLMDHTGDTHYFQTVIGKTVWR EGPVTTVHKVKPVLAAKHVRPSLALKQVDIKSHGKDSAQFKKQLQALESLLESLKKLN HQNLLELINFKIDRTVSDIDSSGPTVWTISVLTPFSDKGPLDELLSLAGQIEISKARI WTTDLLDALAYLHSNGIVHQDIHPGNILLCRTPAGDIVPKLSDGGFQKELHSISTSMV KVVTSRAAKSVYWYPAEIAGISKPQYTQKTDIWDFGIVFLQMVFGLNVPEKYHSPSAL MDSLSLSSPLEELVSRFFKADPKKRPRAFELCSSEFLATNAPILVENDSAVVSGSLLS APKSLPRRLRHDSMNRTAVTSRYQEDYIEEARLGKGGFGEVVRARKMIDGRLYAIKKI TQRSHETLSEMLKEVRLLSQMNHPAVVRYYNTWLEETMDYSDGSGQSESDDVSSEDSS NNTPISRSINIEFAESKSRGLDFMSSSGHPDMVFEDDSGEEDSDDDDDEEDDEEDSDE DDESESGEDTSTIGELAPRHLPVPARGDRRGSGRPFRTVMYISMEYCEKRTLRDLITR NLYSSNQEVWRLFRQILEGLAHIHSLTIVHRDLKPENVFISAGPDGVENVKIGDFGLA TSGQLAVDKNMANIDAGDLTRSIGTAVYVAPEVRTGGSGSYTAKVDMYSLGVIFFEMS YPPMLGMQRAMTLEQVRHNPPVLPSDFKAADKNHTDILLSLLTHNPKDRPSSSELLKS GRMPVQMESEAVRRALAGIADPSSPYYGKVLKELFSRQVEPAKDYAWDIGSTAPSGAD LMRRYIVKDTLVSIFRRHGAVETPTACLYPRSNYYDQNIVRLLDQNGTVLQMPFDLVM GNARSLARVTQGPVIQRSYAFGNIFRDRRDGGQPDVYGEVDFDIVTTDALDLALKEAE AIKVLDEIVSAFPTTSSTPICFQLGHSDLLHLIFEFCGVDINARPAAADVLSKLNIRN FTWLKVRSELRSPEIGISATSVDELQRFDFRDTPSKAISKIRGLFEGSEFSQKVSPIL AHLKDVYEYTKKFGVSNKVYIAPLSSINEVFFRGGILFSCLYDKKVKDVFAAGGRYDN LIKEHRPKIGGRFEERHAVGFSLNWEKQLAKQVPKATGKAFLKKAAEEESQGIFSMKR CDVLIASFDPSVLRSSGIELLQMLWAHNISAELARDTRSPEDLLSSYREESYSWIVII KQDNMVKVKSMGRKDASDADISAADLLNWLKSEIRERDSRVLAKTRSMPTAAHVDSAN AIEGDREQEVHILVAQTKSKKFNRHAVVEQAQTSASRLVKGFLEGPIAAIETSDTVMD MIKSTNIADAESWRKVEQNVGTAEKKYVREIHEMLKGWRWEWETKKGPGHAFVYNFRT GKCIYYDLGA QC762_210510 MISWALKRNNNKDADTARDATGGDDTIQLDMPDTPAPVFAVRAL KTAIFGTPAARADRRASRLSAANKSAPVKPDVVSVPEKSPAKPPGILLTPGTGAARRK RVSFGHDVKQGSGGPARSSNGELDDPSSWAGRPADTPIPKAKTKLQQTLENARRNKAE ETTTEVRDFASHDNEQEGAWEEVDDDYDESDYEADITTDLNEPHSRSGQYWKSYFETY HADAKAEMEKLVKYKQLAKSYAKMKDTEADELKQNLREEQEKVQQMEEKLAEMGRQVA AKTQRNGGQADMQLVNELNKQTALAREYKLQVEELEDLLHDEMSEDEPKGARQRRVAS PRTHRTLMEAQRELRKARSQLREMDSLREERDKLRSDLKFAEQRSTKLADENKKLSGE LSKSSTKIQDLEKNLSESKASFDKLKEDAKNRFREAREVLETKNKKISELQDQIASLK KDPTETKRATCATRGLSLDEKSKPTADRPAASLQSLETAEEDHTRLLRELKELKRASL QTATTTTTTRDKNRPSVDGYKYTSTTDDLQTLATSRALRDRIESEFGVGKKSQLSFPT PAGNILIDRANLPDSPSPNPRSIPFSKEDVISRSPSRGSSRPRTSRISISRENLRSKS STDTNESEKRLTNGSRTSLVQPRKKFPPPTPAAAVRSLPTLDVTEDLTQDPEAAGGID LVTGKSARLGGGGGGGGGVDKRQDPNSSAVWSTMNASQLAMPADRKAAAIARLQRKKA ARLQMERINGGDGRTGTRNKENLRPY QC762_210520 MKAAQMIMPKKFRSVRDKSGSHRRNKSSEPGAKARPLGIDSWLS IFRPESAKQAQKEKEVEEKETGKVEEIKKKLEELNYHEITTDIIRYALDSKFADGDVD KALELLQLQQQAFAGIIQPYNANVQMLGAVNRGNVTCYLDALLFAMFAKLQAFECMLK NDSTDEAQRKLAALLRLWVNMLRSGKLIPTDMTQHIQESLAACGWKEAQLLEQQDTSE AFAFITETLQLPLLTLQVDLFHQGKGDVDDHKVVHERLLNLAVPPDPSGKGIKLEDCL EEYFNNKVDVLRDSLEEKKGGFSTPKNTLLGTTDEDAATPTDNGESSKLQRRWTLQET ITHSPVSMTNPTLEAAAESPAVSRNRSTSIIQRIVVKNEEDLASNGEAESTSPKHKRK ISTIVKAVTIPAWQFFRLIPWHATTNSEPSNDAEVARHFTQRPVVGICLKRYTMTEQG VPKRQNTLIDIPDSLRLPQFMAEDINTKYKDSSGLREEFKLVLQSVVCHRGDSLHSGH YISFARVAPKLLTENRRYEDDPPPDYEEQQWAKFDDLAVENRVTYVDDIKQSLREEMP YLLFYQVVPMVDVTTASTDGSITEPPAYKDLNGKVPATLIVETPKESVNGTISRATSG FFDSATTLTQNSEKPSIRLSSEMNMDQRLSFDDDPYSINSKAEHSRRGSLSVSDAAAA APVTPEATSPAVTTPTATQEEGKEESTAARLSRAAAKFTKTGSKSRPTSQIGEGRLSS TISRLGFIRPNKDGSTSSNGSTTVVVVPSVDGVAAVDEQAVVTEEEGSGSETAKESRK DKEKEHHHHHLGHHGGSKKDKGKKKDKDGDGEKKEKKEKGKGKDSKDGLPERECSIM QC762_210530 MSAQIPIAVRDRVSDKAAKTLDIVAKFVDEECIPADTVFEAQVG VGEARWQAHPKILEDLKEKARSLGLWNMFLPKGHYKESPGFTNLEYGLMAEWLGKSRV ASEAVNCAAPDTGNMEVLAKYGNDAQKAQWLKPLMDGVIRSAFLMTEPQVASSDARNI ELKMVRDGDHYVLNGSKWWSSGAGDPRCKIYIVMGKSDPNNKDPYKQQSVILVPSDTK GITIHRMLSVYGYDDAPHGHGHITFHNVRVPATNLVLGEGRGFEIIQGRLGPGRIHHA MRTIGAAERALEWMLMRINDPTKTPFGKQLREHGVILEWVAKSRLEIDAARLVVLNAA IKMDEQGPKAALKEIAQAKVLVPQTALTVIDRAVQSFGGAGVSQDTPLANMWAQIRTL RLADGPDEVHLQQMGRNENRRGKEVAAKIAAQKAKAEELLKKYNITRSEIGSNIKR QC762_210540 MPSNVLAAKDVNMSLPTTNNNNTTQTSTDTCGKPDTMSMEYHRQ VFQNKMAAQEEKTYISPSDNLMSPCTAKLSAFRSKQVGKVKPKSLFAQASSKKLDAAG QSSLLFGNKPKPAAPPAGSS QC762_210550 MVNITEKIKEIEDEMRKTQKNKATEYHLGLLKGKLARLRAQLLE PGPGAGGGGGSGFDVSKSGDARIALVGFPSVGKSTFLSKVTKTKSEVASYAFTTLTAI PGVLEYGGAEIQLLDLPGIIEGASEGKGRGRQVISAAKTSDLILMVLDATKRAEQRAL LEAELEAVGIRLNREPPNIYLKPKKAGGMKITFQSPPKGIDEKMIMNILRDYKILNCE VLIRDENCTVDDLIDVIMANHRKYIKCLYVYNKIDSVSLDFLDKLAREPHTVVMSCEL DLGIQDVIDRCWKELNLVRIYTKRKGNDPDFSEALIVRSNSTIEDVCDRIHRTLKDTF KYALVWGASARHIPQRVGLSHPVCDEDVVYIVSGWKA QC762_210560 MAEVVNRPDTAVNLPEMASEDSLALTTTKNTTEVTAPAEKKVKK IIRRKKRPARAQVDPATVKSEPPAQTGTTFNIWYNKWAGGDKEDSAMSQTHAKGRCNI ALDSGYTKADGHPGAFFCLYFARGVCHKGQDCDYLHRLPGPYDIFPQNVDCFGRDKFS DYRDDMGGVGSFSRQNRTIYVGRIHVSDDIEEIVARHFAEWGPIERIRVLSNRGIAFV TYRDLANAEFAKEAMAWQSLEGNEILNLRWSLPDPNPMAQKREARRIEEQAAEAIRKA LPAEFVAEIEGKDPEARKRRKIESGYGLEGYEAPDEVHYARGPNAVNPRGREGFELEH EQRLMLEAAEQEMMQEQQYDQPPPQQQQQGGIFSSSTLAALQGAQVAVASKPAPKTST GPLVAYDSDSD QC762_210570 MTINLFQDPISSYRESDTDDDLDNEIAELERKLAAAKAKRCKHP RSRLEGDDSSSSSPEQPLLAPNLPNHFHLLLSDSALPLGSFAFSSGLESYLAHGHKSN PYHPHTSFAAFLPLSISSYASTTLPFVLSTHRDPSLSNLVELDDAQDASIICTVGRRA SVAQGRALLGIWERSFSQSLPPLGSSVVTSAQRGDLKAFGLLVKRGSSKEIPDASAHL APLFGAICSVVGLSLQQTAYIFLFGHVKALVSAAVRAGMFGPYQAQKILAGETVQELI TEMIKREWGTKVERAGQNVPVMDLWFGRHEVLYSRIFNS QC762_210580 MYGVFRTEFGRDFLRTRPWRAVRCRHLDLGAASSARSLATTNKH GASPTKKCSARANKLGKPAAPRNFWPGSCLRQAQVLANQTRVSSKLLKLHDIERTPRT SPGDFTTTCPLLPPRKLPFRYRISRNQPSQAAIALIMASSYSSPFAALQNNPIFSGLS DVYNAFQERRAKLGLSNPGKVEDISKEVNRDVLAQQHMFSGLRAELTKPFSLSPLFQV SHQFALGERLNPYTFAALYGTNRCFAQGSIDEVGALSGRFNWRWGPDSTHVSKSQFQV GTGQGDSIQLEHEYNGADFVASLKALNPSVLEGGLSGILIGHYMQSLTPKLAVGLEAV WQRQSRLEPPVTAVSYVARYKAEDWIASAQLQAQGALNTSYWRRLSDKVQAGVDMSLS VAPANPMLGGGLQKEGVTAFGAKYDFRMSTFRAQIDSKGRLGCVLEKRVMAPLMMTFS ADVDHMTQQAKVGVAIAIEAAPEMDEQEMMAASQAAPVNIPF QC762_210590 MDTLLTAEIAANAPRYRRKSSTFIDGIHDVPSEVGNLAPAQLYS TMSGRLFHSGRIAIVMVGLPARGKTHICVSMARYLSWLGVKTRIFHLGDYRRATVGQG GHVPQDYFYPNASPASVMLRQKILKKCREDIYGWLNHENGQVAIYDAVNPTASGRRAL AKEFAKHDVQTLFIESFVDDQEILKENARNVKISSPDFHGMDPDEAAKRYLKRIETKI PVFETMAEEELNYVKMINAGQAFFYNNVSFNYLSHRIVFYLTNLHIKHRTTFFVRAGT ATEEDSYKADAPLSAEGEAYAQVMAETLMRHREQERQAIIDQGGPDVPLRPLTIWTST RLRTIQTAEPLEKLGYKVRHRSQMSQINPGVCEKLSERAIRNLYPEEVEKHELDPYHH RYPRAESYHDLAVRLEPIILELEREQNDLLIIAHESVLRVLYSYLMHCSTRDIPKLKF PRDEIIEIIPAAYQNEAKRIHIPGLDPRMIPGSPEDIRIPVPPGFDDFGKQLSPISIP AMMMGTPPSATEVTVGFATGDRKGSISVSNTGGLRSVSGSFSGGERPVTEKIVNNTAK EMVEDKVEDED QC762_210600 MGGKTAVVTGATGLLGRQVLKAFANNDWTVKGTGFSRADGTDIL KVDLTNADELKKVLDDVKFPDKVDKDPEGTRALNVEAPRTLARLCAERGILLTYISTD YVFPGKPGDAPYENNAEPAPTNLYGQTKLEGERAVLHEFKMAGKEGLGVVLRVPVLYG SAKSNAESAVNVLMDSVLKAQQEGANINMDHWALRYPTNTEDIGRVLKDIAAKYLETS DRNSLPKILQFSSEDKYTKYEICQLFAEINGLPIDRIKPNTEGNDPNASVQRPYDCHL STKALKDLGIDVSTQDFVGWWRREFRAFRH QC762_210610 MAATNQTNTIHFSPTLRGSRRHALTGKTGNVWAARLAVDDGWLP ASTTSSSMATESSTSTSTSTSTTNSWGVRRGRGGGRRRSRSLSSLLEEMREILPLAVE KGPGSRETATTGTAFNPLFELPPLQPLLLPQPLSLRKDGGNRAQPRLVGADDVNNKFR LAGHTPHDNLTEKLACLSRPSPAPASTLPGPVNGNGNSNKKIDVRSIPLPSPPSPSSQ PSQQLQPSSPLSDININQQQHQQHETRRRHETPQFVRGEHEVARLEAETNGTLAEQRK SDCDVQQQAHQHLQLSAVPPLTPLSATSPMGFSPMLDKFFSSDRRRRKSKTSPPTSPD STPTTSQKTASPVSPNSSLSQWSFFSSKDKEKDITSQTAGSQSPAQKIMPRHYIKAGG QTSTGARDSLTILCKNDFVDVAISRETTAHDVLVELKSLGQLAELSSGVLIEQYNAFG LERPLRRQERLRHVMDTWGPDSKNTLALFHDGPPHGLKLDLEGAPQTREAPPGFCLFM YYRGPGPKFKWVKRYIILYEDGRMIASKSSNPKRGDKDVVAICHLSDYDMYHPTEAQL RRHLKPPKRNVIAMKSQERINTFENTDKYVQYVSAEDKEIIRRFQSHVQAWRNWHLAK SLLREPEPEKPPQIMTVAAEPRRTVKEVGLGNGHKTRISVDESPYTIGAFKPLIDLDR FNKSIDDFGKDWTLVDGAERNDPSAAAAFPENSLLGAAYEERKQQLESKSATVAGTAG AGGRRAFPPTPAAGESGGSGSCFVDHSRTVSTTDRRPQSPDSVRSIPRDAIPSTSTAA RGRNEQVGWFASAAEHSRQQRSLIEQQQQQQQQQQQQLYHQQSMPPQILIQRRPSTSA GSRMMGGLGRSVSQRRSHGNSLGSGGGVGHPVPLPQQQFNQPASNPQPRRPMRQQPQP LLDLTPEFQEAPQWSRENKGRAVKPQDGRPLVDLATGPALVSGAAKIEQPPKALIHRS EQQALMMQRQQQQQQQQQQLGMRPGTSAGLQNSMVLGRRGTVRSSGGGIRPGTGDTMR SGGGYPPGGDYGRQRGMSLAGQGGGGGFVDNNGLGTQPDPRVMQYVLQQQKELQKQQQ EGTRMGRLRTTSGGSQPQ QC762_210620 MAAPQKTTLQEFESVFPKLEEVLLEHAKSYNLPEKELAWYKKSL EINAVGGKCNRGMSVPDSVSLLLGRPLTEDEYFKSATLGWMTELLQAFFLVSDDIMDS SITRRGKPCWYRHEGVGMVAINDAFMLEAAIYTLLKKYFRDHPRYVDLLELFHEVTFQ TEMGQLCDLLTAPEDVVNLDNFSMEKYRFIVIYKTAYYSFYLPVALSLLLLDIATPAN LKQAESILIPLGEYFQIQDDYLDNFGLPEHIGKIGTDIMDNKCSWLVNQALAIVTPDQ RKILEENYGRKDKAKETVIKKLYDDLKLEQRYQDYEEKFVTEIRERIGQIDEAEGLKK SVFEAFLAKIYKRSK QC762_210630 MGLSAKDALQLRDTLQIAVVKCSERCLYHAAKWAAELLDALPQP SAADFKATQDLPSSYIHPAFTPNHDPAEAALEAKELSRYLLAKSLFDCKEFDRCAAVF LPESSLAEMLGTKVDDATTTEGREKQGPSVVLPSERALPQISQKSLFLALYAKMISGE KRKEEESEMIMGPQDLGTITNKQLAVVSRFLTKWFDQRKSEGGDVAPSQGFLEYLYGM VLVKEKNDRAALQYLVESVQLFPWNWGAWMEITNLITRVEQLNEVTPKLPQNIMSFIF HAHASINLYQQGGEIANALNDLLVVFPTSSFLLTDKALLYYHSKDLVAAEQEFSQLLG LHPQRIDALDHYSNILYVLNLRPKLAFLAHLCSSIDTFRPESCVVIGNYYSLLSCHDK AVHYFRRALMLDRSCLSAWTLMGHEYVELKNTHAAIESYRRAVDVNRRDYRAWYGLGQ TYEVLEMHAYALWYYKKAAGLRPWDGKMWQAVGSCLQKMGRDKDGIKALKRALLADSY YDSSASSFGSTGTIDRMTQMDPEVLLQIAAMYDRMEEEEEAKAYMELCVAQEDGGVTN DQGPGLGDSIGVRADSPGSDDGEGGGERVAAGEGTGVTVATSKARMWLAKYAMRVEDY ETANRLATELCQDGVEVEEAKALIREARSRMEQTSMMES QC762_210640 MRLFRTMTLALAWIGSALAEPKVTFINQDNKHRTIVFTPSVPHK EIKPLRVPAHQEVTQSFPHGWIGNWWSVTDGKPWIPGMLGEVTFNGYMGLTFFDVSAI VNDRDTSGVKMMWPRKSASVTSGCDVFSCGNEYTYPDEVQTKATDEDHLMCSLGDGVS PVYPRGAKKWKKEARRQGEEEEVVVVKPSSKAKPSAVPTTPAKEQEQESFSSGGENTY NGDNDNLYRYRRHRYYRPGNLGE QC762_210650 MAANSRAESLAQIDLTVESSESSTAAATTYSRRQITLTALNNTI AIGRASKVSAKGFVPAENNAWFDSAVMSRDHAEISVDIDEKKVQVRDKGSLHGTYLNE REKLEKEPRELKDGDRLTFGLPVDRGTSRFMPVSVKFGVSFNEPTNQYVPSSFASSAL TETFSVGDECGSRTFQVPDDSEVSSDVDDYSSDSSIYSSHSATMNPQTASTSPHSLPP KFVPGVEVIDLTEPEASSQSHTWTSKLNAGSFNNSSPAFIDLSSPPLSPLMFREDRDA EYGSSGAAVTKDVGGDHLDILSISEPARRVPIQSTSSLGAATSRLTEFASALGQTAQS LEAIEQTVLDSDMDSNYSSDHSLDSLDQDNTSELSDAESNVNYPENFMEGEYSSDDDD DSGSDHDMDDQSDGSSILDEDMVNYSEDDDNESASEHQSVIGDLDIFDCDQPASPLPP SPMMCRPTLVHNHRTAPVVSDEQDDTLDHPASDTASPVIPVSSKKDEAGAKSTNPIAI EKLLNDRPGVAQSMHQGPPLPELRKTTQPNSWPASFKGDFESLCLKSGKGDFWSAREG NKAYFNKISGQTFNKAALETQTTARPASSVYALCNEMPTNPRFDNEMHTRDLSGLDQQ VFSRLPPMETSFNSTVTQPSCSDSLDRLLSSSARRGSQPNAYKEQEKTKEPETEKTKE PETEKPVDQNAENVASKTSDLESQKVNVQFTIQTADEPQSLVEAEKPKANSDSVKRKR KAEDMSVETEDDLKWGGVPETAGTEVLKADGLEEAATEVCGPTVESPIQVLRPFERPT KKVRLFRLAERVGIAAIGGAMVMGTLIYTAPTFA QC762_210660 MTVDTQTLATPGGISDPGLIKLVNKLQDVFTTVGVNNPIDLPQI VVVGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLVLQLINRPATADSNGVDEKLAGS TDKAANTDEWGEFLHIPGQKFYDFNKIREEINRETEAKVGRNAGISPAPINLRIYSPN VLNLTLVDLPGLTRVPVGDQPRDIERQIRDMILKFIQKSNAIILAVSPANVDLANSDG LKLAREVDPEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIDN KKPINAALDAEKAFFDNHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPEIKSRISN SLQKYTQELESLGPSMLGNSANIVLNIITEFTNEWRTVLDGNNTELSSNELSGGARIS FVFHELYSNGIKAVDPFDHVKDVDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRLE EPSLKCASLVYDELVRILTNLLSKQLYRRYPGLKEKIHAVVISFFKKAMEPTNKLVKD LVAMEACYVNTGHPDFLNGHRAMAIVNEKHNPSRPVQVDPKTGKPLANTPRAASPTLV ASADGDSNNSGFFGSFFAAKNKKKAAAMEPPPPTLKASGTLSERENIEVEVIKLLISS YFNIVKRTMIDMVPKAIMLNLVSFTKEEMQKELLENMYRQSELDDLLKESDYTIRRRK ECQQMVESLGRASEIVSQVQ QC762_210670 MVLTTASVFPSKGGHQFMPWPSRRSVVHSTKGIVACSQPLAARC GLEILRKGGNAADAAVAVAAGINMTEPCQTGIGGDMFCLFYDAKTKKVSALNGSGRAG GKCTLETIRGSLGLGGADEEGKIPMSSVHAVTVPGAAAGWVDTVEKFGSGKLSLEEIL GPAIQLGEEGFPVHEQTATIWAQGEQSLRDASPNFREMLKADPNAPDGVRAPKAGDII KLPNLAKTFRALAAEGKKGFYTGRIAEEIVKVTTSLGGHLTLEDLEHHLTTGSEPVEP ISLKFTGQGAGKAENAGVEVWEHPPNGQGIVALMALGILQQLELSGKIPTWTEKDHNT APYLHAIIESLRIAFSDANWFLADPNVSDVPTAGLLAPEYLSERAKLFSPTKSTPELI PHGSPALRSSDTVYFAVSDSEGNAISFINSNYGGFGTGIIPAGCGFTLQNRGANFSLD PKHPNVLAPRKRPYHTIIPGLVTNINDGSLHSVFGVMGGFMQPQGHVQTLLGQIVGGL NPQQALDAPRVCIGAGMPENGKVYDMRVYVEEGMPEETIRGLEELGHQVTVVRAAPGD KWQSRSLFGRGQIIRWTVDSVENRGVWSAGSDMRGDGGAYPA QC762_210680 MFARTILSRQLLRPAFAAPAAARTSFLTSIQARFLSDQTRQAID KAVSSAPVVLFMKGTPETPQCGFSRASIQVLGMQGVDPAKFAAFNVLEDNELRQGIKE YSDWPTIPQLYIDKEFVGGCDIIVSMHQSGELAKLLEEKKVLAVEAEAAEEEAKKE QC762_210690 MVFDLVSSLMRMPYVPPSFFARFLLHQFLPIPIPDTDYTNKTVI VTGGNGGLGLEAVRHFARLGARVIIACRNADSGQQARNDIQRANYPGTVEVWPLDLCS FDSVKSFCVKANTILDRLDVLLLNAGIMAKELQTPEEGGGYESTILTNVISTFLVAFM LMPLLKQTAELQADKAVVTVVSSEAHFLTTFKERLEPNIFECFRNGDFDPYENYSTSK LLDLLLARELANRLDASSPAGNSKVIVNSVNPGLCRSKLFNKVPLIVNLFIGFLSLIF ARSSEQGSRTLLAAAAGGRETHGKYMDAAKVAQPSSFVMSDEGKRAQKRVWEELVNIL DGVEKGVSKNI QC762_210700 MVGPPPLQGIKVLEFAGLAPGPFAGLLLSDSGASILRIDRPSSS PSPLPTPDLLTRRKKSIAVDLKSPLGIELVKSLAAKADILIDPFRPGVLEKLGLGPSV LCSLNPRLIYARMTGFRRDGKWAKMAGHDINYLAVSGSLSLLGRKNSPPTPPVNILGD FAGGGATLFQGILLALLSRATTGKGQVVEANMVDGASYLATFPRFALHTMTGSLPRGE NLLDSGCPYYDCYETRDGRWMAVGALEPQFFGDLIRGLGLEGQGWEERRYDRGNWGEF RGLLERRFKERTREEWERVFEGTDACCTPVLEYGEMEREKEKRGGDQRPMVTLRETPL LGVVKGKDGDAITHGQGEGVKGDGYRGEPLAPGEGGEELLEEWLGWKKGREFDVAGGG LVVKEKARL QC762_210710 MPPHCTELMFISLINFKLGRRQPNQPLSTYLTCHWLVLQLPISR LIMHDNSTIPIATTRPLTFPHFPRLPLILHYGDSNLDISGLYDKPRGMCMGIIDSAPL ELPQHRTPTRGNNMSAYMWDAGLWGEQVPNLGG QC762_210720 MSSYHLHITNKNYSSWSLRPWLLMKELSLPFEEHLHPLAPGSGY RQPQWKSFSPVCHVPCLHYHHPPSGSSDPIILWESLAIVEFLHEQFPNSRIYPDSLPA RAWARSAVAEMHAGFGSIRQEMGMNISIRVELSDEAFNEGLVKDLRRINEVWEEGLAK FGGPFLAGGEFGAVDAFFAPVVLRLGSYLGAGERFLGERAKGYMERINGLEGVREWVA AGVKEKERHEAHEVESLEGPGRKLVRDLREEQSSGRA QC762_210730 MAETANAVALNESQVPEVPAEAAAQGSKQTVDPWNVQGEVGADG QIKAINYDKLIEEFGTKKIDEELLARFERVTGKKPHHFLRRGIVFSHRDFELILDRYE RNEPFFLYTGRGPSSDSIHVGHCTPFMFTKWLQDTFDVPLVIMLTDDEKYLFSEKRTI EEVQGYCDSNIRDIISMGFDPNKTFIFSDYDYVGGAFYRQITRLAKHITLNQARAIFG FNDSSNIGKIHFGSIQGAAAFANSFPHIFATRDVAARLTYQGVRFAKPSLIHSLFLPA LQGPGTKMSASIDSSAIFMTDTPNQIKNKVNKYAFSGGKVSVEEHRAVGGDTDVDVAY QYLRFFLEDDEELEKIRLAYSKGELLTGELKALCIKELQTFVAAFQERRSKVDDAAVK QFTDIRPLTWMGNPKVPKADIVVPKLEGAEGAEGGDGKLTKNQLKKLEKQRQIEAKKA QKAKEKEGSAAA QC762_210740 MLAAPWVCRSCVRSLRRIGFSQQFLRRASTDSFKLPPALLDRAR FLTAEHDQLTAQLADNFDEKIAKRAGEVHRIAEAFKKFEHAKASLRELEGLLKSDDAE MREMAQEDLAATNEQLAESSRNLSVALTPKHPFADMPCLIEVVPGPGGTEGRFFADSV FKMYQAYCANKGFRTKVVQYLAADSTGEKGGDGETALQEAVLEVMEEGAYAHFRGEAG MHRVQRVPATEKSGRTHTSAVAVWVLPSFAENATASDGDWDNPESDFYIDPAEVKSEK MRASGAGGQHVNKTESAIRLTHIPTGITVSMQDSRSQHTNRADAWRLLRSRIAQKRRE AREEAARALRSSVLSSAQLTRGDKIRTYNYGQDRCTDHRAGLDVHNLPDVLRGGDTLG KVIDAVHGWLAEKDIQALLADEEAAAAAANAPGKGKKGK QC762_0043290 MQGYKVYISGESYAGMYGPYIANAILNTNDTTYHQLSGMYLGDA VIGDNTQLYQSIPMLRFVEYHSSLFPFNNTFLDKIRTTDAECDYPSLLDNHLVYPPIS HLPSILPEVSENGTVTEECTSLWWWILEAALCLNPCFDMYHIIASCPRVSDVLGFLAG IEYVPEGEKRYFDRGDVKAAIHAPRNVTWEVEEDGSGPSIIHALPSVIDKTQNVIIDH GQLDMVLPSNGSLLAIQNMTWGGKLGFQNRPSEPFYVPDTNVVGENKVAIGGEGVLGS MVSERGLTWVGVNLAGHILVEAQPGASYRQLEYLLGRVDCMNCTTPFTVGHLYYFDQA PNEMGEGTAPQGWSDHKPEEGL QC762_210760 MVLTHTTNHTYAHPFPAVSLAFFLRYCSPQLNPFASHVLSTDTI DSHIDPATGRLHTTRIHLKKSRMPPAVMKLLPTTLTGGTASQASYILETSVVDMREGW MSTESRNLNFVGVLSVVERQMYSIPKTRTLDNTEVETKVVFRSRLGEKLRDKLSHQNL STTAQEGGFFARLGARGIQRSIETLASTKTQDQLGKSREGMKMILERLRQTGIIGVLE LRRAARERSMAAA QC762_210770 MASSVFFKFKSQKEPTRVAFDGTGISVFELKREIILRAGLGDGT DFDLAIYADENGKEVYDDDTSIIPRSTTVIARRMPPKNPGRGGAARYVSGKMPIHAKN SSRREQTAKPAAKTQSNTLAQLSSAMTEEEKMAAVFQAQTEHFTSREEEMATQQYVAK GGPKKPANVPDHDPPQGYICYRCGEKGHWIQLCPTNDNPDFDNRPRVKRTTGIPKSFL KTVDKATALGQNADGDDSKTPSGIMVNADGEYVIAEPDKVSWERFQAKAKSNNAASKA AMEGEKEVQERGLECSIDKKMFIEPMKTPCCSKTYCNDCITNALIESDFVCPACNAEG VLIDDLKTDEEAVEKLKQLLADRDSKAKEGSKSPKSPSEASTKSAQATGVEPTNIKKS ASPSPAPANPAALPQQTGQQQTTTSTPSVRSATSTPVHNEPSQQTSKKRPAEDALENP KIPKAPKAMQPTQQKPPVNPMMGGNNMMNGMNPMAGGMMPGMNMPGMNPMMFPGMNPM SLNMMGGFGGMPGSFGGPGGMPNMSMNGMNMMSPMMNPMMNPMMNGFQGMPNNNSNNN NNFNPRHNNWGGPSGGGFQGHQQQQQQQQQQQHNQHPPQQQQQRPPQQMMGMGMGMNG GGMMKNFSHQPADDEDAYFRKPVNPHRHQNRQKRVRPSDYREL QC762_210780 MSTAVINADDDAMEPTLQSILDQRSLRWIFVGGKGGVGKTTTSC SLAIQLAKVRRSVLLISTDPAHNLSDAFSQKFGKDARKVDGFENLFAMEIDPNGSMQD LLAGQAEGEGAEGLGGMGGMMQDLALSIPGIDEAMSFAEVLKQVKSLSYETIIFDTAP TGHTLRFLQFPSVLEKALKKISQLSSQFGGVLNGLLGANGALPNGQNLGEMMEKLEAL RATISEVNQQFKDERLTTFVCVCIPEFLSLYETERMIQELASYQIDTHCIVVNQLLFP KPGSDCEQCTARRRMQKKYLDQIEELYDEFNVVKMPLLVEEVRGKEKLEKFSEMLVKP FVPPS QC762_210790 MTESTTTKDRITCHILDTTLGQPARSVRVSLSLLSTSTASPGPL NPPPVFESTTDEDGRIKTWLPYSSATSSGEVPVYTLEDVFGSIRGPSRWVLKFDTESY FGGPDKTFFPEVNVVFNVAEGERYHVPLLLAPYSYSTYRGS QC762_210800 MRRPRFRKSPSTSFSTISEDMATPPRSPSQTSTRIRDTGFPDTF CGNRNTTLPHPDAKIGPDACITEDDVNVGRALIRHRMSFQVQTERPYGRSLSLYDRKV SGSSDEGALATLGRMAFGSIRPSAEQPAGIRPTSRDGHSVTTSDGTGSPPHSPTRQVK QDPRDAARDPRDGRRHRRRSSLINRLLHR QC762_210810 MSDQVQEILDVPREFLKDGIQFIHKAQKPDQKEFLKISQAVGVG FLIMGAVGYFVKLIHVPLNNILVGGA QC762_210820 MSAAPETQKPTNITGEGKSSEQETTPLSKQYDTPLGLAHTTMQE LKDRIKLHYDLASDYYLNLWGEHIHHGYWPPSSPDLPKEEAQINLIRLLLETSQIHTS PAPTSPPLKILDVGCGIGGTSRFLARELAAHVTGITISSKQVQSAKKLSDSSSKQYPD GEYIPLGPGKVRFIELDAETLGSYFTGPDDKFDIVWISEALSHFPKKDLFFRNAFDVL KPGGKLVLADWFKDEGLTQEQFDADIKPIEDGMLLPPMCTVNGYVQFAKEVGLEVVGG PKDISQEVKKTWDISWSLIQNPSLWAFAFSQGRDGIAFLQAFRAMRRGYANGSFRYAV MGFAKP QC762_210830 MNSSSCQVGLEKITRYIRCEAPRSYPLSVHHHHHSLNITSRPTH TSHTIPLLNNQPTFQNAVNNPLHDPLSCCRPRSCRPYFWRHLDTCQRIQSDLQGMNVT YRPDMIHLYRSSNASFNVPANARGPCSLIAAFPADYSIRDSSVEQGGNPIAVNVTDVD GPTPDSLVGTVRFPSALPGPTTKEAVKITINSFACREKMTYHFEAAELGSVQFKNTAD AGLFIEYSC QC762_210835 MGFSTEQLWPGFDHDSQSIDQSFQRDYQSYHGHQVRPKGLLIAE IVTDNDRKGVKILPGEVFCRIEFEDGILCPTAKSFSSTSGLICHIRGQHELDIQTWDG KWEGCDAEERSKGGRPTGQAIAKALVVYKQWNKLISAEDEAAEEAGKQTVNQRFAEER ADKAQRFGEKRE QC762_210840 MHMHQMRRPPRQIIQYAHGELDPAALAVGNGVHPPPGIDVENGD ELVTTVGVLVPADGAEELVDADVGADDGVEDPFQAEVTPSRPTLKGSLPPMVIVPAGA RRFPAKRRRRVVLPAPLAPIKRVRDLGGRERVMPERPAERSWKR QC762_210850 MADEGVADHYQVLEELGRGSFGVVYKAIEKKTGETVAIKHIDLE SSDDDIHEIQQEISVLSTCASTHVTQYKASFLRGHKLWIVMEYLGGGSCLDLLKPGVF PEVHIAIICRELLLGLEYLHAEGKIHRDIKAANVLLSETGKVKLADFGVAAQLTHMKS QRNTFVGTPFWMAPEVIQQNGYDFKADIWSLGITAIEFATGEPPHAQTHPMKVLFQIP KQDPPRLEGKFSKEFKDFIAQCLVKAPHLRPTAKELLKHRFIRSAGKVEALQELIYRK QVADAKTERVKMPVYYQETLQTLSPKDGADEWVFDTVKSVVPQQKRGTVRSRKPSVVH GIEDAMHKLDVKDGPLQPTTPSTVRKSTVRRQSLRQSQQANRQVSNGSPRASVAAKRP LQPDMSFGNSGSTMRLFRRVPSDTSTNPSHHGGSSPSDSFIYDNSENRPPTSYSYQAP VEPHSKEAFLGRRLYNKALEPCLDELHAQTAGSAKREALARLSDALAHLDAVDPEGSY HLLRSIMSTVSQDTKLSNAFVPQLPQQQQHQQQQQQQQQQQQQQQQQYQQQQQHKQTL SEGTPQGGTVVKDQRTKPAALQPPPGLSSSPTKLMISQDNPHLASHRRRRESNIGGAE NALGIVNGGRGGGSPDKETREREREARENRERDKEKMAMAALEAKFPGRPAVQGMEHC KALGDLLYARWTDGLRLRWGGVGGH QC762_210860 MVPAPLPLPTRFPCWVRAVYSWGGESKRDLGFIEGDLIECLNAG DGSWWTGRLFRDRRAVGLFPSNFVEVLPETFRPTTRSTSPLPNGNTPSPKHTPQKSRT FRKPFEAYAKAPHYTTAKVPETFRDGYKKEDAIPVARSRDTSVNSMDNTSPVRPSTRR QREISPAPPSNSSYNHRAPSPAPTHHQNYGSRSPSPMPEYHHGGSRAPSPAPPQHHNY ISRSPSPAPPQDVGYNPRAISPAPPHHGTYDMRAASPAPPHHGGYDMREASPAPPHHG GYDMRAASPAPPQHNAYGMRAASPAPPQHGGYGMRAASPAPPQHHAYDSRAPSPAPPQ DDGFDPRAPSPTPSYIPYRPPEARQQSSFHQSVDSTMPSGPPLSHRHHVHHMSLERHN SPAPPQHGRHTYHLSLDRNIDRSDSPPPPPPPPHRTKVTRQESQFSQDEGYFTGISRE QSALSRGNSNASYGQGNVSRHHSNASYEPPQQSGFHTPRTLTTPCPPSPGIDGLTPSP LREAMDDVMEQLDALGAGSTVSAGREPSPEPPVLDPWSPASFDMVHARSRKERKRTSQ LRPNTSMGIPAHIDEGYETNSGTADSSVEPTPLESSQEPHLPQLSNYVERMESRLRTM HQHNASVTEEDEAPPPPPKSSVYDRPKSSMGMDAPPEQKLRHKKSGYEGMGRNILNRT FTTKTNSTNSSSNTQSTDRSLMSGVSAGGISATSAGSLARKNRSRAHSALGLRELLND ADSGRPETPFSGVTYHSSHASDHQNQQQRSKSQLGFTEDPMPALPGLGGLVTPKPKKQ NFIKRILESAKTGVASTRGSIAAAGASTSNLSSSNRSTPALTSMSSAALLPSKMPPPR DMGMGGGVDWVQVRRDINRSNSLSFNEKVERKERCQMLDYPALDPVDELYSSIDGDEG ADGMPVAEPTNYHAINLSQVDKNSRFLTNLAPTTTAITLATTFVCRPYRSDVQRLRAI FTWVAEHICWEEDFEGEVDTRQVIQSRRGCAEEYAVLVLEMCAAVGLGCEVVRGYLKT PGEIPEVNIMPRSNHWWNAVLVDNEWRFMDCCLASPSNPKRALYSSHSSSSADPWWFL VRPSELCWTHIPEHHAQQHLCPPVAHETLLNLPCACPPFFKNDLQMVDYNTSLVRIED LEMVHVKFNVPPDVEVAAEVEVRAYSRDMDGDLFESGDVVKKRALAQADWHGGVKRYT VKALLPGDEGTGVLKIYAGKRGLMHSIKDIPHPLAFALPVIHTGENPPYEFVTRHPTP HAQRHDIYVVQPQCQRLALNNTFVFAIRQHPSSAAITPVSPAEENRRGGASPIPFMRP GSAMSMTSSAAGSMPSTTTSNSSGGSSKPAKLAIQTPGGKILRLMRKEERRGVGIAGK IMSPSSSGLHSEEGVDAGDGGLWETIIKCSERGVWRGLVLADRTARWCVFAEWVCEG QC762_210870 MTKPPQDDRGGGRKLSSPALVETVAGLSAGTMATLIVHPLDIVK TRMQIHRSSHSPNTPPPTTVSLIRTLSSNPKPLASLYRGLTPNLIGNATSWASFFFFK NNVERGILYLKSSSSSPSQQNVGGLSPPDFFVASLAAGALTQIITNPIWVLKTRMVSS DAGTKGAYPHMLAGAVDLFQTEGIKGFYRGLGVGMLAVSHGAVQFAVYDPLKKMYSAR HKERKEGDMSNEATVVLSTIAKLVAGGVTYPLQVLRSRLQGYEAEERFGRGIKGVVRQ LWREEGIRGFYRGVMPGVVRVLPATWVTFLVYENVRFYLPRWGS QC762_210880 MFRLSEESKERIGKLIEISRVALHYGYLPFILYLGYTRSDPRPS MVRI QC762_210890 MTQVGPVRQVHMPLDRVTRNHQGYGFIEFDTPESAEYAAKCLNG IRVHGKPLRVNKASADKQKTVDIGAELFINNLDPQVDEKILYDTFSTFGQILRQPNIV RDDNNISKGYGFVSFDSFEASDAALANMNGQYLLSKAISVDYAYKKDGKGERHGDEAE RRLAAEGKKHNIVPEQQVLPPAFHMNAPIAATTPVTPVAAVPPMIDPSMTAIPPPVGM VPPAVPPLGPGGMPPVPVAAYGGPLPTGPAAMGGRGGPPPIPYGGGMPPAMPSGGGGR MSNLPPPPSGLPARPPPSQAGFGGPVPFHPPPGFAPPPPVGPGQQMYPPPVGFNGPPP PQGFMPPPGGAPPPGFGGPPPNGYPRRG QC762_210900 MADYDRRGGGGGGRGGGGGGYNRKRRYRDDNEDDNHYRGNNSRR RYDAPPHVRLRKQLIAIAENPMIERHDEISQIANLFTDNWDDDVLLRGNFTDLVLQLC VEQPLKTPFLAGVLMVVNTNKPEAMDLLLEKVARLIEDKLREGGWRDVKLYLKLVGCL QSVLEGEGVFGLLGELFERAVGLQTASSEDTIGTEIVKIILLTLPYVMAAGSPEQVQQ EWQQKAADLLENTAIIASEPHPLQAVIEPYHPEAGEENPAQSQSLISLLQAQLQAEAA QGWALSCLPRPWQFPVGEVETMAKLASAKKHVLPNIVIPKTVVRGLRPLFPEVYISVY GQQEVESVPPPTSLASSLIRDALLDTINVLHFNRNATARQLIEVDCYFAPRTFALRGT PFDRLRDIEKPKSTWKPEDVAVDAVFTQLFLLPNPEHKLVYYHSVLTEACKLAPAAIA PSLGRAIRYLYRNAPRMDLELAYRFMDWFSHHLSNFGFTWKWTEWVDDVELSGLHPRK AFIVGSIDKEIRLSFAQRIKNTLPEPYQKLIGPEKEKDVPDFKFANDDTPFAAEGREI AALLKRKAPDEEIDAVIQRIQSQAIDRDLDALVASTDVFVTCVLYVGSKSLSHVLAAI ERTKDRLADAGAASDASRTQIIEAVMAYWSVHPGVALSIVEKLLNYSILTPLTVINWA LNVQAGKTRGEALAWAHMYELVFNTVIKVTGRVRQLVVKASQPDEMVDDETRDNEVRN MRELFRAIEDSLGAWAGGTKDEMLESNARGEEDGLVRRWGTRWLRVFKRRQAIEEAFL VEAVRERERREEVRKEWEVVERQRREERERKEAEEKERNGANGAEEEKKKENGVEGEV DIME QC762_210910 MASNRPAAFNNLRMGEVIREKVQDGITGETRDLTYTQCKIVGNG SFGVVFQTKLSPSNEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVQLKAFYYSNGERK DEVYLNLVQEFVPETVYRASRFFNKMKTTMPILEVKLYIYQLFRALAYIHSQGICHRD IKPQNLLLDPSTGILKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFRKADQPAIDLISKLLEYTPTERLSAIDAMVQPFFDDLRDPNTRFPDSRHQ TGQLKDLPPLFDFTRHELSIAPHLNHKLVPPHMRSILAAQGLDIDNFVPMNKSEMMAK LD QC762_0043480 MLVQQVEAKHHRSEEGDGTAIEADNEELHHPPLRINVRQAIVFL GLGRREHTPIRLPVTGVPEAISGARCGLEACGLHRLTRHQGLSVSGQPHNAQINPIHQ TSATALLADSLDFGAKATSDDGPERTPHHRTQHHTFRCQFLQPTTGPSHPHAAEDSCR RPSALSNRFTNI QC762_210920 MSSEERLFRFSKPAWLSSVQARNAGVYLAGGLFSLAFYILLDSA VWSASPRNASPLHVNFVDWLPFVFSSLGMLIINSVEKSRLASDSFSYSGSGVAWKARV VLFLGFAALAGGMAGGVTVFVLKFVVPGVGMPALGMGVENVVANALVGVSTVVLWVSQ NMEDEYAYNLAL QC762_210930 MASFGSFFRSMWHDITSYDRHSSFDSPQRTGRHVPLHGRNGLTS IATASDSRNDISSPYHDESTRPSTHFDAAYAPNTPGLPMSPSGRPYSPGMRSINTRAS VPPDAFDMQSPTGSIPMQSFQDGQPPAPPIHNSWNRIDAWAEEHYMELWDQLCEGATN NDLNDLEHQLDCSLPQDVRESLMIHDGQERGGNPTGIIFGSMLLDCEEIVQEWENWVK VNQEFLLEATFPRPAVPSKILGGSSHASTSAASSSSAPVSPQNGNWRQELLARQDSVP AGAIQKVYAHPAWIPLVRDWGGNNLAVDLAPGPKGTWGQVILFGRDYDTKYVVAKSWA HLVATVADDLGSGRWFIDDDSGELKLREFKSARVEPGYFDILRWRCDQKYGRTANKRR SMAPPRGATSAPTSPYASAADSNAEPRGRSLQRLSQISPMPSPIRPGVGKASPLARVA EEVPLTSATNSIKVPEKLVEVDTPRHSGEENKESAPRLAALAANGEVSPPPEVTITEP SQTNGTSSAPGSKRSSKQATVVEVEETTLKTIEI QC762_210940 MAQNSNVARFPIDVIRLTAATTQAPVKRPREFTCFSYDKNHNLR LDDSSIKWYYPPQPPALPANLSAGFDKFDKYDSQDEHLDSLLTAIAHHEQQTNQPIDA KFVTWRGMMTKFLIAPYPYDDDDGFEMNATLFQDCIFIEENWVFAAEKKAKQNSGWKG PISQEEMTFWGYKFETLATIPRPWAETSREVIENRGDEVVSNKEQYCSVVRSEIGGKV LCFGGEVDAIWDMKPKEPGAKINWVELKTSKVIQSPRDKFQFNKKLMKFWAQSFLLGV PKIVVGWRDKKGMLVSCEEYETKEIDERVRRERDGGQWPWMPWVCVNFASEVLDWIQQ VIKADPQQSGVWRIRFDAKSKVVELFKVEETGHGRILTDEFVNWRTRLASSNQPQDG QC762_210950 MANRYGQSYRNGGNYGGGGGGGGGGGYGNLSRQDEEYDPYGEGY GSDRFAPPPPRQAVNQSAAPNSNSDSVQSRSRQPLPPSLRNGPPPRSAQRNERAMQRS APETNAEREMGRVLELIKQEWPAMLENDCIPVQLALQLLDTSSVGRAHEYRNFRQTHQ YLQDSLKKIVHEYHQGFNSSIGTFHKIQGSIQASQKKVRSLKESLATSKTALCATDPE LKKLHATSRMYDDVLQTLNELDDLRTVPDQLEARISEKRFLTAVEVLQNALRKLTKPE LDGIGALSDLRSYLANQETALMEILVEELHEHLYLKSPYCQERWQNLTKHHGAMNEAF QDTSNLAPFHIIFESIDWDRSVTEDPQKNPEADTFYYITLLVESLNRLGRLETAVDML KQRLPVELFAVVNETINDIDQKHPSSLRGGSNGSNGLHIYGQRETQMRADVIYDLLWT LYGKFEAIAEGHRVFHESIKSLIRREGAGNNIALLGSFKELWNLYQNEIRSLLHNYVT TDADVYQFRSSPRPGGGLNGHMDAREHLFKFSEADAKSAEMTAEYEALDNIIQNAVPG LTSNSGKNSKKSTLVVPRSDAAATRKSLGAGYGREQSSGTYKSLVEPSVFNMSLLLPP TLVFLQRLKSIVPPGSDLATSTLTSFLDNFLVNVFQPQLDETLGKLSDTVFGEADAFL QDSEWAHLAKRPIFKGTTAFFTVITAFCRMLGTIPHDQALSSLIITQMLRYYDRCFNW YKALVTKTQEEASTSEELRASAVMALEPSEIHDTVMSLWKAETSGATEEFEELLRKEA GLLIAKANEQKLEASDIIQDRDTISSLCLLYTSMKWLTVKVMGLRHITKNENDTSTKP SAATLPKPEKKRWTLLNDPNKATAEEGPVYLPMTQETVQSFDSILTSYEELASIALRT LHMEVRCRIVHSLSLALSPTITAPYTLDQIVTEPDQEILSLNAEMVAYDETTTRYLRE REVLFIRRGLGLLINAYLIKIAPVVSHPMNLNGCGRMKLNVRVLQQNLKNIEEGVDLG RAMGYFELFEKGPDAIVERAKQDAGRQQGGGSSEEGGKKGEGYSYDELKALLELCYSE QLADRERGVAAQAKRQLGERLLGLSEYMWQQ QC762_210960 MALSEHLPAPANWSRWPQHHPTSGDYAMMEHNVMPYESRQATAA PPQRPPLASQYFSSTPFSLAPITNGVPAPQYQPPVTYSGYHSYTPSPVLGSPFRANTY PEQQTRVMPVESGLARGSVTPHAGHSPVQENQSPSVKAERQLSITAEVTMPCKTIEAN LTVAGQQPHEFHTPLDTLMKAVQAKAEKPAECKTETSGTEAQGRGLSSSSQSQASTRT RRSVAGSGGPLKRYACGIAGCSKMFSQKTHLDTHRRAHTGESPYQCTLCGKDFTQPGN LKAHWRRHMGEKPFSCTLCDKRFPQRGNLQAHMKSHDKTRPFICLLDNCNKAFSVRGN LKSHQNKFHEDTIKRLTTRFATITDWSTASYEDKELFEYLSNLYKNSNKGIKGRGKRR NVAVVISQHHQGHLSTPVSPTNATHHHHPHQSPMHLHHTGLPQLQLCMPQPRHDGLPY HGISSPAAYNMSSRPASLMVNTSGRRPHSGYGMYDTDESSVSSSGPVTPNPHMYGDDH GRDLAFNDRMPY QC762_210970 MPRPGRPGRSRRSESVDHDSVHYVKESAALTSVELGPDEGEWPC FVLSDAIIYRKDGKTLANPLMVHSEGPLVIRGLLEIDEETKKCVKIPVVKGVHLEITD STKYSISDEPFALWVSGTSGWFEIQPSRKYLPMYREVAEAANLYYRVFVAHDDHHKLV KLCKKSGRKQPPPLSLDQIFLHYAVKIGSGIVRDEVEALCDKWAEFFISHFKKENQLD WKPTQFAQWLISKHPASITFYELEKRVEDAAKGLPIPVPPPPQLEDSEDEVLRMRRKS KAVSQGQDVDMVEVAPTRSQKLPSRPISQSQSRPVESPVPLPEKYLNIGKPTSATPQP LPQAPAQSASPAAQAVPDSPVDRLLGILDEVVQDSPDLHARAPSTIFNMVYFKAKIKD YHGSREILSYYAKDLFPRLDPNIWAGTPFHTWLEATSRNWDGQLLHVREEEIPSQMYR RGRMGPRAPRAPQQPSAPTTSNRNVVSGKRSTLRPGPSSKKRPASDLYEDEDEYDSRG GKRSFTQSFEGDNLDHDDDDEDSDDSVETEVNSQLATPDDPASLLPLPAGASRIVIQA ERLPTLSPSGPNGSWVCPNEECGYVVRSADEPGAQEIIEEHFRAHEYNTQKIDLALTE GRRGHLPIDNLLAKIREKGKESLKKKRGVLGGKDNKPDEKAQVFRDRVKTRLLV QC762_210980 MPLFRPTPLLKMATSTGGKILEGVFGINKPIGISSAQVIRDCQN FFDPSARFAPALEQVREQRDKESKYQRNRRRRFPNKVQTKIGHGGTLDPLAGGVLILG VGKGTKSLQQFLLCTKTYETVVVFGASTDTYDRLGKIIKKGDYSNVTRDAVQKGLEPF RGKYRQMPPLYSSLKMNGKPLYEYAREGKPIPREIETREVEVSELELVEWYEPGTHKH YWPDEEAGQAEKDVAQSVWRVAKAQEDGGEESAAKMAAEEESKALEEFNSKKRAAEEA VDGLVSDETRASKRAKNEEGNPEDTLMSGALEVKKEKLTQPPKGRGSDLVPVRPDDMP APWEGKGPPAAKIRMTVTSGFYVRSLCHDLGEKLGCGAMMAELVRTRQGQFTLGGANC LEYDDLLRGEEVWGPQVESMLDKWNARPGEIQEPTVENGGIKVESETVPAREEEPVAA SG QC762_210990 MTATEVMTPTTPETTGTRPRTATGPADIDSDGTDDTTPSTSTAA TTSRSCPTCGTPLSLPSLPAYQQTQAALLSAQKQITELQSQIKLLNAKASAAVDRWAD YEDELTKLRAQLSSESRSSTPSSPSAASSSSPTTSSSSPSPQKQPQQHQQQKQTFLQE GSPLPTPPSSVTSALPSPSRTSFLPAAATRISALLSLRKSTSNLKPLPSIPVSPRSPI PSVPPSATLYNLPSLATPPESGQMTTGDLLTALGREQARRVEVEKRLNQTSREVEELS VSLFEQANEMVASERRARAELEKRVGELVGREGERRRRLEVLERGVERIGRVRGVLGE GEA QC762_211000 MTTSNPTLAIPGQLLGSTSRYQPGPGTHIHNHNVHASLMGTVHI AQPAKAPGPVKRLTKITPAPAPAELPTISVTVPTRSAGSDHAGQKKREVLPEVGNVVL CRVIRITPRQAVVAILVCGDTVLDAEWQGLIRVQDVRATEKDRVKIYESFRPGDIVRA EVISLGDQANYYLSTARNELGVILATSEAGNAMHPVSWKEYRDPETGLTELRKVAKPY QC762_211010 MAPKSSSPAKKATACACSQKSCCSSLTTFFKWFAILFAVLAPIF YVLDQNVDKFYVFNLPQLDAVTKAAINAHPGDTKAMVKYIVDELKADVTVSRYVNTDE QWVFNNAGGAMGAMYIIHASFTEYLIIFGTAIGTEGHTGRHTADDYFHILTGTQTAYV PGEYEPEVYPPGTIHHLRRGDVKQYRMPESCFALEYARGWIPPMLFFGFADGLFSTLD FPTLWRTTYLTAEQMLGNLVKGKF QC762_211020 MTVELHSDLAYRPAPAPREIRINTHPQTEIERRHKHSRSSYSDG SPLMSRNNSLTFRPAKRFLTGPDKTIAVINAAGRQAASFIRVATAVGYHVRAQMRNLE GVIATEVATNPNVTVLLGELYTKEKSKPGEPAQIDVSKNGPISGIGVNHNLIKELFRG TQLAFINTTFYGDENLIGEALADAAKAAGIQHYVYSSMPDHNTYNPDWPSLPLWSSKH QVEEYVRKIGLPATFVYTGIYNNNFTSLPYPLFCTALQKDGSFVWQAPFHPDAKLPWL DAEHDVGPAVLQIFKDGVKKWGGGKRIALAYEMLTPREACRHFAKGVGRPVRYVQGPI EVTVPIPEGYRCQLEALETLFTLGGDDPKKQPPYFGDLELENDCPKTALELWEGPRGL EEYAREVFPLEEHANGLTWMLEEEDEGETEPENNGNGNDYGVKGGSNHQPEEGHANGD HNHHQQQNGSVPRNGEYHHHQHQNGDRHDSEDEDDDDEDEGLVMRGPKREDEGWLA QC762_211030 MSSRAPQAPQRGYSTGSGSSNIHVNPKPTNPPRNLHHLLPKGAA ASSDRQQPCKNCLKKAREDLCTYAPKPEKARPERSMAARLKRLESMVRGMMHTNNPNI RGPIPALTSSSSATGQYQTDEHDDETEESPDERAAAVPVGQVVLGKSGKGSATYVGAT HFMAMLEDIEDLKSYFDDDDDGEADTGEASLDPDAAAGFGSPDDAHSPGLLLLSHTVP TCKQDLVDMLPPQPVVERLTRRYFDAASPTHHCVHQPTFAKDCAVFWQDPNKASLQWL ALLFVIIAQGTSFSMFTAPRELAGDSDVPPMERFRRYRAAALWALVAGKFSSPGPSTI QPFLLYIESEFLINRTSQMTCYLLISVCIRLMLRMGLHRDPDKLPNIPPFDGEMRRRL WHVACQFDHLVSFHMGLPSMVYSIESDTAPPRNLFDDDLDKDCHQLPPARPDTEYTML TYPIWKSSICQVFGLVARQANSLTRPTYAEIQELDMRLDEIWSQVPPFMKRIVPPQDY DGEPISPVLVNQQFGLISLYNKSRCVLHRRYLIEPVPKPEHAYSRRICLEAAISLLDH QNLMHLATLPGGGLRATGWYISALAIHDFLLAAMVVYLVLQHGPESGRHDHQESSCWP SLDPALSAFSNEEQLQKMLERSHQIWETISEADGVFRKATDMLATMLKKIELKKQQNK GKQLAPDQHHPEHQPYVRDEDSWLNTSQSHEPLSVGSLSVTGEQQHHMMEDVQQPLTR QDLMPASSIDTRWLDLGSMGMDQMGWDTFDSAIRGENNVHQQTVEDWIPEGPLLDDVQ LMTTMGFHGSPFDWREQEEGYEC QC762_211040 MGVAKKVREFRMKRVIGKNDDRRKTEAEKKKLEIKKKEKELVRE VPQAPSSMFFEFNTSLVPPYQIIVDTNFLSRSIQAKLPLLESAMDALYASVNIIITDC VMAELEKLGPKYRMALMIARDERWTRLTCDHKGTYADDCIVDRVQKNRIYIVATNDRD LKRRLRKIPGVPILGVQKGKYAIERLPGAPAT QC762_211050 MRTSSPLSLRRALLLTSFFAAGVYAQQNNNDDEDEAQTSQTQNT QTTPTNNRPSTSSANAQTSQTSSPTNAPPPLSSSTQSEETLPSLPQPTADLTLTDLPT LSSRPDLSIPTYPPPAIPPTHNAPFMNHSTLPDGTVFIAVGAILAAFGLAVLLWRGIL VCLLHRSVERAAMAQHAANDKSAAFFPAPPAQFYKYLERESNASLPVAGANSGTNSGI GTRRTHRGPTPSATPSQTNLFFSPTAGHGAAMGGGGAGAGSSNRDSRFLPSGFYASAS PNPGAVGGGGGGHQHGNSISLSSLRPASRGRGQMMGPSPPESPSIDPRMGGGFSSSSL NLNRPPSAGARAPSAYLDDLLDDQPGLFPPAGGQPGQQGGGYNGGQQRGRF QC762_211060 MAGSPPSPSRSPPPPKVEEEHIIPPPDPLARVYSRSSLESSEKI KEEKQTAARQLRRGDDDDDSIEAVGTIAGGTGNGSDDDHENNKERNELNRTKSYATSV NSAALTNPPLPVQKPWYKQPNPLRWGKIPPIPEERVVSPEHKAGFFSKLVFHWMGPLM TTGYKRPLQPTDIYKVNPDRSVEPLTERMKESFEKRVKRGDKYPLLWAMHETFAWEFW LGGMCQLLATILQVMAPFTLRYLIQFAQDAWLADRVPDFPEPNLAAGIGLVVGVTGMQ VLSSFCINHFIYRGMVIGGMARASLISLIYEKSMVVSGRAKAGGVGLPDIPAAVAAKK QGEKDKRGGKGGQDAGANGEGWGNGRIINIMSVDTYRVDQACGLFHMIWTAPLSCLIT LALLLVNITYSALAGFALLVVGMPILTRAIRSLFRRRKDINKITDQRVSLTQEILQSV RFVKFFGWEGSFLQRLGDFRNREISAIQVLLSIRNAIMAISISLPIFASMLAFITYSL TNHNLAPAEIFSSLALFNGLRMPLNLLPMVIGQVTDAWSSISRIQEFVLAEEREEEAK FDPEIENAVEMHDASFTWERTPTQDSEGTVGTNIKSKSKPTPGDASEDASTLVEEREP FKLQDLNFEVGRNELVAVIGTVGSGKTSLLAALAGDMRKTSGEVVLGASRAFCPQYAW IQNTTVKENILFGKEMDKGWYSDVIKACALQPDLDMLPNNDLTEIGERGITISGGQKQ RLNIARAIYFNADIVLMDDPLSAVDAHVGRHIFDNAICGLLKDKCRILATHQLWVLNR CDRIIWMEAGKIQAVDTFKNLMENSEGFRTLMETTAVEEKKEDGAAATVPGDSGQKKK KKGKALMQAEERAVASVPWSVYTSYIKASGTMFNLYIVLFLLIISQGANIVTSLWLSW WTADKWSLSTGQYIGVYAGLGAVQALLMFAFMVSLSIFGTTASKVMLQNAITRVLRAP MSFFDTTPLGRITNRFSRDVDVMDNNLTDAMRMYFFSIGSIISVFCLIIAFFYYFVIA LVPLFILFLFATSYYRASAREVKRLESILRSNVFAKFGEGLSGVASIRAYGLKERFIV DLRQAIDDMDSAYFLTYSNQRWLSIRLDQIGNLLVFTTGILVVTSRFSVPPSIGGLVL SYILGIAGMIQFTVRQLAEVENGMNAVERLLYYGTELDEEAPLKTIELPKEWPQNGEI VFDDVHMRYREGLPLVLQGLSMHIKGGERIGIVGRTGAGKSSIMSTLFRLVEISSGKI TIDGVDISTVGLHDLRSRLAIIPQDPTLFRGTVRSNLDPFGEHSDLELWGALRQADLV SDTPSPSPSPSPSSPEASANRGENNSSSSKIHLDSTVEEDGLNFSLGQRQLMALARAL VRGSQIIVCDEATSSVDMETDDKIQATMAKGFKGKTLLCIAHRLRTIIGYDRIVVMDK GRIAEIGTPRGLWEVEGGIFRGMCERSGIRGEDILGSGQQ QC762_211070 MSFLQWMRQALQQLNQQPCDQQDDEYCNEEYYVEGGYDEELERE AGNVGYGYRDFISSDDDDSVMAKPARRATRAQTRAQAAAAAAASAAQNTGTAGQQQQQ QQQTATVSDEESEAVYESLSSSPSHSGSEEEVDQSPAQPVVVSSPNTDVSPDNSGGGR GKTPSAASGGRKTPTPSVVGSVTGPKTPPPQPPPPPGRKTPEPPKTPPGRTPGGNTPR QSTPGRGGAGGLVTPVTLGGARKKNVVSVAGSNLGPGGDVAAAALPGVGVGGGVNAFT PTGGVVAAAAAAAAAGGRSTGAAPTAESDLYWTGYVAHSARRAATEPDGLDPPADVME MDTSDESDASFDGGGDGGGGPGGDDGARKKVWAEEGMRKAVRESELPAVRYDKNGNVI PDLEREKNRRRLGLGEVFNDNKGFYKAVKELMQMGVFGQKETEAVVRAWREGLGLGTV GKDRKEREQWKKEWEGMKNVKWDNLNGKEYGSMKKKEWKLKGQRKGMSKLFRDKVVFG EFGTQVETAHTWWGRYGKVPTRLVREFRGTGAGCRLQGLTWEGRLTKTDFEIFLRKWL EWRYGRFMEDRGASRRKRRAQERVERREKKMLREDWQFAFLSF QC762_211080 MATTSMDYENANGDRFDDEAPRYERDRSASPRRDDGHESRRRSM SPNGNDRAPVKSDNNSQKGEDGAVNPGSNLFVTGIHPRLEEAEVTRLFEKYGEVEKCQ IMKDPHTGESRGFGFVKMVTSEMAEAAIDGLRGEVIEGRTLSIEKARRARPRTPTPGK YFGPPKREDGRGRFDDRRRGGGYGGGYGGGGYRGGDDSYRGGSHRGYRGDDRAYDRGA PDRGYDRGYGGGGGGGRDYRDDRGYGRDYRDDRGYDRRERDDNYGGRGGIDRYAGRDD RGYGGGRGGGDDRRGGPSYDRGDRADRGYDRPSERDSRPRDAAPPAAAGYGDAAPRGD SRDPYGAR QC762_211090 MRLFNLFLLAAGGLASASTLTPNTVPLIVRNPYLSTWLYHARDA PWENWPMFWTGAHVGFSVMASASGKVYPLLGRPQDSLSTKRHKIAYPEYLGVTFDAST TNLSYSIPAAGGESSVLVTLSFLSPITPSSTMRQAIPAGYMTVFVAGCDDLDLYTDVN GEWVTGNRDNTLRWEMFESPPGEEKATKNGTKTGIKTWKVRREREELLTEFGDRAEWG TLHFSAPGGENGVRYQSGTSALLRGLFAERGELRDEVDGEFRRVMEDEPVFAFSKGFK LADGGKGKEKCEKREESVRFTWALVQDPVVQFASARGLTMMRPLWQSFFTDADELVGW HFDDFETAANLAGEYSDALARDAYESGSREYQDIAALSARQVLGATQFSGTPEDPILF LKEISSNGNFQTVDVIFPAFPFFLYTNPRWLAYLLEPLLEHQLSGQYPNDYSMHDLGA HFPNATGHPDGRDEYMPVEECGNMLIMGLALANALRYDTDPAFVRPKGAEGMRTAPGA KRWWESVDEYGIDLPRGEAKLGSTPKAAEKWLSRSYKLWKQWTGYLVRESLIPHNQLC TDDFAGWLANQTNLALKGIIGIKAMSEIADIVGEKEDAKFYRETAGEYIEKWQEYGIS RDGTHAKLAYTWYGSWTTIYNLYADSLLCFHVSDKNKSSVTGGRKSGKQPRMESQLPL GQQAYGPKKDGNVFIPDKVYQMQSDWYHAVLQKYGLPLDSRHLYTKSDWEFFAAAVTG RKTRTEILTSVARWVNETETDRPFTDLYDTEGNGGFPGIWFMARPVVGGHFAFLALER ACGGKAVEALKFLDKREPGEVDVELVLMRDLSTGEQYDDEGWEDL QC762_211100 MVQTRRSLAAGEIVVASPQPDSVPSVKQRGKPPAKKLALSTESS ASPTPQAYSSASEYSTPLTSNVATPVPTEAPLTVPGKRIEVVLTTRRARARPSKVPED DPYSVAPKRKRNVIEDSEEELSDYSPDAELARRLQKEEDAAAANFAIPSLQTKAKTRS RRAGLTKGKTEAMFTSPRARAPVRRYGKKNEEVSLPQTSKTRVGVKRPAIVSDSEDDD DDENDDDFEEVDSDDSLPLIKTRAARPPTGPIRNHDLNVAEGESEISEDDFDGTADDV SDDDGSNIASEVGSTAGAPTNRSNSAIRTAMANRRAYRSYANSRRVRNDRRRLEKNHP ELTTMWEDLKNMPILKAGKAVQPTSISRQLKPFQLEGLAWMQAMEKTEWKGGLLGDEM GLGKTIQAVSLIMSDFPQPKPTLVLVPPVALMQWMTEIESYTDGTLKTLVYHGTNAKS KNIKMKDIKKYHVIIMSYNSLESVFRKQEKGFKRQGGLVKEKSVIHQTEFHRIILDEA HSIKTRTTMTAKACFALKVTYRWCLSGTPLQNRIGEFFSLIRFLNVRPFACYLCKHCP CKTMEWSMDEDSRCTECKHGGMQHVSVFNQELLNPIQKYGNSGEGAEAFKKLRVLTDR IMLRRLKKDHTDSMELPVKEINVERQFFGEAENDFANSIMTNGQRKFDTYVATGVLLN NYANIFGLIMQMRQVADHPDLLLKKHSEGGQNVIVCAICDETAEDAIRSRCKHDFCRT CVKSYLNSAEEPNCPQCHIPLSIDLEQPEIEQDETMVKKSSIINRIKMENWTSSSKIE LLVHELHKLRSDNASHKSIIFSQFTTMLQLIEWRLRRAGITTVMLDGSMTPAQRQASI HHFMTNPDVECFLVSLKAGGVALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQTRP CTITRLCIEDSVESRMVLLQEKKTNMINSTINSDDAAMDSLSPEDLQFLFRGS QC762_211110 MSRQTRTVGRRIMGPQSALTDFLASHNISANQIRLDAEARRRAA AQDNQEGQADAAQQQLLNENGDFDTQSPESDEDEPVVASTGRVTRGRSGAQATSEVER RKKEKEKHAIDKIKASKKFQKRKRDPDASDDDDDDIVRALFNERAKPLPGQMENCAIC NTRFTVTPYSRNAPDGGLVCSPCGKELAKDDPAPKKKTKRASGGPVGARRQTQSRILD GTYHVGGKSLMSLCIQTLAKNIDLAEDLGDLPPKIVDKIARKLSKHRLLNPTTLSLFL KPSNQGVLVYDGAKLSADDFYRIFHSVPELKKLKVRNAIHFKDEVVEYLVDRHIVLED LYLHGCNLISEGKWIEYLQKKGQPLRSLRVYWTDKHFTDAVLAVIPTSCPNLTRLKVC HNQAVTGEGLNHIAKIATLRHLSLDLREAIHPDVYVKLLTAIGPQLETLCLTRVPELD NTVLDALHMHCRNLKKLRITDSELMTDAGFGRLFTSTWSNPGLVFLDLQKCRQLESTK PRENPDGIGLCDEGFKALMAHSGKTLQNLNVHGCRHISCKAFEEVFTADKTYENMHKM EISFCEEVTDFVVGCIFRSCPNLRELNVFGCMKVKDVRVPKGKILVGVPNARGMVIEG ED QC762_211120 MASYAPSASSSDISTPRSTSPSSSSVASARSSHSSISTSKRMSI SSSRRISAANPMSSVDIAAIEEAMRMANLDTLRGYQQKTYGEVKQFAETQYLSQNQAL GYQVINEPMWNKGLSFTPEQRIAKNLTGLIPHVMEDSGKQCERALKMIRTRQTNIDRY LYLSSLKYQNADLFYRLLIDNAKELMPLVYTPTIGDVCLQYSTLYTRPEALYISIKQR KSIKTILKNWPYPNPEICVVTDGSRILGLGDLGVNGVGIPIGKLALYTAAAGIHPDKT LPIVLDCGTANETNLKDPLYLGLRSKRPSVAEQQEFMDEFMTAAAEVYPEMVVQFEDF ESEKAFNYLDRYRNKYKCFNDDIQGTGAVVLGGYIGAVNLSGVPLEEQRLVFMGAGSA GVGVAKQLVEYYTKRGLSEQAAKDKFWLVDTKGLVTKDRGDKLAEHKKYFARGDNNGL QFKSLEEVIEYVKPTALVGLTATFGVFTESVVRALKASVDAGGLGRRPILFPLSNPLT KAECTFEQAVTWTDGTVIFASGSPFSQFTIKLGSDQAITYYPNQGNNVYVFPGIGLGA ILAKATRVTDNMIYTSAAALAGSLNAEEVHKGLIYPRIERVRDASVIVAREVMKAARR DGVSALPEEQWLTWEEWGDPALDKYIKEHIYDPAL QC762_0043700 MTTHHSHHNHHHQNNNNNNNNNHHHHHHHPPSSSDDNPPSLTIE PPLLTWEQLLAHFTQEVHRLSSDLHSSHRRLEASFQSQLSVIKRDLSRQLTREIGGVH SHLLLSTQAPKFSYFRKLPVEVRMKVWEFALWGCPRVLEVRAGYLPRGGQVVGRVRGY HGVFEGGTQGEEGRVGRDWRPRVGGGHQGVGGNGGSNPLPGPVVTTNLLPPPPLAGTC HESRVVCLRHGGLVKTKVVTMDGQAYVTAHTWFSPGVDWLKMPSVGPVFAATIVGSVG QGELPPPLDIQQLAEQVLIRKPQDRAELERQVRRFQIGGLWERLKTVGVVVTCDVVRV RMGGWEWGLGMGPGRELFPAGEGPVRFVDLQSPSSTLSIASLFPPGSPPHQVWTAHHY SLVSKNPFCSPLLNNTTFRLEGLETLWLIYRSKLFPLTFALSEIIDSEDLLFYDGEVT RQENGWVREELKRMPEIKLLHAFIMDEFNEEGGGGGYNGEKGVEVRRLYGGNFGGRLG TLGAV QC762_211130 MEMAEDGNVAALLATKLATPIAQLGPDLPDQPSRTVRGEVGITW PYNSVTETFAFLLAEPDVRLRRARGQVRIELHGPSARILSKCGLGAGDELLFSLEEVT WTKDTSPGGIPGSRVEWQLQFDKKFVLQIKSGETNQLRHLNIDHPELETEASPRPPAP LATAPRLSTPEPEEPPEHVSTIRKFFEVAENEYASPALIKRQRLSYGALFEDGFDPFE EDGGVKGKGRKRTRFGRDSSAWRYTSQSPSPEPQSPAEESMDEDVTEKTSPQPSPQKE MLDEGVQTVDIEMTEPTDEAVQTEPVQTEPVQPESTRVEAAQTEPMETEPVRTKPVQT PPVRTESAMDVLSQAALAHAQQAQKPPASEEHPRFAQAQQEKRSPVAQEQPALTMPEL PPATPQHDQASGKPTESRSGPPAANANTDMPKLDAAQKPATDTFASSSLFGTPKTPGF SMFGTGPPARIQSPSSIADQVRFGFSHIPHTAPPPDAHDQHFHGTTGFDKNEAYPDSY LDDAPSGVHINNYFASADTQDLPIQQPPMAENFDSNQWTVTTESPRYNQTEGGHFGDD ALEEGTRMPPGDAALHAAHVTPNALPDGFASYGNGGLQGQFADGGLATQETRGYGEGD DSLEEDAVGVDDEEDQDAVQDEYAYGEPIEEGDYDQRNYVEPSDDDEGLSEQEEEVHQ EIVDRYGDAGVLDESEFKGFNDLSEDQEEDDEEEYDDEEEGDEYDDYEEAPAAKPVYT GRVLPQANPKGPMVISLLSDSEDDDVPMPAPKAPPAAEPTQETRKSPGIGSDQVDPGL SEEVEEAEEAKASEAAKDFEEDEEGSEEEDRELEEDVYDSEEEMPDAEEEVSEVGGAG VEYESASEDEVGDLKVEEASVGDEDEDEDEAEDHTAEEASDEDEEPEEETSGRGGEAP QPRDGASARDEASDDEHEATALKGEVSKPKEAANEAEDEAPAVAGGQTHVVDFAVHQA DGHDEQARAPADEHADKEDSFVMSAPEDTDKVSGSESELEIEPPKVAAVSESEDKASE AEPVVASPEKAAASESEDKESEAEEPAATATNEAEEVEPKVDQSDAAAKSEHERPDVT AAESAGQFDVDNESEHEHDADYIPSEDENDEIDMADDVPPGDFDRELEDFYDDMVLEQ LEQEQANQRMASESADDKMSLASQVEADDDQMDVEDDHEKASTAEPMSVVDDDVDVVM LDAGTPHFESPMEAETPRAEVESSMIITEVVEEVVEETVIMEVTTEILVEDAAMEQEA TEEAQEEVLEDTQQQEGTDAVEEVHLEPVKEAEGEVPVPVVDEEKPAVVSLEPVEGAT APANNEAMGKEVPASPPLTNSFASQKKLSPFAQGFQEAMRETELPPTPLQSMVQAEPE DHDAEEEQETQQADAGVVLEQPGNNSASSSDLSFNAGESDAEEVASVPSAPVRKAAAT RASKRNKNKKKAVRGKSAQLELSEPPTQTRAVCKSAEEEITVQTPSSQEYMSPQPEMA ASSSSSPDVSVKLAQQSVAARRSKRGQPQPQEPQPTRISPRLARRRSNSLQSSNQDAE EEPKGSFNLPSNRDLSVLLARGALASPSKGDVSVGLAKDALNSPSKTKSASPLVDDNN TSTAALKADLTKRLRQEFPDCINLTSLKYHVDEHPVVAAIVTSEPTTPVRAKKGPREY VMSFHITDPSIAPGSVVEVQLYRPHKESLPVVRVGDAVLLQRLQVKSISKKGFGLRSG EESSWAVFDADEGAPQIKGAPVEGWEGYRGYMTGLRGWWRSLDSGARGRVERADRKMR EAK QC762_211140 MGFETVLGQAPFDIHRIAIIGAGPSGLAAAKYLVAQKAFEKIDI YEQQSEVGGVWKYSAKPAENRRVPQVNPECPPDPPLEPGDGNDDKGPVFPSPMYKLLH TNIPRGLMPFTDFPFSDDLLIFPSRDDVQDYLVQYSQDLRHLISFSTEVKDVRLRTDA KGKDQWDVDVLSLRTGELTTATYDAVVVASGHYSIIYIPDMKGISEFNSTHPDIISHS KYYRTPEPFRNKKVIVVGNAASGLDIASQISQVSQQPLLLSVRTPTPEANLEWTGAEE VPEIEEFLVADRAVRFKEGRVEKDIDAIVFATGYLYSFPFLTSLQPPLVTDGRRVRGL YKHLFHIEHPTLVFPGLPIKVVPFPVSQSQAATFSRVWANLLPLPSVDDMKRWEDEEA EKKGSKYHVWPVGADSEYINSVYDWITEAGIPGKEPPRWDEEQCWQRTIHMKAKLRFE LEGRKAKTLKELGFDYEPEQKDDSGPLLL QC762_211150 MASLSYRDDSRQGAGGLSDDDDKPLPLDFTHHYSDTTKSRNASK IKAIYKFFQIPGILNIAGGLPNAQFFPYDTLEAQAAKPERWSPSSGSSNPSAPNHITI PHDDSTQKDLLQKIDLATALQYGMAQGYPPLLGWIRQFTRDHLHPGVPYKGGPEVVLT CGSTDGFAKALNLFVTPWRTTDPISSRPGLLCETYVYTNITNQATPLGVQMVPVKTDE SGMAVSGPGGLEDVLANWDSSKGKRPHLMYTVTLGHNPTGILLSVERKKEIYAICSKY DVIIIEDEPYWYLQFPEASSLEAESRGLPPPPRSSPSTVTTPSSGFPFLDSLTPSFTP LDTDGRVVRLDTFSKTVAPGCRLGWITAQPALIERFERITEATTQQPSGFVQALISKL LISPPHPSPTPSFSLFSHRPPPNHQAGNRPAS QC762_0043750 MCTILDSGSVLITTPPTRSHSWAVVKKVKLYDFSWPRAGMFIWL RMHFFSHPLFPVFKSALSTALMVWLTGGDYKVLVTTGAIFAANDEIKEREGWEYFRLC FAAEEEENVDLAAERFVEGVKAFWEVRDHEVIKKLLEEVKSDDEVVGEQEGLVNLAGF MGC QC762_211160 MGKGKWIDKKTATHFTLVYRPQNDPLIHDDTAPSMVLAPSAPSK NSKSKGLSDLASELGSDAASIRDNEGEAANYGVYYDDTEYDYMQHLRDLGTGAGEAVF VEAPSLQKSKGKQKMNLSEALAKMDLEHKSEGLLDEEILPSKNLQRLTYQAQQDVPDS IAGFQPDMDPRLREVLEALEDEAYVDEEGGEDVLKGLVEGDAEELDELRDVWEEDEDE DEGWESDCTVKAGPAQKKQQRSQEEDQVPELVDTSREGDQEGPSDDWMGEFKKFKDDQ KSGAAAPKKKAVGWGATPSEIQSSIWTTTTNGGRQKKRKGALTNPSTYSMTSSSIYRT EQLNILDRRFEKLEEEYNYDEDDLASVSAVSTMSTVQGTTRQDFDSMLDEFLGEYDVR GKNRVKKGKWKNGVAEFDEIRKALGPPIIPAKYRTGGRGEEKKAEEKKP QC762_211170 MVLAKSKKSVGLGNSLMNDRFGKGKGSDRKRASAVTRIDHATGQ EYITNDRQEASWVKMRSITEQGALDEFLATAELAGTDFTAEKMSSVKIIHTDQKNPYL LSAAEERVIVGKQNQNKGKLTVPRRPKWDSTTTREQLDRLEKEAFLDWRRGLAELEET KDLLMTPFERNLEVWRQLWRVIERSDVVVQIVDARNPLMFRSEDLENYVKEVDPKKHN LLLINKADMMTYRQRKMWADYLKGQKIAYRFFSAHLAKEALEAVEEESESEEEPTVAS SSKKPVAEVKEETREEEEEEAEEAETQEEQEDEDTRILTVDELEDILLELEPANTDPG HKFTVGLVGYPNVGKSSTINALVGANKVSVSSTPGKTKHFQTIHLSEKVLLCDCPGLV FPNFANTKAELVCNGVLPIDQLREYTGPSTLVATRIPKPFLEAIYGIQIYTRPLEEGG NGIPTGEELLRAYARHRGFMTQGLGQPDASRAARYVLKDYVAGKLLYCNPPPDSVDGA EFNRELYDIAHLPEKRRAALQQALDEMTIDGSAADDASVLTDFIPLPQGQKSQTLDKA FFKSGANNTGNFTRPFQYKYTEQGKQVMEGKNLSGRKLRAMIALEKGIDPKDVQMTTG KKHYKGSMKGRGKHRRNNADDDEP QC762_211180 MASVRALSRPTRGVSLSQISRQTCSRRWASSAAAEAPLEDLEDS ALGAPPLSEEEKKTFRPWKRQADRKFALPSGRYNYHAPKYDRGPLHPVQPLPSSEPTA RDFVPGPFNLPRLRQTYLSTVASDIMTLTYNHIPPGTPKKETPQRLREWDDSSPYHAN RSLRAPRGAPVLPLLERDVNFTNIPEIKEITLAAYVPAALQDMDNLLVARAALLAITG VLPEMTKTRTNVQQWKIRAGEFAGCKVTVRGNEAYEFFDRCVNLVFPRIKDWRGIEAT TGDSSGNLAWGFTPEELKLFPEMEVNLGMYPPKMVPGCRVYVKTTATSDRQARLLLQA MGLPFFGEVKN QC762_211190 MDKNLTNLLKWGIEHSTVSNPSADPSAPSPPPSQPAPRSDLNPE ILSALMGGPSDADLMKAAMEVLHDPTTTLENKLIAFDNFEQLIESLDNANNLSNLSLW TPLLALLSHSEPEIRKYAAWCVGTAVQNNIKSQERLLAMGGLPRLVKMILAEDEQEGV RRKAVYALSSAVRNYQPALDVCHEELVKGGHHEAEQKVGDATDMDGVDRVMEGLKERV KITSNKA QC762_211200 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFSLPHAIARVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTAAQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGLSDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF QC762_211210 MFSLRPLLRHQPCHLLTRYHPLPPTTTTTTTTTAVAIPAPFHTT PPNQNKKTISRKQMPSRPKPPPESEIEESFLKGSGPGGQKINKTNSAVQIKHLPTNIV IKCQATRSRSQNRKIARDILAERLDELYNGSQSRVAIVGSVKKKRADSAAKKSRRKHR KLAEEKARAAGLTLPETKEVQEGEEVDEEEFEWEVVDEEYHDESNEIETPGTKSETVK VDGNGHDLKEPPVDRQAVIQNKQDNP QC762_211220 MTTMNNYRPPTAEEKLTMSPIDRLSKWLELKIYQLEVTMSVYMF TPMEKFIFYSILFLLTSLTLIATVLYLPHHIAFIVGRAWFYMHGEVPVDAVEKVTSST LGKVAEVTAGVVKEL QC762_211230 MADTETIRADSSYLAAGANRYSAASDWSAEGVVAFGSDANVCLW NPQNPRGISSILSQHTGHVKAVKFLPRLEGDDKTILISGGDDKVVRAWSVSPRDATAS YLQAVEGHAEPINCIAALGVTPAGAPTKRIFATGAADATVRIWAHDSAANEEPFKLLQ SVKTTKKFIPLALALSPLDDEANGIVLAVVGTTNAVQIFTAGASDLEFTLQATLPGHE NWVRSLDFIKEKTEAGSDLLLASASQDKYVRLWRLHQGTALSALNNATGLDLSVSLTP GNKIHKISAGGTKYCIMFEALLLGHEDWIYTARWSRTADGKLLLLSASADNSLSIWES DPESGIWITGARLGEVSREKGATTATGSIGGFWTGLWSPAGTTVITLGRTGSWRRWDY NPSDDAWQQTYAISGHTRAVTGLSWSQDGTYLLSTSSDQTTRLHAQWTGNGTDSSSSG SWHEMSRPQIHGYDLNCIATLGPTSSFVSGADEKLMRVFTEPRAVAKMLSCLTNSKID MSSAPDAADMPVLGLSNKAIDNTSSERQPESQEEEEGGLSQSNFTIPAALEAPTTHPP FEENLSRHTLWPEVEKLYGHGYEISCLCSSHDGKIIASACKASSLNHAVIRLFETDKW TELRPPLQAHSLTVARVRFSGDDELLLSVGRDRQWVVWGRSGTGYELVQQMQKGHSRM ILDCAWGPAEEKKRVFATAGRDKLVRFWVGDNKEFGLGGEMKEAEPVTAVDFLPEVTT EGKMVLAVGTEAGRVMVVTLGVAEEGKVEVVKKAVLDKGLWLPGTVVQLAWRPKTAEQ DEKKRELAIAGEDGSLRIYEVEV QC762_211240 MAPEPETMPISVDFLGGLDTLFSNTTSHTISLPLLNPSDSSPAN VGFLISYLVKHHLKHPRTEFFVQGDGHLTPGILVLINEADWELEGEEECELKAGDKVV FVSTMHGG QC762_211250 MSLSPPPGDRLDQLLDDLETASKIPAKRRQTSIKPTVEKTTSLL YDRGALPDDLIRLVDLLTQHNHLDQASLSAITRNLYPLGKVSDEVLLRIVGALGHGHL KPSFPLQSLFLKWLVMVYHLLQNPTILSQTYAVLFNLLDSAAIRPQLCHLLALITRRK HVRPFRIQTILALSRQTGGDPNLTGLLRVFKNYYPEIIVGDITKGRAAAFKHPDVQWR ERLNEIQQQHFDRHEDDGTRNGFAVSHALGRRLKGARALVPNVHTLHAHETSVTLEEF DSAEGFVNSLEKIEMPSQLVAVLADPLLQKFLLLRPSQEASQRISNWLMACVGDVTSG DTDPSFLLDMVEVIRDYVLSIKELSPVLMEFFQSFLQTWNGLDKRDIVLETLSYLPLL DFTELSPLFTLLQSKLLNNTPQSQLALLTFYTLLLNNWTTRLLSRPTSSLPSQPVTSI PSLLTHVNPLCLTLSQSSPSISTSLAILDFYTATGRIYSSPILLLHIPIAIPPPLLVY NLAFSPSLTVLSRLCSILTVYKIAWETVITKKTSPQQQQRKPSQEEWSQINIFNGFLM DICNNLWRGRAFALAPTSDSGSPDANARGCRIPKSLVPVLDSYLRDLDDDLKLETVFG LSYNPVLCLQSIKFVRGLEEKEMKKGGLLVRHAGPVTQQSLVRLAGRGGVRLSWQEYR AGVLGWLEGEEVGQGGLPGLMYNTMKNLMGTRRGTEGGGGSSFSSLA QC762_211260 MSPPEPSTTDLDPTSPVPMDLSKHRVTTVMQDSHSYLPLSKPLK DGDLLLLLTPGIIPDLGSSKMNSETPLTSDPFESLGKALAKHHPWVRHVPYLPRYGIT GTHVVHIRLATAIIFVLSGPPVHGQPSQVALAEITRSICENRPHVIVACCDARELGPI EKSFPAIVQVPSLDPSDLEAAADVIFGETKKRPPSTGPNLQNLVLAPKAWSVEVWNGP RDMDASYELWCRCFPDNFHLSRFLFQALLRRDGWAMHYVVREPGTSQLLGFCATYTTY AGAEEERLVGSVAALLVRPAYRKRGIGLSLHNHALRQLTKTRGVCRLQLGSTFPRLLY GLPMDSPVEDWFGRRNWPVLTQLPGPGTGQEACDWLLKFADWPIPTVLPPGPVFRQCE FHEFDMVLALVGSESRRRDNVGWYDQYAKLANSMNIRDIVVGLDEAGTMVAAALTYVK NTGSPVAEDLPWTNMIGDDVGGVTCVCIADSGRRGAVMVRLLDSCIQLLAEQGMTQLF MDAVKDGDEGFPSMGFNKWARYRDVWRDI QC762_211270 MLRRLASLGHSASEDQLPMSPSPGDDQPPERITGGLAGVLKGLA GGSRLTKSPPPSLQSLGSIAAAQAQPSPSTKHADDSPLPTALRGLAPDQVEQYVKLKN RNGHLNERIAAATSLRYAIRDFPLNPVLDIWYAGKDLINENYPENARQIGWELLTECA KYTAASELERREYFETLTAPANRADFHLQLAALEDLTTKGRNITGFPYDIFPLLISWL HQTYQIVRKARRRALRGNGGSSKGKSAAAGEDKNFSQLFVLLRDVIKFNFKFARDPVV GDLMSELLNICRKTASEDDLIACINVIEALVTFGTIPNGKLKDCIKVLGTIHQQLPHL QKQSWHTISIICRSHHGQSTVRILLDILRTYAAPDDKEKEQELNREIRGALSVLKKLL HKSAEKGYPPVSLALLVDGLANVARSSNTKIAADTLQLINSLFDDARNNISPMVRDEH WSSIFEVAAQCGTQAVPFTAPDSESLLSPRQVPEAEDPVTRQLRRLITRIENLLTQTS DLLQRDECMQFLTQVYLALPDSAAGLVIAYFKDHRSCFPSDVEWKKNLDIIMDGFFLA QHRDPLTRLHALEIIIEVYDFLSLTQDLMEEDALGDLVRRLLSGMSEETNTLVLQDTV TFLVKVSQTADGSLFDDIIGGLREVVAKEMSRSPLALLASPKSSSFPPGQTVYFANQS LSNVVTRGYVQIFIRTLNTDGSKASKAFAALVHIARSNSCEVDARLTAMKMLFRLRAD AEYQIYITTQVENESLAGLLYRTEASLARKLAEDAAHPSRLPRAEPATRPSRGISFTQ GQAGEKGLPARQTHTPRPVVHQNQRLWSVPDADALPESLPERPSWLLISYRDENDAIG AELGSTGRAVLNMGAWLEAMISLFHNGCDWEVYSFVLAHLPAQLSNHPIFRGAIPHIH ELRRFFCEMIKTGNFQEPPLSSGLRRSDVSNCLFHSLAMILSYHEHFQKMDEDDMVRT FTHGISDKTAKTCIHALQVCCHELPLSVSKALVTILQKMSQVITQPLVAVHILEFLAC LSRLPSLYSNFREDEYRIIFGICARYLQSVRDKKQHAARPSHSSEPSTPSIVVAHHPE TLGHQAANDDLPQYVYALAYHVITFWFLAVKLPDRPSHINWIAKNLFVDSDGRATNEE QAQVTLDFMQRVAFSDACDSAQDPLFTEEFYGEIQKRRWIIGNSIVTIRQAKETGWAE ITRRYPSGTSSFAIRVEFSPMPNQPAADLSDASAWEGRFQHGITIFPSHLLMQLLAPM PQMYDPAIRPIPLPDDDNVNRAIRIFDRNSTVDGHKVGVIYVGEGQTKETEILANTIG SPEYLEFLKGLGVLTKLKGATFNTQGLDREYDTDGQYTYCWRDRVTEIVYHVTTQMPT NLERDPQCNHKKKHIGNDYVSIVWNESGLPFKFDTFPSQFNYVYIVITPAPRRTFSSM REMAQKRQDGEAQQVSPFFKVQVMNRPGFPEISPVAEPKLVSLKALPRFVRLIALNAS VFSLVWSQREGGEHVSSWRNRLQEINRLRERHGPKSAHYGHSAGSTGLATATATAGGL PLINSSAAVSGTQSGLGGASVGAVGPGGMLSDYSIGGGGGPSGINSAGSRPASGNIRD SFSSLRRSSVATFFTSNTAATGLSGGTSGAGTGTGTGSGNDGSHRSSMLSTAASSTMM TDHGVHHHGQGGNGDTEALVDAVDFSKWT QC762_211280 MATDLVQIAQLLNLTLDAKQHRKAEAELKILSEQPNYSLSLLTL VHDATKPTQTRLAAALAFKNFIRHNWVNEDGSHKLAANEVETIKKEIVGMMIEAPSQI QAQLGEAISTIADSDFWERWDTLTQDLVSRLSPTSFKQTNGVLEVAHSIFGRWRPLFS SNDLNREVLHVVGVFGDPFTQMLGIADQQIGANSGHEAELRGWLTTMSLLVKIFYDLS CQDLPPVIESNLQSITVLLHKYLSYTNPIFDGEEDDPTPLENLKSDICEVLQLYTNKY DDDFNPYVQKFTQDVWNVLSSVGPEKRYDILTCQALKFLTAVASVSRHAQIFSDEATL GTIVEKVIIPNVSLRESDVEMFEDEPIEFIRHDLEGSDTDSRRRASTDFLQKLLGNFE MLVTQVVFKYINHFLEQGKTDWKAKDTAVYLFLAIAAKGAVTASHGVKTVNSHINVVE FFTQHIAGDLVGGDSHPIAKVDAIKYLYNFRSQLDKAQWAAAMQPLIQNLGSDNYVVY TYAAIAVERVLYLTDDSGQHIFPRADIQPHAKELLEHLFSLVEKDASPAKLQENEFLM RCIMRVLIVLKEGAAECGINNILTHLNGITNIIKQNPSNPRFYYYHFEAMGALVRYCS SLPQVDLISRLWEPFALILTEDVSEFIPFVFQIFSLLLELNPTAQIPGDFKALLELVL TPTLWDTRGNTPPLSRFLAAIIPKAAQAIVLENKLEAVLLIFQRLLASKKTSQNAFDI IDSVVTTFPAEVLEPFFTNVVGLVFDSVQKHPSDSHKLRVARFYHLVSAKPGLGADFF IKHADAVQANVFTPFYLQVIRPTTALFAKPVDRKLAVVSYTKTLCESEAFATRYAKGW GFTCTNLLDLLKNPPRVAAGLGDELLVENDVDDIGFGIGFTPLNTCKRGPTDAYPEIT EIEKWVGQYVKEANAKMNGQITRFAQERLAPEAQQFFAPYLA QC762_211290 MGFFSRIKKNKSLDGGGGGAAHYDPRFSNDQTTTPRSNSYDPRD YQLSGSGFRAMVTGNSPRLIAQLPEGVLRRIFGLVCPHARDESYETFEGSAMMIVGGE EERCMLCDMRDLAHCVGVCRRWRGEGVKVLYHSIRLDPVHYCPLEPILSDRRKRRSFF DRNGSPEDPCLMRLKLLCRTLREDPVRRGALVRYLKMPYMLREAAQADLARTIAVTPG LRYVDLPEGLFTDEPGFVTLRLEVQARCLELRKMSYMRGSENSLQALATGRVWTRLEV LELNRIGMDGGMLRLVLGGLGGLKALKMSEMDVGDEVFSSGWEEQLPPFPGTIEELVL TDCKNITGDGLRSWLSTSPESRERLRVLTLNNTGVRVWGLHSIISLARGLKHLSIVER VTVAMPSSPDLQPLRSTTLETLRYEITSATPTKKYGSPPHNSSSITSSYYTYLATSLL SGGLPQLRSAYVRDTTFPDLLLGLPPLPLPSFSSSPARPGSSSSITPFSSSPSRPANF GGLPPLQPGSSLPNSNPFSPGHRPQGSLSSLSPFGQNQQQTNRFSSNNPFASLTTAHN AFLNLPAKLEVFTKSEEDDALNWNFVRIGGGGGGGGRQNKGERPLSSYGLGADILGDA GGWSSGAGARRSVLVGGRGEGGGFLAVPTDVPRGRQEEEQQQEEWPRPRTRDGEGRRD RLDLWR QC762_211300 MADDGREPREVRNHLLFEIATEVAHRVGGIYSVIKSKAPVTTAE YGDRYTLIGPLNHQSAAVEVEAIEPTNPELAATIQSMRDRGIGILYGRWLIEGAPRVL LFDTKTAYHYLNEWKTDLWNVASIPSPDNDEETNEAVVFGYLVAWFLGEFVCHEKKKA VIAHFHEWLAGVALPLCKRRRIDVTTIFTTHATLLGRYLCAGSVDFYNNLQYFDVDAE AGKRGIYHRYCIERASAHSCDVFTTVSHITAYESEHLLKRKPDGVLPNGLNVTKFSAM HEFQNLHQQSKEKIHDFVRGHFYGHYDFDPENTLYFFTAGRYEFRNKGVDMFIESLAR LNHRLKSSGSKTTVVAFIIMPAQTTSLTVEALKGQAVIKSLRDTVDTIERNIGRRIFE RSLKWHDGEPMPDDKEILTSQDRVLLRRRLFAMKRHGLPPIVTHNMVNDSEDPILNQI RRVQLFNHPSDRVKIIFHPEFLNSANPVLPLDYDDFVRGTHLGVFASYYEPWGYTPAE CTVMGVPSITTNLSGFGCYMEELIENSSDYGIYIVDRRNKGVDDSVNQLTSSMFDFTQ KSRRQRINQRNRTERLSDLLDWKRMGMEYVKARQLALRRAYPTSFNGDEEEDYIPGPE QKISRPFSVPGSPRDRTGMMTPGDFASLQEGREGLSTEDYVAWKLPEEEDPDEYPFPL TLRTKQPSGAATPPHVNGN QC762_211310 MGKNRNRNKNGGGGNNQGGNNPHQGNNSHQGNSSHHGSNSHQGN NSDRGNNHHNVNNNNHNNKQNSGRNGKWNNKWNRNHGNNQNGNGNNNQNGNNSNTGSQ NGNRNNNSNQNGNWNNSNNQTGHWKKRRQQYWQLIAGAEHVGQSQVLSMFLCHSIRIG LLAQSYATVCHATGGYKSTDEFITKHRAQIHDCELFCVQGRFQNPSITPHSLADKIEQ FLEQHAAFIYEQWILHDHLTHYPGFRMEDFLAYIRGFSTYSWEPVINAEGVQGNDTDE DVVMVDDGSSHTIYLAQLCKFAPLPYLTVRFGLVNPDVPGHSLM QC762_211320 MDHQHQHQHKHQQSSAQRRRRNFGPPPNGSHRPLERQQIHPRVE HDLGMTTSRRPSEPAAAAAVNRSSAPARERDRERERDRKQQHQQHQHQHRHPPSPLPN PNLPPPPPPPPPPPPRGRSPQSSKSEYPHRRRDRSRSTDYRPSRSSRPSQRSPHSRRD RSRERDRDRGRDREPARSRDRHPPADRHRSRERSSRSRKDARDRQGSRSRRRASRSPA SVKRPRSPSPSSIGGSQPKKSRRDRSPGRAEKDAPKSLPPKPPRRDPSPASRARRSRS PEGRDHRRPKTSKRAGRSRSPSASRRRRSRSREAETAASQSTRRRSRSRQRSPRAEHR RRSGSRSRPRSPAARGARRPPSVDTGSHRKPSFDLNSTRTPHGALPPGSPRDSRAANP TKSEAPTDESNKTSGKFDPSSGSNSIEVSMGTRGNYRGGFNPQQQNYPPRGGYGQSSG QGTPASSFHGSPPAQSPYAGSGRGWNGAQQFSPPGSVRRPKNAVLANSHGNSQYSQPY PHNNYGPPTGPQNHYHSNQTQTPPYAPTGPASQYPAGSYRGGHRGGPGGFRGGAFGGG RGAPRGGFKSAWNSSHPDGRTSDPSHTSNHQSPEAHDKSEAKDVLMQDADNPFRPSKE LQVEDASKEDKSKDDKMAPPTSRAPPTGPQSQTPNKFSFSMKTASKPVVAVPRPEISI KFNAPAAPREPAAASNPLQRAPPTKPERDRERERDRDRDRDRERDRDRDRDRDRDRDR DRDRDRDRDRDRSGYPRNAPTEPASARSRPNDHRRPHDLPSRQPDPTPKTRKVKKMMK RLKEKPKLPEDLARSKSVFFRRPGNESVVGSGTYGKVFKGVNVYTKKLVALKRIRMEG ERDGFPVTAVREIKLLCSLKHTNVVELQEVMVESNECFMVFEYLSHDLTGLLNHPNYT LEPAHKKHLARQLFEGLDYLHTRGVLHRDIKAANILVSNEGVLKLADFGLARFYAKHH QLDYTNRVITIWYRSPELLLGETQYGPAVDIWSAACVLVEIFTKRAIFPGDGSEINQL DKIHSVLGTPTRSEWPDIVEMPWFELLRPTVRMASQFEERYKGVVTPMAYELLRSMFR YDPKKRPSAGEVLRHGYFTEEEPEARQAVELRDVQGDWHEFESKALRREKEKKEREEK DRKERERKGVATAKGEKEKGERKRPIEGGGDAAQREAKRPHVEGGSGSGSGSKAR QC762_211330 MDVYNNRADGPPGGSDSSELSPQSSSSSNPEEAVDLNSAITTTT TTTTTTTTTTTKSKRRRSFPLGFVTGVLFSSSSDPSSFVDGARAEGRPVGSSGKNSTT ATALRRLSNRFPSAVESGGSEQGSLTTTTTGPVVVRTYSGGGGDQRRRSRRGRVLSSG VATTGSSNLDVTTTTTQATLSSEETTAATMPPKQHQSGSASSKPRSGFLLGGWTWGGR EQRRQEEPKLPPLEAFSFRSFVQDAGQQQQGDISADLDRIAEICARSRYSLSNQYEVH VAPHGSGAGFVTEQQQQQQQQQPRSRRGKKSVAEGRLETIMSCSRSCSSEGGDGMRQQ QKGAGEVVEGVRGRKGKGGRESSKRLERKRSASFANAIMEGEGGLVKGEVVAPRVSGS DIGVRTCSSTTATEGVWQQQQPRYYEELPHEGHQSHYTYYNQNPRSSSGNLFGSWVPW QTPASSSTPQGRRNAEGRLRELLKAPSEGRR QC762_211340 MAGFDGTAFLALFLGFFFPPLGVALLTGCGTDVCINLCLLIFGV IPAYLHLWYLVYVYLDNKKLLKPIDGGRPFVFCDKLQRISTRNRSTASLPTPRTSTKE VQPHRSNPAVQEKPAQEQKPASEQTSTPSAISTPVSTSGPSTKPAQKTTTQAAASEPT PAMSPLPLLDKNDGASPQAKA QC762_211350 MATPQQTPPFRTDRYVVIHVATTCDEHGVYVTKDSAEVIELGWI LLDANSIDLHELHRESVLVKPVNTPITPLCSEFPPFSSRRCSIAISAALINFALLTVC LLASLTTLTWEHVRNAGTFRDAINRFDAFASEHLLSNDLDFVFVTLEAWDLRVQLPRE ARDKSVVLPPYLQHSRTFELRTEYQRWQQHHPESLPFGPSSLANICAALEVEPVQNSA PIKHNLPFHLQALAPASPRRAMDEAVTLTRVLRGLIKKSQPAHEHPGVLSQPMDARTD VRAFLSERSKILHMSGLPHDTTQSELESWFTQFGGRPIAFWTLRTPEQSKPTGSGFAV FSSHEEAAESLCMNGRALNEKAIEVSPSSSRVLDKAANILMPFPPSKNRPRPGDWTCP SCGFSNFQRRTACFRCSFPAVSTGPTGEMGYGYGYGPPAMMGPPPHHIGHHGHGGGHG GGRMGGSGVVPFRAGDWKCGNEVCGYHNFAKNQNCLRCGAGRATAAVVADSGYPSPMD AGSSYNMGHGSIGSAPGPGSFAGPGGFGSGAGYGQHFAGPQSTYALPSGIGGGAAPYP PLNTHFGPAPGSHSAGPFDSRAVETAFQSAGNGPASAGPGNNFYGQNENDPFAFLSSG MNNLSVSNQDARQNGGSAPPNKSPA QC762_211360 MRTDYDSQPSSSSSSSSYRAGWASNGSAFRRNKSNTETGNGNNN NNNNNNEDMYSSLHLRGGVPPDYFGHDREEVTRILIQALDDLGYADAAQIVSQQSGYE VESPNVAAFRAAVLEGRWDNAESLLWGPGGGGLGGLILAPGADRNSMRFRLRQQKFLE LLEQGERNKALAVLRRELTPLCKDQPHIISTLSKYLMCQDQEDLRRKAEWDGAGGDSR RKLLRKLSESISPSVMLPEQRLAVLLNDLKNNQIEKCLYHTTTNPPSLYSDHRCEHSQ FPTEVMVELDKQQGEVWQIRFSPNGERLATCGSAKGICIWNTQTFNHVRVINTHDAEV SNIAWSPDSTMLLSCSMDGQAKVWNTQTGELVVALEKFGEPVSSAGWLPDGRTFITGS LDKAKSLCEWDLSGSLLYNWTRRHRTEDLVVSANGEWLVAMDDRRGVHIYHIPRREYE VDLILESRLTSVSLSQDSKWLLVNTVDDEALLYDFGTKEVFQKYKGHTGGEVIIRAGF GGAGENLVISGSEDGNVFVWHRTTGQLVHKKMAHSPRVNSACWNPRDAGMYATCGDEG RVKIWTSPERARQWAAARAQSVPGQRSTTNGTSGGGPSRTGAANGWGLSGNGSGGAGG GPGGDGGNDEEDEEEEEGEEGEGEEEEEVGAHELA QC762_211370 MEGYLSVPPDRGTIIGRALWKPRYLVVGGPQRDRTAGIMRAPSN RSSAPRELFRVPSEGIYLSIYKSKDDQEPSQQYSINSITDCQVQMMAHRKQGPVLPTL VINIIPDPIADKLRKRRSSRTAGFTSNKDTGPAQLLFRTLDEQQLQQQQQQQQQQPRH NLQDWSRFIQQLIQPNMPPGRAPLSPVTPASPTFVNPFTRPRELSDSQRPGSGNAGPR PGFTRGHTTHSGRDRPVTFSDSPSLRSKRSDLSSATSSIQTHHMAFQNYTTMLPADLP SPATTVGEYQGEFIEGWTSAQGRSSALSSPVRERGSVSSGPTPLAPIMDAGTSPPGPR ETILDRAFQMRRIPGSEREVPGEEKLTSLARFDALMRDMDDKRRQREAEDARRKAETA AAASASVSALDEQTGLKSAWDLDDDSSEYDDDDDDDSDGAFDEADLDGDRFSSHHSSA QRALNYISGRPDLRDRPHPPPRTHSIKTPLSYNHEALMALSSSSGVRPQTGYSGNRTR PGMSQRTHSQPQLATIIASSPSTALAEDSLSNLGPGSPPPLQRSQTEKRQSNSSAKRL SFSEFTKRLSSTSSLLLVQTNTSNTSSRGSNGEAADPPMPQSPPQSLHHLHPRAAPPA PLPPQSPTSMSERDRCGWRGSVGVFGAGEGGFL QC762_0043970 MSVPEAEQSIAQVEDEDLMALADIQYDSATEADVNDPDGGVDWE HYVNNLDMYRSGPGQSWCLQRVPVHPDWAEEQRKEEEYTRERERIKSKLDEIKPDLWR DWTEGALGEHCRLRAELERLEAEWQGREQSPRDEQQAEWEELEKSMIHGRMERLRREL CGEDGATEEHERLVGELMRLEEGWRHEWDEERELGGDVELQRQQSTTTTTQGQPSTSQ SQQSSKQQTSTQGQQSTSQSQPTPPPTTTTTQDQQQPRIQLQHPPHGTLLPNMETIRR QRWPSPTNDFWESPRVRKARDWYSPW QC762_0043980 MSAIDLEDNDWVDDVQDLDFFDDDDDDWDLDDLIANPQNEAQRI YFDNVVAREERTEEEIEALGIRPDVPSIVLHMTRWEEEARSVVANGRTIPTVSNLSDR VRLVYHGRPKEFASSDEEESEDEAAVLGKNEEEFEATWESQLIEHGLENNNPLLQREY RAIARERFLSLKQSIKTWQKAQRAPKRPKPTIDLLSTLCTTPELLIEVCKHLRPKDLV NLHALHRGFHNTLNHNMRKFVFAWSRHMAPVASRIYSSPVYAHWFIPDPLGRKCTRSD HEISLPRPGQACLGEDDMHVNCDGSKTRLVPGLLWLQHVVQREARVRDILAVLARHGH RTLPETDATLQKIWLALECPTNRMRLTLFCNESFLTDDDLYRFQLFFVKLVLLFNDPV FGPGSTVLARLFMGQRGLSPLWKFLRRKGYTTEAGIHQLKLRYDVPPKNREIWSGEPV MGVQIYDMGVGQFEGWEKGGTEILMRPEELVVMEGGRRGLGAMCGWECLFGMMTYGHV DYETGDNLVPSLEEMYMSDEDEEEKTGLERVAGLHENEIVNGECGNVPFERGMWQPKH ARKVRWKELTDEEREEMIKEEEREMARKERVNKGMDMYRLARRKLEDVYNITAMGFKG KKFKIKVPDPKVDWKEETAEIHRQVLFRMKAAMAAATVVSSDESESPSRATKLPRLED VGVVNDQVVEAAPGNMDDDRDTNMDQDMAESVDKDMDVEMEIIDPDHNMGEDEGYDAE SEEFDDEGWEEFEDDKMEIDSPPFTVVLRPAPPPPPPPPPPPLQSPPPPALADVINHD NHLDTIWSSPPNSDPPNPASFNALPISEQYPILFGPELPSSQNLSPSHGAHAISQATT HLPPEQHLWDPSNITLHQHSPPDANSSSDLDFNDSELETIPIPPEEISTFMSGQYMTP PPPPSNSDSFGTPPRLPPHPHPPQNHPLAIPPQPQPEQPPTPLPRNSTI QC762_211390 MAPTEVVNDVDVNMEEEDEDEKMQQKLINEEYKVWKKNSPFLYS MILSTALEWPTLTTQWFPDVKDVPDKNYTTHRLLLGTHTAEGKPNYLQIADVEVPKPV KPSARDYDEDRGEIGGHGNLGGSGEPHVIKMTITQKIDHPGEVNKARYQPQNPDIIAT LAVDGRVLIFDRTKHSLQPTGTPNPQLECIGHTQEGFGLDWSPDKPGWLATGSEDNTV MVWDLNSYSGTDKKVRPWRKYTHHSHVVNDVQYNPITPSWIGTVSDDVTMQVIDIRTA DSTKAAAVARDGHSDAINAIAWNPKVNYLVATASADKTIGIWDLRNLKAGKIHTLEGH NDAVTSLAWNPIDHAILGSGSYDRRIILWDISLIGDEQTPEEAEDGPPELLFMHGGHT NHLADFSWNKNIPWLVCSAAEDNLLQIWQPTKSIISPPNQEMEMNEMGSADGTSIP QC762_211400 MGVPKFFRWLSERYPAISQLIAENRIPEFDCLYLDMNGIIHNCT HKDSDDVQQARISEEQMFIAIFNYIEHLFGKIKPKTLFFMAIDGVAPRAKMNQQRARR FRTALDAERARDKAIQEGKELPKEAPFDSNCITPGTEFMAKLTQQLKYFINKKVSEDK DWQGPEIVLSGHEVPGEGEHKIMEYIRNARAQPDYNPNVRHCLYGLDADLIMLGLLSH DPHFCLLREEVQFGRVKDQHKVKELEHQNFYLMHLCIVREYLELEFQELKVPGAIPMP FDMEKVIDDFILMAFFVGNDFLPNLPRFHINEGALATMFKIYKEVLRKCDGYINENGR VNLKRLAILLEELGREEYRFFEHENEDAGWLRGKKMLEHDEAEIARARVKGKLIISSD QKTLWKTKIRKFLTNRNSQTLDLGTDLNAADRKFVQDLADAAHIEWATKEDDDGRRHL ILTFPVRDDEDEEDEEAQSASLRIVKKYDSAQVLDLTGADAQSAMEAKYKQKFQEWKD GYYKDKFPEWAEGKHEDELRKLAENYVQGLQWVLFYYYRGIASWPWFYRYHYSPLVSD VVKGLGADLNFTLGQPFRPNEQLMGVLPDRSKSIVPTVYWPLMTDKDSPIIDFYPRDF ELDMNGKKMDWEAVVKIPFIDEKRLLDAMAPKNDLLSDEEKARNDFGVALKFTYSPDV DFEYASSLVDVFPHIPHCHCVENIFDLPPVEGLEYRAGLTDGALLNAEALAGFPSLAT LPYTASLGFHGVNVFKQDSRNESMVVNLIGTEMRTKVESAKVKLGQRCFVGYPFLQEA KIVGVSDELFDYVLDGNGQVATLHHGHREIEEFGKKANKIENFYSKRMGILIGPVESL VRVQMLKGLIKTDEGATIKEYGDIPGMETDYASQIIVDEVANEDERFIEKAALPLEEE FPVKSIGFFLGDFNYGQPLEVSGYTNGKLQVWLATLESREPDFAKRIIYESERGNSYM PSYMVAKQLDLHPLALSKITSSYFVKTVGDLRVNLGLNLKFEGKKQKVLGYSRKSQTG WEFSSAAVHLIVQYMTNFPDFFAGVKRNPSGAELKETDLWSDPNLATARVKEIGAWLK TLKTNSMERVPLDAEQLDSEVVMRIAAEADSLKLSAIPTVPKKMNGVPRNALLRPDDA EHRLGNQHFALGDRVVYVARTGKVPIAFRGTVVGISRTPTAKLLDVVFDVTFMSGTTL GGRCPPFRGQTVPSTTLLNLTGRQVVAGSKNQLARQPVTPSVTTLTTHGGYHMHQGKR LQDAGAPSQAAWGRGGRGGRGGGRGGYGGPSQDGSNGSVSAPPMYGAVPPPSSLDAPR GGRARGRGRSNGGQRGGQQHNNLAFRPAPQQQQQQVDGQNGENSRGGRGGRGGFRGAP YAGRGRGGPRGGARGGQGQGQGQQQQQQQSVAIPPSAN QC762_211410 MADAVVGSPAADEVRPYKIHVVQVPTRHLHLARQKLELTRLPHE GSLPKSTEWWEPKPVIEPLIDYWLEKYNFRDIESNLNANIPQFRTSIALSESASPLRI HFIHARSSSANALPLLVLPPFPLTNLSLSHLINPLTTPSNPTHQAFHVVIPSLPGLGF SDSIPANRSPIDATASIFNTLMTSRLGYRHYLTTNTSPGSSSPSQIDFHLAHAISTTY SDSCLGTHLISPVLSAPKLTSSPIEFAKWSLAWFFRGGVAGYEERDFLGYYSHPSSPP ITEIRDPNTLAYGLCDSPVGMLAFVLRHLRQIASGNGGVVGSTGTFTREELITLTNLA WLPGPEGLLRFWSGTSLHHSSHQLPAKTPTKPKVAITVFASSYSSSTATAAPAATNEK DDVEQLDLSGIGGNQGRAQSTFYPPAWAHGSYSVLHTSRVDSQPPSSLLLFEQPDIIY DGIRALAKEVLKIDKRIIPVAPLTGVVVEPASTATPQPTTATQQPKPEKTIKPVVAEP PILRTIKEENTEDKGKGKDVLKDEGLLSPPTIPAVKRELSDGSGGISSPDTLVESSPS PLGRS QC762_211420 MGASDSKLVFKKGIFRLSEERHIPADDPYWAQFWELPESSDDIF SLFAPADVRRTRDNALENLETLITAVTGRLFMLRHHPSFPDHELAPDREVLNCVRVLT RVLPYIYEKESLQDWEDGFFWAPRRKRSRKSSIASEVLFDGADGVPKTPVGEYEDAKP LAEELIDTVTDLLFFSDLTVTKVPNGKPKVTYSIWQTGVGCTVPIATTKEHESNRCEI LRLLLTLASKSMYLSLATLPQTGTKALTYICTCPDKQVVLSVLCSLLNTTLKYNPATW LAPYNALVPNHPKQLLVTSTLQFLLTTLLYTIPENPGLATPKNHYRHYLGRLHRPSDF QFIVDGMTRVLNQPLQGSYIPGAHAAVRFAPEIIMLFWEVTQCNKRFRSFLIDTGRAY DFVILILFYALEYKNDASKQGVVRMCAFLLQTLSVEKNFGTNLNKSFDAQDTLPPAIR IAGFRGTYADFLIQSIYALITTSQGKLTVIYPALLAIINNIAPYLDGLSAPTCSKIMH LFNSMSSPSFLLANETNHSLLRSLLEAINSIVEHQYIQNAHLVFAILRNKKRFEALRS FTLESGHEEIERRNRRRKDSGASNDPLQADSTRSSLESLRSPVTLSSRAPAPGDVPEE DGTFAIGDDDDDDSDDEERPTPAASSPSENPSRASSVASPVDDDVPRQLRGMSEKARG KMPAGAQAFSRQNSTTSLGSMSQSVSGIFEPSTQWIETWLPELPLHTILTLIQQISAL IPRQAFASDPPLPATLDKIREIQLVGVDQTPARVHSFEWSPLALGWYESLLWGFIFAS EVQVSKGTMGIWNATQIKLFRVQETAPQGPSLTSPRGAVDAVGSNIVSRIGQINLRGG AGGAGAGGQAPTGGTPRGG QC762_211430 MYSSLVRLQNTFGFFTTVAFVVALLISASDFLSPRTPTVNVLKT TQLQTVKGRADYYSSKKEEYAIIKFTLDADLSSLFTWNTKQVFAYVTAEWPSAQNNNA TNQAVIWDTIITAPSSDHLGNFSPSKLKRLRKSADGKGIDPSRGKLQLKNQRLKYPLT HPTGRLANTEDVQLKLHYNVQPWVGFLSWNQAQDWGKWKALKNGLSKKFTLPAIKVKE QAKKKTTRA QC762_211440 MSLTPVRSTPTKQISSPSAFTESPGNWKHPRLAEITARQARTTF SQKNVLQIVYNVVAIAGVQVLRRFLLPHLPIRLEKLPYGSYIPLTLLLIPLFNILLAL LPLVRPKDDLSDIPLTPAQRDLLGLPPIRAPPTPASDISTPPKYSRTPSIAGSPSGSY TSSPLSNRSTPLGNYSPSPTKAANVVSPLLQKATQNTGRRGSFSNSLNSSTMSFASST TSNNSFASSTGSFGDSVRDSYLHTPTPVNGKRSSVALNSKWLYERGRRGSSSSWLRQD GF QC762_211450 MRTAAPSLRLDVSCVRSLFWAKSDGRVKTLNPGPQFSRSMQTGC RGPGSCETWHLQLSVSGRHNRAPPAIAHFQATAPPPCPVRPKTSSSHPKVPDLHPSRP GCIFQTLTNRAPYTTSAGGHNFCLLCITNQHSTHNQSPSPNMGTFDNLLLQLDEGVTG LFKQWNIWTSLIVTLFAGLITYQVSTRQDPDIHPFLLARQSQASPVRQPKESSVYRPQ AAPHGLPLHTGLNVKDPGANKWARGRDGDLRDIWRQTLAGVQEGDDKGAKGRILTVEG TENVKEHHLDALTRQINLIGQHLVDQGGNRVAVYLPNSVELIVILFACAFYNLNAIIL PFNQPDAAVIDMLRRSAADTVITAPGSFPFDVVAKNYPSLRQLVWVVDEGSKHMDWNE VPQGTGSKVNVVTWQDIINDSPVEAGKTLPPLEDQSEPKDITLFWQQGPGQEEEMVKF TTGNIVSAIAAQLTAIPTARRLSPSDLFLPADSLANSHTLVLTLTALFSNSSVAFNSS ASEAPSLSAILSSPAVSPTVIAVTPSALSQTHKEVSSALKSSTIGKDLHWLLSRSLAE SGAFPESGFLTNYYNQAFRPKFSGGKKLRLVYTAERINGGGKVRLSGEELNDLRLYTG ARVVYALTAARVAGAVCQTNVYDYRGGEHFGPPVASVEVVLRDKGGVRNSDEESQGEI VVRGPAVAGKEAGLGVVARVREDHTVALI QC762_0044060 MDRQSVFASRVYNDPFAENEDSNSAIRALLEAFILDFRLDNVYI YRDQLRDHALLKTYFCDVNIGDLIKFNEEIAHKLVTEPAEIIPLFELALQRCTHRIVF PHDPNVKIPPHQLLLHSNAEDISIRNLDSLTISRLVRVPGIVIGASVMSSKATEVHIE CRTCKHAQDLHVSGGFSGVTLPRTCGRARVPNDPTEKCPMDPYFVVHEKSKFVDQQII KLQEAPDQVPVGELPRHVLISADRYLTNRVVPGSRCTVMGIFSIYQSKGSKNTSGAVA IRTPYLRAVGIQTDIDSTAKGQAVFSEEEEQEFLELSRRPDLYNVMTDCIAPSIYGNR DIKKAILCLLMGGSKKILPDGMKLRGDINVLMLGDPGTAKSQLLKFVQQAAPIAIYTS GKGSSAAGLTASVQRDQSTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAME QQTISIAKAGITTILNARTSVLAAANPIFGRYDDMKTPGENIDFQTTILSRFDMIFIV KDEHERGKDERIAKHVMGIHMGGRGMQDERAVESEIPVEKMRRYISYCKSRCAPRLSD AAAEKLSSHFVAIRKQVHASELEANARSSIPITVRQLEAIVRITESLAKLSLSPVATE EHVDEAIRLFLCSTMDAVNQGSNQGSRELNEEVARVESELKKRLAIGWSTSLASLRRE MVENKGYSEAALNRALMMMQRRDTIMFRNQGAMVYRNGA QC762_211470 MFSNQGGRKSVDSLSSTLSAGHRTEFPFHGKGPSSPQRQRRDST ASSIYSVGGSLDASAGWTSAVFESGQNAISTLLQPPIVRTGLLPHTSAPASSAHKPPT ARDIPPVTLTNVPHVDASEFRPYLSQVGALYEQLRRIQAEEDENANASNRRSTKADEA PETPVDEGHLRPARRPGLSSRRTSTASISSLTSIDSPTLPRRPSSGLRTRQAQGPPPL STVPAVYFEEDFHLENPRTFDVVSERSEVVRPAPGDDKGGPNGQAAAPRKALATNAIL QEKLSWYMDTIEWHLIQSISTASTTFFSALGSLRELHSEAADSVERIKALRKELENLD EEIATGGLNIIQQRRRRENLKQLHDAVTQLRKIVDGVAVCESLVDAGNIDEALDNIDG LEKLIAGELPEGGSQSGVSLVDLRGATALQGVSNDLSTLRFRIGKAYEGKFLNTLLTD LQNHVKQVSAQEVLMRWSSASMRARGAHSREPSAFPSYMAATDILRIELLPSLTGLHR AKFITVAATAYREAAMKEIKAIIRRPLPSSSDDDDTTSMMSMQSSSTMNRGASPRTQQ DRSIQLARNLRALDPLDAETLLVKIYIGVTEALRRLSTQMKVLLDVASTIGDAGPSGL KSPPLRSPPMSPPARPPSRAAVEAQEEIHAAVDISNFLGQAIDAAQEKIVKVLRVRSE QSKRLDLVSFLRYFTLNLYFANECEAISGRSGTALKTLVNGHIADFVKLHGDAQTQKL AQGMESDQWNAADFTEKDTDLLNRILECSTRDAAAWTEGTQVWHPHPDAPQERSEANG GGDEPDVVSAQANGGGSPAATRSRTRSAVIESESYLLPNSAILCMTGMENFLQLITSI PSMTTDISSSLIAYLQLFNSRCKQLILGAGATRSAGLRNITTKHLALASQALAFMAAI IPHVREFVRRHCAGSVVTSTMGEFDKVRRDLMEHQNSIYDKLVEIMTGRVGLAGRKVR ALAWDDDDGKGGSVVSEYMEGLAKDTVTLHKNLTRHLPEGTVRYIMMPVFRNYKETLG GAYREVEVGIGGRERMLRDVEFFQSRLGRIDGFGDAGEFLLGVINSKTVVGNASGSSL AVVDKIPSRAGSRAASPAPPPPPPQLGHSLSVNISTSPGIEVEEGKKSSESKEGSSEG GKSSAEEKKSPPLPAEEKE QC762_211480 MRLLGGILGMASLLMQTVTAIDMVITSVKAAAAEIAFGLTKYYT GHLPGDTPGNLPDPYFWWEAGAMFGTLIDYWQLTGDDTYNDITKQAILHQAAPTRDFM PRNQTRTLGNDDQGFWAMTAMTAAELKFPDPGPDQPQYLALAQAVFNQWAQRWEENES SGCGGGLPWQIFRFNRGFNYRNSISNGCFFNIASRLARFTGNVTYAEWAAKIYTWQEE TGLFRDGDVLDGVTVKPEEGNSCDSIDEIQWTYNAGIFIHGSAVMYNFTDGNPIWKRR LDSHLASAERTFFTNSTDGERVMFEQFCEPPGFCDIDQRSFKGYLTRWLARTTQLAPY TFESISPLLTNSAIAAAKACSGSPTEAPPGEQPFRGRPGTACGFSWTKGGYDGMNGVG EQMNALSAVASTLVDRVDVPVTGDTGGTSKGDVNGGADGPGSWMTGKEYKPITTGERV AAGFVTAAMVFGVIGGSAFLIL QC762_211490 MAIVTAEEIFATYDQLGEIEAQFDDVETEIIRHQYNLSKDLYVK RAEIVSKIEHFWPLVIEQAPEDIDAFIQPTDAQILLGSIKSIDVKRFEIDTPVEGGDP RSVSIKFEFEENDYFEDKVLEKKFWWRHGKDGFVGYVSEPVDIKWKDGKDLTNGLLSL AKAAWEEEKAAPKKEGKEKKELTPKQKELKEKIEAEDAGSVSFFCFFGFIGERVSAEE NIEALKKEAEDRKKRQAGEKVEEDEEMKEDEDDEDWEDEEELDIFPDGDTVAMAIADD LWPNALKYFQQAQESDDISDGEDDESDDDESEEDGDKPSKKHKACAHGCKH QC762_211500 MGGVTFMEGNPDRKFPESRVLIIMTGGTICMQPSADGLVPMSGF LELAMAPRPSFNDSTAPSTNITAYTKEGHKLTLSSLRTPTSAYCKHIRYSILEFSPLL DSSSIASAGWTDIALTIQQNYHLFDGFVILHGTDSLAYTASALSFMLSDLGKPVILTG SQASIFALQSDAVDNLLGSLIIAGTFTIPEVCLFFHHTLFRGNRTTKVSASSFEAFDS PNSDPLAKVTSLGVDVNWGLVRRPTRIKEFNVTKTLDTTHVASLRIFPGIWPQLVDSV LRVPDLRGLVLETFGMGNAPGGVDGHLTKAIKQAVERGIVIVNVSQCTNGFVSPLYAP GTALGRAGVVFGGDLTTEAALTKLSYLLALKDRGTVGLEEVKEMMGRSLRGEMTELRV ASFVHPAGVVEEDVVGKITAAESAFTALGYAISNGDLRTVRELLEGDEFNHQLLKKAD YAGNTAVHLAAVGPEPKILREVLERGASVHVRNRANNTPLYLAEKMVASGTTPKSEEC VGLLKEAGGHLWLENEESMANSRRGSVGGSENGNGVNAKPAEEKLTLEVEAPLLGDPE SFLWGGATEKVRQEHDAVWKNAMERVRKEQDSVIERARKELDAKLRQELVMVEAERAR LLKIGDTPNVQGGADDIESTTGKIVN QC762_211510 MDFSHLLRTALPPFVGNMSAEDNNATQNIVETLTPLLGLQFNPF LNLFMIFYDLAGGRLGTLGLNPAYILTSFGLAWAFNKTWVHIYANVLSILGRYFTASV QVNSGDNIYDHLMKFLANRQDMTESRSLTAETWFKPTSEEADEADLFRTKISPDGEGV NLNFSNQESKCPPRFTPAIGLHNFWFRGKYFALSRQQETLHTDNSWGGSQYRDRESLV LSCYGRSPEPIKRLLEHAKQEYYSEHNARTIVKRPASQSMRSYGGRHSWSMVANRPVR PMKTVVLDEKQKVQVLYDMNEYLHPSTPRWYANRGIPLRRGYLFHGPPGTGKTSLSFA LAGVFGLDIYVISLLDPSLTEEDLCALFNSLPRRCVVLLEDIDTAGLARPGDSTPASE DGDDSDEKSKDKPKKKDRDSKDKKSSEWNVADLARELKKQSSSESTDKKGISLSGLLN AIDGVASHEGRVLIMTTNKPETLDEALIRPGRVDLQIAFTNATREQTCELFKRMYDAD RTATTPFQKEDRPQSTATTRLRSRLAFFRSPSKKITRTISAPVVRQLPLNDNSGEKDG NLTDTSVTTTAVDLDSDLCSLPSSASPTKISFAPEEVNKLLAEEIDDLKPITPEELDK IAQGFASKIPEGQFSPAELQGFLLKRKREPRRALREVGVWVEGTLEQKKSKTKVVRVQ QC762_211520 MSLVDSHLEENSQQDIAYTNKQTATQFDEPIFDEYHDMDEEHPD TLAPSPKRVRTNPTNDVPELPKKSSRRVSRILDNHGLKLGGEEGQATAPHDIYLSSEE DASSDADDSSEYDYDSSIEDPDSPTATTRRGSQEVTARVVSVVFAGKPSIVNLSLRKQ RSVSLSSSSVNTSRTRNSTESSESESLKPSTTAPIHPSGDRPITPASSLSARSSNPNR RSSSSLLSDLLTNKRKPPAFLSIDPYANGSHYSLEAVPKPLDSLEGEAVKTPRTPTQI LKGVTRSFSLARKKSRPTLDTSGSSVSHQPRPSTSTKRSSLQHSVSVSDDQLEEHDEE KENISSRIGDKTPQTPITYNDILRAVKKNAIVMSGSQPGLPSDILSPTSPNTERQKRG ILSGLSARRRSVKFTGRV QC762_211530 MASILDQLPVPTLDRPFGIHLWPIFNKAFEKVVGYPADDFRFQP GQTPMSTLKETSIFIVIYYAIIFGGREYMRSREPFKLRTLFLIHNFYLTAISGILLAL FIEQMLPTVVRKGLFFAICDADGGWTQPMVVLYYLNYLTKYLELLDTCFLFLKKKPLT FLHCYHHGATALLCYTQLIGSTAVSWVVICLNLLVHVVMYWYYFQSARGVKIWWKEWI TRLQIIQFVIDLGFVYFASYTYFTSAYWPWMPSAGKCAGEEFAAFSGIGILSSYLFLF ISFYFATYKKDGKRPTGRKAVRRMSQAPLPDPSTIIHGKDVKATGVKTNGSSTRSRKA QC762_0044140 MKLRNTPGTGGTGTGTVGLQTKPPSNLRVLGIRPFRPSKHTARR PADPDCELANGEGFVVVDLAAAWATGQQSSVHPARPAIGPRDQEMWVSVRQRLQWKGG QRGDEGSAVTAIRCSGFQRQSRPDTDKATPRFRGPGSVEVSENIR QC762_211540 MSASLLRIAARGPSSAFFRTVVARPQPIAVRAAVAVPKTFSTSM RMRSEHAEETFEEFSARYEKEFDSVQDVFELQRNLNNAFAYDLVPSPAVLAAALRAAR RVNDFPTAVRVFEGIKAKVENKGQYEQYLAELKPLREELGIELKEDLYPEEAN QC762_211550 MMDGDRTSPRRSSRKRSIIYADPANASRPSKKPKTQPHQQAAQD EEPANTPYLRIYCLGSNDNAELGLGPNHRVGDVRVPTLNPFLSCPSPSEKSLKKGSFP TIKNKVVQLAVGGMHCAALTSTNEILTWGVNDNGALGRDTSSARLEEHLKNLTLHDDN SSTTSSEPDINPLESTPHPVPFPNINPPKWTQITACDSATFLLSTTGQIYGWGCTRSS QGVSLFTPQTAIQRTPLPIPLPEHITKISARGNHILALTKTGQVYTWGLGSEQGQLGR RLPQRGNSLLSCAVTPRKIKLKNIIDIAAGPDHSFAVGENGEVWAWGLDNYAQTGALT SNRGEDGGGLFISTPTRVTNLEGILNGRRIKYLTGGNSHSLCLLDDGSVYSWGRLDSF ATGVDIDTLTAQKGQKWEEDFVVRDGRGRARIVTCPVRILPLGEGENDKIRWVEAGAE HGVAVTVDGRVVTWGFNASCQTGHRGEEEEIKTPRVVGVRKGCLEGVRFKWTGAGGHF TVLGEEVVGL QC762_0044170 MKLSTILGSAAVFLAEADHVTAQHWFSSCSGTFFIVEQRWMVAQ CQTTYNTMRWSKLDLNPCISNFNGNLFKTNGVVPGG QC762_211560 MFPQAILARAAAPCRAAARPSSSIFRAAAAMPLRAKLLPQQQPQ SRMMSSSSFAHFNPCPNDDPEDVLFQSLYGLRTIELNRPSKLNALNGSMIRKIAPRLL EWSKSDMANVIVIKGAGRKGFCAGGDVQQLVEWNRDPTIDGPAKSAAYFAQEYKLNHL IATYNKPYIAFMDGFTMGGGVGLSIHAPFRIATENTVFSMPETTIGFFPDVGASFFLP RMAGEVGTWLALTSGQLKGVNAFYAGVATHYLHSSSLNALESRLAELRFKDYDQMSRR LEIVNDTIEEFVTGLPWEEPMAVAGEVRRAIDRCFGFDTVDEIMQALKEEEKNEVTGE WAMKTLNTLHLRSPTSVHVTLKQMRIGKTWSIAEAFKREHSIATKFMSYPDFNEGVTA KLVEKPRRVPMWQPASLERFAEKKDWKELVGSFFLVDDKGPKFNLLSEETYDKYPFKN FGVPTEEEVEQKVAEGKFKSRAEVLEHFVRERRQKQGVEVVVSEILLRKTKEGKKGLT WVYGEEAPGQQE QC762_211570 MPPSSLHPKVYIRLLYDTVVFLCRLPFWLLHHSLAALQRRHREI TREYTARREVPRCPPRLVTLSASEDETSYHNSASDSEDEPLALIPPSPTHSTAHSPSI PPPPYHSLSSPPQHGPVSPPSPLVADEKPTPPPITPEPTTPTMSSKHFDPNPTHLLLT SLHSQTLTNPSLALDSQNKILYLRPSSKSSSQRVHLVSGGGAGHEPSFSGFVGTGLLS AAVSGTIFASPSAEQIRTCLFSRLPPSSETLVTVMNYTGDVLNFGLAVEKAKAAGKRV EMVIVGDDAAVGRTKGGKVGRRGVAGTVLVVKIAGALAKRGYKLDEVAKVARLVAGNL VSVGASLGRVHVPGRAVNKEEEGEKLGEDEVEIGMGIHNEAGVGREKMELKQLVGKML RMMLDRNDKERGFVNVNSNEVVLLVNNLGGVSVLELGGIVAEVVKQLGEGWNIKPVRV LSGTYMASLNGLGFSVSLLNVVNTDIGGPGMIELLDDECEATGWPAQISKLTWEERNQ ATREEDASNGEEVGESGLRVDAKAAQEVLTRGLEAVVAAEPDVTRYDTIVGDGDCGIG LKRGAEAILRHLSSKPLTGDVVIDLANIIPVVEMEMDGTSGALYAIFLNALVAALRTT SQSEKEASAKVWAAALRQSCEALSKYTPARPGDRTLVDALYPFVNTLEETGDVKKAAE AAVAGAEGTKGMKASLGRTVYIGGSGFEEVPDPGAWGLASFFQGLAGIKKLEEDSNGW EKL QC762_211580 MPEQLQPPLNQTAAENADDDLDTLRVLSRSPHPYHRQSFELLEP SDCLIPSHEPFPSLGKDSTPPSDSGTEADDEHFLKGLPAPKVRLHKGLRGKNEPLSGT STPWLSPAVLEEEGRKTALGINHGEKRVAAERVRRRKEVTRRIAEVLLLGFQGGIVVL NPDAQPYVRIYGKKVLAVIAMLLGLAALYPLRLALWAYRRDTPSKALPIRVPATFDPA PLLYPFITPVLISFLVAQNVKGVVLPNLVLGIAALPRALFPGSRYWESLSSSHWLFSC TPLFLDRLMATTPGSQQGEMSAEVLVLLYPLHQTLCLILHHLTTTSLLVAELQLLSVA LINLLLLATSPQAVILQAVLWGGGIGLMVLSGHVIQWGISLARVPKWRFKRSEIPSKG GFGFGQLKRMLSSPNARRQRSSTGEFTYTFSDSAQSTDRELTTKPTTLRADSFSENEV VPPPTPDAPSSAVKLSFAEPSASQSVPRRRTLPSTGKPGPRSKSSTPSGRRKRSASSS VRAFFSLTHKQATLRKWMYSSYVLTSIILIIFLGIRTYVQYYALDGNEPIGWALGYLF GGLSQFRFQVVQHDLEKWICLPFRLEPSDVQCSSGWIQHLRQFSFGEANTRLILSGYW LTIIAFGLAIVFSLSPVCEVDTRRKVFHFMMVAMFLPTIYIDPTYIALALSLMLAIFL LLDLIRASQLPPLSGPIAKFLTPYVDGRDLRGPVVISHIFLLIGCAIPLWLSLAGLGR EGEGYVRGWEVRERELAMVSGVVCVGLGDAAASLIGRRWGHRKWLWGGGKSIEGSVAF AGAVFLGLGVGGGWLRLGGWETVTTGGGGGDGGGGHESLMGVLDVGRLYREWGREKAP RMGVCSVLASLTEAVLTGGNDNVVVPVVLWGCVRGLEI QC762_0044210 MKRRKHAVKLQPLWQCLSPAASTPPICELVIVDNRGGASPDCQE VERHILLSSLHLDSVGQPRSQPSVKLSSLCVFCPGGRAGEKAL QC762_211585 MSPSSPVGGKLPSYEPVPSVDIDEKAAIQTPKDLEDGQPQPRRK TMTFCRRAMLVLGLTWLVLTGVAMAGPHPRWKMPCHQAEGQQEVADASRDSSFSTLLN AASPKSLHDLLHRYFPEKFQDGVWPSERDAVAAVHQANAALATSIVQLAKRDANSTSS ETSTSVPEPEPTTSTSSSSVPPVETTTTTTTTTPTSTSAITPSSKPSPTPTPEPTPTT QPGTSLTSPTGNTLPPDDPSSSVPRTTVGASSTSMASSSSSSLSSSSSSQVLSSAVDG ETTLTTSTILPDEETSTSTPPPNTSNTRRTSSSIVTTFTQTSNGNVITVTSTTFVYDI PVETTPAGAEEPTVTPSLQNGAPGMQKQQKGSLLAGVIAAMGMFLI QC762_211590 MASEDYRAAVPGTLKLKGVSNNPGAIKKKKKKSKSKPSDLEKNL STGPPADKPTPEPTPDSEKQLQCRSPSQEPPPENGENDDNDPPKTEAERRFLEAKRKR LQELTSSGKLRPELLKTHKQRVEELNSHLSRLSEHHDMPKIGPG QC762_211595 MSEWIGTHGLYSASLLSCDPPEPTFTREWAINFIAADASSSWDA TYTIMQEFTGDANLWSVPESGIPPNFVQTAVKCAGCVEPTVTITCPNVLGTEAAVVVG NGVWATVAPEAEVEAGAAVNGEPGRVAAVSGGDWEGGGAWELVASGEGPDFGLSGSLL GESGDGNGEEAVVEGGGASAAGVVAAPAGVNVPTGTEEKVAFATAEAGSLTLKRGLMW GLALGLVIFF QC762_211600 MFKAYIGGPIYAALGNHDSVPTNLESPHSIDRNGPLGQQFSWHY DHISKLWEHYGWIDNATQTQASLHYGGYSIGHPLGLRIITINTDFWYQANIFAFLHAD NPDYSGIFSFLVEELQKAEDEGQRVWIMGHVPTGWQGQNALPGGSDAFYQIIERYSPH VIANVFFGHTHEGTNNGTLQTAYDALVAAWTGPSLTPLTNLNSGYRLYEVDTGTWEIF EAYTYYADVNTFTTLNGTGPVFQLEYSTREAYSPAADWPEGEPLNATFWHRVTEAMEK DKGLVSLFNTYQGKSSIRSPNCTSDACAAGKICYIRSGSTALGRACPQGFGSVQSPYT GVNF QC762_0044260 MPARRKAKSKPPKARHRKATRDPTPEEEYQVRSILDEKVERGKL LYLIDWEDSPEGQTYDPTWEPAKHVNEVAINEWEAEKKKKKKKKKKKLLAGEGQESRK RSASSLPEAAEDENEEDDARPAKRAKGDDSGYTSPELELGVEVDNIPDKAGRQLVLDI HPPSQVDPNDYQLFTASQLSSQNSSQLDSTSHIDSNEASSGKVLSQRTIPDSQATGES ELSSTISEIVLASQSQGRRVGSLEREEQQALDSQVASEQSQRAECEQQVEEYQQKVGQ SQQEEQQRKEQQKSSREEEPQVSKTLDHDEGTISESLIPSRQPDPLHQSANHNTPQAF RDENNQSQSSSVSGFLTQPDYPHLSNDPFGGVESAVAEAYQGSEVNRDSQVAISQQRR TPAPIENSSIPSVSNAPRADSPQVTSPDISSSSWQSQQAQLVDPFVPLSSHLNQIRTQ SQESSQRVEEFVPETAQKERTLVSNNEHHKTTCQ QC762_0044270 MGPTPPSGKQSDAVADLRSALPDWMLHPEPEPEPQPELEPELAV HQSHNREPSFPVSEEAQSQPPPPPQPQQSSFPVSEEAQLQHTEPAVPMSDMTHLHHPQ PSILSEAGPGPGPSSPSIAVPISSRSLPRRDAVEELREAIGLHDGSLFEAPQSHYPEE ALTLSSTELPVIGSHHQHNTEMEYENLPTTVAPSDLTTSLDLSHGVGEGPAMSNPLFS VHDVQPLGTAEVDSDHDAAHDIDENPIELRQFVVTLPMAANTRSLYVDVIAQNLQAMT EFGDVYCEPGLQAPDDALTAKIDAFFRRLNDLVDLPAFDDDTFHQLNSEGMLKHAINS NSKFSFVYEFLKTLRWLNDRILIVARPGRTLKYLEEIVAQEVPLSQLNKTYAVLSTES PEHVSEAARVIVAEAGQDLSKIGGQFDVVILFDHEARQVDLPPKLSCESTIFLSLATI CSLEHILLQLREMPSYPDMSPLEISNALCQVTALLVRHLKDPEYAPPPHEVAAAFASF LENPENDIDYVSQPLPISVDEMWESQPQTQADNGELGRRKRPLDDDQDMMSKRRKTTR ASSSVPMSELLRDTLARHPVNNERSAEMAEVPVAQLEGMAYQIFKLENRVDDLSTVNA KLRANTDPLDKELESWRGTLNTLHVRYTEALNDRSDFEKECKQANKVAAAATEKLENV KAEVASLKEHNKALEFKLAEATLAMEQSTVPDIARFAQLEKELAETKARAEKAEKKAA ALSNEADFVRQNYQNASSYQQEQNHEMKRLREEIAVLTTRANENIVKIQQIHAANELA YHQRQLAELQDMVRDRERELDLLRTENQQLKSGRRETRGGSTPRSPRIGAMGGVMPSP RPGRGGAGSRGTSPAAAASSDPAVPGMAYAPAGANGRRHHLREHLRD QC762_211620 MDYARLRAQALSNGDDEEAVTVDTRALIDKVLARYSGEWTTLRE LIQNAADAQATTVKVKFETIPSISVPLPQTTNQSEVLKHAVQNHTLRRLVVQNDGQPF TKTDWARLKRIAEGNPDETKIGAFGVGFYSVFADCEEPFVSSGSEAMAFYWKGNALFT KKYSLPQEQVTKDTAFILDYRNTTTPLPNLLSVSQFLATSLTFVALDSVEFWIDDWRI LSLQKKSSPSLGVPIPRDLETRAQGGLMKLKAAGRTSTQIDGTFMSVIGWKPQAVAAN RNSEQHVSEMPSLRSFFSKLTSATSQAAGLRGKAAREEQVVQDSIAEDLTALSTSTIF LRVTAATIETNVGANFSAELERATKKPPPKETKLSILTSSYDETVASEMSASSQAAKV ADIFASVLPSKKPGGRVFIGFPTAQTTGAGMHIFAPSVIPTVEREAIDLNARWVRTWN QDMLRVAGILSRLAFINEMADLDEKLKRLSTDNKKGPPLADVEKFAPEALHILKTYTF LDSTPSAQVGELIEEAFWTAWKRPTIEVFSSRGVLSTSKVRNSSEEVSKFVGGIPVIL NSMKNDPFIKKLIEFELIKDVTVDDICQELGSKAMDKDQLRHFIQWISNAAAAVDYSQ PDLRRLLDVAVATVSEGGDSGEIVTLGTILFYHNKNIPATLPVPPTCLPHAFTAGIPE VKLRALGWEPLGVVPWLRFLIESAGQKEEQNLMKNASFAVQVLTVLSKSWDNLSPSSK SSVESALRNITVMPTKMGMKKPNEAFFPSVKLFDDLPTLEGCANVKEKFLTTLGVRKT VDLDTIFTRLLSPTPTSAGGAAPRWSHMELIRYLASVEADIPAADLKKLRESALCPAE DGPRGMEPAKGTSRLYKVSELFEPKDTLRALRLPIIQWPGPPGSFRATGKEGRFLATL GLRPYPSVSELVDMMASTDDELRRKSMTYFIQNHSVNGYAVFDISKTPKAILPIEGDE KALVSPSQCFVNERASVLGHKILRKQLHDHAPKFGVRRDPDMARCVDRLLANPPKDRS NAIAVFEYFASRLADLDMSALAKLKNAAIVPVVRKKGTSFGFGTKAEESIVYVKPQNC YLGNSTTYGDIFDFVDFGQNANAFLFKCGARTEPTKHEIATLACSEPARLLSVMQSPD RYLSLLRSLAEDWSTLRKDKELIRKMRSSAWLLGSMELATSKPKESDDTSYEEEDAPV KHYVLAAPADIVILDDYISYRLFKESLLCAPEDEAIEAFYQALGSENLGSRVKEHILV GTKRSRQDGAEWLRKHVIERSKIFLHEYAKDKRELVKRDAKWLEANLQVISVSSVGLR RSLQGHNKYHQEKRSAASTQGDNCWILYVAAEHKDDKPDMYQVGQAICQLLLNRPNQS AYFFFEPFLKLGLLQLRDRGYNVDRILRAKAAEARIAEEARRKALEAEQSKIREREQE WEQNRAAEAARQEVKTPTRDQMPGAFHDSPEDDHALAPPPQQQKYRRGFFSDLTRRLG LDTGDDGEQQRQLENFAPPSQPERDTKRPVSSGENKTRKADDGRVTSPAVVQQNLLNA INSTRAHDSTSLFSPPTEREVKEKAVYCDTTPAQNITFAAEAPRGMRVFISKDISTDA STFLANNIAEIKQFELLLSDCGEIYRLPAKALHIFYDETGGTIAFNANGSVFCNLRFF NQLHRAMGDNGIGVGRELKGQKRVEATTWWWVVLAHELAHNLVREHDAKHSYYTESFI QQYFPSMMAKAVGWMAEGGNAGSASGGGSALPSSGRQQGVPRAGTQQSTASTAAAAPP PPYTEGRFAGAAAE QC762_211630 MVKVLAVLYDGGKHAEEVPGLLGTTENELGIRKWLEDQGHTLVT TSDKEGENSTFDKELVDAEVIITTPFHPGYLTAERLAKAKKLKLAITAGIGSDHVDLN AANKTNGGITVAEVTGSNVVSVAEHVVMTILVLVRNFVPAHEMIEQGRWDVAEAAKNE FDLEDKVVGTVAVGRIGERVLRRLKAFDCKELLYYDYQPLSPEKEKEIGCRRVDSLEE MLAQCDVVTINCPLHEKTKGLFNKDLIAKMKPGSWLVNTARGAIVVKEDVAEALKSGH LRGYGGDVWFPQPAPADHVLRTAKNPFGGGNAMVPHMSGTSLDAQKRYALGTKSILES YLSGKFDYKPEDLIVHGGDYATKAYGERAKLVKKDVAGA QC762_211640 MDLLSTVRKTGSRGGVNFSWDEVATSAHRENYLGHSLKAPVGRW AKGRDLNWYAKADATAADSNETEEERAARERRDEIRKIKEAEEDAIALALGLPPPVRN ASGANAVEVPADGPSARVVKGPARAPMYEEEEEEEDKKGSEAPRDKGDREHRHRHRHR DRNEDSERRHRRRHRSRDGHRDRSRSRERRRDRPDGEDRERRHRWRSRSPERDDDRHS RSHRSRQDDNDGPRFTRESGQRRHRSRSRSRSRYRHERSRHHDRRRSRSRGRR QC762_211650 MATTSSRSDPLDEIRFTGPVEFEGGIHNNSVLYYFATSPFYDKT SNNEVLFQQGLNNPNMMQFLATREAFEGRLRTMSGLEFIVAQEPAETGPGAGTGVWVI NKQTRRKRREGEEDEIAVHSVYYIVGEHIHMAPSFADIMSARLATISNFLSNILPSSA SVQAWSPATGRVYNQPSTTTAGSNSVKAITASAQQQSQGSRQQSDIGLPTTRDFEEAL YLHEQYGDQYMDENPITGRPGEFHLSSTGRAKVNLSAAAAAKPLPVKLPTINTKVADS PLTSKATGKETKSPKIPGGPGKLKRRKSSKAAVTPS QC762_211670 MAVKKFVPRQRKRKHLERQRAEERATLDTELDADSNVVEITPAQ QAEAEEKRKQLRESLKPDGTKVTGKKAKRLEKYIESKLKKDENRELLKKLEANKIDTS LFSSAKSIGQVKETKKQAIRRVLREKDAGLGVDKSDLTLLYQKRTVKKGEVPQHRQPE ESDEPEEEGPRTTQQGTSAKQDQPQSSTTAAAPTTSAVGSGLKRPLEVDDSGRPVLAK RQKRGGVKSKFSLAAPVVHEEPVSDEFGGFSSGDEEESGEEDEDESDAGGSEKSEEQS DEDMSDAGSGEDEEEEDDDEESSEEGSGSEDEEDEESMKERRKERSSAFKAWAHQQRN EALGYTPTTSTILEMPRPENFQPRPLEQEPLPIELQPTTNVTRKSHAVTVTRNPEIEE ARFKLPVVAEEQKIMEAIHNNDVVVICGATGSGKTTQLPQFLFEAGYGDQKGPTPGMI GVTQPRRVAAVSMSKRVGQEMGDFSKVVAYQIRFEGTVDPNTAIKFMTDGVLLREAAQ DFALRKYSAIIIDEAHERSVNTDILIAILSRVVKLRAELAKEDPTTKPLKLIIMSATL RVEEFTQNTALFETPPRVIDVEGRQHEVTIHFAKKTRHDYVEDAFRKISRGHRKLPPG GMLVFLTGQGEITQLSKRLKTAFGGGMNTASGPKVKISAKEAPIEAEDIDFGDIDDRA VHDMDEDEISDEEEEEKEFDIEDEESGTGPRKMHILPLYSMLPTKEQMKVFEPPPDGS RLVILSTNVAETSLTIPGIRYVFDCGRSKERRYDPVSNVQSFQIDWISKASAQQRAGR AGRTGPGHCWRLYSSAIYERDFPLFADPELLRMPIEGVVLQLKAMNLQHVVNFPFPTP PERESLVKAEKLLTYLSAITPEGQITPTGSTMSIFPLSPRFSRILLVGHLHGCLPYTI ALVAALSAGEIFINEHQAIPALEESTEDFRTNEEAIAEEKRARVRQAYNAVHKNFCYL DDKSDAIKLLQVVGEFAHEPTEAWCESHFVRFKILKEIRQLQFQIADLLRTNIPQHAN FKLEEKLDPPSATQVQALKQMIAAGFIDQVAIRADKAPNPPETYRKPRRAIDVPYIPL IPLEGDQKVEDPLDRLVYIHPTSPLAHLSIAECPEYICYAYLQKAGNTGADGEKKVKT RMHALTDLTAGQIASLAKGTPLLTYGKPIKEVKGSESADGKTKEVWVIPYLRSEGGAG GLGWPLPARRVTQRKVPGKGWIVE QC762_211680 MDGTSIQQEPFPAPSKTATGIINGVPTEVEATSFSDKIMLTVSQ GGRLAQWVSVPLSAPSSASIDMALPGSSTLPSAHLTPSTLLGGGDSDRETMGHLYATQ IASHLALRNPDEKRTLLLGLGLEKVDGGGEAFFDFLELVLQVI QC762_211690 MVCPPQSLSHEEKMLTIAAYPVFQDKKAPRPYFHLLRNHEWAPT INIEKLWSLVPLETRDKYVSGAKTDSAPVIDLLANGYAKLLGKGRLPEIPVVVRARYV SAEAERKIVEAGGVIELVA QC762_0044360 MAYGSGVETFSYELGPGVLVGSWGDEDTHLQILQHFGNGMFDLH LPAPRSLDRSCYSVSSCLCVLDP QC762_211700 MLMNLTIYAMHEKRNNRSHRVDIRYRPWRTARVVLSLKGSPRLR SALGVVHREAGWCFGGFCIRTDGYIYVLLFYLLSFVVFYRFFICTHTPSQLTYTKFTS PPPAIHLDTTPHRTTMLILPLLFLLPLSTAKPPKLNSNGIPPFSSLPLNPPAPYLSAW GLYGPNDELGTLNRLSPAIVAAASKTEIKTGVRVSLNWAMNAQGNESFINRGNFGHRI YPAGPYYDEVWDYNSQVSSHWDSLRHFPYIQERKFYNGVEDGDILGEGNGTRNSIHVW SERGIVGRGVLVDFHSWRLKQLEGRNPEERFKRFDPFVGETSGISLEDVRAVLKWQGT KVRFGDILIVRSGWTVGFNNKTREEILALQRAGSISASGLEQSLPMMKFLWDNFSAVA GDMPGVEAYPARANFTMHEVLLAGWGCPMGELFDLEALAAEAKRQKRWSFFLTSEVIN LPGALASPINALAIF QC762_211710 MNFPGGGAGGFPGTGGFPSAGGSGSSATPPMPMPQGDPNVKMLQ NLMESCYAKTVMSGGAGFALGGVFGMFMASMAYDTPYHSPQTGTPGQPAIPGIKPPVD ISTLPLRKQLAHGFKDMGARSWSTAKNFGQVGALFSGIECGIEGLRAKNDLANGVAAG CLTGGILARNGGPQAAAIGCAGFAAFSAAIDAWMRMPKDED QC762_211720 MSYGEKEPSLWSPRTWTRRAWLICATVIIIIVIVVVATVVGVNA TRNNNNNGSDELPFIDAHPNYTKLDYQLVETYLPSNFFEKFTYFNNYDPSHGFVHYVS PGDADLYNLTTANQDTINIRVDTSRDNATTGRHSVRLESNRQYESGLFIFDVKHTPVG CGTWPALWLTDPSPGAWPANGEIDIMESVNQGTDGNLLALHTTEGCNVKRVRRELTGT IGAEDCWNETNHNEGCTVKGPKNTFGPEFNAAGGGVVALEWRQEGIRSWIWPRSDIPT DINLDVAALGQGSRGVTAKPDPSSWGLPLADFPNTRCDMEQHFRNQSLIVNINICGDF ITEDIWNASGCARDGMTCTDFTAHNPQAFADAFWEFGSWQVWEAR QC762_211730 MVSIASGLTQALPKPKYTGEDEELRAQQRGPRIVGANEIDSTQL VLKRTGPPPYGNRAGWRPRAPEDFGDGGAFPEIPVAQYPWGKGDGASTSNALVVQVNS DGKVDYSAIARQGHSKDRIIHTSFKDLIPLRQRAEAGELDLSRPSQETVQETAERTKN ALAKLVSGAVAAQKPKNVNVNGRRDPTFVKYTPSSQMGDSSKKQERIIKVVERQADPM EPPKFKHKKIPRGPPTPPPPVMHSPPRKLTAEDQEAWRIPPPVSLWKNSKGFTVPLDK RLAADGRNLQDVQINDKFAQFSEALFVADRHAREEVRQRAMMQQRLAEKERLQKEENL RQLAQQARADRAGGGSRRRSSRSRSGSRSRSRSYSGSDYSGSESDSSERARREARKER LKEEERKLRQSRMGAERRAQVMAREMDRDISEKIALGLAKPTQSKEGMYDSRLFNQSS GFSSGFNEDNPYDKPLFAAQDAVNSIYRPRVNQDDDDEGAGDRAMDRISKGNRFGEAL GRGKFKGTEDNEPREGPVQFEKDTSDPFNVDKFLSEVQQETVAAAAAAGSGKRGYGLQ QEEGDRRSKRTRVEEEDN QC762_211740 MQLPLLSCGLLGLLASQVAATALTYKVHANERACFYTATQNKDE KIAFYFAVQSGGSFDIDYEVTGPNGKYIMDGQKERQGDFVFTAREVGEYSFCFNNEMS TYTEKFVDFEIAVENEARVTIPSKQGSSPEQTSALEESLFKLSGQLSTITRNQKYFRT RENRNFSTVRSTEQRIVNFSIVQILMIMAMGALQVFIVRFFFQGARKGYV QC762_211750 MTIPQTKVATATSVAIRALSYFFLRWALAPPFPALIFALFAVYL PSFVSGYLHEPKQELVDQVDVTVTDIPIEVEVPENGRRNRGTEKLVAEQVAVEETLTI EDRPLNPLKTLLSGAPNPRSLLLSATTLLINAICVGMVADRLFTERYHTGDDLSFARL GFVSEHEAKLLIREPDQSKMPVTVEVHIKDPVAPFDNPLWQSAGGIKFTTNETDYTAV VPIPLRNSKMRTYQWRTSNNHTGEFTTPPPVGHAEEATTGPFTFLSTSCIVSRLPYSP FDHPLAIPGFRYLAKVLPTLNAQFMLFLGDFIYADVPRYWGSSKSDYRQKYRQVYASP DWPSVGQNLSWIHTLDDHEIANDWSANSTGVYKAAFDPFEHYQAAANPPPARRAGGIA ARKSATYYSFTQGPASFFLLDTRTFRSDNHLPDTAEKQKTMLGPEQLEDFLAWLKKPE PKGVKWKIVASSVPFTKNWPVNTQDTWGGFLTERRKVLEAMWDVSRRGVGVVVLSGDR HEFAATKFPPPVPVLVEGEGEGEVHVEGRAWPEEATVWEFSASPLSQFYSPVGTYRER DGEDVMVKYIHKGNSKFGAITIENLEGGDQSSLKYRLFVDGEEVWNTVLLSPKGDQAP ARGSFWSKLIGA QC762_211760 MKSFLALRPVLFKRELLLAAASNRGRSFALCTAAATTSQKRVKL SVRGYSTKKSVSVGGGGLKVEVEGGRKKRESLDNCRCASCINQDTRQRNFNTFAIPAD IKPESVSSTAEGVAVKWSDGHESNYDWDFIQHYVKEDKRDRSVTQDQHYWGAEIASNP PTISYNEVMNSDQGVADLTAKIQKYGFSFISSTPSHDPDLTRQVLERIAFIRLTHYGG FYDFIPDLAMADTAYTNLALPAHTDNTYFTDPSGLQAFHLLSHTPPPGVSAETVQGGA SLLVDGFNAAKVLKKEDPKAYEILTQVRLPWHASGNAGITITPDRLYPVLEVVDGELG RVRWNNDDRGVVPFGEGYTPEEWYGAARKWDEMLRRRESEYWVQLTPGRVLVFDNWRV MHGRSAFEGIRRICGAYINRDDWISRWRNTNFDRKKVLDSVIG QC762_211770 MSHVSPVGLFAHDSTAPPASNRVLPLFSLAGKTAIVSGATAGIG YAVAQGFAEAGANVAIWYNSKKEQAEAAAAEIEKDFGVKCKAYHVNVASFPAVSSAIN DHILPEFNSRLDIFVANSGIAWEDGPMLDGAAEDRIKRYKQIIDTNLDGTFYCARVAG EIFRRQKQEGLLEGFTYGSFIATASMSGSIVNIPQLQTAYNASKAGVIHLVKSLAVEW VGFARANTVSPGYIVTEISKFCDEGTKRAWRDKIPMGREGMPNELKGAYLYLASDAAS YTTGIDLVVDGGYCAP QC762_211780 MDTEDAGAQGFDPRTQQVDNAIRAIQQKKPLPEIDFTIHVMEDG TQVSTQERVCKDVQAPAMYKPTDDQFFEDESQQKPNIQFLKQHFYREGRLTEEQALWI LKKGTEILRAEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPAETRYLFLGDYVDR GYFSIECVLYLWALKIHYPKSLWLLRGNHECRHLTDYFTFKLECKHKYSEAIYEACME SFCSLPLAAVMNKQFLCIHGGLSPELHTLDDIRNIDRFREPPTQGLMCDILWADPLED FGQEKTTDYFLHNHVRGCSYFFSYPAACHFLEKNNLLSVIRAHEAQDAGYRMYRKTRT TGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSL PFVGEKITDMLIAILSTCSEEELREESSASSPGPVSPPLPSASSVGSQDPESIEFKRR AIKNKILAIGRLSRVFQVLREESEKVSELKTVSGGRLPAGTLMLGAEGIKNAISSFED ARKVDIQNERLPPSHDEVQKQKDEEHAQALERAKREAEQDKKLQTLSRRLSTDRKR QC762_211790 MADNQHNGDAVSPNAMLLDQPEEHIVEPNGTESEDVAIINPDSM ETDVVLATDNDAMKEIVLPPLAEEPRILEDVVHTWEVQGWRTMNKKERGPIFQAGGYP WRILLFPHGNNVLDQCSIYLEHGFDTNSVPDNWSCCVQFALVLWNSKDPSLMFHHSAH HRFTKEESDWGFTRFLECRKMFNVVWETADRPLVENDAANITAFVRVVEDETGVLWHN FNNYDSKKETGYVGLKNQGATCYLNSLLQSLYFTNAFRKAIYSIPTEHEEDMKNSAYT LQRLFYQLQTSNVAVSTNELTKSFGWETRHIFEQQDVQELSRKLMERMEDKMKGTDLE KALPMMFSGKIKTYISCINVPYESSRVEDFWDVQLNVSGNANLLDSFQDYIQVEKLDG ENQYFAGDTYKLQDADKGVIFNSFPDVLHLQLKRFEYDINLDTMMKINDRYEFPEEFD ASAYLSKEADRSEPWEYELHGVLVHSGDLNAGHYYAFLKPEKDGWWYKYDDDKVTKAT KREVLEENFGGFYKTTGGRPQLNAKKQPIMRPNSAYMLVYIRKSRLDKILCPVTPADA PEHLQKRFEQEHAAREAKRKEREEQHLYLGVKAVTDETFKAHGGVDLTAFDAAVGSEP GSYKQYKLLRTASMADLANEIAADIGEDPRRVRLWIMVNRQNKTVRPDQPVMDLRPTV EETYSRATAHRDQALRVWVEIADEVDADGAAVWPTYPGLPNGVVVKNDLILLFLKWFD VESQCLRGVGHIYFSKEKKVEDLIPVILKKMNWGEKLPSDEKIMLWEEIKPSMIEGLK VKLTLKAAELQDGDIICFQRTRDEKKYKLGLGAERQGSEETVRAVDRYEDVRDYYDFL NNKKNVDFHAHPQKCDPKQYPEFSLVLNTKMNYDKLCEKVGEKLNVEPTHLRFYTVNA SSNNPRTAVKRGHQSLANILIPAGYGQLNMNQRNDALYFEVLDMSLAELDTKKSIKIT WLSEGITKEEQFDVLVPKSGQVEDLIEALVKKAKIPSEEEAGKIRVYETSSHHKWFRD LARDYSVLSINDYTSVIAERMPAEDAAVSDQANFISCFHFHGEPSRAHGIPFRFLVKE GERFADTKKRLEQRTGIKGKSFEKIKFAVIRRSSFSRPQYLEDDDILWDIATNTDDLL GLDHPDRARTTRNGAGDLFLKG QC762_211800 MRRLQHVTAAVRLSSRAGELRTLGAATAAALIQLPNRRHSHSSS QSHAHSPKWRPVSVLDEWVAKEARPISLRQLMVFGRSLTESRLISSANYVRTELPTRI AHRIRDMQKLPYVVVTNKHFNEVYDLYYTAFDTFRKVREVKNLDDNDRLCATIRTMLN AHLTVIPKLAMGILECNGLKDAAELDKFMNTILRSRISRRVIAEQHLALTETFHAPWF SPGAKLSESDFIGEVFLRCVAKDVVSRCGDAVTSIARRAYGPDIALPEIKIVGHLEAN FPYILSHLEYIIGELLRNSVQAVVEKHQKSKNKSAQPPPIEVTICESNQHVIIRISDQ GGGIPRESMPYLWSFSKGPASKEILANLGQVPKMAATMQELQIDDINPESNKKIETLH QKYGHQSVQSLSAEEKEREDRAKYSSLASLSSRPPNLRLGMGLPLSRVYAEYWAGSLA LHSLEGYGVDAFLQISKLGNKNEQLTTRATMDAV QC762_211810 MAPSNAESASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGK TTFINTLFSTTIKNYADHKRRHQKQVDKTVEIEITKAELEEKFFKVRLTVIDTPGFGD YVNNRDSWMPIIEFLDDQHESYMLQEQQPRRQDKIDLRVHACLYFIRPTGHTLKPLDI EVMKRLCSRVNLIPVIAKADTLSPADLAKFKSRIRAVIEAQNIKIYQPPIEEDDEPAA QHARTLMAAMPFAVIGSEKDVKTNDGRIVKGRQYSWGVAEVENEDHCDFKKLRSILIR THMLDLIHTTEELHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEEALRKRFTEQVKI EEQRFRQWEQKLIAERDRLNKDLEQTHAQIKQLEGDLEQLQGSAVRSHGRR QC762_211820 MKTDFKFSNLLGTVYCQGNLLFSPDGTHLFSPVGNRVTVFNLVD NKSYTLPFAHRKNISRIGLTPQGNILLSVDEDGHAILTNIPRRVVLYHFSFKSKVTAL SFAPSGRHFAVGLGRKIEVWHVPSTPDTNEEGDLEFAPFVRHHTHTQHFDDVRHIEWS HDSRFFLSASKDLTARIWSLNAEEGFTPTVLSGHKQGVVAAWFSKDQETIYTVSKDGA VFDWQYVAKPGQDEDEVMDEEDMQWRIVDKHYFMQNGATLRCAAFHPESNLLVAGFSN GIFGLYEMPDFNQIHTLNISQNEIDFVTINKSGEWLAFGASKLGQLLVWEWQSESYIL KQQGHFDAMNALVYSPDGQRIVTTADDGKIKVWDIESGFCIVTFTEHTSGITACEFAK KGNVLFTASLDGSIRAWDLIRYRNFRTFTAPERLSFSCMAVDPSGEVVAAGSIDSFDI HIWSVQTGQLLDRLTGHEGPVSSLAFAPNGGLLVSGSWDKTARIWSIFNRTQTSEPLQ LMSDVLDIAFRPDSLQIAISTLDGQLTFWSVSEATQVSGVDGRRDVSGGRRITDRRTA ANVSGTKSFNTIRYSMDGSCVLTGGNSKYICLYSATTMVLLKKFTVSVNLALSGTQEF LNSKLVTEAGPAALLDDQGEASDLEDRIDRSLPGAKRGDPGARRKNPEVRVTGVAFSP SGTSFCACSTEGLLIYSLDSTVQFDPFDLNMEITPASTLGVLQNEKDYLKALVMAFRL NEAGLVQRVFQAIPYKDIGLVVENFPTVYVARLLRYVAAQTEQSPHVEFCMLWIKALV DKHGAWLMANRGKVDVELRIVSRAVAKMRDEIRRLADDNVYTVEYLIGQANSKAAQAG KGPKTIERNANGDLEVKALPSTQKEVTMDDMLEEESEGEWIGIE QC762_211830 MTSLRLFGSAPKARHLARVSLINTRPSHHHHQLRMSSSTQTMHL LPDNTGLWGITQTPSAAAKTSELLQQDMENHHVFFNQSGFHNHIPHHLLALYGTGAGP SHLQSAYDTNASYQRPVLPVHKSVTLTPETLTEYYGKEEYYPDFLTFFQSEIDRLGWK ETVTRYLFEEGEGKEDLIIRLFGGFLHPLIQLMYGLEWGLTGVVAEGLAQAAVHRDDL REFLLTAEEKGRGREEGETVLGLLREAEGLKGAARSEDGNKIRDGVLVRAKGEMVDLA GRVKVGEGEVEGRTREMFNGAVYVAAGAAVSMTDRKKVPKFDFFLMHHVNAAPFFVTM NKMDWVPEKTKRRLLEWKIRMDLLQYVARGCPELRVERLEGYEPKQPGKAKMVEEIVS RLHGFGDDGHAIKLGRATVVCRNICREYEEKEGFMIKGGLWEKICHLIVDSVEAPGEH WVRSAGFEEAWKDIPNVDDSKL QC762_211840 MDPPADNGTDGSSIRPVSSLLAKFEGLTTKPGDSQPGTPTRNAS PTPAPAPAPKPGRLRERDPSPSTTTPREPPLIPAMRPKDKLTLQSLHPVSANASASSS PVRTVPPPVSPRAKPTNAPALTVEPPHSPPKRGVGGIPTGDRPAFVSTDSLVKSSSPA KAGNQFNLSSRTQTPSAEPRKSPRVAPARPPSPPPPRRSVELRREREMGHKPVPPPIN RAEKPNSRFTLFEQPSRPAQSSQPIDIQKRPSPKVSPFNSPPSSGGSPDEEDVPPVLP TRPRQQQPHHQQQHSLHQQHGNQHQPSVGVKRSNTFHVGFDPPPQHHSLAARRRGKDE PLTPQITGDRPALPARPQSIIESVRAANSVSAPPPRPPRPGVNTSVATAIQQKRISST PVTQAPPPIPRLNGRSVTAADRMPGRVSNEHHAPPPPTPVEARPAEAQIAGSHKADLG YPDTSRTNRSKPYLHKGAREIATKYDSRMFDVCGAFVCTTGGYTRAWNVMDGELVMSL AMSEGMKGASVAFKPGESVDEEGARIWIGTNNGELLEADVLSQSIVNNRPNAHGRYEI IRIYRHFNELWTLDDSGTLHVWGPADGSNLPSLAYPPTQTFRVPKGHVFSMVVGDELW HATGKEIRVFLPTLDGRTQFQVLIRPLIQDGAGEVTSGTLLASEPDKVFFGHNDGKVS IYSRKNYACLGVMNISQYKINSLTGAGRYLWAGYNTGKISVYDMGQTPWAVKKDWQAH DNPVVKLISDQSSFYKLDRHQVVSLGADNMLRVWDGLLQDDWLQAKMKQHDARYCHFE KIKALILTWNAGASTPNSLNYSNDDRVFIENLLRSSDSPDIIVFGFQELVDLEDKTLT AKRFLKPKKKEGTDQERMSHQYRNWLAHLKQSLDQHMDGELYHVLHSAPLVGLFTAIF VKADLLGRISNLNSAEVKRGMGGLHGNKGAIVVRFMVDDTSLCFVNCHLAAGQSGANQ RHNDIAAILEASLLPGERDASVRFDSFVGGGDGTMILDHELCLLNGDLNYRIDTMSRD TVVTAVKAGNLAKLLERDQLLVARRRNPGFRLRAFEELPITFAPTYKYDVGTDNYDTS EKRRSPAWCDRLLFRCGAGRGRIEQLDYTRHEVRVSDHRPVSGRFRFEVKKVRSKERA QVWMECQQEFEDLRGREGRGEKFFYLTNVIGYDELTATQLIEQQQRSSRSAVGGRRDH RSPSGQRE QC762_211850 MNTVKSFWAGWGALCLAGGGAYYFAKQGIDADRRARLEEQRKRK SMVESLEYSQNVPTQPISSSAMGGSASVPPRQGTPARTDTVGSPSLETGNDPAPTRHA PATEAERVVEKSKYEASVPFRSPKGDRFS QC762_0044530 MESASKELTISKTLLTSALFRPDPVSCPRNDIESMLALLNSTIA ECSPTNVQRCKQWALNNLIPSPNRISNFCKYLVALSKSIGQDKDVTAQSARNRVPSAR RRRLHILYILNDLLYHVKYRNRDDGFAQKLEPALPALFKSAAAFNNCPKHLRKLRDLV SLWEENQYFPQGHIHELRTAVETPVEEDAAKDAEQTVVSDASAAKLAKSAPFIMPAMH GDPSVPWYDLPAGTWLPHLQKNSTKSINPSVIKPLELVKGPAEPNLVEAVKSLILDID KIYSNEADFGETPRDIGQMGEVVEIDEITGDIIGGDTYYGWSRQFCNNMKARKRNGGR QNERDDNRGRRQTRSSRSYSRSRSRSRSRSGRRRGHSVSSMSSDRPAFKRQRVSESPR SGRSRSRRRRRDASRSRSRSRSGSRNRSRSYTDQSRSRSRSRSLSSRGARSRRHRSRS YSRSRSRSRSRSRSRSLSRSRSHSGRSRSPIGSFPKSPSYFPPPSRDRISQPGHQAYP SQPPPPLQTQPVYVPPTGNFPGGYLPPNYLGAGLPMPLPPPPNYTGPWPPAPPPPGGI PQGFFSGIPPPPPPPPPPGMGTGFQGGWAGRGGHGVPPPLSPPTGPQEGYQGGWQQGR GGNNGGYNGRGGGRGGGNRGGYGQKRW QC762_211870 MKRFSQRVLSRGRDRDSSKSSKKNKDSKDGTASPNSKDANQSPN LTPSSSTSTLNKPLPPNSAVHDDHGNATLNAQVGAGQNAGGPDRFGSLGGQTAGANGG STPVRHGTLPPTVIISPSAPHVPPPGAAETMPHDLAPPKAGQKSLMFDRLHQTPKDVL EGPKTPRRQHSSRFDISAHRELEKLPGFHEVPPGRREDLFMKKIDQCNVIFDFNDASA DMKSKEIKRLALHELLDYVANNRQVITEPMYPVVVGMFAKNLFRPIPPPMNPQGEAFD PEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYIDHSFVLQLLELFDSEDPR ERDFLKTTLHRIYGKFLNLRSFIRRSINNVFFQFTYETERFNGIAELLEILGSIINGF ALPLKEEHKLFLTRVLIPLHKVKSLSMYHPQLAYCIVQFLEKDASLTEEVVLGLLRYW PKVNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFHQLAKSVASPHFQVAERALYFWN NEYFCNLVSDNVEIILPIMFAPLYENSKGHWNRTIHGMVYNAMKLFMEINPQLFDDCS HEYTEQQNSASAREALRERKWAAIAEQANRRKSLNGTSSAAGGPPTRTAVAQMPALNE VDATEDNQMRLDSLNLQDGDRRDRRPTHERQNSAGSGRGQR QC762_211880 MDPETRKDEEQAPIYTIPSRRLGALEHPMIIKNLDKGIKTLGQN NAFQAILDSASPQISVPLYLRPDNPTARPLTSHNALTHNVVLKVTVPKRTGRKRKRGT DDPWEGEVLPPSDSSEVLSRDRLDHPAIIRRKLQDNPTTYTVTPVGIINNTHRYRGLA DFQYSLSHSKFMNKFVSNVLPGDINKLKNFSLAPGIETGKDIDLIPPPYFTPMSLPFS YGYNQNPHTKELASGGSKLVPDGVDDNSDEDDEYARVVNVTARGPAAGYFIAQDEYPV PTAPKKQPDMTDPQIAAIINEMRAAMEERPIWTRRSMWNRLGAKFAELPKNGGLVRHC LQFAGYQFKGGPWRDALVKYGLDPRSDPKYRVYQTLIFKLHKTRIGSVGRSWQAVRRN EISVTNFGRYWRELGVGNGAPRMGGRVDEALLRTHVFDGESFSTDGKVWQVIDITDPL LKSLFEGAEVRQVCDNEVSGFYHRVLWSVAKAIMKTKMLAVRFNRELRDEDFSAALEA VRGPENGGDGGGGRSIGISLPDLNLSPEECEQLRGHKMRPGVKQGTKRDRWAEKKKKT HYRVRIPLKDVEEKEARKMIWLLTRHEKKSEDEGGVIDPALAGVGVEVVGGKESSAST ADKEVQKVAEKPDGVMPSIEGAGEEEEDDDEGGVLQDILDDMEEDEEGSSTSDSEEEE NEGEEEEESLDSEEDLEEDEEEDEEEEDEEFDSQQVDQNRGMAIPTSKKTVTFEDGLG VVQEKFDYDEMEEEGDYDEEEEGYGDGEEFGGYDEDEEGYYDNDEDGYLEEEYYDEGG VDRADEQQH QC762_211890 MSKRTKKVGIAGKYGVRYGASLRKQLKRIETTQHARYLCPSCGK NAIKRVSTGIWKCNGCSKTVTGGAYLLSTPAATTTRSTLHRLRDLKEGKV QC762_211900 MPSRSQSSQRFASGPDPPSVVYIISHLPPPRAILFLPSSNNSSR QSTTTLYKQLSHSNKQLSPPTTITMETIKNAGNFVADKVNAATSEASKTTNKEVAKDS NASTGTRLDAAGNAISDKFDEKKHEASAETNKQKATH QC762_211910 MDFSTHSSPSGAEPLTFIEMIDQTTIISLLSTLAILFTALGISK LVLDKHTPGRLRFLFVWHAFDALIHFILEGSFVWHCLFSSTSVREVKGDYFPTPYYYL GQDQKGRVWGSQAAVGQEGYLGAMAQLWMVYAKADRRWAGVDLATLSIEIITVVFGGV MAVLVCWDLARKNVARGNLAMIILATAELYGGYMTFMPEWLSGSANLDTSNFMYKWIF LAFFNGLWVVIPLYAIYVAGADIYDAIWVRSIVEEQKKDE QC762_211920 MSPLALVDEVIASWLQSRDDTGTMPQNNFNRTGTNTFQTARNQT TTQQMFFNELRFAAARSIRTSTIILASFNIIASFATAVGILVDSYFRERRNNRSYRFK RNGFNFVPEGEIYPLILSIGIFIQSLVFAGAQSTGLDGLFGTGCTMMALVMLPAVFLA PFIQLVFGVELTLRALRKELFAPRGKWNVSICSALVGLFTLAMFLVADFDQSPNFCLT SLFWFVAHYSTACFGLLTAIASVLIICTVVIFIKLHSSIQIEVTARVAASRMVYYLAL GAISICFMLPYFYVLTFLNRRGQNNNSLNLSMVAAVVANISGLMNGGLYLFLKSNTIS TIGPRDKIGEYENRRARYKIERRYTMDDADSEFGFDEDFKKYKTAADLRRMDSEETLT AYEKEEMVDAKSMRSVRPPSTIYGRRGPASIRSFRSNRLMSAASNVFMPKAPERARVS NAAGGHMRKRSNYSLFPNKNSGAKSSLTFLPATTYSPNNNDNLKPPPSMGNLAAFRHR RDSSLISSATVQIGLRFSSVDDIPPVAQTVVTVQDPHVYNLECPNVVKELQAKGINVQ LKRPVGLDSGASTPTGSMKEGSPTSPGRSPVKDAKMKTLPPVPRPMIDTQSVTPAPPS QEITLSPSVYSPQSPSNAKLVPPAKPATNPRSPPMVPPPRRTGETTPPPVADGKNAWI QC762_211930 MAATGHHEADNYNMSYPEPPHFSSAPMDLGLSPEPYSAYSRSSH EFPTTIGYEHGAIYTADTPYLYSHNGNHERSSPGMYPDDSDLRGPASDLSIASASSSN AGSPNSSHGQMAPIPEWATGPHGLGVTPGIVDASDFHLPGSEYSYAPGVYGDEYSTAF EYPSGKTPGFVDPILIHPDARPMTMSGFEQQPYSAQPNSAYPASPALSASPQLRNGST SPFMHNNYQYSPYPPPVEAQRRPSLVSFHSNYSGEQQYSGDEFKEKQRCPHPECGKVF KDLKAHMLTHQEERPEKCPITTCEYHVKGFARKYDKNRHTLTHYKGTMVCGFCPGSGS AAEKSFNRADVFKRHLTAVHGVEQTPPNSRKKAAAGNNGTKKLTGYAPDATGKCSTCS STFSNAQDFYEHLDDCVLRIVQQEDPAEAINAQRLAEVENDRDVHNTLEKNNLPTTTM TLQDGEDEDENMDDGDDDELRARGLKGSPTKRKGGNPQNGVQKSRGLTHSRGGVTLPT KTRGRKNRRDYPSSWGFDKGQMTMKKRVMAVFDGPRRLAKDDMMLSTEQEVRIKLSDG KSYVTDLDMQTLKRADGFLNATKEEKGPWVSDDPTEEQLKQMLTYTAPEPTTTAAAAA AQ QC762_211940 MALTSRLALTGPDTTDPEDYLSSSLGIIFPDDVTNQHGDADHGL LYTSPYLPQSLQFSLANVTEEKERHLFSHYLWNSSLMLAELIEAGTLGLDIPWSGLGG EIKDFDVTGLETVELGAGTALPSIMGGLMGSKRVVVTDYPAPEVIKTLKENVLRGVKK KNGVDGRGFFDKEKLAEVGLEVERLWERDCDGGEREWVWDRGVEDISVRKRWLAVGVL RRIRKSE QC762_211950 MRLQWYATASMASAAAVVASAFYQRANFYSAMVHLAQSSMSLLV LANLVFVVYGSLVYGFQRLCFGPLRPTEIEQLYERGWFAVTETCLAMTIFRDELGPSF VIMFTALITGKVWGWISEGRVEVLEQQPPANPRLFHTRLSVSLLVSILYDVFLLSYAA TTVWQQARRTVMVMFLFEFAVLTVCSLHTTGRYILSLVEQQVNRIQTQQRLEERRRQV REQRAGILRRRAEGTAEDDDEELPNEEDVDEMDIEVPGWESKGHWILSLDLFADFVKL TLYTVFFCALVIFFNFPIHIVRDWFMTARSFLKRLRALLRYRQALKHMDQYPDATVED LGRDETCIICREEMRPWDANDTNQIERTRAKKLPCGHILHFGCLKSWLERQQVCPTCR RPVAREGQQPARNGDAVVFRLGLGLPPGPNQPAQAQFPPNGQPPAGQPPQGGAAGLQG NNRNRNVRMFNFGPLRLGFAQGGVEEIREMAQRMGMPPDAAHPAPPAPAAPAPADNAA VNVNTPGAGLDQIRGQLTDLSTRIQQEMANLNHAAHESYLLQHMVNELTRLRQTPTQQ AGQRQGIPLVPGQVVVPPQPVANIQHLAQAPAQAAVQPGVQPAGQPATQSPVMLLGQP YIQGQPHPALHHPGVLQAQVHAFLPRAQPTIGRLGAESGAAIPAGSPDLPEGVTIPAG WSLVPLQRAENGVVEPSPLSGQLPANALRDRLQNLAPERPRSSLSRGTSPLGRDTTSV AGYEAESSQTAEARGAVPSDAASNTQRTVSPSHTASTATSAVPNWGGPAQLFGGRTTS PSQTEAEAGSSRAASESNGSAGPSAQNGSTSNSKSTARLASVEDAENEEDE QC762_0044640 MHFHLSCFLLHYSCSFDCVPARKYHPIDKITGTDFTYTQLSNHL FRSFFFSNTHGGPFRES QC762_211960 MPGYVAPRNPHGQPTGGFEPNSSYPTPPVTAAGSHRSHRSVDSF GSWSGGLCTAEDHEEFCSDEYLTREFEMKGVEEGSDLYWKMRKDRAQEAVDVICRSIE RGNRLAAGDPTRTVQPMMTEGGLSGESSVEGSVGTKSGRSSECDVNMRGGDFKYLYKD LVGDGRGKERVWKWRRLHVVDTEEGVEGRTGGRLDASHGEASVSKRLSVSAGKGVVEE EGFEVISATGPAATNGRECKKFSHPLFFGSSENHQQGQDIDLAITSSNKGNKRKRLRR FFAGFLPRSSTKSSSAGAQGNRISDMENRPDESRRRLSSSCPPIPPARGSSSRAEGSK TSVLSPGDRSPADNENQARSSTEDASQTSSWSAADGDSDIVKTNTNTSDTSVADTSDD DNNTAETNPVTASMDDDHINTTLAQTLASSMTITKDEAPSSNEASNSNQTDTTTAEQE QSEEVIDYASMIAEAGDYFFDPFGTESREKGKGIDRSVTPPYLYHDMYHLCPPLEIPE CPYPPPDNEDGTPWYVRSEKDEEERRELWNNRPQWARDIVEQVRREREEADRWRHEQR VRDRLPPLDLRGLPPVPEKRRHRVGQGAAAMSSEDWGFAGRGGEGVGTRRMGVPDIFG GGGGGGSGSDRRTTTFVGGASGRDNRSLSYAEVMINARRNEAAQAGAAAAVAAMQRRK KRRFALTALPEIPRGFGRLMGRVIGHNGGHENSEVVEEREEEEGVVEEEEDVGRGERV VRGSEENEDGIFSRSVRPWV QC762_211970 MPEQEQDEQQRRQQSDRGQMLLLVLIFYLTFFSESDAPALISTP YLTASESLGRQRAAHGILNSTNWGDFSPHAHKEGAPPWQEPKYLNLTGFRESDGLAWE DLDYFKDRCRQWSKHAYPPQEGGDEWAHGSAKRTWQNATGTVQGKWIRRQGSAAKYRD NFNLTAVAPGVNWMGDDVDWGWNVTGSHGTVVLRLEEDDTPDSWYRETPKDEKESHTA GVAREISAVATFQDEETSAASFVMQLYGVHWPLQGSMILTTTSEKFAGIFGLPHLTPH AKFFETSQRLLNHTVDETLRERERSRLPDISNPWNPVIEGDFGGTLPRCEYILYLQLH ALDHHYIHDKGFMDSSHSIIDDLERELRYPTGARNTKLPELQMSLVAWSPDCSYYLES KGPPLFPFADGQHLVGVKEEVLLTRVNYSLLVFAFVYAVQVILLKGQIKESPTPSTLN RLSFWSMSMMLLADGLVFSASSAWSVSASDGFHSALLLTFVSFMSSAIGAGLLASIRS SEPERRARDAPPATNTGTTNTTTTAPAPPPAPAAPQTSGTLPPPVTAGPPRAPSPPII VPSDQDIDAEIAENVAAGAGAVPGLGTTTTAPATTTTPTNRPQTAQFAPVIFYFFLLI LAIMVLTILSLSWPPRARSIYINTISFLYFSLWLPQIWRNARRNSRRSFSWNFLTGQS LCRLAPFAYFYLYDKNFLFVSTDYTTFSILVAWVWAQLLTLILQNFWEPRLGIPFPRG WMPEVWDYHRILRQDDIESGVVVGLEFLESASSSSSSTAEGHGHDHRGQLRERAKELR QRGMTLRNVDCAICREEMLVPVVMTGKPDPSWSMADMLERKSYMITPCRHMFHTKCLE QWFRKRLVCPICREDLQPL QC762_211980 MASKNESNANNTSPTNASGDNAHAELTVQLEDLLNTLSNKFAGV SSEIFAKMDEMSRRLDNLEAQLAANKDKKSSSG QC762_211990 MVLLKSFVLAGLTAVVAAKSAVLDLIPSNFDEVVLKSGKPTLVE FFAPWCGHCKNLAPVYEELAHAFEFTKDVQIAKVDADAERSLGKRFGVQGFPTLKWFD GKSDKPTEYNGGRDLEALTAFITEKTGIKSKKKLAPPSSVAYLTDATFKNIIGGDKHV LVAFTAPWCGHCKSLAPTWESLATTFANEPNVVIAKVDAEAENSKATANDYGVTSYPT IKFFSKGSTTPEDYNGGRSEEAFVAFLNEQAGTHRAAGGGVDATAGTFAVLDEIVTKY IGGTPLTDAAAEVKKAAESLKEDAQYKYAEYYIRVFDKLSKSDSFAAKELARLEGILK KGGLAPTKLDELTTKTNILRKFVEKVTGKDEL QC762_212000 MSHESVWNSRPRTYGKGSRACRVCTHQAGLIRKYGLNICRQCFR EKAADIGFVKYR QC762_212010 MPALLRTIAPFLRAATLRQSATPLVQLQRQTRTPILNFARSYAV FERTKPHVNIGTIGHVDHGKTTLSAAITKRQAEKGMANFLEYGAIDKAPEERKRGITI STAHIEYSTEARHYSHVDCPGHADYIKNMITGAASMDGAIIVVAASDGQMPQTREHLL LARQMGIQRIVVFVNKVDALEDPEMLELVEMEMRELLTSYGFDGDNTPVVLGSALCAM EGKRPEIGESKIDELMKAVDEWIPTPERDTDKPFLMPIEDVFSIAGRGTVVSGRVERG TLKRDADIELIGKSNEIIKTKVTDIETFKKSCEESRAGDNSGLLLRGVRREDIKRGMV VAKPGTVTAHKKFLLSLYVLSKEEGGRHSGFGEKYRPQMYIRSADESVTLYFPEGTED ASSKMVMPGDNVEMLAELYNPVAVEAGMRITIREGGRTVATGLVTRILE QC762_0044720 MPTAARPIGRNPKISEAIETLRELFFQHPTLTIRCDSHHTLPGS HLEVLLIFRHQYKVRRTLSSQCPDGW QC762_212020 MEVEEDTSWNGVEHTGEEAIDVDELRVMYCAADSFQQYPRLAHY QTTHLRRQSFYSLPQAHLRLLSSPPFNYLETLSKVDDCIDSNAELSRAIFKAALSNFN LGVPDEKSRTATGTLKIPDEWAGCAKNGDVDKARSTIRQFYRDWSAEGKRERDVCFRN VFKVVEQEQKRLKGGEKLRVLVPGAGLGRLVFELFLRGCIAEGNEISYHQLLASSYIL NCCERAGQYEVFPWVHGFSNHRTRRDQFRGYKVPDVHCMSETMRVQEETGRVGEMSMT AADFLCEYAKEENAGAFDVVAAVFFLDTAPNLIRYLDVIYGCLKPGGVLVNFGPLLWH FEGVMPNKSENGAHMSGGDDMTGIAEPGNFELTDEEVMELVTKVGFVVESRETGVEAP YIHDTESMLQTVYRASTWVARKPVIKVDTS QC762_212030 MAEAQLEDFSSLFSLKGKVAVITGGSRGLGLSAASAILQSGASL VFISSRKAAACESAVATLNALPNLSPGAKAISVPADCATQAGVTHLVEQVKKHTDHVD ILLANAGATWGEYIDTHSDSAFSKVMDLNVKGVFNLIRDFVPLMSKNASVETPSKVII TASVAGLGIGTLGKQGTYGYSASKAAVIHLGRNLAVELGPRHISVNSICPGFFPSKMS NGLLEMSGGHDAFANANPMRRLGRPEDIAGAIVYLCSRASNHVNGADFAIDGGAMWAR GQLDSKL QC762_212040 MADEQVAAPQEDAPLVVNLNLIQPSGTLLSFARTPAATTLRQLK AKVREGLPSRPSDEQIRFIYRGHLLQRDTDTLLDVFTEQVIRSSGDQQSLHMVIRDVV NQPSGNTPQLATPNRGQSPAPGNANAPPNNHAQPPFGRQPHIQFHPQHGIRVAAAGFI PPPQPMVHHLQHQDMVQWGAHLQREAFQRQVAHMNTQRHFGYGIPPLDGVHHGPVMGA EHPAHALTRDMVGPNGQQVRITVQNEVVAPAAGSAPGAGGPTSNPGSQRPSSANQTRD WIHGDDAARASQTITDAMQRSASGASLANMAANLANSNGPIQPIQPGVTTPLFPGVSR HASRSATPDPHTRHAAYGIPPLQRQVAPQGQSQSQPQGQQGQSQARALDDLEVHILYD QAGPRALLVNSPLDLYTSRQPHALPVRPPQHVYQPMGFGIPPMAAPLFYPPPFYPPPA MYLPPFQPSPMPLAFQQPQPGPVPPPLWPNPNAAAHGPVQPQQGQAQAGFQAHPVDGL RHRVQQAQVAAPANPPLVRPPQFGHQGNPGGWVVAAWPTVWLIIRLAAFAFWFSYSNP SWERWLSLSIVSLILLAFHTGILNALVNEVFQPIREHIENLIPNPEHQNQQRPAQNAP AGAAQVGNPDPAETARRLVAQRRNANGNWLQNQARWLERAGILLLASLAPGVAERHIQ QLEAREREERRAAEEAAERERAAARAAQEAQTEGQQPGHEDGADHGQQEESPVQPQPQ AQAPLVEV QC762_212050 MAFPQIGPASISVGLPVAGLARTTLLRRSQRLSHHHLSQAATRW AHSTHRYGRQQQRLFGQRSFSQQSHRPKADSKVNDSKIRWYPIPVGLGVGFLGLVQFY KVYSREKEPQENGEPEKKPKKRPRVRPDGPWQVQIMSTLPLKAMSRLWGKFNELVIPY HLRSPGFRLYSWFFGVNMDEIEEPDIRNFPNLAAFFYRTLKPGARPLDPNPNALLSPA DGRVLQYGQIEGGDIEQVKGMTYTIDALLGQNSPSPSLSSSQASLEKLIKPAAQRELE GDEELVKRDEEFASVNGISYTLPDLLSGNKKKRKSDSFDQPKDESVTPSATSVSEVRA ELEKGEKAWYDYLTPGSRHVLYYAVIYLAPGDYHRFHSPTNWVVERRRHFAGELYSVS PYLQRTMPGLFTLNERVVLLGRWRWGFFSYVPVGATNVGSIKINFDRELRTNSLTTDT EADRAAEEAAQRGEPYLGYAEATYEAASQVLRGHALRRGEEMGGFQLGSTIVLVFEAP ASEHDPETGKHTRGWSWNVEKGQRVKVGQSLGQVDM QC762_212060 MQRFAHPLRTLLKNTTYKVATPRPITTPITTPKMFSSSKTTPAV QGADGFLSHIKARRTIYALNKTLPISTDRVQEIVKESLLHVPSSFNSQSNRVVVLLGA EHDKFWDITTAILKSIVPEENFASTAARMAGFKAGAGTVLFFEDQDVVKGMQEKFALY ADRFDPWAGHSSAMLQFAVWTALEAEGLGANLQHYNPLVDQKVQQEWSVPSTWKLSAQ LVFGGKAGEPGEKTFGPLEQKYRVFGSA QC762_212070 MTAQTDLLLAQRAAASIPEEPQPESATPSLTYSSSDEQEIEEPT KPVPRRRRASTRLIAKSPEDVQRITGETTTQLIRRCCGGGCCLGGAPKKDNNVEYEAI ELPDNDAYRSLNLKIDKIPTTLSGVCDVPEQTAFLQPVRRPSNVPSPSEPAVPFGTES DTTSSVAGIEKTLHDLTLERLDTQIQPPKFVQPHPPHHVFPARIHNTRELTKPGAEKR TFHFDLDVTNYPEGEDVDFKVGGAIGVSAPNEASIVQEILDLLLVPRFLRDKPVLLRT TKGRWPTVWGADQAREIVTTRRDLLTWCSDVQSYPPTKQILRVLAEHTTDATERKILR YLCSAEGQGVFCDFRTGPHISLIQLLHAFPNAKPPLDLLLSVLQPLMPRFYSLSNDPH ESFLTRDGKQHRLIEIAVTVHEAEDWRNGSRTGIGSGFFQRQALKFIEAQEKGEKDPE FYIPMFKGLMANPLAKQFVSDGPMLLIGAGVGIAPFRGFVQRRLKTANCANKVWVLQG VRDSLVDELYSGEWGVHEDEVKKVVQSRRGPGRYVQEEVKAQADLVWYIINAVDGRVF VCGSSRGMGEGVEKALVEVAMEKGNLEHDEAQQFWNLKKETGQYIAETW QC762_212080 MSSSRRNQGAWSKWEHDEQNNRYFRARRNHRGDWEYDYSYPPGS EQQAPRADGVDNLASSMSNVTISDTSYNLDTPGVQDGSAYDDSYTFSAPTGSTSFGLS GIPPSPGQNIVYSDQTQGYGAPSAQGYDGYSTRPNGKSYDQSSGYRPSKGKSSSSRRP RNRGKPQADDLPPAQPQSFGPGEPFYEPTKPTSSYDETSSVASEYGTDLADGTDASVA SEFDDQGTQVAPNPAESSYYSGSTVTLDPRAAVFSEPGPIEGEVEEDTATVIQPPEEY STIPEAYEEDDGYGTGMVPGPASMVTGYDQSAYQFQDDPGQQTPRALSPMVQTPYSYG NTINQRVSDRGYGTSHSSQQNDIWSSFVVEHSSRFQPGEVFKIYWSEPLGSGMNATLR SYMQRGQKFHESFRRFIVVATDEGHSTCVPILTYGHQACTKKGVKPRKHGIVYASGTR PRMMPGEPDLGFEPVRLELTERTEKLDKESRVNYAKLVTIEHNYRVFFIGRIATSDFH NIVTPAVDYCWQNKNR QC762_212090 MTSTTLPPTNPNTQNNFPLRTGSLNAQTTALPLTRKPTPLSSPA TPRFNHAVSPAFKRSVERPAALNALSTTNGSPLNLRNASVHTPPDDDSASWTGDSTAS SGDDDLSSPPPPPPPPPPPPRALKPEIVHPVPPTNLLPVGQIWAAQAAPAIAPAVHAP IRPHPLDIDGSESEFSDSDEASTSRYHDRLRRDLGAAVEGKQRDLRQLRSQMSTKLDE MLDAIRRLTTLENEAMKLLKPDQGGVQKFRQLQKTQMELLSTFKAMRSDFEEDESQLQ SLESDLVRAARPAPFSARPNAVGLPGMDSVMQQSIIGAKEEVPEILLGISGERYDDIH PRYQDLLDKVGDRELAREHCEEIRYRREDIIYELEIKLHRKRMQENPGNSISEEELAE MKTSLESIPTNPGEFQARFGLPANEEDLNFLEDYDRQLQRAQRRFDRAEQEVHRLREW CINNKAMRQNASYNELLTIYLGTDQPPLPPDDGNMPIEFVPRTGHSKLAHDRFPILMS NPSHVLDELSEQAALNKAMALPADSSESAQRRAECMKELGITKLLQNAKNTPDLINRW LLHRLRTCPMEIELMLTVFETSCKVVNLRRWQEEVLFYWPRDEAAKKSPLEFEGPRTP VDEIYLGEQDFSRINSDIHKSQQADEGGQHSSRRSSGSESDG QC762_212100 MGFERGGRGGGRGGGNFRGGDRGGRGGGRGGAGFGGRGGARGGA RGGPRGGGRGGPARGGRGGRGGGRGGGAGGAAGGKKVIVEPHRHKGVFVARGGKEDLL ATANLVPGESVYGEKRISVETGKNDEGNATKTEYRIWNPFRSKLAAGILGGLETIYMK PGSKVLYLGAASGTSVSHVADIVGPTGSVYAVEFSHRSGRDLINMATRRTNVIPIVED ARKPMAYRMLLPMVDVIFADVAQPDQARIVGINAKLFLKQGGGLLISIKASCIDSTAP PEQVFASEVQKLREDKFVPKEQLTLEPYERDHAMVSCVYLQKEYQE QC762_212110 MVRYAATEISPVKSARSRGSYLRVSFKNTRETAQAINGWKLQRA QTFLQNVIDKKEAVPMRRYCGSIGRTAQGKQFGVTRARWPAKSAEFLLGLLKNAESNA DSKGLDTGNLVVKHIQVNQAPKQRRRTYRAHGRINPYMSNPCHIELILTEAEETVAKS EAVVREEHLNSRQRGVRVRQALTAA QC762_212120 MSGSWNTIESDAGVFTYLLTNLGVRNVQFEELLTLDPSSLAELH PVYGVIFLFKYPTDAPYRATGDKPLDGAFDHSIAENKLFFAAQTIPNACGTQALLSVL LNKTSGSDDEETKIDIGPVLKDFRDFTIDLPPEFRGEALSNSDVIRDTHNSFAKSSPF VDETTRNPNDETEDAFHFIAYTPFNGTLYELDGLQPAPISHGPCTQESFPEKVMEVIQ RRIARYGDAEIRFNLLAMTRDLRIRARELGDVELLEREEQKRRDWQFENALRKHNFVG FAGEVLKGVVEYRLKEGGEEGYKSWVGQGKQKMVKRIEERRKGRGGGGEDVEIEG QC762_212130 MDHFDHFSWESPELGPKKKPAGIEMGPVGSCSAPEADDHADGSL PTRHRSWKARALDSFKDRVGRVDERMNNSVVGRVFNLKGSGPKSIPDANFSTELRAGL TTFATMSYIIAVNASILADTGFDCECKKPLDNAGNCVNNKEWTTCYEEVKLDLITATA AVAAFSSILFGLFTNLPVCLGPGMGLNAYFTYQVVGAKGTGSIPYKTALTAVFIEGWI FMFLALTGMRHWLVKIIPGTIKTASGVGIGLFLTLIGMSYSSGIGIITGAISTPLAIG GCPASSLDQYGECTGEVMTNPKMWIGICLGGLFVVFLMAFKVRASIVIGIALVSILSW PRNTAVTYFPDTDDGNRRFAYFRQIVAFHPIKHTLGQIQWDLGEKFDTKVLVALITLL YVDIIDCTATLYSMARFCRRTTGKDKDFPRSTTAFCIDSICISLGALLGCSPVTAFIE SGAGIAEGGRTGLTAVTAGFCFFLCIFFAPIFASIPPWATGCTLMLVGCLMIRQVTKI NWAYIGDAVPSFITLAFIPFTYSVAYGLLAGIFSYAGINLCIWAIIKLSRGTIMPENY DMKEYWTWRPPGERPWLFRKIGEAIFWLRTKRRGRNSTFQLGSNDGSGGSSRHRVSPN NSTAAVEDKSTAAAATGV QC762_212140 MWDVDWSDVSIEKVGERRARKGIERNSKKEDVQSVHGSTGSRPS STEERPAMSLFESMGLKRNSMSMKNKRKDTLQPETTKDDGKSRRTSLLAAAVSALGNT NRSSTVSDKSLRLQTTLTEKDIVEDTTTVTSGSWGAPTDRSSKESMLSKSTALTTPSL DFQGEGTMGDVLASEAQKPVRPMSDLMKKGTTTDHNRAVGPVRVASLGNQPTILINNT IPQIPATQNSRSISSPSTEFEKPIPVTMPEPKQPNEQHPQSQGAVKLPLMAPLPEVPN RPPTQPTPRPSRARMLSTLPPSYQPKGYYNPDRWKPPDQWKPKPGSVEEAPIPATEAS PVAQEAPLVVPKKRVRLPKDTEAAYAATLRVQALQMELKRMAQATPEVALARLKENWG TVTDPIVYQQLEQEKKRWMLASLYGMEQQIRGGDNTAVTVAGAQPFVQGPDVLSLFDS DSTTSYLAVLHPETSIKHMNSTPIPHLQYPNVQSFPWPVSPSLALEANKFTSVHCLSM PSLLASQEIPQLLQKIYHCLAPQGVLHLVVIDPSPVAHSLGPHMREWLEEHLTTSLEA EFRCITPSRVFPRWLEAAKLDGLNSFTTKNKFQAIPPSQSRHDNGKGKARAMDPHDQE AMIMGDLRSVVGRMLWQDMWSKNVRAKAWWWEITECVEECIQLGTYWEYSIIQATKNG EDCSGNSHRSSY QC762_212150 MAETTNPRAELPVDVFSIIERTCSVFSLLGSVLVIGTFCASKAF HKPINRLVFYASFGNLMTNAATLMARSFITNPRSAGCQFQGFLIQMFMPADTFWTLAM AVNVYLTFYFKFDGARLRKMEIPYLLCCYGIPFIVALTFIFIETPEKGKMYGNATLWC WVSPRWDIFRIATFYGPIWIVILITFFIYIRAGREIYKKHKQLRNFSTSHHDHEPITE DPFSSVKTTEVSVTSEVIDKSLATLGIVQSGNEQPKAPNAAYSVHISSNKRAPERDSY GDIPPTTQTNITMDPPTRNATAGANPLRRRAAYEANNATWSYTKCSILFFTAMLVTWI PSSANRVYSVVHREQASLPLEYMSAFVLPLQGFWNAIIYVVTSWRACQLLWEDTKAWF GHKDQHSHGDGSFQMMSSGRNAFKNSDKTYETESMTELAGSASHAEDRSPIEPPSNTL GRD QC762_0044880 MPCKRLQIYHSLPISFCGELPNQAISSSFSFANSTVTLTQEKKQ HTKVWGNLAPIAVVSIKDPITMSRSPENPLRKGSVWEDRIHNFILTAPVNLVSGS QC762_212160 MASEPHRNHRATTAPPGIGPFDLRPLLHGVPLSENGDEEDIQIN CVEYLDGNLYVGTTASELLHFFGIPPDPADPNQNPTFILASRLKPASSDTNGASNGSR PGVQQILLLPRVAKACVLCNSTVSFYSLPELSPVFGTTVRNCNWIGGVDLNEDLTGPG HGRSGSVTILLHLNRKIRVVRIGDDAPRVYRTIDYAGSTLSVRRDSFACVADSRSYAL VDIDRQLKIPLMSISSLDDSQPGGPFGQAQNIAGPPDGGLFRSASTASPRPPPDAHGH SRSTSLGDFISRGIQRRQGETEDPIFQDTDAPTPTRSPAPGEDGPNRAQQNRSPQPQA VSAAQAAGANPPSRTMSPARPETVLLKPLIVSPTTEEFLLVTGTGPLDPGIGMFVNLD GDPTRPTLEFDRYPREIVVDGGLIDPSSSRPSLGEAEEGYVLASMAKEFEDGLHNGLE IQRFDVNVGEDEPEKWWLEVDNTVSNEAPGNTPIGIHSLLQSEEMHFEQIVQRLCQRR FSPFRGQSTTPTVSLKSNDSRTALSLQRLTQEQELFNRDESDDEVLPPEWETNRNKEG EDFVRRLAKTSSRLAVWAGANIWWAVRNPLLVQLEAALDAAASREQQSQPTTLETRLR IFSLLETIKGREPKMELEFMTLGYIKQRASVMLLVAFLTSTETPFTEGQTAAMEEHLL DGDLDARVVLSLIPALRNEIIVSRRGIWIYGGIKTVVEEYISREQDGLENQGVSSLAL NVLQFLRRFLTATRKKKGFGSVSDEVFRTVDASLLVVLLELDKETPLGQLGRSGSVRK ELYDLVDHGVDCFDRAVDLLETYQRLFVLSRLYQHKKMAGEVLATWRRIMEGEEDKGG ELGDGEQRIRSYLSNISNQALVQQYAIWLAARNPKLGVQVFADEKGRFPRFEHGQVVA LLREEAPNAVAYYLEHLVFGKGNTAYVNELITYYLDIVITDLQSSEETREMVAASYEA YRALHPPKPTYIRFLSDNTPPNSEVWQSRLRLLQLLSGGHDYKATAIRARIDDSLSTI PQPNGHEDSNNNGVNTLRHQLLVPESIILAGRARQHRDALRLLIHRLGDYDTAVSYCI RGGAALSPGSRNKRRDSDSSLPPTYEEQVQLFKSLLGEFLALEDPEERVERTGNLLER FGGWFDILEVLEVIPDGWPVETIAEFLVSGLRRLVAERCEGLVARALSGTENLRVGFE FVERVREKGAVVEYPEGGRGGGNGGEEEGEEMIHGGDVLGGESWGEYVQAGGRLGG QC762_212170 MAEDTPSEAPAAPATTTTTTSTNESVNKFQNAISQWRSLDFTSL VSTLDNTASEIVAYQRDSTVQRKDLASKTKEFRKLDDASKLSEVKGLLKAYQTFIDLL TNHSKSVNSAFLHAYTSLSEAPDPYPLLEASVDSMLVSEDTLPKLSQENEHLQKTVSS LTTQLEETETRLQIERDLRKGLEENLETKVKEVEASWTAVLEEKQDNWAAKEKALEDK VENQDRLLNEIKASYEVNQRLGKGNGEEGQGGHVTSAELEMVHSDLERTSARLAEVEA RNEQMRLELAQAKSQVPTQAAISLEDDPGYMRMRSENSSLIRKLEAARVDKEGLKRGL DTKLRALEREVGLLKEERDSLKAKVQKWSDYDEVKQELEVLKSIEFATGDDDEVRDMS TDQSGGQGKDTLEQLLLARNKKLGDELTILRVSHQDLQSRLQNLQEELSRTNAELEKS QNLNEKLENELSTIQAEAPNAFPSGASVAGTYVSRYAPSMAPGRRPGGGNGRTSPTSS IISGFNPGGGGFEDRPTGGSSGGGILPMITAQRDRFKKRNAQLEQELNETHKTVSQLR QEIAALQRDNLNLYEKTRYVSTYNRAGPAVGALTSSSSAYSNPNPSSVSIGGGGGGAQ SPGIALDRYRKAYESNISPFAAFRGRESARAYRGLSFPERVVYSVTRMVLATRASRNL FAVYCVVLHMMVFYSLFWMGTGDVDRRVVAAAAVEVARNLGGAAEDVGDGGGGGSGGG PGSLSEQGFQT QC762_212180 MRCPLLLVPALSASTWAAPAFPKFTAALAPNVQAISDYFNILAT KVQENRAAGPAPICDLSKLSLPIEAEPLGQPTPGLYLKHVAIGRGTQNYTCDLSNSTA VPQAFGALATLYNASCVTSAFPDVSAMLTRASLHFDIADNDALQRLAPADLPVSGIHY FTDGTTPFFGLDTPQWHLGEGTFGKNASTAAPLTAAKGKKGEAAVPWLRLAAKGTNTG GLQEVYRLETVGGSAPATCKGMPASFEIQYSTQYWFYASS QC762_212190 MIRRQTNPLLANLLDRVSQPQQLFSPIRVFDNSNRLLSLHSSQE LRLNSKPYFIGNFHQSRGLSKSARERPDRKSTSQKGSLSGKGESLLSSSPTSQLLTRP LSLLSSQNWYTEPPAPATESNHPTVDTSKSGSATMDQNPGYQGFSKGWSNGLNPSAPS FDLNSAEGQAYQQPPAQSDSVPRYRHPNAQSSPDSSPINSQRSHNKKKNKRNRKGQSE GGGTGGEYLNPRQRRKAARGADPNQPPVGGGGGPNQLPNSNLPPKPPPGLSRDMDRAP LPAQSTLPDLLPFAPSAESGGVPVPTEAYLARANIPPAPSPSPHSLLLVIDLNGTLLH RPHSRRSDHYIRRPHAEKFVTYCIDTFSVVIWSSARPENVEKMCRDLLTDDQKQRVLA MWGRDKFGLTAKDYNRKVQVYKRLETVWGDQHINPSGMWHQGNTVLIDDSKEKARSEP HNAVTLPEFTGNKEGRWEGQVLPAVHNYLNELAKTEDVSRLMRVHPFRMPMNREEKPM NGEDEI QC762_212200 MYSLPARNGLAKQQGHTPPEQSTPPDKFYYPSHIVALTPLQPNS PFRTFTSSQTASTAAKMAFGKLFTYPGNPRSTAIRAVAKANGLDLQEVETDLAQPTEE FTKANPLKKVPAFVGADGFTLTECIAIAIYVASQNEKTTLLGKTKQDYASILKWMSFF NSEVLPKLGNWFRPLLGKTTYNKKAVDEAEKETLAVIAVVDAHLANNTYLVGERITLA DLFATGLIARGFEYFFGKEFQQKYPNVTRWYSTVYNQPIYSAVAPEFALLDAPKLTNV APKKAEAPKPAAPKAAPKPAAPAAEEPAEAPKPKHPLEALPKATFPLDEWKRQYSNVD TPEALKWFWENVPFNEYSIWKCRYKYNDELTLTFMSNNLIGGLNTRLEASRKYLFGCA SVYGTNNDSIIEGAFVIRGDDYVPVFDVAPDYESYEFTKLDPSKPEDREYVDAQWTWE KPIVENGKEYPHASGKVFK QC762_212210 MASIPTTRRGLAAAMRGAVRPRSLEQQFQALRLNAKGSRCLSTQ SFQAAPEIDSVKLEGTIRARHARAVPVSPSYFSRTSRFNDSYLALDKLLRIYGNLPTI PANAVERVSWKTLDDFRHAVGEQVKATDYVKCLAIVKRLHQIHPKIKPAPVIQALNDF KRSVQAFSNETVKKTLDKYGRSLGSGRRKSSSARAWLVEGNGEVLVNGKTLADYFGRV HDRESAVWALHATGRMDKYNVWARVEGGGTTGQAEALTLAISRALMVHEPALKPALRR GNWLCHTRSKKGGEKEAWPREGEKETCVGQEIERFSGSIELSWVSHNGSNLGRVLPQF FSICKPDFVTTKLPTIDATQGFVRTGTPITVQYKAIGALRFTEHNVPSQSRREGEGIY NEPYYA QC762_212220 MDDSRGQRRQNDPPTYSRQHHPALQAQAGQDRRSFTGTQRDSRF QTTSLSSSPAGSSRGMGGSAGYGAYYQDSTTTSFPATAMTQGALGYHHSAADYGQPDS RQTQSFAGTYNPSMMYNVQQATGGQSAGVYDASQQFSSRQAAGLPMMTDVTAPYFSSE PTNTTSALQAQAQTSSTPQVYQQPGLHGYSTSSMAAIGGITTQTTPAAEVRMEEEYPA TGGLDDAYAQYQSALKGIFKDIRNGALATAGESLLQVSTWLLGHVVELGLTSDDQNLH GERIKLWNDFNYAWLGMFQRQKEMMESGQQLQRSQSLVPQEELEKMAKELIKYCDNIE RHGLVDYQYGVWEEQIIEILGECVDLYESANASGSSGGEGSSSSRRR QC762_212230 MGNQTSSVLDNIVQGSNFDRDEVDRLRKRFMKLDKDNSGTIERE EFLSLPQISSNPLATRMIAIFDEDGGGDVDFQEFVSGLSAFSSKGNKEQKLRFAFKVY DIDRDGYISNGELFIVLKMMVGNNLKDQQLQQIVDKTIMEADLDKDGKISFEEFTKMV ENTDVSMSMTLGMFSLDFEVTKGLCLLKQDEQINSRPGERNDGQACFANIRQPNKRVF QC762_212240 MATRKVRIKKLAPKTPLSVLREDQIDPSEYEQLTSEAQIATGVE QAEENEYHLQAVLQHAGVAADKEIPVPPPQESTLNYNELYSQRCSQPSTYIRFSQTVE ECIGCMYDMTEEDDVFLKAYNSKRAASAQLSEDDFEKIMEVYEDTAFIKTPFASIDQT IAPYEEMLQGLQSLERGKVMPHAKEIYEYWKSRRQALSNRPLHPTLKFEISPDSDDMD PYVCFRRREVRATRKTRARDVLCADKLKRLRRELEDARQLAMAAHQRELFKAEMLKTD RAIFETRGTLKELKVRLGIKTDDEDLVNQKKRKAPEAPAVQRPPPPAQLRMPVRPDGR PVEADLSQLADRLAEKENELRIDIEKKVLTHSEWNRNYVDLTRGPLSPVHGPLQDPNF RPAKTQYLMTPPASASSVSMEEPTPMELDKPEKPRDFGPLLKFRGVAPDEESRANPPS YRRRIGRLNRLWIDRRGLASPPREVSAEQYDRWKYDQSSDDEDEPEVYEVDPFDTRAL KFRASVPPPVWMTHRVVPNSRTMMPAAQAAMMQQQQHQQQQQQHPIPPNQPASLPAQL QATKSPAQVKGAT QC762_212250 MTELLKKTRRIALGCEGSANKLGIGIILHENDTSTVLSNIRHTF VSPPGTGFLPKDTAAHHRSFFVRIALQALRVANITIPDIDCICYTRGPGMGAPLTSVA IAARTLSLLWNKPLVGVNHCVGHIEMGRAITGASHPVVLYVSGGNTQVIAYAEQRYRI FGEALDIAVGNCLDRFARTLEISNDPAPGYNIEQLAKQGGRILLDLPYAVKGMDCSFS GILTRADELAAHMKSGGKGTDGEAFTPADLCFSLQETIFAMLVEITERAMAHVGSSQV LIVGGVGCNERLQEMMGAMAAERGGSVYATDERFCIDNGIMIAHAGLLAYETGFQTPI EESTCTQRFRTDEVLVKWRK QC762_212260 MGNSPSTSKPTSQSSSFQSNAPGSQNESPRPVRRDNKHPIPVQT HRVAAPPEPSLTQAQGTAVQPPSTSSNRPKSLQHRPCAASPPGYSTPSSSVTADRSTT VAKPVDVKQGIPRPSHEPAKPVAVPYSHNSPSSPRSPRSPRSEDIYESVVMPHSSLQD MSYMTRPPRLPLPIEEEVHTPGSPIISPADIGQPLEDVEELDSQGLVRPPSNVSNASV LEDDDPEGLLVDKNRPTVPTRLEWKRGGDKVYVTGTIFQWNRKTRLHPVEGRPGVFAT TIDILPGTHHIRFLVDGQMQTSPDLPTTVDFGNNLVNYIEVNPDDLPSAAESGSGAAA AGAPAKDGQQTTERSQNPVSEEESNQQQPKASFRDCPPAELFAHKIPRYLLDFDLQED APQYNTACSAIEKLPMPPALPGFLGKPILNAATLIKDDNSVLNMPNHTVLNHLATSSI KNNILAVSATTRYKSKYVTTIMYKPTTTEGI QC762_212270 MSENYVAPGQQRYLRACMVCSIVMTHQRFRDEGCPNCEEFLHLQ GSSEQIESCTSSVFEGLIAIADPTKSWIAKYNRLDGYVRGTYANKVLGQLPDEIRTML EEEYRIQYIPRDGSATEAD QC762_212280 MSSLKQFIRNVRAAKTIADERAVIQKESASIRASFREESADHGV RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGHLATSLLLDENQEVLTLV TNSLQNDLQHSNQYVVGLALCTLGNIASVEMSRDLFSQIENLISTANPYIRRKAALCA MRICRKVPDLQEHFIEKASQLLSDRNHGVLLCGLTLVNSLCEADEAEGGEEGIVDKFK QFVPALVRILKGLASSGYAPEHDVTGITDPFLQVKLLRLLRVLARNDAQVTEQINDIL AQVATNTDSSKNVGNSILYEAVRTILDIEADSGLRVLGVNILGKFLTNKDNNIRYVAL NTLVKVVAIDTNAVQRHRNTILECLRDPDISIRRRALDLSFTLINESNVRVLIRELLA FLEVADNEFKPTMTTQIGVAADRYAPNKRWQFDTMLRVLSLAGNYVKEPILSSFVRLI ATTPELQTYAVQKLYANLKKDITQESLTQAGAWCIGEYGDALLRGGQYEEEELVKEVK EHEIVDLFSTILSSSYGTQVTTEYVITALVKLTTRFSEPAQIERIRRLLQNHQTSLDV EVQQRAVEFSNLFSYDDIRRGVLEKMPPPQIKESSRVLGEAAKKTKKADNRKSKSLKP KEEDLLFDLMGDSGPSAPSPVNGTQNADLLADILGGASAPAPTSSSPAPAQSNVASIM DLFSQGPTSTPPPGPAAAAPPPSAALFSMDAVPAPQAAAASATPVGHPCYDNNGLDVT IQTQRNAEGTVQAIARFKNTSGAPLSNVGLQAAVPKSQKLQLLSISSTDVAHGAEATQ MMRVAGCKGPLRLRLKIGYTHPAAGQVMDVVAWQEPA QC762_0045020 MEQPQPPKPIRFPRSKRILLLAFAATAAFLPRPSSFGSGGGGGP TCGMDAVMIPTAIRLRESVKERAGGTRSIPRPPLYLTRSTTKA QC762_212290 MADSLTEEQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK QC762_212300 MWRDRTNLYISYRQSYAHHPAQRNRYGPSTVGERFGGSSGASTG VLFSADEDRRGLLSAGAYDVDDGDAVIEMDLLPPRWSDVSDEVSEILADIAQKSQKLE RLHQKHVLPGFNDEDTKKAEEREIEKLTQAITKGFHECHGCIQRIEQMVREGKQTGQM SKTDETMAKNIQVNLATRVQEASSLFRKKQSNYLKKLKGMSGLISPMDQTSTSLSGGE PSLMESDADRTYSQATLQAATHQKLLHSNDAAIAQREREIEEIAQGIIDLANLFRDLQ TMVIDQGTMLDRIDYNVESMSSDVKEAAKELKVAEGYQKKTIKRKIILLLLLLIAAVI ILLVIKPKNHGGSPPSPPPSEDD QC762_212310 MGLVDAPNKVPHQQRVYQAAYRAHTRIWRISPRSGLMLTPYYAL MWGTFGASMYAMGRQVCGYKTWFGKN QC762_212320 MADRFPSLEDFDSGAQTGVQDASEVPTTSNFLEREKAILGDDAN QFATVEDAGFDEDDDDLLGGGISATGNNSAAFDSQFPDITSPIEGLTPPAGTTTITGP SVSYNSGYNAYAQEEEEPQVIKEWREKRDAGNAKRAEQFAQQRAETVKEAQQNIDDFY ENYNNKKEKTIAQSRKEAEEFLASREDTTSGGTSWERIAKIVDVSGKGAKGGASGSGK ERFRELLVSLRKDEKAPGAEGY QC762_212330 MAYTNDAVLSKLSALNETHESIATTAQWIMFHRRHAAQTVNLWL GKLKDLPPPKRLNMIYLANEVTQQSKARHKEDFIIAFAPIIAEATASAYKGANSEVQN KLRRVVDVWRDRSVFDRETIQGLYERMGELDKSRPTNNGGTFGGSGFHSSGPPVPSEL MPLVAQHQAVTRSATPMKAALSNAQTEYEKLSDPATAQATALPLQAARLNGLLKNLAN AEGAVAESLRTRKEMIRSLEKLLAENQNALQQEEAQMKLLSGRRTEIEHKKNQVEATI LSGQVTKQEDRTSTEPEPPQFEALTPPPQSDADDEHVQSDPIKTEQNGNNGVPQQTPT FPVVAPGIEMLSSVAANYQAVPINGSNKKRKVAPSDDFPDLGNDGIDEDVKEMLRKDG QSA QC762_212340 MSPILARLAARNVAFRGVQQQQRTFSVYKSLRQFARSFEHAPFE RLPVSTNSAAADWGRQIKRVGKQGAVYFPAIGMILGWPYLAASGLDGRV QC762_0045090 MIVAFQKWDDLAADTCKAEMGQDCESVIVVEIWGHSLSATEIQS PISEPLVVAFWCWRSKPHSLAIQSPYPRFIMLLEITVKEFELSTSVTLSNTVPNEG QC762_0045100 MIRRFLFGDKFSTPFDGPVDTPLCGYLHTVLHYKARRTYKVTSR LVAPGFIDTRVSAIVVTHYPQTFYGTEDPIIYPVRAWVLNIRTVSCGLMCGFGPPGSL QC762_212350 MVLFPHCHSSSALFSAELCDQQTILRRSSGVQLAIGLLQHDDAM LMASEAFLAVRWCPPSNIITSGEPKWRVVVSIKAANVPVFCMLCCFLRYPESFLQAAI PQTPTKTPQEPNNTFLTSQLNNTMNSYNNPESCGCCVWSDGRLYQPATCHVCGGNLRW GCGSCKNTGLEWEPCPHYHQSNATRRSAQPAYTTTQQ QC762_212360 MSVILCTAGYDHTIRFWEALSGICSRTIQHPDSQVNRLCISPDK RYLAAAGHHTVKLFDIRSTNPAPLLVFEGHTGNITGVAFHCEGKWMVTSSEDGTVKIW ETRTGTIQRSYNHGSPANDVVIHPNQGEIISCDRAGSIRLWDLAENTCSHQLIPEEDV SVTSVTVATDGTLLCAANTVGNVFVWRLEQQYERTTLVPLTQFSAHSSHYITRILLSP DVKKLATCSADHTAKIWEVKEMEPQGPDSEPRPFPLEATLTGHQRWVWDCAFSADSAY LVTACSDHYARLWELHSQQIIRQYNGHHRGAVCVALNDYSEAR QC762_0045130 MTQRTVKFINDEASSVHGNLKVASVYTTESGEWKLGGFEVLSNV KDDEAVIYTYGSLVPDSGRYTPPELAKSGWDAIKRSPHSAVDAYDFGALIFEIFNGSF SSDQAGQTKNIPPSLHPSYKRLASPNPKARLTVAHFLEQGRRNGSFFDTPLIKLTEGV ENLGVKTEEEREAFLDDLDQLTDDFPEDFFKMKVLPELLKSVEFGGGGPKAFGLVMKI ATKLSNEDFDAKVLPVLLRLFGNPDRAIRVTGFTDVAPVVREQTLKSVLTIITKLSDR TINGELLKYLAKTANDEQPGIRTNTTICLGKIAKYLGTSSRSKVLIAAFSRSLRDPFI HARNAALMALSVTSEHFSDEDCATRVLPAICPSLIDKEKLIRDQAVKTLDVYVQRIKK AVESMPETALAPESAISGNPRMDTPQAEAAAGWAGWAISSFTNKLSAAAGDITSSNGA SSVPASSSAPPSRPSTAAPITSNSSASPLHRQAVKSPPPSAPLTRNPSTTGELFFPED DNNDDGADFDAWGDMGDMDDDDTSTPNKMTASISSNQSNDNPFAEPSSSKKKSAVTTT ASSKPFDDGSEPDFAGWLAQQAEKKKGAVLGGSKALPKGLGKTTTATTAKKTTVPVKS KPVVVKKIDTKPKDDDGDDGWGGW QC762_0045140 MDFLKSAVASAMAAKGPPFPYNFGDKVDLDPSIWTLYNGTRRED GSDCSIFSFETAANRSALPLARNALKKLRTLRHPGVIKVLDTVEV QC762_212380 MDHKTAELAATTERPKTKKRQYYEKSALAPSSSAWTVLRVSAST ASHEEGSREATAAMSDSQSSAPRRPLEEPMCPEHLRLLKGNSRPRAPAAPTSLPSVTA NLAPSSKPNPKKTLERPATLMRKTASSGFPHSDSKHGTPPFLPPKTKGSSSSLPPEQR PVINTMASTTVASARSQLPPAPARAYTAPISPTLLKDMEPPKKRQKISPILSQSNESR LNGAGASADSLSAPDSPEKRPEKERRAGLKQSKPSAKEPVRKMAPMRALKFADEPDND LARPSVSGTTATSPINGSAEVLSQRNPPSRSVSLNLDQGGHIDRREIDSSLPPHPSKP TLTSRHESLEIFATTSSVGPSSHQPRTDYFFQEKRPSTSKRDDSSESPPIPPTETNHS TANSVSTPPRVKKTKKLVITTKARPPAKATRPVTPTDLDYFIYSQPGASTPPPGLEIP GLNKPPPASLSAVSSTVSQQPSKAKPVEDEPLALDIDPRIHYPRAHSLAWHAMKAQEI EARGTRKQRFGKAAQSLKKQMEAQAELNIPWEETLPDIIQENPAWVGALKSLRGIMPT PAVEAEGGGDLMDLCTNEAIAKSANGSGTSGPVVNGVERKIRKMKRTGSGLSVVSRDG VLSFGDRNGQGSGSVNGSFELSEYGMN QC762_212390 MRPIDLASRSGVALRRAFQTQQLRQAAGSRQYSNITSLPPTYEK LHSKYTEVRRVLGAQRLTLAEKILYSHLDNVEESLLNNTNNGRDIRGKANLKLKPDRV NMQDASAQMALLQFMSCNLPQTAIPASIHCDHLIVGSKGADDDLQAGIQTNHEVFDFL ESAAKKYGLDFWAPGAGIIHQTVLENYAIPGLMMLGTDSHSPNAGGLSTITIGVGGAD AVEALVGAPWELKAPKVLGVRLTGKLSNWASPKDLILHLAGLLTVRGGTGYIVEYSGP GVETLSCTGMATICNMGAEIGATTSIFPYTEASARYLEATRREQAVKNAAAFQNFPGA GASEDAYFRFKADEGAQYDELIEIDLSKLEPHINGPHTPDLSTPLSQFKSTVQEQKWP EKLSAGLIGSCTNSSYEDMTRVESIVRAAEEAGLKPKADFYVTPGSEQIRATLERDGT LQTFEKAGGIVLSNACGPCIGQWKRQDGVEKGTVNAILTSYNRNFRGRNDGNLDTMNF LASPEIVTAMAYAGSTTFNPVTDSLTTPDGKEFKFPAPHGLEGPKTPFDIGKDVFKVA SQPPNPNEKVAISPTSERLALLEPFEPFPNSDLSGLKVLVKVSGKCTTDTISAAGPWL KYKGHLPNISANTLNTAVNAETGEVNVAYDLDGSKHTIPELAKLWKERNQPWLVVAEH NYGEGSAREHAALQPRYLGARIIVCKSFARIHETNLKKQGVVPLTLANEQDYDKIAAG DEVATVGLYDMLQNGGQGEVQLKVTKKGTGEEFWVPVKHQVSKDQAGFILAGSALNLL SKSASV QC762_212400 MAAVTRRKKTIGQRRRIEDDGDEEAGPGLDLDDDSLTDPSSDEH DPADDSDTSNVDEVSPRLLSARKALGNGSAKRVYRHKPDVIVSKDSATKKPEPVIAGA DVMLEGLTLGDKENRAEELEFDDIKTDTKPATAPSVKDSAPVIVSSTSIPGQQPRTSL QDQKRREHDEYRKKRDEDPTFVPNRGNFFLHDHRHAGPAANGFRPYSRQPLRGRGGGR AGAFGREFIPVNPFQNPSDPTTRGPWQHDMHEQVAEHPPPAPYRPSRYRPDPEGPANG NGVIPYAPAPESPINRNMSSEKVLGTVTVRVYLPNVFDGPKLFPGLVLKAYTKLPDHR PPLRRDKPVRISLPYHSAPVMPRYIYPNPDRSFIFIPRALRPNQQRTRGKGPRSIMGS GPFSRRTSVWGGSIYGSMYSPSVAMSRRSSIAHDMGRDFMLSPTGSAISRPPLPADAN KPVVRLPPFAQVQAPAPIMQAPAPAPAPASQPTHPDGAEKVGPSAESSINALPQPQTH PLPQRPAFQENKPNSIPMHQPRPQKAVSIDNIESPVRQNANAPAPYQQAFLHQVPPQI SNGFPPDVHARHPSYQSQLSVTPLSQIPERAIHAAPFQPNTFGQPGYYAQPYPALQPQ QGYYYPQGYPPANMAPNANAPSFVPAGPPAPPPMNYAASAQGDVPSAQGPGQAPTPNL VTQEVNGTVYYFNANDIPSVPGYAPFPPAQPFAPGMVPGPDAYYYQQPTPGMVYYPQ QC762_212410 MASKASSNLPSPPGETANGGNRRLGITVFSGGTAANNLVDLFNR IARPSSSSGTQTPTSSSASKTGSSDEVSRQRQLNYIIPISDNGGSSSELIRFVGGPSV GDIRSRLVRLIPTTPSNLSSSSRETSSLRNLFEHRLSPDPIAARGQWADIVESRSLLW AYIPNEKKELIRSVLNILNAEIVKRARPPNVFNFAGASVGNMFLTGARLLTGSFEAGI YLLRMICEIGGWVRVLPAVNSNHTHHISAGWVNGETGERGVLTGQVAISHPSEPTSIP DEGLPPHHMGGGGGMGRLLEVDDDEGNMPGSLPVLRRQNLKFSKEEEEDLPGGQGTRI ERVWYINLYGHEIMPKANPEVVRELSEGTEVVVYSVGSLYTSIVPSLILREVGEAIEK VGGIRKKVLILNSKLDRETRGMDASGFIKAIWRACVESRRPEDVELLEWRRVVTHLVF IDPEMVEEREMRKDMPRVDVEFLEKLGIHCAPVQGRAVGEGKARMMRYDEEELRETLE RVIDM QC762_212420 MSAATMAPNMVAAPQVTPATLVTLKVSYHGSTRRFKLPLREMVP AFLENRIRGVLNIRPDADVVFERYSDSATSYVVLDKANTSVYKQLYRAAKAKQKLRLR VTVKETPAQASLDSLIQAAEPAETPVEKVAEEPVEKPTLPIPKELIEARIAEAKAAVA AREGPKPASVADEVEEEAPAAVSSPAETSEEIEQPTPVEPVQPLKIPDAVLADYEMAI LKLEQQSQARFAAEMNLVPPAIRSNYAVCCNSCDKNVPDMHYHCSKCDGGDFDLCPGC VDQGVACYGADHWLIKRFIKNGVIISSTTETLPPKQVKQAAPPSPPSPPSPAAEKPST PSASERIIPIFNGLAYSSMRTCNCCVRELPEVDFVHCSTCEDYDLCRSCFAKNTHGHH PKHTFVAAVEGTRLEPDVARRLGAGRGQKHNAICDGCDTNIRGIRHKCLNCPDWDYCS ECMANASFIHPGHRFVPIYEPLEYAGISDLFARPTHQGICCDGPLCSVNRNNYTYITG DRYKCAVCNDTDFCEACEASPANTHNKTHPLIKFKTPVRHVSVTTTGENENGRAIPTM GDRRPRVTTSRATETVNAPVLSSSNVQTVIDVKPVEHEINKEVEEKPAEKVEIKTETT EVRPVEQVAPAAPAELVAVFVKDTVADGTVMEPNHVFEQTWILRNEGKTAWPAGCSVM FVGGDYMGHVDSTHPAATQDLRASNVSTVCYSPILPGEEFPFTVLLRTPLRTGRIVSN WRLTTPDGLKFGHRLWCDVKVEHPKSVAPVVSEPVKEEREVIAKPEPALAPKEEVQLH QSQMIFPKLEKESPVSSLHEDDKSESAVTYEQYEDCEDDDWDAAESEEGFMTDEEYDI LDASDEEYSEAHSRK QC762_212430 MASDSSSPPSSPDVKKDKTSSKKRKTDLTEIEVDVNLPEPPSKK AKRLLRKGKSLPAKPKSDDEGSNSDSDTPKTDKTKKEKKERSPYGIWIGNLRFTVTKP ELKQWFVDNSGGTITLDDITRVHLPTAKPTSAPGAGPKNPTENRGFAYVDFATFEANV AAIALSETEWYRRKVLIKDAKSFEGRPEKKEEPTPVDLTNGAKSSTAATTATPASAST KVFVGNLSFQVTEDDLREHFHKCGPIRWVKVATFEDTGKCKGYGWVNFETADAAQWAV KGFVKVKETIDTVEDFMDVDEKEEDKADDGTEKQAEEKDGNDDEEKEAKPKKKAVPKE EPRVKTRKWWVNQLLGRTLKIELAEDDQTRYQKRFRGKGAAQRREQNGAGGEGVAEIK EKKVWVPMKERRMEAKGTKFHQDINVARLTGAVVAPAGKKTTFE QC762_212440 MNAVLSPQPPIFPHQHETTRISPSRSMSPYNNMASRKRKADDDN DDMSVSPLSSPALPSRPIVRPSKKIRQANDDVAARPLSLPRLLETLTNDQLRTVLQTI CERHPDIGHEVVTSAPRPTVACALDILAGYQEKLHDATPLGHTNSDYAYFRVKQQLGA LLDAISDFTSQFLPPLEQQTNISLQFLDGVTKVIHELPDWENQSHRHHKDAAYDEISA AWALVITEASKRGGGFIVHTGGWDQRLVKHNQQSGGKMGQAINALAVEVGWQGQNPIT DTPAPSGRDPNSILNQLINGAYGSPVRVGPW QC762_212450 MPAPGDQHTSIVNPFEDQKPVINEFTAQQIATLQSRLDKQLGPE YLSVRAGPGGSKLHYITAEKVISLANEIFGFNGWSSSIQNFQIDFVDEDPKTLKVSLG LSVIVRVTLRDGTYHEDLGYGHIENCRGKAAAFEKAKKEGTTDALKRALRHFGNVLGN CIYDKEYLKKIGSIRAAPTKLNQDNLHRHPDFVKSTTGPVAAQVAAPPQPQPAAPVIP SSVSAESFEDFLGELDEADFMISEEGHPDEVLITNSASDTSTVSVSDKSPPQTPNPMS RTNAFVGAGTQINSNNNNGPRPSTGQLTSGNQFNQNRPSIQQNNNYNQPPRPPVAQNG APQTNGQNNNTANGNNNNNNNNNNNNNNNNNNNNNNNNNNNAAPAVAPAEVGFFSARA VKDIPEDALVAGQVTPLKAGMGFNPRAESPSIRKTPGIDHSTSKPLSRSGQHVPPTKT TETKPASGPVPRPGGTGVVPYQRPSIAGVTNPQLDSTRRIGVPGSGPGSPLANRNQYR PPTIKRPAPDAGNGNGQQQNGTREPLVDGNKNAPIGQQANGGFPGPEAKRPRVA QC762_212460 MGTDTKKSSRPPPLPVPTETESTIHTAAPTGTDLGTELGSEVSA PGDEKSSYSIPDDGTPVTIRAGHRASKSQTSLLIEYFEGGKSTSGNSASADSRKPSVR VRLTPSSKRRSSRGSDGDHIRITESRSSRKASGGRRSAAETLSAISNDLEDASSYASA TEESNVSRNPIDIEIERGARRRRPASPLIPAADGSKASYTGGNMSDISAIPTDSFLDG SGGTTSFRASDSKSPDYVAAAAVGLGAAAIATVASEKSRTREGGRERVVVTRSRDKER DRSKHKSSKSRTSSVSKDDKSADRSSRRSSKGHPESMVSVADSSMVSSALAPSHRSVD QHSIRSGASKTSSINNPKLLETVEDAIRRLILPELNALKREQSRRGSRRDSTTSSATS ASRDDYASDKRRSNGTDKMLTPRDSLKTSKERRDREARNNDFDDSSALSHESVEDSHD LDNTPVRSIEPLKVAAAGAALGAAAVAANEAFGSPSEDKQRSRRRRRDERRNRSSEQQ AFDQYEDSDLLAPPAPPMPLMSDVNASDVTRASILSADTDRPHSASEELTPVRDLTQG PISSESTPTPTRTPVNTLQALGAQHANLSHGDLKALPRQRTGDPDQYVLDDNGKKVPS RHARQFQDEEYDDEDEEPSPQYPPNPYDYYSTQDVPPPLKYVPYQPERRGLSPIPSVS GYTEAGSDVGPNRDSRATHRTIDSEISTANKSPRQDGGAMNRNFAGYDDDDRSVRSSN VDQTQGPSPAGSELDRVTSGQAVRAVALNPAFVHPVGIESNVASLIDASMLEGSALTV DSDKLYQGRESMATLDEEIDRQLGSPTKRSVVSQASEGQRSREFVQYDLDEYGRKVPQ NTTYRQSPTVSEAAIASRAVDAAAAALRAQNGKGQQETQEEQAWQGAGVQRNRSFKER TQNGPRPGIDPSLSTEKLNSEHEKPTMASSYIPNQNELMPEILDWGYEDDLLTNPSLL DDDGGRDEEGQWPEEATPRQRAQYPPDDDIDYEPLDGSPAQQKGNGGNLVIAGAAALG AAAGMAMAQNHSRQVSQEHDEWYRTSEDRKRDTLVTNPYEGTSPIANLPGLGGNGFDA AYDDYGTRSPLGLKVDEGYISQGPNKTPDVQDKGKGVDFGLATRGPGGALDDPFYNHG NPRHLSGMSQGIASPMYDPATGMGMDNIESRDIMALMQHLMVRDAQRSARDTEILVTL VRSATEMRNNFEDLKKLLADTEDVIITEVKENTDKSVTRAINGPRPYPGSTAARSIQD GSVNGDDINAKKRSIFRRALLGLGAKGTNDLGRIEDMLNQLLTEVDVLKAQTVPGQQR AIQDDRSSFHLQPEGQYEQDHGYEPEGHAGTSTASHPSQSHFSLQSRGTSVKPGYDRM TSGHRISTVPEDSEEEYDARGGNESVNYDNNDMLMTPANIQRAESVPMATPPQAPAQA QASMSNENTPRTEEGKKKSRSSWFRIPKISRWSETTASSGVAESRLSKQSTKTEELNL PTGPSRSGSLDHYSENYQLASGQAPQTDKLHSGFSAQDLSEGGFQQQQQQTYHDEDLY NQPIPGGPPTANWITMVPGTPEDPKYKAHRDSLNLQHPQPRQGQTERFKAALESQALG YDTPMSPKSADWAGSATSLNRFPRNPNRDSYGSATQEYQQMWSQSPAAQNMTATSGGP PRPPKEPLNDVIPNSPGGGGLVRGGTPIQNKRVSKLQKGSPLPHHSVESGYGTMTHGV PTASYISEGQRSPKPENRNLTGAQTQVGRRPSGPRPMTPTGRSGGVASPLGSDFEDDE RQQGSQQRSRKRDTFGTMNSQDTDTF QC762_0045250 MSTNPLQASLPFTISQTSPSHQRSCTLLLKKSTVEPTPLAAGPR LVCLSLLRRPVASLSAPRCTTDPRLHAQLAGPLKQRQHIKHLEQSQPLLDNNKVSHRL CQSPSLCPRSASCQRRIQEGQVCASHCDQSSGSAPKTARTRHWIRAWRTYFVQASFEE ATAYPAAVFHLLPIASHPTALSGRHQQEEVDFQVLFIDANCPAAERIPVRLAPINNSR PSHCAATHFFSFDRPPATQPHRFCAPVLLLCSHSRILMILDCDC QC762_212470 MTDSLHNVSVVLDNGSGTIRAGFAGDDVPKCHFPSWVGRPKHLR VLAGALEGEVFIGQKAATELRGLLKIRYPLEHGIVTDWDDMEKIWAYVYDEGLKTLSE EHPVLLTEPPLNPRSNRDTAAQILFETFNVPALYTSIQAVLSLYASGRTTGVVLDAGD GVSHAVPVYQGFTVPNSIRRIDVAGRDVTEHLQTLLRKSGYVFHTSAEKEVVRLIKEA TSYVARDPKKEEKEWAASKQDQSKFAEYVLPDGNKLRIGAERFRAPEILFDPEIIGLE YPGIHQIVVDSINRTDLDLRKDLYMNIVLSGGSTLTKGFGDRLLSEVQRLAVKDMRIK IFAPPERKYSTWIGGSILAGLSTFRKMWVSIDDWHENPDIIHTKFA QC762_212480 MPSLYTITEPHPTVAKNSYTHSGRGGAGNFFRAPQTTAPSGVPT PAATTPSSTGRFYSGRGGAGNAHAKAERPVLSFDEEFTRAEVREKAATISHVGRGGAG NIFSSSSSSTKKTSTELSRRDSNSTNGSTKSGFWGRISSLGH QC762_212490 MAYNRLNDDYYESHPMETRNPRRSPSPAHPMQSGYQLDDAPYGH SHLDMPSAGPGRFSPGDSLQMQTAQSVDNLGGYGVNPEAHHDAYYNQPYEPTPMQGQG YDGGGGYFPEDDRRPMLTHNDSQVGQNDPYHDKQQAPKNQNAIKRWKTVKQVLLYRGN LVLDCPIPPKLLNQLPHGERDEFTHMRYTAATCDPSDFYNENFTLRQKLFSKPRHTEL FIVVTMYNEDEILFARTMIGVFKNVEYMCKRTESKTWGKDAWKKIVVCVVSDGRAKIN PRTRALLAGMGVYQEGIAKQQVNNKDVTAHIYEYTTQVGMAIKNDVVQLIPKQQPVQM LFCLKEKNQKKINSHRWFFDAFGKVLDPNICVLIDAGTKPGGSSIYQLWKAFDLEPMC GGACGEIKAMLGKGGKNLINPLVATQNFEYKMSNILDKPLESAFGFISVLPGAFSAYR YVALQNDKNGQGPLEKYFAGEKLHGGDAGIFTANMYLAEDRILCFELVTKRNCHWILQ YVKSATGETDVPDTVTELILQRRRWLNGSFFAAIYAIVHFHQFFRSDHSIFRKFFFFI EFIFNTINMIFAWFAIGNFFLVFKILTTSLGDEKLLGKVGEILGVVFLWLYGVSLMTC FVLAMGNRPAGSGRYYMAMVIFWAIIFIYLMFAAVYIAVDAIIQDLQVNNFSIDSLFK NQVFYTLIISVLSTYGLWFIASLMMFDPWHMFTSLIQYMLLSPTYTNVLNVYAFCNTH DISWGTKGDDKPDALPTVSTKDGQGKTDLPDEGDLNAQYEREMQVFSRKPVKEVKAPT PAQLEEKQMDYYRGVRTVVVLIWMLMNFALVAVVLSTGGLDRVVEDDSGRTEEESKAH KANIYLQVVLWSVAGLSAFKFIGALWFLIVRMFRGV QC762_212500 MAAARGNALRDKQILSIRKILNLNEALELSEGDEINANGLPVAP ILKDGTPIWKVLVFDDLGRDVISPVLQVSDLRSLGVTMHMHISANRAKIPDVPAIYLV EPTPANLEAITRDLKNGLYSSVYVNFLSSIPRPLLEDFAAQTAVTETSEQIAQIYDQY LNFIVTEPDLFSLGMQKQHTYWALNSAKTNDEELDRVVDRIVSGLFSVVVTLGVIPVI RCPKGAAAEVIAQRLDRKLRDHVLNSKDNLFSSQSRTPGVAAGTPTSRPVLIILDRNV DLIPMLSHSWTYQSLCFDVFRSELNRITIETPVDSNNPAKGTTNKSYDLAANDFFWAK NACLPFPQVAEDIDAELTKYKEEAEAITKKTGVTDFEDLQNDTSASAQHLKAAITLLP ELRERKATLDMHMNILAAILQEIQNRKLDNYFQLEEEVAKQTKAQILEMIRTDDKGQP TDKLRLFIIWFLSTEQDVSRPEWQQFEEALVGAGCDKTCLAYIRQVRATTKMTQLTTI SNQQSTGQQSGSSDLFNRFSAISTRLTDRLKETGVPTNALSSNVASLLGGIKNFLPVD RDLTVTKITESIMDPSAASSSAIAKTEHYLYFDPRSANARGTMPQPVRAATQGGAPGG LPGGPGGAPGMGASFGQRRQGFSEALVFMVGGGSMDEYGNLQEWAARGATSGDKVKKR VLYGASELINAGQFITEELNRLGKEIS QC762_212510 MDQSHGHDSGMPDWDPNAFISNDTWDHQFMNPNLGFDQPNGGDH SFQNTEYLGSAPIHPQLSGAAEFRDFDPYLSQHNPGNLWSNSSQTEAQYGQDSAIDPS FYQEQHQHHAREPNPTTNSRFALNVPQNDDFGSHLHAPNNQEATHSLLDTPEPTQNAY AQNSMPQWHNQVAASYAPGHQYENPLAVSQAATLTPPVQNGSPSPFGSARNTAAQYQP EVPPVHRQHQQHHPQIQQQHQAVNARSVHPQFAAGINGQPQQQQQHMPVNQLPMSGVG SPHVSPQPQPAQKAMGHQLAQQAPRSQQMPQQMAQHLSPQVPHQLSPHVSQPQQVPQQ AVQQNPFPKQIERAQQHQSASIQSPQSVPAQQPISQPIISHSPQPQQGLPHHQLQQQQ QHHFVVQQAASPQGQKRPSDAQLVSAIAAKKARIVSAGPAISNSPLPVQSQPVLFQLP VPVQQRPRNLEPAPPVCTINFEDTKLLESIRDQDTLRFPGVPNIVIGAEPVKLKRSPP TKRYVTLTARPGKAPLFPGIARGWIMAESLANHNEAYKNAKTDEERYQADTRLDVEMA RAGCEMPVEWMKKVLKEHLGPRAALPAPPPEPKKTLINATEKVRLHPAHLVNKGLFEK VNNEYYLYVLEIASSLRDVLTRLKNPNQSEPRETRDPAELKLRLEQAIVAGITYGHDQ VLAELIVGTIPGTAEKKQPVKKQHLAVVLCNLVIKFYNAGELNSSLTKAILKLFTRFT TMTMKLLTLVKMKQIKGVVGKKGDDETKALVARIFEIAESNPSESSDSESDADSDAQE PSGKASGASKQKDSKKLSKEGSASTSSKSTNAISGSDSKKLPPTTLASKMAKPNSDIK KLPATSVSKTMGPNISEVKKKVSSSASLPAGLKRSREDDAAGESRSSKKAATESSTSS AAGIKPPPSLLSGSKLPAANKAAAAKPATTGASSTTATSSQPKARSALLLPGKTRPLT KPAPTKPEPPKPIVKPVESKAPVAKVTKPRATETPKESSSSRSAFSALMDEIHQPKKV NTPVLPTKATPEVPLNETPEERKRRLRKEERRALRLKVTFKPGDNLVQIREFAREPEE IENSAKMAGGANKYDEAESFRKVNSKLGIRAHEIEDRDWETPVAIDFSHIPADKRTET FETRGGLKTFETDEQRFIAEHDRNELVVIYNHLNDIPPTPRSPQYEPSLSSSGSELRL PLDTPNYDEIRVRADECRKFGTRQASRFAQGRLESKTQAERVQAKAKSVQQDPNTFYS PTTAVSRDQGTYEVIDSDRLKNWRDPNPLPAPDPTKTSEERLDAVLKALDMLVRTNVA SVSARRAQVTSVAPAQASAPMSVIPAQVAVSAQPSASTPAAAPSQTAAAAPDYSAQWA QYYQQQAQQQAWYNQQQQGSAQAPAFAAPQAQQQAPDVAALLAQLGGQAAASQPAVQP QNAQLQAVVAALAGSNQAQGPELQAIMAALAGNNQPQNAQYVANLMEWAQSQSGAAKP TSTAAPTAAYNAAAHPNYQAQSNYGQQQQQHQGGYESRSYNQSNQSRPGNDFSASMYD DEGYVPPPANNNTGGGNYGRDRDWDSNRDGPGGGGGGGGGRRNKKHKKHGGGHHDRDR DNNNKDDVPEHLRNINTRLIGTKQCAFYAKGTCAKGDKCTFRHD QC762_212520 MLQAVGNAHDNHFMTSESNSVASLARALHDGKKHLLLAASGSVA TIKLPVIVEALAPYAANLSIRIILTKSASEFLNGQSDEQPTVSSLLHLPNVDAVYRDE DEWGPQPWKRGASILHIEFVTFYPWTYSEDVGDLIQLDWDGVLTVEIGADMLVIAPLS ANTLAKIVNGMSDNLLTSVIRAWDTDGSIDLKKKYIAVAPAMNSAMWRHPITAKQIRT LREDWGVRETLLDPDGAARLIDGWFQVIPPISKTLACGDTGDGAMAKVETIRDVIVHR MNLTGPHAH QC762_212530 MATPTAASTGTATPLELDPEQAQQSLKISLADLAAKAAALFAQK KYEDAAEQYARAAEMQAEMNGEMSPENAEILYLYGRTLFKVGQSKSDVLGGSAPQAKN QAKPKAPKKKTAAANGAKNGEGSSSSAAAKAGEKVEKVVAEAAGKEAEKESGAEIKKP MFHFEGDENFVDSDEEEEEGEEGEGEEEEEDDDLATAFEILDLARVLFLKKLEASQTE SEGKGKEAAEEGSDNPNIRHLKERLGDTHDLLAEISLENEKYHTAINDAKAALKYKQE LYPFESEIIAEAHFKVSLALEFASVTKQSDDDTAESKDASSGEVDQSLRDEAAASLEQ AINSTKQKLQNKEVELATLHNPEENDSTREEISNVKEMISDMEQRLKDLRAPPIDISS ALGLPSRSEQEKQKADNLVLGSVSDEVKKNANDLTGLVRKKRKAEESVTVEEVKEQEQ PEAKRAKSEDVLGAASAAPTAN QC762_212540 MMFSSSAGGKKATPQQEMVQVERSDSASPFWKAPQRDTPPLKRP ARDSSLVVGAAYSHADILKFDSLNISGSGSRPRTPPSATSALERVPTQSPTTRTSKSP PFKQYMNFLSSTNDDWTADEAEDMYDYESDDGDDFGLPSLSNMKRRTRKKAEQSRAHL DDGLNGGGRERRYSNSEDIAIERPAASYPMPKKSEGKILRPQYKEILRDPANALHLIN HPSIPANATPKEVDAINSRITRINKFKKLLQASTIPLPDLRSLAWSGVPEEVRAMTWQ LLLSYLPTSSERRVATLERKRKEYLDGVRQAFGGGGQPAPGSSAPPRGTNRGLDEAIW HQISIDVPRTNPHIELYSYEATQRSLERILYVWAVRHPASGYVQGINDLVTPFWQVFL GTYITDPDIESGMDPGQLPRAVLDAVEADSFWCLTKLLDGIQDHYIVAQPGIQRQVSA LRDLTARIDAGLAKHLEKEQVEFIQFSFRWMNCLLMREISVKNTIRMWDTYMAEEQGF SEFHLYVCAAFLVKWSDKLVKMDFQEIMMFLQSLPTGDWTEKDIELLLSEAYIWQSLF KGSSAHLKGQGGEGSRSASMNFTL QC762_212550 MTEPENFDDELFADLYNDDDAAPAPKPAAAAQPVQYAAVQPTIE TRQEDSYDPNQYNDYSGGGENGNMNQDDEEEEDDDDDIDFNLGNGPSTTLAPHDQQDY NDSHNNNNNNNNNNNSSSSSSNNNSHHEERQSYSAPSAPPAHTKGPNAKEDG QC762_212560 MEHHNTTRPPPSSPEDYIPLSPSDASGNDDVLGRDYIPLSSSDS SPPIFSTSSPLSCSAISLGTAEELGDHSTSSEEGDSCDEPSPRIIYAKKDNRKMFIGG LNWETTDQSLRDYFSTFGEVVECTVMRDGATGRSRGFGFLTFKDPKTVNIVMVKEHYL DGKIIDPKRAIPRDEQEKTSKIFVGGVSQETTDHEFREYFAQFGRVVDATLMMDKDTG RPRGFGFVTFESEAGVEACLSANLEIHGKPIEVKKAQPRGNLREEEENNRRGGGAGGK FGKRGGQGGNGMDDGGQMGGMGGMGGGQGAGGMTPQVMAQYFQRMQQAMSMMQQQMMM NRNMNPAMMQMMQMQQMQQMQAMMQQAQGGRGGGGQNPMAAMGQMNPAMMQQMQAMMA QQAAQGGGPGGPGGPMPPAGGPGSVGGPGSPMGMHDQVGSPIGSSGQGGGGKAGFNAY EQQQFEQQKYEQQQQQGRRGGSRGAPDMQAAYNQGGYGGSGGGGSMSSQGGQGAPTSW EGMYDDVPQPIMSTGGGSGYGGGGRNFKNRGHNNQHQMSMSPGPSDPMNAPPANAPTG PKNAGRPGANMYRGGGRGGARGYHPYARG QC762_212570 MSTSSEPKPGLTPEQLSFFHTNGYLIIPSALPPTTVTNLLNETH SLLSSLDITIHPLTKFSTGGQDGTDHVGDDYFLSSGDKIRFFFEQDAFGPDGQLTKPK HLAVNKIGHFLHGLSPPFAELLKGVPGQRALPKDVAKDLGFEDPRCLQSMVICKQPEI GGAVPPHQDSTFLYTDPPSAVGFWYALEDATRENGCLSFLPGSHLWSRIRQRLVRGGR TGTEMVENEGRQFPRDTREMKYGEDKKVGEEEYVMGEVKAGDLVLIHGNLLHKSERNL SKKGRIIYTFHVIEQGEGYKYDERNWLQPPEGEFTRLYQ QC762_212580 MSSGNGWLSRQRKSDLVDLADAIGLTNYEKLLKSDLEQAIDEFV SASPARFQANPKLKGYFSSRARSENSPVKREFAPVTLDATVTKTVAKRRQTLPVKEEV VVDPAESASPSPVTSPEAPEDETESLSTALVRTPGRALSLASRLPQLPATPADVAASV ERSTALVKTRLNTLYSESKIPEATHQTREWLSTVHSVLSTIALFELYKLRQELLPDRY AFTIPAINLLGTHDHPVKLPDMFALVTASFWGPALTWFLTSFLLPSLFGYFFNLSAAH SSSGPAVVTRGRPRKDVGQEYAVDPLTFSIVKALATYVVYAQGVTFGGLIDPVNVGRI NGAVYSGWKGVLVGTAVGGLVSFYDAVLRK QC762_212590 MLFTLWAALSAAVAYAVFSYFAAWRRNVLIARKTGLKYFVVRLW WQLGIKIWSPMIKILPKWIWEYRLLVMTPDWAYSTGQKVFEYLETDTFLTVSPYDILM YTQDAEVIHRITQRREAFPKDIDKYSLLSMFGHNVLTTEAQTWRLHRKVTSASFNEKN AAHTFSEAIYQTRGMLDELFGAGGETKGTTKTITTLEHDTMTWALNVIGYVGFGLRLL WPNQKMPEDTDPELVKYGSLDPPDGHSITFAKSVERTLDKIITILVFPDFLLKHLPFK FASYTYEAKANYLQYMDEFLRDKAKEAQAGESTKEGMDIMGQLVQSKYGLSPSEKAKQ AGLDDAEIVGNAFIMIVAGHETTANTLHFAIAELAINPATQRRLQKDVDSLFGDSDPS TWDYEKNINAMTASHIGACINETLRLMPPVTLIPKVVSASSDQTITAQGKTHVLPSGL SCNLMAVSVQRNPRFWPTKSSEKTGAPTDLDDFLPDRWYQTQNTGTDDEKDEEGDGVD YGGFQGSDTSASFYRPVRGSYIPFSDGPRSCLGRRIAMVEMNAVLAVIFQKYSVELAV DEWASDGEVENMDAAARRELYKKAQAKAQKTLNEATSVLTLKLHGGKHVPVRIVKRGK ERFVNDVELS QC762_212600 MDVTSLLNVSCGVGGRLQGGIQGGLPVGLQGGFEGALHGTQQGE THRRDSIDSSTPSATGETTATSTAVPNTPSPPGSRATSQRSGSDSPNESMAVTNHRNR TPWDAGGYSLPLSLSIDPKSIPTSGECPRPACQSPPASPSSPGHKFSLSRSSLSSFTS ATTTASDFSRTSSLFSRHPNKSDSWSSAQYQFHQPPDLRTSPSHRNLDMATEQVDLTN IDEDEDVQTSPRVKLENHSDNYRSAAIGFNGNNSNTTRGHNQVLPLRAQSPSDVIIPR RGQSNSNVSLNSAHDLLSYQNNEDTVIPHRRVASEPNLPVGPPGTVGRFWIGPDLYNY LVPDVCQDHTECVRMLDIDKPPECSVMTPCTLPDAKINMRKSMSHIFGRNKSCTRAVP DHVWLMVCRKHYQRARYRSDMNYQSTLLQRIIIQVVRIQVWSNDNERSGKDGILKDWT VAVRRREAKRIDDADKGKRKGSKKRTRADAEAEEDEMDDADEPDLDAAIHQASSVPVP DWYQGLCRGGYSTLEVLDILRRIDNDMDAGLLSAVPDIELLPNIEGAHTAKKTKAKTS SVHRRTQSSGAALRTSAPRAAAAPRRASQPSTISPEHDFSRPPRSEFTFERNPNPTYP PVPRDTDVTARTYDSPRTLKPTLSQSTTQYDSRTGTSNQASLPTYNTQRYDAQTNRTV PQYGSASNYDRNGIYELDFGRRSGHQRSVSDASFNQWATPTFSQGYSTPAYPVATSNY PAASGYPSNSFSGYQPAPAPMNNFTSSGSDFRASNNGYRANDYSSYSYNQRNQHSNPG SAFHGSNIKHVRNHSSPVYGTTGYTSSGVNQTVPASAPAPAPTYGYEPRWSGYQTPTI PAMSGVNVLPDPRRASVNILGSPFGSSQLAPAPARRASMVTSQHGSQDGEAYAVPGSA PGSAGSHQ QC762_212610 MAANNMVNPAVDPNIEDELFAKEVAEVKKWWSEPRWRYTKRPFT AEQIVNKRGNLKIEYASNAQAKKLWKILEGRFQNKDASYTYGCLEPTMVTQMAKYLDT VYVSGWQSSSTASASDEPGPDLADYPYTTVPNKVGHLFMAQLFHDRKQRQERLSVPKA QRAKLANIDYLRPIIADADTGHGGLTAVMKLTKLFIEKGAAGIHIEDQAPGTKKCGHM AGKVLVPISEHINRLIAIRAQADIMGSDLLAIARTDAEAATLITTTIDPRDHAFILGT NNADLPDLNELMIAGEKAGKNGAELQAIEDQWLAKANLKRFDDHVVDAILSSNLPNQK ALAQKYRDSVNGKQLSNKEARAVARGILGKDIYFNWDAPRTREGYYRLEGGCDCSINR AIAYAPYCDAIWMESKLPDYKQAEEFAKGVHAVWPEQKLAYNLSPSFNWKTAMPREEQ ETYIRRLAGLGYCWQFITLAGLHTTALISDKFASAYSKIGMRAYGELVQEPEMEGGVD VVKHQKWSGATYVDELQKMVTGGISSTAAMGKGVTEDQFH QC762_212620 MKLRNSSGKDHLQVATALDRVTHCPVKTQKWSRAHVPLSRSLLS VLPFKPRQALQLTYSVQFRIFSHGQAERVATCKIAAQMDGDEIEISDDDLTASSVDGD QPAVTNSLGKRKSPVPPELEERVVWTDDSDDGTALNQPRATKRRATAATTGGRGRGGS RGAGRGGGVRSERKRTIKIEDPGTQQEYEEAEVPTYLQQRRRRFDRDREQLKVGGLKI PPDYSELYFSDDERQFQHAERPQFDERSGIKPCRPKKQIELEYSAGIIPASIAQYLRD YQVEGVRFLHQRFVYQKGCILGDDMGLGKTVQVAAFLTAAFGKTGDERDAKRMRKMRR AGGCWYPRVLIVCPGSLIQNWKNELNRWGWWHIDLFHGVGKEDVLGAAKAGRLEVMIT TYMTYKNNCDAVNAIDWDCVVADECHQLKDRRSETTRAMGRVNAMCRIGLTGTAIQNK YEELWTLLNWTNPGRFGTLAEWATTITKPLTVGQSHEATLKQLSLARTTAKKLVHNLL PDYFLRRIKTLIADQLPKKSDKVVFCPLTDIQSQAYQNFIDGDQVQYIICASEPCPCA SGRKQGWCCYKILEDGRPWKSLTFPCLTTLQKIANHLTLLLPFSADPNDKQSTELNTV RTCIPDGWEKLWQERDSMLSLANPEFCGKWKVLKKLLQFWHSNGDKVLVFSHSVRLLR ILRHLFNNTNYNVSFLDGSLSYEERQNVVDEFNTNPSQFVFLISTKAGGVGLNITSAN KVVIFDPHWNPAYDLQAQDRAYRIGQIRDVDVFRLISAGTIEEIVYARQIYKQQQANI GYNASNERRYFKGVQKDSTRKGEIFGLGNLLSFHPDQVVLREIVNKTNVAEAKAGVLL SDIDLEKLAKDKDDEINLVKQEDDSDETGMSQLAKLITIENKEDMLKSRKTQKPQSDA VAAILASAGVEYTHENSEVVGTSRVEEKLSRRAELAANKDSQELGGDRALFADSQANS VPDTDSVWKVHYKFNPPAAAMRRQFCSMAKEFGFANATGFALVVESWTQEQRRNCLET FYRSREAKLLEKELDELRVIEAQEQPSPSIVSIEDDAVMEYGAQLEGVMRKGEGNGPV IHEDAGKILPAPPRPTIFLSDNDEDDEL QC762_212630 MEPSSSNTGFIQQQPVINNQFYDDVSYQRVTRLFLPSDILDKIT PDAAQIGQEVLSQQVFDWVTDAEHNQPYLRGNGRDSFGKPKSELVVGEGWRKLQDYGF KKGVVALNYDTDHGPYTRLVQFLRCHLWEASCANTLCPAAMQDGAARLLQRHLFTSAA KKLSPIEREVFQNAYSHLTSRDPTKTWTSGQWMTERTGGSDVSQTETVATYDPFPSSA PVPLASQSESIPLGPWSISGFKWFSSATDSHMTILLARTHPSKGVSAFFAPMRRHNPS LVSHTGESGNGTELNGITIQRLKHKIGTQSLPTAELELKGMRGWLIGEEGKGIQEIST ILNITRVHTTVSSMGYLGRSLGIARSFALVRPVGMGKGKRIALSSHPLHMRTLANMTT DYHGMMLLTFYTIHALGLDERSLPSNQAATKVPTPPKELVQPLLRVLSSLHKSYVCET TVPLVYGCMEALGGVGYMQNVESEHLNISRLFRDCCVGAIWEGTTDVLASDTLRALKH PLSGKQSVQALDWLILSSKNAGLVEEWQVLKNKIDTGKQEELLPEARGLVFRIAEIII SVLYLVDAEADPGVEIEAMCARFMEKKGFSVYEAPGKRAREGGLKLDQAIVYGAGKDL AQGAKL QC762_0045430 MRVSATFLFLLAGLASTTTALHVPDFLWSIINKIDRRCQYDCWC VEDDLSAQCCASIDGTVDENPIPTCVKMNLTGATTFATCCGSKNGYGCSQKARCPRPD GGNSYVDRGPHWRCTVMKYDIAMAETAVVGNGGESVPVRELV QC762_212635 MRAFTTLIMALSLASSTIAAPASSALSPLVKDALANDECLYLCT CTDSVEQSKECCVASGGRFDESIGWCADMNNTTAQDYTQCCGGGYGCGFDFGCPAPGQ W QC762_212640 MTTPTPMKHALSQQGKTPSQSQHGAVATPPVSTPFSAALAASAF SPHGPRSSPQQIKKSPATTLGGHPSVPAVNFDSPSAAAALSALNMGSLDSGLSGFLGR TSEDERAKRLDAMIDILSQRKGLVSEAGLERLAKRLGLEVFWDAPVGNEKKKSLIIAG AALELSIDFQKDIVLFLSLNFPESADIVSKHAAAAGEILLKDLQLEDGQLPLTKSLAG FADNFERLAMLDKLSLNPGLNLYEAVAGVYESLLRLHHWEIQKLREEPAFAGKRDFEI ECLAICTRSGRPTMNSRHKTGLSLDYWKQGRNFKSETPEQTAEMIDNSKTWSLLIGCT SLNPNNPVSPVRISDKWISVDVERMPLPDELGPVVDWLDPPPTYLPTPEDEKSDPGVL LHAPRLPEAVFQAIFDPPIHISADLWDKIRQLGVGVVDSAPEKLTTFDNLVLPQAPNT GNLKSSDQANAEARTTVCHKKIPNFPHPNESEEVQIMNHTNTLYVYEPVYGKTLTELT FSHPQHLVMMLPHLRQYVFLSILLENSFEEDAGTNITFSSTKVSPTTAGDGTIMTKTN MDEFSGFMQSGLNGGSSAGIRQEDDAAAETEQGANETGKKLDLNIDVTLTILPVPRLQ IVFPCSENKTANILLEIRENGHVHVEAQNVLDERNMMGKNGRTRRVEDIGLVLEALED IGKWANFLVTRWVN QC762_212650 MDFPAPPSLIQQSQYSQHHHQQQQQQQQQQQQQQQQQQQQQQQQ QQLQLQLQLQYQQQIQFYEQQQHQQQLLAMNGMNGANMQGAMVGVPIPAGQASELTFI YNMVDTLSGQLAQNQRTLEEVISCVGRIRARARSQSLGNEELINMSADEIKAQEADLD TYISVLSEAFEKARYSRDQNAFLLHQFAEKLSSMLRIFHEYKAKHVSDVSAWHKSYRK QLADARAENSRLREQIWEMQAHAGAANEKLRNFRKKYDEDEKRWEKRVEMKAMRQELR FWKRMAMPHLADDDPYWSDDDDLVDVAEKQRLLEMQKIAAENLAAEAAQLAAAHAELG DITGNDEDGGDQEGDGVPPPAPAPPSTMTPHNLVRSLVGGVPMQRSDDGDNAGPVPPP RPLSAASSTGSSGQ QC762_212655 MPVELRKRKAPPPPPEPPAKRATATKKAAAPKKTAAAKVKDAVK ETVTKVAEAATFTNGAAAASAEKPAVGDVITLDGFGGEIALQTGDSTTLAALAEKSKK GVVLFTYPKASTPGCTRQACMFRDEYTALATESGFDIYGLSTDSPKANTTFKEKQKLP YELLCDPGATLIGAIGLKKAPKGTTRGVFVVDKAGKVLAAQAGSPEGTVKVVREIVEG LNGEEKGENGEEKKGENGEEEEVVVEEGKKDEERAEEKKEAAEAAAVVEEEKEEEVEK KEEDEKKEEEKKE QC762_0045480 MANGTNLKREKEEKAKLLPSESPCPSCVAAGAFSRARARAENKL HFLAFVYAPSHIAHTP QC762_212660 MASKAVAKAAAGTVQKISTKYTVQSTGLWEKLRASLSLDANRSN GVPLNPYNRFPAPGQNDPLKYDDPVTLPAGDLADNPYWKRDARRNYPRLSVVSQAEQV ALLTVGSAAAPRVELIGEEGSKALVAAQEQGKQAGLANYIESAGVEAAKRVLEATGGL PPLPSGTTMSNAEGKWDVHKYKLEEEQSYGEDYPCRTFA QC762_212665 MASNHQTINSAEDPFDSLLHLETQFYTEGYNQGAADGVLAGRAE GRQLGLEKGYQKFLEAGRLYGRALVWANRLPNRSSSPPSKPPPASSEPVVPTETETEK KDLPPLPKNPRLEKHITTLYALVETESLSMENTDEAVNDFDDRIKRGQGKAKIIERMV GEKASGGEEAGTASKGVTV QC762_212670 MSRPLEGKLAIITGSSRGIGAAIAENLASKGCNIALNYTSPSST SIANDLAAQLTSSHNIKVVPIQADIGSPEGPAKLVQDVKSAFSSSDNTFQIDIIINNA GIAQNALLSDVTIPQFEATYRVNVLGPLLLVQAAQPYLPKDRSGRIVNISSVSSSTGF VTQSVYGGTKAALEAMTRTWSRELAQNATVNAVNPGPVEGPMYASNAEVFLEGIEGWI KHTPLMKAQAGDVKDESLPDGTRAARTGEIAGVVGMLCGTEAGWITGQVVCANGGMVM LQ QC762_212680 MDIRLLRPSDIPLIQHANLENLPENYFLKYYLYHALSWPQLSFV AVDVSRPAKTPYDYPKIVGYVLAKMEEEPTDGVQHGHITSLSVMRTHRRLGIAEKLMR QSQQAMVEAFNARYVSLHVRVSNQAAIHLYRNTLKFETEKTEPKYYADGEDAFCMKLD LDFIKQQILEAEKAEDEQDKKKNNGSEEMTKEDQDEGEPVGDVGRDPEQDKKIKVKVG RGLGVGELVERDESKH QC762_212700 MTSQRAPLEDIPPPPYSETDIYSNTSSGPSPTNPTPPTTTSTLR RNSSNSDDTSTTYDGEIIYTPPLTPQSAQSNTVADRVAAPSSPVATSRPRRIPEAQAY FDSRPLSGVFVGGPTTVEITLAADPALTDVEAIAGIDRLTVGGGAGENGDITREDWMT FLNYLLPGFADRTNERVVDRKLRAEGVETGSEKGESDTRSQAEVFLLERIRGVGNRDG DGEGVGVRRERVERMVREWNQGFWERRGVRVVVRYEGEGEREERMPGGREERFDTPSG QGQTPAGQGQQQARGQGGWMGRFSPFGGGSGAAGPSNSNNNNDGNGFNFGGIKVDGER ISIGNNFVVDGRTGHLKIGGIVADTNGISINGWNPGNMFGGRGGPHGRGGGPGGWCRP PGDARGFQPPPIMPGMSCPPRWTWGGPGGRGACGGQRPERGQHHYFGRGGRGGWWNSR WGQGTTEPEQPAQRGREGEEGVGNVQPANQPAQGQDRGRTHQSPSKERRRSRSSSVSS TSSRTSSSSESTIGSLPDYDDLKDTQLPVMKTYLSESLHHPEQQITRERVRQAKQQIR EARRAPATEINEPVNMTQDRKALRREVKELMREWKQLKKEQKRQRRQLRKEKRQRRRQ EKREKRQTRKEMRRAEKDFHRHGHRSGPLPVPHHGPPPPGPPPPHGPSHLFPQGFPPY MPPMPPMPQTHPFGAPALPLVPQSEAPPSYRGLSFDAAANSPPTPTGFPLGRPGPLPP GAWPQESDNATPPEPSTAKYKRADEIEDEITAKYSDLLSLQEKISGPGFPKGEDEKAA IGLEKEIEELAVEMERLRTEADEEFARELAREEESRHGLFMRAER QC762_212710 MPPSQANYAPSLDKLIKSLKSQPYEASIEALIFLLKRRQVKGDD CAVATAHILLQVVARSKWHDVDQLLGRVQSVGSRLARAAPHEPVIGNIVRRVLGLIRD EATEDRNNADDLGSDSASDIQSLAPTNPPPQRPAPTPVLMRSNTAAPGGLQVSKSMFN ILSAATAADTPMTGASTPLSQAQPASVHALRTEVINGIEEIMDEIQQADDQIASFADI QIHPGEYVLAYQPTKTVERFLIKAATRRRFTVFIASIDPIEPGSGEKPYAALRKKLNS HGVTTINLASNGLMAYIPRVNKVIFGAKVIYQNGGLLVDSGACIAAQAAREFLKPVIV LGAVYKFCPEDPSDEAVISELGNPSSFVSYADGPEVEALDIENTVTDYIPPSLVEVYI TNLGPQTRHHLASILADHYKVEDIGYSLHDGEV QC762_212720 MMVRAPTPLGIGARTSTLPLAPEILGAGGTGIQLAYKISGSPLH LKICKSRLVWRKGDSIIFVDSPLNRLNCLYYPDFSVITALISPRPSSVFTRRAQKVAK MTTKEVVDIVDKKEKKEKKSKDKSEKKKEKRSDSAGVTKEKKDKKKDKAKQEKLARAA EAHLNAEAAAAKVDSDVEVDPEDLIKPAEELVPFAFPLADDKTHKKIYKLIKKGAKVK SIHRGVKECEKAIKKTPAKTPATAPSDAPGLVIIAGDISPMDVIMHFPLLCEEHNVPY LFIKSRADLGVAACTKRATSVVMLKPSGKKAGKDTEMTDADKKSSVEEYLESYKEVLR IAQKEWDAQVQPWVKGTHSKQIAYRQANKQQ QC762_212730 MSLQYLPAVKPSAIALGTVFNHTFELGVLSPLFGKTWQKAKSAN TKEEFLKSREASSAAAAWGSALVGSALQSYGVGALINATGTLSYKGAAYLGALIFAAT SAPGFISQLVVEHRAFDQVGLNVLAKLFETVGLSVFLSWWGTRTIPFGSGGTPLSRNS FRAE QC762_212740 MNSLNIISSRVSPPPSPGPTRSNSLSTIGLALSSDEASKSRDSQ TENALADLGEKQNLTAESEEFPVENPGSLEEREDLYAIDEKTPLIPPKHETPKVISPR GSPWVLYPKRIANAFVNSLRWILHTLTAPGVYLIACLYSESGDFAPLQQLKKLFGIYG EDAKALAMDYHENIEKSSGSRTSRGGSRAGRIPRSSSSSSSGLSSESESDPERKRQGG SRRDSVSGKHHLRSKSLQDTEEIAPARRSIRIKLHTEESLRQRKHRKGQSASSVARAG SAPGGGDGSGDISAHLKSPTSPVAALTKYPKTPGPPRPLIPRRQPSYINTGDVIPGGT EHQKTLILDLDETLIHSMSKGGRMSSGHMVEVRLNTTYVGVGGQNSIGPQHPILYYVH KRPHCDEFLRRVSKWYNLVVFTASVQEYADPVIDWLETDRKYFSARYYRQHCTFRHGA FIKDLSSVEPDLSRVMILDNSPLSYMFHQDNAIPIQGWISDPTDSDLMYLIPFLEGMQ YVSDVRALLALRGGEDGQHMA QC762_212750 MQPTQVRLGGGSNAPIGRHNNFLGNWGNFGGMKQKGIVSYGIAP NRQKPLAGAFHDAIFNTWRRFSTQVVYWAPPMIAGYYIMNWAIERNEYLNSKAGRAEF ASEEE QC762_212760 MSPLQKKLHPFPSPSPSQRNPTGTVEVNSASLHTMAARGLGFIY AAALPAVVGASFLQSALYDVKGGTRAVIFDRMSGVKEQVVSEGTHFLIPWLQKAIIFD VRTKPRIIGTTTGSKDLQMVSLTLRVLHRPDVQALPKIYQQLGQDYDERVLPSIGNEV LKSIVAQFDAAELITQREAVSNRIRTDLMKRAREFNIALEDVSITHMTFGKEFTKAVE QKQIAQQDAERARFIVERAEQERQANVIRAEGEAESAEAISKAIAKAGDGLIQVRKIE ASREIAQTLASNPNVAYLPGGGKGTNLLMNVGRA QC762_212770 MEAMEAVLRNFKQIPCPDGDQCTTPSCQWQHSWDRNPPAASSSA SPAPVTKQHETLTQDPVGPRKRRRTSSEAGSATAITTATGAVSPPPLKRKAPEHSTLP APASKVQRPVATAPASLTTPSRATVSISASPSTVSKQSAAKQITAPKQQATPRKPETL NPRHLTSAAPASHEFRFKALKMLHEQFKRLNDEVKKDAKEDEQKLVLTEQELIWLALD VEQKMATEKPVIYTNVIKNEIMAYRKKTPGRWRDERLAEWQKNNEPKTPVKKLRLGPP KEVKTGLTPQEEVSFLVHLLTPITELAEHGYVVRPPTYEEIRRAREGEEASLGWEVCD RCTTRFQVFPGRREEDGALTSGGTCTHHSGRAYFPERVPGTVDRPPKRYRCCQETVGE STGCTVGSTHVFKASAPSRLAALMPFMETPPNPSVPKDRAVCFDCEMGYTARGLELIR LTATSWPNGKELLDVIVRPIGEVLDLNSRYSGVWPEDIANAEEWSPGKPLTTVVESSD TGRTKKKHMTIVPSPMVARDLLFSLICPETPLIGHALENDLNAVRIVHPTIIDTALLF PHRRGLPMRYSLKMLMETELNKAIQVDTKENRGHDSGEDARAAGELVRLKVMEKWDQM KGEGWMVVDGAFVPPGWGKTNIKGGALSERFLEKKEEGELGEEDEVEPVVLDGSGTGG KLYSL QC762_212780 MSIWAHERYAYPVFVDLVQGEAPSQSPQSEVVKQTSTQITPTMS DGTKVVDSDRVYTTLITSLSYLPGLLTLHHSLVHRSKSKYPLIALYTSSFPQSGLAIL RRRNIPCQLITPLFPSSSSSSNTPSYSHDPRFRECFTKLIPFSLVQYKKIIQLDSDML VLRNIDSLFDIELDSHKRVFAASHACLCNPCQFEHYPDYFRPENCYYTDPTSMGKDYL NGGLQVVRPDLGVYEEIVGYMNTPGIDLSFADQSVLAGCFRDRWVGLGWEFNALKTMR WRGVHDDVWGDGEVRNVHYILTPKPWEEERDEKGRVVMGEGKRGAEDKVTSQWWVDVD DERREREEERGIRDGW QC762_212790 MSFTNDCGGALTLPSPTHVHHDVSSAVRSLRRSLSRSPSKFRLS GAVSPSPTPTPAALSRSAHLEHPASTPIPATPAALAGPSSPTSFSAPQHGIAGNPFVN KPNIKLSVRSTRSKPVTRPLSRSRGSPKSPLKRVFAPSGDSLNLLPTSFSAPDTRGQE NRSFREFALALSPTSRRNLEKPTRHSVHLDISGSDKVSFPAISVSPLKRSDATMSLGQ TAFGSPVAKRRSLHGISSMNNEPTIFDQTPTARESQQQPGFDIHEDANLEYELTGSNV SPTPDPLASPTPSSLQHRSNSLRRSTLQQRHGDTRSSWGRRAGEKAQMTLDAGSPMAA RSRPRLSLDQYVPIATESPFTHQGPLPPASVHFLAHKAREQFQPHPLSRSLTQSSSNS SLPDDSPTHVPVQFGEKARVPLNFSKSLPPGARPPTKDSGDVATPNYKHAKPFQAAFM STGLVSKMNRNPELGPPKHPGAKVNIMPDTPCKKQYSSATYPPNLSAGRRQSRKSVGS PTTPFGASTNAPPTSGNLFFQQVRAGHMRKSSLLSLDGDDLAGSQDDFPPPTPTKNIF KNVTSSGASVRTPLGTPGFASFATPAVPFSLSSARTPAQSTTPSFTPPGAPQSTTPSF TPPGAPSFTPSGAPESTTPLCARQDQDNGQQVTEPIFRPSTPYSSASPFVSVSNSAPV INESHTPASLFATPAPRNKTTPVFFATGSKSSSNEYLVPNQDASGSPLGSKKASPRTP GDSLKNSMPPPGGKSTGPPATPSTHERSSLFGGAPDRRMSITPRNGRGPGDVDESLVS RFDKSEVIGSGEFSTVYRVTKLASPASSFMTMGISTTPQTPSSPESGKVFAVKKLRVP ISGGRERERKYQEVHILRSLNHSTKVVQYIDSWEWNNHLYIQTEYCTEGSLDIFLKDI GQTGRLDDFRIWKILLEIAQGLAAIHEAGFIHLDIKPANILVTFDGYLKIADFGMATT SPAPPGIEGEGDREYIGPEILRGRYEQPADIFALGLIILEIACNVFLPDNGPTWQALR IADLSAVPSLTSPEAGSVIRDANGVPIEHLSPVQEDQRDPNFAFEGMTHDPKNLFSPT KRTELSEPPSFMMDSEDPHSLDKIVAWMIQPEPNSRPTAQQILASEPVSWVESRRSGG ATVYEGNWGPQVGPSIEELIDDDDTEMTDV QC762_212800 MPSSPALSRRSGSATPLASPTTTRIRTHNRFLNYGSDSSTSEGE DDAEILRPRGKLAARMLGGNTEPTADSESEKDSPKPSSPKKTAATKEAEDDDEDEYEI IAARPRKLKARRQRSATPEQEPTATAPRSPSPEQNHSPAPQELFVSPSKPSGEAGSYD EDLPSPSRLAKNAKFEALVAKKRAERLAREAEEARKKAERNAMIMDDEDPEDFTDDEG GHKLTQEGAKSRPSARKASKKALEEMNRETQRMTRALQLAHEAKVKKKITKSTLFERF NFKPAGAAASTELPKEKAAPVSSSRAGTPASVQQSDAERKEDDTPPSSPPEGEKEKAT PVPQTILQDNSDVELPAVGEMAASAAAAPKKLDKGKGKATAAEFEAEDKAEYKAKEQH QMPRVKRNLRVKFPQFSVRANTATASLDDEDDDLQVQMPSKIDQILSRVNPNQNKEPK ALLNLRRLAQLDDPDKKAGPVPTKQKFQQHQKPAMTVGELQMTLMQRAKAQAKLERER HLESLRAKGIVVMTAEEREKEELEVEDIVAKARREAEEIMAKERADAKEERRKKRLEN GEDPLDWDDSDYDGESWIGEGEEEAEIELSGSEEENEDDEEEMEDGGVLIDDAAEEST NEETEEVDAPNSDDEDGLFTASSKQARRRAWKQTAVLSDDEDEADEGVVKATPNPKKI LAKSPSVRQHTSPSVPTSVLRSATKTFIPGLPVAGPAGLGLTQIFQGTMDDSQMGSAP PDSPSQPRPTFDMGAFPDSQFSQSVQEPADGVVLNSQPAPGEQDAETRGIQIQFSQSQ VHGFDSFLRDETFEATQVSELIEPTQDSGFKNFTPLQRSFIEEPASTVETLPANQNSQ ADSPLVRRTGKLRRRAEVAASENEDQDEIMKDDIETDAASSANNAFFAMKEAARKEKE RKRKEAFDKKKSKAREMVEEQAEESEDEYAGLGGADGEDTDDEDAKSVQEMIDDETAD KAEDERKLAAFYADRERAADEKQINKLFHDITTGLLRRKRAGNWDELDDEDDGGEALR RMKRRQFAKMQRALFADERISKVAENPRNQAFLKTIEDRGSDDEMDFIWAPPPPAPGL DSQNSATGDSSNEAVTIPDSQPQEQQQQQQSTNPRRTKPGLTNKKKPSNIGEIRESLS NLLEDGPGYKSSSVIPATILDSDSEGEGPHPSSASSNKENSKPQIVDRISLKRTSSNT SSSTRLAFAGSSDRSSSFKIPPLLRRATTNSSTLSASSAGMSSTGVTTSNLQQVRAED GGIKIKQTASKKSGVSYLARGNERLSALAEKEKRREDKKFKGAMVGERKKALGGMFGG GRFE QC762_212810 MVDIDPAALSRPSISVSTPILANKSINVSAPSLHKTPKTSQLIP ARIDLEPIYTQLKSTIGPEQWVIYKETITNFVIGRLNQAEFSERIDPILASPDGQREH LHNQLIAAIYGNVTREMPDQGLAPWVSANDKPSTTAGNKPVSGDAAERRLKGEVMQLP ARDRRRIKDIVQNDFDPFNSLADVFTDQSRIKPAKTTEVPASAAGGLSRMNFDLEIRK RFAQPLAVESGEFPDVSSIEARMLPFCYESGLVSGHAPEAAQFMSIATDTFIKEVLTQ VFSRTRSNGPGDSGNAGFGPGGAWVQTHKYKKQLAKEEEAFQRGELTRDKSGLLPIEA KAASERGPLGMTDLRLALDVGDCGMANFPTIVKTIVNDYREGELEDWESYTYIKKEGG RILGEIDDEDVEMSGTTTMVNGMPSLIPLPTAAGGSKALATATTDPPPLTNGIGGHSH GPDAMDIDNDLWWEGADPADGDFLNSVLDSTLAVG QC762_212820 MSHAPRNRISNAIDSLITHLVPSNPHDDEQTAQERHDACFELVR AIFERPNSPSISADVNHASDLIKRKLIHSNPSQALRFSNLYTRLLSLPVLNQKWAILY LLYQLSDSPDPNEPPPPPRQPAAPHGLTSREPSFRDRELQAQILREQEENQVEAENYQ GPVPAEPSFREPASREPNFRESVAQRAAGRATGRSTATAESKTSTLAPPLVSEEEDVN NVFAPGGLKKLPTERPRRNTNAEEARSQPGAVDGSTKKSTRDVSIKSNLLADNSLEFE PSEMGLLRDLPFTLQGLSSTTLPFTRDTVLKLPPTLPLPIVSLLHTLAEPSLLYRGLA NFVKSPAKGLLGQSLRAAINNELRSYLTLVATLEAQIRRALSSLDEAAPRGGIGKAGV TLKRCVVWTREATMGLRLMSLISEESYSKQGGQLISLIHGFSTSHGDPVVAAFAERLL ADVTRPFYDILRRWIYDGELSDPHLEFFVREQNPNNEKQNESKAKGQASVWNSKYEVV DAMVPSIMTPDFAQKVFLIGKSLNFIRHSCGDSQWVDAYSKTSSKELKYGDTATLEKW IDDAYKTTMQRLMTLMNTRFRLFDHLQALKNYILLGQGDFIALLMESLAANLDRPAGA QYRHTLTAQLEHAIRGSNAQYDSDEVLRRLDARMLQLSHGDIGWDCFTLEYKIDAPVD VVVTEWGNRQYLKVFNFLWRIKRVEFALASTWRKCMTGARGVLQSNDETVLQTWKSTR GTLAEMIHFVGQLQYYILFEVIESSWGELQKNIRKEDCTLDDLITAHTKYLTSITHKG LLGARRRQHHDAQKEAASLGRDASEVEDRNSYMVQLSLLLRNMLDYRDSVDGLYSWSV SDFTRRQEVDTVSLLRKHRPNSGDMESSVGPDDPFFGSAGGVGGNNNIKSEFPALQER LKQLGVSFRQRLQILLGDLAYQPDVDMRFLGVSMNFNDVYQPVRRKSGKAGAGGSGVS AGGGEKQQQQQQGGNVGSVNVSFSASRA QC762_212830 MEHIHSLDVSWMTHGNPKDRPVRPLLGRQRSLSNPAPLDSPTPT ATTNGHGRNDSTQAAQSTPNVAPSPNRPALGTRTSSDEKRIPQPAVSHPPQRRGSWFS NISQKFSSSNGATQSPPVANTASPKPAEFSVPKVNPAKQAVLQHAAKPEGEGPYTPAP PRGSQTNGLLHVFRRLSSSTSSGSLNPTFKAHNHGLVERQVLNVDRNRERCAISGLNQ AKLRRVAFCVDVEIAPMPKYIDEMDERKAKDKAAKEMKKKLKEKGEAEALKNPKAVEC QKEVDGSIKATGEEVPKEPATEGTSSTPRLGTSPAAASPIPISSAASASDARKKEKKK KSEEDRKARKERKRRQAEANGTVPMELYYNSDSDSSTSANGGSYRPETPAGLANPPQT MPTTNPVRIYRRCCQLRETHILKKITEQLMDPANFSAETGMVEKLDLTGYYMALPDLV TLGDYLAVVPVRELILVDCSLADEGLRVILAGLLAARKTKVLSPLKRRRQLQLQQQKS STGTVDGLIEQGGVVERLVLSNNRIGPEGWRHICLFIYLCRTLKVLDLSGIQFPRHVC APLPDGQQPPPQALCSLFAKSLGERLGGSTLTLLSMAQTGLSTPQLAAVIDGAIKCGI KRLNLAHNDIDSEGLAHVARFLKSNQCEGLDLGGNDLRDKLGILADSLEVETCPLWAL SLADCNLEPSSLCKLFPTLVKLDHLRFIDLSHNHELFSSDPSAVAVLRRYLPKFACLK RIHLADCTLSAEQAIALAEILPEVTGLAHISFLQNKDLVELTTSANSEEKQEEACALF ASLLAAARVSTSLVAVDIEVPSEQSSDLVKAMAKQVVAYGLRNMELATALQTATSATT PAPTAEEGLLSGSFKAEPEYPDVLQRLVGHDVMLSLDADADVDAAPDNDYVIGGTGVV KALACCLKNRGDDISSHSVPELLAGEVDQVSLGDGEKEPTTAVAKAKDTSKHLLLSAR KIRLRLQPAIVKAKMAANDSHTYHRLMFLDNTLHGIIKRFEDEFPDTRLSAADDSGVD TASTTAGNDKGDAASLSSLDNANGKSSSLASGPTPPLPTDSDLSASYTAAIISDAEDD AVAQSRESRKALSRSNSIISLSSKALADEEARVLRAGHKFRAGIVALRPEHYNAALLS SGVEEVGADPNHARLLHELLDEMGDADLKKEAEERGVVQVFQERRQDILKRLREADPQ HWDSFVESQVMARKNVGLPEPEERKIKEQGVTDEEAIED QC762_0045670 MGLAPSGLGVGAPDRVSGPLKSRYWPKVCHGYDAASLSRVAGVE VQKPPPVRFVSVRSLVGFGRRMCTSRKGPISGVRSANSLMFSWMDPR QC762_212840 MAFHKLVKNSAYYSRFQTKYKRRREGKTDYYARKRLITQAKNKY NAPKYRLVVRFSNRDIITQIVTSEITGDKIFAAAYSHELRAYGIEHGLTNWAAAYATG LLLARRVLKKLGLDEQFAGVEEADGEYTLTEATETDDGERRPFKAFLDVGLSRTSTGA RVFGVMKGASDGGILVPHSENRFPGFDMESEELDAETLKKYIFGGHVAEYMETLADDD EERYKGQFVKYIEDDLEADSLEDLYAEAHKAIREDPFKKYESDAPKKTKEEWKAESKK YRQSKLTHEQRKAGVQERIAALLQE QC762_212850 MEPEEIPVEYILDEIDAMAPVSSTPKPKVLLFDIGGVCVISPFQ SILDYELSLGIPPGWVNYSLSKTAPTGFWHRLERGDIPMDASFFDGFNQDLHDPARWK AFYQAQQAKNPALPKEIPPLPKLDGQWLFNDMMKSARAPDPWMFPALKALKASGKFII AALSNTVIFPSGHDLYQENFMDDPLRSQFDVFVSSAHVGLRKPDPKIYQYALERVNEF AKANAGTARGQAGGWSSGVKTNEITFLDDIGENLKAAKQAGFQTIKVPLGRAYEAVEE LEKVTGLSLDGGHPKIPIKPNFKGSKARL QC762_0045700 MSSPLRDNPSSANRGAPARGNRKRSRTNGEDAPSSIAGASSPAL PSSPPAFHTIAHGADDDDEVEEDVEIPDDLDDLDEAAEDDVDLFRDGFEQDYRSREDD RYEGIDLDDEGDYDAMNLGDRRRLEAQLNRRDREVARRQRLPAAFLPGEEDGDIDLSA QPRRRRHRYDEDPDEDMDGDIMDEELSLEALHDVKASSLTDWVSQQAVQRTIKREFKA FLTEYIDDSGSSVYGNRIRTLGEVNAETLEVSYEHLSTSKAILAYFLANAPAEMLKLF DEVAMDVVLLHYPDYERIHAEIHVRVFDLPVHYTLRQLRQSHLNCLVRVSGVVTRRSG VFPQLKYVKFDCTKCGVTLGPFQQESNVEVKVSYCQSCQSRGPFTLNSEKTVYRNYQK LTLQESPGTVPAGRLPRHREVILLWDLIDKAKPGEEIEVTGIYRNSYDAQLNNRNGFP VFATILEANNIIKSHDQLAGFRMTDEDEHEIRRLSKDPHIVDKIINSIAPSIYGHTDI KTAVALSLFGGVAKVTTGGHRVRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATGQ GASAVGLTASVRRDPLTSEWTLEGGALVLADKGTCLIDEFDKMNDQDRTSIHEAMEQQ TISISKAGIVTTLQARCGIIAAANPIGGRYNSSIPFSANVELTEPILSRFDILCVVRD TVEPEEDERLAKFIVGSHSRSHPLSQSQAANTQNGGQSMEVEHDSAQQETQQNGIKKE DQIPQELLRKYILYAREKCSPKLYHIDEDKVARLFADMRRESLATGAYPITVRHLEAI IRIAEAFCKMRLSEYCSAQDIDRAIAVTVESFVGSQKVSCKKALARAFAKYTLARPGA TNGTQSQGRRGRTAVKA QC762_212870 MDPASAPKSASVADVPEDGGDASVARNKPRSDSIGSHKSSDSQT AADFLRDQMQLEADAREALPYSIENCTNALGPLRQAVFACLTCNPPPANSSDPYNAAG VCYACSVQCHGEHTLVEIFNKRNFTCDCGTTRLPSTSPCNLRLNPETNSKGGVHSQEP DVNNKYNQNFRNRFCGCECDYDPFEQKGTMFQCLGLGTHDTGGCGEDWWHPGCVVGMG PKWFEKMAKEKKPKTEQNKEDGTSAPLPTISEDSEALLTQNGAEEEEEEEDDPPLPPG FPEEDNFEAFLCYKCVEAYPWVKRYAGTEGFLPAVHFKPDGAAEETLPDAPATTDFKT EEPTLKVEEAASTKTEVPAATTTPAAANLDVPQKRKALDDDEDEAGSQTSKRVKNEDE SKESPRERSATPSAPPPCKLLSLPPVPPAGKFSLFCKPDFREKLCRCSSCFPLLVPHP QLLEEEETYEPPVSPEASENGSTLGSNSLYERGESALKNVDRVRAIEGVMAYNHLKDK LKPFFQQFAESGQAISAEDIKGYFAKLRGDEEVATADTSAQGKEGGDNRREESGY QC762_212880 MPSAKLSHDSPLTPHPPHLQPHFSVSLFHLHNSLFFIGIALFFK PHTPTHNPDITAKMKDTYTLPSAADMHVHLRNAPGPIASLVTPTIRPAGVDTVFVMPN LAPVPVTSVDAALEYKSALEAIDPSVNYLMSLYLHSSITPEEIAKAKKAGIAGVKAYP KGATTNSQWGVVSFEPFDEVLKAMEKEGIVLNLHGEVPSDSREGVTVMNAESRFLPTL RSLVGKYPKLKIVLEHCTTGEAVEAVREFGEDVVGTITAHHLSLLVDDWSANVHHYCK PSAKSPEDRRALLQAVVSSKGKFFLGTDSAPHDISSKKGKGNTAAGVFTQPYALGYVL KALEEGIARGDISDSDVTDGALAGFFSSYGRKFYGLPPSDKKILLKKDGATVEESLKS EQAEIVPYRAGEKIWSVEWL QC762_212890 MTVGLTEDRLGPGADDGSGSSTTSATITATEQTPLLASDTSSVT IARHISLERESTVHNEPSEEDEHPPLGWKRGTAIILSMWALIFLQAANMSGISTTQSS IAEDLDAYQNAMWFTSSYMISVSSTAPLVGRLSMIFSPGVMVLICSTWFAIGAVIVSV APTFGVFILGRVLCGVGSGGIMTLCMILVIQLTSKKKRGLWIGLVNAGFTIGVSTGAV VFGALLPVIGWRLLFGSQAPLSFLAGLGVFLSIPHRPSTHHSRDKSLLTKLSSIDYLG ALTLTATITSLLYALSTYTRAPLALSLLSLSLFLLIESRHPDPIIPLPILSSRGVLLS CLSQLGFMASRWTVLFYAPIFILAVRGLSPALAGSVLIPTNLGFGIGGLLVGWLHIKR SGSFWLPCLLSLFIFGCSLFELSFVSNHETRYGVYIAVVFINGLCTGAAVNYTLAHLL HLAPAKDHFIVTGLLATFRGFAGSFGTGIGGGVFNRVLRGSLTRGFLELGHGELTERR EKLVTVLVGSPAAVWQEGVLSKMERGVAVGGYEMALRKLYMGAAGVTVVVLILQWATG WKGVEDETKTDEEGIREAVVEADPAMEA QC762_212900 MMNNRAHLPAGQQMQGGADMGGGPPPPRRGNRHQYGPAHGYQYQ QHQHHVNPSMYGHGPQYMNPYPPNQPYYMPYQQYHTGAMPQPYLPPQYNHAPYTRSPP AVQQYVPLHQPYGRPAQHSPIVSSPYQPPPPAMPPVVAPLTPSTTHSFAVPPPTTIAP STIPPFREFIPASHQPLHNHEVQPPVQPLHVHEAQPPIQPEFQPFVPQQQQQPFPQEY NQEAYLASQAKSPAEVQPEQQEAAIEAEAPVETQVEVVVETSAASMVERPFNMATTTA TSEVHPITSPSEKILSKLPWFSNPEAGFPARAPKSRRRRPVSSTPNLILEKPAEAQQP EQPEQTEPKEEPVVNAETTVKAEVTEAATARSETPSTHEQQVEDTPPTTPSSAQTTQA SIAVAVSPSTTVKPATRSAIPALPTVPAVPVLPVIPRIAPKELQAMEKTAVQQTSVAP TKDEKSTEAGEGARQVNGMGEKSETESAPAQAAPAPTPAPAKPKAWAALFAKPSAAPS AVASTAAAPRVHTNGNAADVSTVASGAVGSFPSSKANSLAEALQAYRPTGIVKLPFIE PRGLVNTGNMCYMNSVLQVLIFCIPFYDFLDQVSKKATHSFKSETPLIDALIMFMREF KIIDSATSTDLLQKRLKPEELEQYGESFTPEFVYEAIRKLPRFASMRRGHQQDAQEFL GFLLEGLHDECAQVMRTAPVSAVSTAPNSTPSSPTTSKPDGSLEGADDWLEVGPRQRA AVTRSSGHSLASPINKIFGGKLRSELRVPGNKTSVTLEPYEPLQLDIGAPEIRNIIDA LKGLTRPETLHGDFNSPHGKNVKATKQIFIESVPPVLILHLKRFQFDAEGQGGTVKIW KKIGYPLEFEFPQEVLSRSQRNSTVHEGVPRYKLTAVVYHHGKNASGGHYTVDVRRQD GREWIRIDDTVIRRVRSEDVAEGGAEEEQAKSGFGNQKDSTGSNRFGAMNDEDTGDDD GWKQAAGGKKWSSVVNTPAINGQKLPPKQHKDSIKDNKVAYLLFYQRV QC762_212910 MTESWKRKGRPALIAALESVCDTIGRDIEAEVRQREDRRNATFE NELQQLKDAASRAEVLEQENRSLRQELEQLRQKHTKPPILPAKYDVNTTVRRVLGEFS PNRTIRAPSMVSSSGDIENPDWEKNYGKLWKKKEQVEERLKKVQESYDTAREVSKAAR EERDTWITYADALERKINKLETRLKQQDANTRHHAEGTGAERAIIYESRESPSDLGLN TDSLSHLSPGPRLNEADYTMRHPTPAFNEPHRRGRIVSIQQGPSAEDGASDDPDQPTE APELPPLPPCKREASPIRVKEEPSSDGPIVVSERSLRKRKHASDSNNNNNNNNMPPPP RKIKTEVSSDPVVMGEAAVFAPHESIDLDEGSRGMPTPRKQREIWRQTLREDDDGTPQ PDQTSRLLYPRTTAGNPSTAPKPTLFIAESVELAQQSMSEVSDTSRKQRTAQNTHRNL DYEVAEVAEDGSDEEFEPWRPTKPPKKAAGRLQSLLDKASLESEVTPLRHLHPVHGGI ESPSTVSRPRQANDRTSRPKPRRLRDKPLGQLRLEDFKVNPKFNNGHKHAFNEVVRGK ADRAELTGCNDYNCCGRHYRAVAESEFNATGPGVLSRMADVQMMEEYLGPHAHKLIEM TREERRETWMKAKSQQIANQFGRHRERFQRQPSPPGYWNPDMPTTQEMEENREEAARR GRKQIEERWRDAMKVGGGKWMFKDE QC762_212920 MDSYGSQGRACFTCGQTTHQARDCPNKGAAKCYNCGIEGHMSRD CPEGPKDTKTCYRCGQAGHISRDCPTSGDQGPRQGGGGGSSAECYKCGEVGHIARNCQ KGGNSYGGGYNSGYGGNFNQKTCYSCGGMGHLSRDCVNGNKCYNCGVSGHLSRECPKE STGGEKICYKCQQSGHVQSQCPNSA QC762_212930 MSSSALEVPSKTFTRAEVAKHNTEDSVWFVIDTVVYDVSEFLDA HPGGEAVLRQVAGTDATAAFYNLHRHEVLQKYSDLAIGTIEGEKQSIITPQAGDLSTV PYAEPLWLTPQFKSPYFKDSHRKLQKAMRIFTDKYITPEALEKERSGEIVSQELIDRM SKAGVLHMRMGPGKHLHGVNLLDGAVDGKEFDYFHDMICSQEAVRAACRGFQDGNMAG MVIGLTCVLNYGHKNPALKAKVEEECFSGKKKICLAITEAFAGSDVAGLRTTAKKTPD GKHYIVNGTKKWITNGVFSDYFVTGVNTGKGLSVLLIPRGEGVETKPIKTSYSPAAGT AYITFDNVKVPVENLLGEENKGIYVILSNFNHERWTMACATIRYMRLVTEECLKWAHQ RIVFKKRLIDQPVIRQKLAKMIALCESHQSWLETITYQMCNMSYSQQAKHMGGPIALL KMSCTRAAHEIADEAVQIWGGRGLTQTGMGRVIENFNRTYKFDSILGGAEEVLGDLGV RQAMKFMPKAVL QC762_212940 MFLAYGGPYLFHLHRLTKLLVFVITLSRVPKKLTKMDAYSMSIS SASRRVTSKLYQDLKTARLYTFDRSHKELGIMDRVLQFESLDPNRKPHIGIVGAGFAG LRCADILIRYGFRVTILEARNRLGGRIHQERLPSGNLIDMGANWIHGTDDNPILDLAK ETKTHTGVFDSESYVFDEDGTLLSAQEGEKFSTVMWNIIEEAFEYSEKHGTQIDADKT LLDFFKEQILKQIPDTLEGYERQRKFVLQMADLWGAFVGSPVETQSLKFFWLEECIDG ENLFCAGTYHKILERVAKPAVDGADIRYGTRVSEIYGKSTSPNGTPRARTADGQILEF DELVVTTPLGWLKQNTQAFHPPLPDRLSKAIQNIGYGCLEKVYISFPTAFWLIPDANG RKVQGFCQWLSPNYSKDTNPAGWTNEIVELASMGPSSHPTLLFYTYGDESRHITSTLR SLPSQKEKLDFLFNFFKPYYSRLPSYDENNPDCHPVVAVATDWLGDDLAGNGSYANFQ KGLKEGDKDIEIMRQGILGEGIWLAGEHTAPFVALGTVTGAYWSGEGVAKEVAGSYGK RMAEKLGKEAAITN QC762_212950 MASFFPSFRQSRMPKRLLRFALARLDVLDETALDLENLDFAVGT NTVLEFRDVGLVLQKLEKLLGLPPTFSIQKAKILVLRVTIPMNIFTSPIIAEVDGVDV QLKIMSQQEVEQQRSKKRRRWRATDDVVPTAADLAQSFLETQPVSEKKELEQALVAES QDLGASVTMSEPESDDESSFGTGQALSLPAFMTDFLQGIVDRMQVRIHGVSFQVDTEV PLDANSQPPELVTFRLSLDKVDVEGVTTSADDAEGGPEIVHKEGKRHILLDNIRAFLI TEANVFSSLVPSPTMLSSQGSAQSPEVTEAPSFPTPGVNLADSISSASVTLDDFAQLQ AQMHDSEAALNIPYEFDQPNTFVEYTEPNSPGSTPRASLYQDMAGLGLQEHAKSALVE PGASSWSAPEREAQSEPFLRPPEGFPLGSSVSPAGSVHSEAASSESSGGAEDLTQSHL YSHEEAESMYMSAFSHTGSVKLRGAIPGSWDEQEPVSSGGKSDAAGPSSAQPQNSPPP ETQGGRFYNETGQPPDQSSLSPQGTSPISTRRPVAEFSEDHNLGQEPERHQDDIPTPR GPTRLVKEVVSLSSISVYLPSNHKHLHVTTPDLASTTPNLPGAFSVHSPAPLSPSSPV SETPAEHPPLDPSIEVILKPIEVRFDASIGFLLAMVVSRLLEAVQTSETTNTAPSRSD SKPALDLKLVVEGLSLQFLEKLAGVADTAQRHFEKRSRDFAPDVLLQANLRGIKASLS SSGSDMEADMAIEKLTFGYANDQIISFDRSVQMYESMAASTLLSAGQDISVKLTKSSG RSSLKVNTLPLHVKLDLPKLDETFSWFGGLSSFLNMGTSITSNPTKSTTVAPQKPKGV RFETPSSDDRPVSSGDKAEMRINGIRLDVIGRDCSMALDTSALKLINRGEGVGVHFSK IQLAGPYLRNSQAAAPIIAKIVDTRIEFVMAPREQDLERLVELITPSQSKFDKDGDEI MVDMLIRQRRKGSVLNLTVGKVNVNVGRLQQLSCLPSLAEDLAKLGTVAKYLPEDDRP GLLSFIHVKSFEGAADIGGRFGAVQAAIADLEVAHITVPTLVAVAVTGITVTRNQVED LVTTPPNPATASSSKPPVLRMRMVDDIEPVLKVRLNGLNVEYRVPTIMAILQLSEDAT PQDYEASLAASVANLGDHAHTAIKGSPAGSASSGQEYSSKPIKVDVAFEDCLIGLNPL GPPSKLILALTTASLEVVPGKDESVHAVLEMDKASILLIDDVTVLDTPGAPFASKRRP KVIPTPQITELCSKGFVHISQISKAQAVVNTTKDKNGDSQLEVELRDKLLVMETCADS THTLIALANALTPPTPPSKEVKYKTSIVPVEDLLASITHDAFGRAEGDYDFDNDFLPV AGEHSGEMDSEHDYYGGPNDSPLNMDSQFYDDEVIHEELFDATAQSLDLSKTRVEETN DGVLLSTASLSTDNSTDNSSGRLSVEEGFFEKKESAVKGTAHRWNSKKNKYDDGNDTK IQRSPLKVGVRDVHLIWHLYDGYDWVRTREVIAMAVKEVENKAYEKRAKAERKDDLDE EEIVGDFLFNSVYIGIPVTRDPKELAAAINQELGDGNDTESVATTAFTTSTIRPAGKH HHARSKSLKLGRSKDHKITFELNGVNVDLVTFPPDSGETVSSIDVRVRDLVVFDHVAT STWKKFVTYDEDAGDREEGANMAHIELLNTKPVPSMPASEIVLKVSILPLRLHVDQDA LDFITRFFEFKDDSTPVHASPSDVPFIQRAEVNDVPVRLDFKPKRVDYAGLRSGHTTE FMNFLILEDSRLVLRHVILYGIAGFDKLGKQLNDIWTDNVKRTQLPGVLAGLAPVRSL VNAGSGFRELIEIPIKEYHKDGRIVRSIRKGATRFAKTTGTEVVKLGAKLAIGTQYAL QGAESMLVNKPAGESSSSAAPIAIDDWDEGDEYEAEEETTNRQVSLYANQPLGILQGV RGAYSSLARDLAVARDAIIAVPAEVMESTNAQGAAKAVLKRAPTIIFRPAIGATKAIG QTLLGATNSLDPANRRRAEAKYKK QC762_212960 MSNQHHHLTPDGQAVSAPRAEYGVTLPDPPAVEEPPSSQPTSDH SGRGGAGGGGNASMAGEQLTLAERWALDASDSQFNALAGAVGGFMSGVVTCPLDVIKT KLQAQGGILAMQKNSPHTGHQRVVYKGLFGTANIIWREEGIRGMYRGLGPIIMGYLPT WAVWFTVYNKSKIYLSQYHDRPFVVNFWSSIIAGASSTIATNPIWVIKTRLMSQTTGH DRTRFSLYPKGSNTPTSRPTLHQPWHYRSTLDAARKMYTTEGILSFYSGLTPALLGLT HVAVQFPVYEYLKTKFTGTSMGAAPVAGQEDKSHWFGILSASILSKIMASSATYPHEV IRTRLQTQRRPMPGHEYMQGFGVTEPSASGQNKPAVSNGPRYRGIVTTFRTILREEGW MAFYAGMGTNMMRAVPAATVTMLTYEYAMRRMNGLRERGRQKLEGGGSVERREILDS QC762_212970 MTAPSLASYVTKRPWLSKLLKPVAGWYSNAALYRQMGLKADDLI SEENADVLKALGRLTPKESYDRIYRIRRATQLSLQQKILPKNEWTKPEEDVQYLSPIL EAIEAEAKEKQALDTLVPSKAGH QC762_212980 MLLPKGGVSWKAARASLPPTRAIWVLLTRTRFLLLLAITGTIIL LWRGISSSAPQMKSFYCWGPNKPPSEMSQNEQAAWNAHHHTPVIFNHHAPLVVNESTI DHVDLNPIKSTTKAVQNEERVLILTPLKDAAPYLSKYFELLAELTYPHNLIDLGFLVG DSTDDTLAVLSAELNRLQKRPDKFRSAMIVEKDFGFKLSQSVEERHSFEAQGPRRKAM GKARNYLLTTALKPEHSWVYWRDVDIVDSPEKILEDFIAHDRDILVPNIWFHRYENGK DIEGRFDYNSWVESDKGRALTKKLSKDIVLAEGYKQYDTGRTYMARMGDWRNNKDEEI ELDGIGGVNILVKADVHRSGINFPCYAFENQAETEGFAKMAKAAGYGVYGLPNYVVWH IDTEEKGGNV QC762_212990 MLIRSHRLHYSKVISTNTTAVGLVKPSWCSFFHKFLSGSQPTSL IPSFLLTTTVHTASISSMETRRSARLGAISESAKITEPAPVPAPTKFRKRKAVEEDDN EQDTTPSTPRRPRAAPKPDLDQAPPATPTPNAVSLIAEPVNTISKPKPAAVNRLADPN RTNALLLSPQTSRLISSTTGPSVQPPTSPSAIPLEGIKTTPSTTTTTNLLEEACAHLI KVDPRMKPLIKKHHCHIFSPEGLSEKIDPFESLASGIISQQVSGAAAKAIKNRFISLF YPGNDTTTTIHEKKKFPTPADVIGKSIETLRTAGLSQRKAEYLLGLAQKFVSGELTAQ MLADAPYEEVLEKLIAVRGLGRWSVEMFACFGLKRMDVFSTGDLGVQRGMAAFVGRDV GKLKAKGGGNKWKYMSEREMEEIAEGFRPYRSLFMWYMWRVEETDISTME QC762_213000 MLVKNLLPAFVAIGSAAAQSGTCSISGGTTTINSAAEATGLLNC RTVRGSVVIGRSAGPSIDLSGPGEITGDLRVADNGIIETLQSSDLTTIGGKFQLKNVT KLTSVSMSKLTAAKEIEWDTVTNIESVTLGPVNKVDDIRISITSLRNLDALDLGNVAN LKLDNNARLSKFSSNLRTLSKNLVLASNGIGGIGLEVELPNLVWAVELDINNVTTFSV PSLKTVNGSARFGSNFFQSFSAPNLTATSSGDISFIGNAKLTNATFPKLEAIAGGLTI ANNTNLDELTGFPKLKSIGGAVLLRGNFESVEMPALDTVRGTFDASSSADISESCKAF DKLAPQNQGGNGVIAGGYDCTSNNTQANEDTDGSTSGNDGSGGSDDKDNGAVGMALNT GLFAIIALAGFAVAL QC762_213010 MSAPPPPRPSGGGMSLYANLLDPVGDSSSSTTLASKDQNESKDN NAPPTKKAIDPALRFQPMLRRPQVNRPTAKPKPSFPKAPPAVPSASASPGAPAAAAAQ PPQRSTLADWAATEEDEYLYSASNEKRQRGGRRKKKKKADEREQTNWDETYDPARPTN VEEYLRSDERIREVREWKEILYAHRCKSRSQDRYADEDEDEPDRRGMGMNSQFAPPTS FNFAPPPMSPPRTAANIPDDATGDDAYARRLALSQQQQQQQQQQQQPPPPPPPDSSPL PPPPPTDTAIISRAPVRYSPPPPPPPTDPEAMDLDSDPEEHEGVDYSSINVAGSLPQK TRGKAFATKLMSKYGWTAGTGLGASSSGITSALSVQPLKRKKKSDAEGGGFRDPAAAQ GRIIAPKSLFTPSQPQQDNNNNNAGQKISRVVVLRDMLLNIPNLAAEVEAGLGQEIGE ECGEKYGRVERLFIDQSERLGENKRVYIQFVEEVSALRAVNALEGRIFNGNTIRAGFY DAEKFEEGVYEE QC762_213020 MASNKRYALVPMDEGPSAPSKERKRDRSRSPKRRRRRDGDESPR HRRSRSPRERGSERDSDRRERDGGSGSKISRGDTGRDDSKISDLRLKSRLDYLAKRET EKLALLRKQVAEETAELRSGVRLSEREKADFAKNREILRLAEERLRIDDYQDGYRLPD QYGADSKKKEEALNRRHVERDQFGNEKHITEYEEWEREQTVKAKAQIQSREREEEEGK YDFLLDEDNIAFVRDAAAKLAQPSDGLTQEQRVLKARIEAAERAHMSIQEVRKSLPVY AYREAFLDAIKEYQVLILVGETGSGKTTQIPQYLHEAGYTNEGMKVACTQPRRVAAMS VAARVADEMGVKVGREVGYSIRFEDCTSEKTILKYMTDGMLLREMVTSPTLEGYSAII IDEAHERTVHTDILLALIKDLTRARPELKLIISSATLNAEKFSGYFDGAPIFNVPGRV HPVEVYYTEKPEANYVEASIATVFQLHATQPEGDILVFLTGQEEIDHACEQVTEIKRQ LGSRVPEIIALPIYANMPSELQAKIFEPTPPKARKVVFSTNIAETSLTIDGIVYVIDS GYAKENTFSPVGTTGQSTLAVVPCSRAAANQRMGRAGRVRPGKCFRLYTRFAYLSEMD ESPTPEIQRTSLSSVVLQLKALGIDDLLNFDFLDPPPTELLIKSLNLLYALGALNSAG ALTRVGRQMGEFPAEPMLAKALIAATAEECVSEVLTIVAMLGEVATLFFRPKDKAVHA DSARARFTVKDGGDHLTLLNVYNQWVDSDYSPIWAKENFLTQRSLTRARDVRDQLAKL CDRVLEGSESSCGGISNMNPILRALTSAFFLNAARLNRSGDGYRTLKNNMTVYVHPSS VVRGIDPPPRVIIYHELVVTSKEFVRSVIPIDPKWLTEFGGHYYDKKDVEAMEGKKVP KQRS QC762_213030 MSTLQSAINGTRDAVAVIVPSKPSPLMMTYKDLLAEVLSFQQKL AAIGITHGSPVSIATVNSYEFIVSFLAASWQRGIAAPLNPAYKQEEFEFYIKDVKSAI VLVPKGAYQKGAPAVKAAQKFNAAIAESYWDDQKKEVALDVKELGQLNGKGQQPLLKP QPDDIALVLHTSGTTSRPKVVPLSHRNLTRTMRNIQQTYQLTDADRTMLVMPLFHVHG LLCGLLAPLFSGGSMVVPSKFSATEFWQEFITHKANWYTAVPTIHQILLKHPTPKPLP KIRFIRSCSSPLSPTVFHALEKTYNAPVLEAYAMTEAAHQMTSNPLPPAKRKPGTVGI GQGVEVVILDDAGNKVPQGTEGEISIRGENVTSGYLNNPEANKTAFTASGYFRTGDQG KLDEDGYVVITGRIKELINKGGEKISPIELDNVLTRNPAVSEAVSFAIPDEMYGQDIG VAIVLKPGQKLSDEDVKKWVGDKLAKFKIPKKVYFTDVMPKTATGKIQRRIVAETMQK KEGRAKL QC762_213040 MSWDNGNDNWGNPTPAATSGGDDWGKGSASNNNDGNGFGDDSFR GAATSGNGGFGDAAPFGGDGEHRGETGHNKADCPNPRKPLGACRRCGDEGHYSKDCPT AGPMTCNACGSTEHLRKECPDAGPMLCKNCGEEGHTISACENARKVDRSEIPDKTTEE AWELIKTAVAERDLDDLKAAVQIYVKSQPDCTYQQLESAFRGHDLGVWLIALERPTVS TLTNMDLQGNLGKKYTVSYRFSPNPARPREREGWPETEEERMKRLADAGELVAGGLPK CRNCDQLGHISKHCKEDKRENERIQVKCYNCDEIGHRVRDCPTPRVDKFACKNCGQPG HPVAECPEPRSTEGVECRKCNETGHFSKDCPSAGPRGCRNCGQGGHMSKECTEPKNMD NVQCRNCDEMGHFSKECPKPRDWSRVECQNCHQKGHTKVRCLNPLVSDEDSGGFGGCD GGFGGGDGGFGGGDGGFDNAAPSADDGGW QC762_213050 MPVMKQEYSLWDILTSLLFPQKFILLSLTFLPRTILSQPSLLLP WNLPHLKSLWFTRFWSFVGPQVRENSSALVIPLLSGHISRGITHPSPIHPPLSGTVLE IGPGSGMWLPTLSQIPSITKIYGVEPNEGNAASLRQTVKTAGLEGRYNVIPVGIEDLE AVAHIEKGSVDSVMTVMCLCSIPEPQKNIKMLYEYLKPGGKLYVYEHVKTFDGELPGQ GEPWEPKQPKWLGWYQSFMNIFWPHFVGGCEMCRDTSKYLLQDAGPWQEVDLAQPANS PWFQTMPHIIGVLTK QC762_213060 MRFGKGLLLGLLAFAQVVMGEDYYKVLGVGKDATEKQIKSAYRQ LSKKYHPDKNPGDDTAHEKFVLVSEAYEALSDQESRSMYDQLGYDAYKQRKQNGGQGG GHDPFDLFSRFFGGSGHFGNRPGERRGPNLELKVGIALRDFYNGKTTEFQWDKQQICD ECEGTGAADKVVHKCHACNGQGVRLVRHQIAPGMVTQVQMQCDHCGGRGKSIKHKCKA CGGERVVRKPTPVSVTIQRGMANGVRIAYENEADESPDWVAGDLLVTLVEKEPSLEED NPDHVDGVFFRRKGNDLYWKEVLSVREAWMGDWTRNLTHLDGHIVRLGRKRGEIIQPG HVETVPGEGMPIWDEDGDSVYHKTQFGNLYVEYMVILPDQMESGMEKELWALFQKYRQ KNGVDLHKDSGRPEKVVLHEDREQEREHEEL QC762_213070 MPEVYRESRYARDTSPSDDEGYKRTTVRRYKVGPVSVEKTDRVE RERERDVEVVEEDRRSRYGGGSRVGRDREDHIEVDRRVERVYVPERPRSAFEPSPHSA TYVERREVIEREREREPRDDFIRVDRTEYRDRGGDRDTVVERERIIERERDDRDYDRT RTVVERQVVERDDRNNEYWRQDITDRPKVVYESKELVRAERDREDAFSPRTPRDWERR SYWDEDKQTEVRVERRVEHRDSHGGEVVVERRIEERDHRDDDRYSGEIERWRKETEYY EPVVQPAPIVIRQRAPEQRIIVQEAPPPPPLVIREQAQETNVAIARIPTRDEEYYYRR ESRELGPFRGEREEYEVERYGEPHRHHHHHHHSHSRHSHHHDGYSDAESDKEVYVRRR IVKREESSSPHRKRHIAEGALAGAGISAILASRRNAQGELPEKRGRKVLAGAALGAIG TEVARRAHSAYEDRYGEKDKEIIIRERSRSRHGHSRSRSRSRSPHSRLKTGLGIAAVA LAAAGAAKLYQSNKVEKEEMARGRPVHRASSDSSRSPSRKRSKSAAAKAGLGTAAAVG LVQHYRHKRSKSRDGKSRSRSRLRTGAEMVAAGLAAAGAKKMYDKRQDKKEDEREKEA LKRERELSDEEHYARDEESYRRRSRSRSLPRSGPTYPDADLPPTDPELGMVEYGAHPL YANPARPHDPYGAAMGPAAAAAGYESAAEEGRHRGRRKRGHRRRSRGDDSDYSADSEP ETDKEKKRSGSKLRDLAAGAAAAGAAAFGIKKVRDSKKEKEKEKERDRDERDRDRDCD RDRSRRDRDEDQDRDRDRNRDRARDRSRDRSRDRSYDRDIERRREREKSRGKERDQRR YEDEDDYRRTPSPAHASGGYYQPPPPPQPVVNNGFTNHPNYVSDNLHQQQYQPYRPPD YTGFSPQPPGVPPNSAATNNGMPPPPPHQMPPPPAPQGPPPNQPPGPEHVNGLNHKLT PFYSPPTSPIMTPQTETDDPNDDIPGTPRTARSVVFVPLSPKSQATLRRHREAQEAAR SDAEEDSDITPPSPLGPTTSSDDDKALRKKSKAVSDDDEESAVEELPDRFDGEGRPLT AQGHEDRRGGVHSRKGSFEYRSPKGPNGLNMMGDWAVSGTEKETVERIVQNVTGVLEG KGSWMGLIGGLLSGNLLQGGHGEGSGSRDGNRDSRDRKGKGRGHDRSEDEGDDEDDDR YRRRKRSDKRQTEDERGESSRGTKKGRHDSHDYDHDDDDYYGDEDRKRRRSRRSSRRY HRDDDDSDDREKRNR QC762_213080 MSTAELATSYAALILADDGVEITADKIQTIIKAAGIEDVEPIWA SLFAKALEGKDVKDLLSNVGSGGGAAPAAAGGAAAAAGGAAEAAPEEAKEEEKEESDD DMGFGLFD QC762_213090 METPAIPMPNDAREQEILQKLTAIRDQLLLLKMDRTKYIRSQDV MVHYQELVEQVKLLNEVRKGAHPGENRLDKVLESCFQLISLFFMTIGRTTDVPAAYAL TSTVKRLLDHLTEAGLFSPKDLDSLSDTLGRLDGILKNANAQHSPYLVELLSKREELC KTMLAKLREKLDELDKPLQAVYERLISIMRSMSLANTKTKFATSEVQKLQAKLKEIEE SRVDGKFVDEEGNEIRGSDLVSALLARCLRWSDIVLERKGQIPEAFRTKYEILTGVRN DLEKLSITQAWSLRETDLYDFQRELDKIDESRVDGNWVDDEGNPAELYVQRTLLYLIR RSYGYIYYLMNSSEPVSEALLPVYNQLQTLKRCLVEVKNSGGVSSVRELYPYSMKLNS IDNMRVDGKFMVGNDIPEGQGSVSELLAECFDLSYELRVAAEELEDGSADS QC762_213100 MASPHLRSFAASTFNRLAFLSSKPPTSKPSFGSFSSIISPIRFQ QQPIRTMSSTEAPKNVEWLVVIPDFPGAHEKRLEVRPQHFGGLKPAVDSGLYKMGGAV LNEPPQGSDPTKFSFAGSTIVISAASREEIKEVLRNDIYAKEGVWDVENAQMWPFLCA FRFPVPGQDEKFPDPNTKQ QC762_213110 MTTADLDVLLSMGFEQARAEIAAKKTGNLQQALDWLEANQDKSL EELQAQNQASAEDEDEDTTGANIPSGETAKSLVCNECGKKFRNHDQATFHATKTDHQD FSESTDEIAPLTEEEKKARLEELRQKLAAKRAVQSEAEKETAKRNEQIRMKATKDSQE LKEELQRKERIKEAERKKQEKIADAEAKKRIKAKIEADKAERKRKEEEAKALREGRAP QAPVVAPAAPLSALGGSSASGSSAATARLRIQTKQKGNLMKTYPSETTLFEVAHAVEE ETGVPVQSFQINFPRKTFEAGVDFGLTLKEAGFVPSAAIIAN QC762_213120 MSVEIEPFELGFRRPFTIEVAQILKIKNTNKEPIAFKVKTTAPK QYCVRPNSGRVEPGHEVEVSVLLQAMKQEPPADAKCRDKFLVQSVTITGDKDFTNVQQ IWDGVPKSAIQERKIRVSWLAANDDGNAEPAVSTPPRRSLANGHEDTPNAAPPAYSSP HDESTILDNTSPGQPEQESREETGSTVAESAVAAAKTTAVETYEEIKAKLAQAEATIA QLKNDAASGLRQRKAAITSEADNSKPSQPELAQATRQGTEGVSVKVVAILCFVSFMLA YFFF QC762_213130 MSPPTDLPEMEYRFLGRSGLQVSAISLGGWLTYGGHVDREGTFA CMKAAYDVGVNFFDCAEVYAKGESEKVMGEAIKKFGWQRNDLVISTKIYWGSAFSTRP VNNIGLSRKHIIEGMNQSLERLQLDYVDLIYAHRPDRNTPMEETVRAFNHLIDIGKAL YWGTSEWDADEIAEARHYADKLNLIGPLMEQPRYNMLERLRVESTLAHLLRTPQSLGL TVFSPLRQGILSGKYKSGIPPDSRFAQTQLEFISGFWKRTGKEEFQEMVDTVSKLEPI AERLGVKQSVLALAWVLANKHVSSAITGASSPEQVYENVEALRVYKLLTEEVMGEIDE ILGNKPPAVVMRF QC762_0045980 MLTRTSCPFRHFFLDQHGQPTREPTIIGIGSWQAQGAVSTMKTD GL QC762_213140 MASTTITSNGTTKRKAANGISNGHANGATAPTKNADDQKEHDFF WTYTEEPHRTRRLAIIKAHPEVLKLCGAEPLTKYVVAGVVALQILCAHLLRDTPFWSL KFWAVAYIIGATSNQNLFLAIHEISHNLAFRSPLANRLFAIFANLPIGLPYSASFRPY HLTHHKSLGVDGLDTDLPTALEAVFLDSILGKAFFCTFQILFYALRPMAVYRVPFTWV HWLNVAVQLSFDYALIALLPNYFSVNSVLYLILSSFLAGSLHPTAGHFIAEHYVYEHI SDEARDPENKIPLPETYSYYGILNFFTYNVGLHNEHHDFPAIPWTRLPKLNKIAKEFY DPLPTHESWVYVLWQFIFDDKIGMTSRVKRKQGGRVVGGGNVAAKAKVADWTAEEIEA QC762_213150 MTPSRPADDRLVGFTLEIPYDVVKTPRLMDQESVSQYLSYVGLD KSYHPSSHPVLDLDYLTTIFQAQITSFPYENLSIHYNPFHRILLDPQHLFTKLVTSPR RGRGGYCMELSIFFSHILRALGFSSIHYSGVRNRNRTNGTPQGPYNGYVHLVNILTLP NTNPAQQYVLDAGFGGDGPTFPLPLTEHLIHHNTIGTQQIRYTRDWLPDQRFRDESKG ALKHWIYEYRNSPEKPWNSYYAFVPEHEFTELDFENLNVFLSECERNHQTYTVLVIRF LRGGEEGEQKIKGKVMMVQGEVKQNLGGRTELVKVCRTEEERTEVLKEVFGINLTEEE KGAIRGWASELKGE QC762_213160 MDPSSNKPTGSAEADRSQSTGSIPTALLHPTLSRESTSSTATIT PKTDSWPSKLTSQTSTTSTSSSAAPSPLHSREPSPTRPPRQQRTSTSRASSINPGPRS RKNSAQDLGKQANPPPPPPPPPTTKTLSSSTTPTLLPTVSDPSFNAGAPVKSPTSMEH IRESPRWPVSPRLRSPPPILHQPTIPPQRRSEHETPLIALQRATPPQPRYQEPQSDTD TDDAHMPSGLRTPARGGGSSSVLETVQEVSPLGSPRGPGESLEEKIASSMASESSQAD LIGLGLSKETVGRSNTGPNDSGSDSGSIKASRRGGSGMPPPPLTTRQSSTSINKPSMP KTKTGDLPLQSMTVETETVTSIPQAALAPSVGTQVSSASLRTKASNETIRPRKEKKKP PRKQPTVTAVNASSKADIFEAKVASAVEEANSSDSEETFVYDSNPPDARDRPPRFHSR TPSATSMVSQIDRSGMRSIHTVMESSGPQMVVKKSMKFVNTYNSNANDGIYGDDDGKG TGRSNAGSARGTARHHHHFGRWGRNGGSNGHPSLFAEHSPFSNAAAVGNGGSRHSSNP PSPRYANARNYSAANGKRSTHLSAGYDLDDNTTGADDETTPLVQSSTMRSSRSGRSRR GPHSHSYRSIEAQQYRSPPSVLNRFASCLVLTVMLLLIVSGAIGFMFATSQPLTNVQL TNMSHIVASQQELMLDLTIKAHNPNVVVVAVDSCNIEVFAKSPRGMSDSEWWRHTHPG EVGPPPIKRPEGEMLAMEADDDDDSAPNLHLGTITGFESPLTFEGSFFNQGDSYSTGE VRLKDPGNVTKPGGPERWERVLEDEFTLILKGVITYTLPLSQRERKATITAKKIIRPN SADDPAVQPDDGDVTISLIS QC762_213170 MAAVVKKPPAAGRETPTGTPQRPSARSSTPTTSSTSGTTPAAAA RSARPSRTGTPVSARAAAHERRQSLLNGVSSNGRSGSPADAERDEAIRAETVAIIDDL KTRLERAESSAESTKRQVEILQDKLDEAHREQAKLEERVHEQEEQIETLTNEKRETAR QMREMENIYEAERSGMMKEKEEMANREEEMQTVIQRLKDSLAQRNLDEDRPTRQSVTN SPSIENGSFAPPSSIHRSDSRNNSKLLLQKDKLIESLRLELAEAQIKLVESQNQGGGR LQEVERQLMEARVANARLMEDNESYQLLLQDRTLKGDFGTNDFSYLGHASANQDALAA LEGRSNGASLADELNGAAESDPPNEQELETQRRLEAEIKSVKDQNKALTLYINKIIER LLQHQGFEHILDQSSDFKGNANANTNKELPPPPTPKQAAPPPGPSLLQRAKTMSIGGG VRPKPRPMSFMPSASADVSNPETAPSIPIGLTRNTSTRRARPMSDQYTSVGAASLVNA MYKGPSAVDGPLSPSLRSSQTFWAPQGAGNRSSMSGSTSGNGPQSAHSTTGAPPASAG NFPGMRSETSSTSGDSILERDFVSSHSSPPRSQHEKEKTTFGGNKPRPLRLVQENAEA QAAAERANKRNSWMGWATSAFVKKDEQAVGTAETIRE QC762_213180 MAPKKSKSDAQSIGAKLALVMKSGKVVLGYRSTLKALRTGKAKL ILIAANTPPLRKSELEYYSMMSKTSVHHYNGTNIELGTALGKLFKCSTMAILDAGDSD ILADQTA QC762_213190 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKRATVD DFPLCIHLVSNELEQLSSEALEAARICANKYLVKLAGKEGFHLRVRAHPYHVVRINKM LSCAGADRLQTGMRGAWGKPNGTVARVNIGQIILSVRTRDSNRAIALEALRRSQYKFP GRQKIIISKNWGFTPLRREEYLEAKAAGRVKVDGAYVQFLSNKGNLAQNMKRFPDAFT A QC762_213200 MAFHQPTRQVQQPRIARAESEDGGSAILSPQARLDTNEPHTWVL FTPGTDAGTTTSYLSSVQDDQITPGRSRISDLGSLDTAARSDFNSQPSNSVVPSVALV NSIAEDDAELDSLDSHLPDFRTAHSPYHQPDIIHSTHIFPGHDGLGSFRFEVPGNSVQ AQERMYAFEQFNPNRVMHRRESFDLARLQLESQEPKEAERNQRIEAWRLEQSQLLLED IKKETKRRRRQSELSAQRARLEKGVLEDVIARSVADEEAEDINLAGTEWHDQDEASTD TSKGGLWSRLTRKVICDMMGIDDKLLAILFGEVLPDEDDMKTPRGSLEDSAHPAFANK ESESRDDSTWQLHMLERIANELGGIVHQMSTHPGAFSTYSRVQQTPLPYAGLPMIPEA PDSIQRMNSSAASMPQFKPTIGQSADAGVAQPLNAIPSTSSDATPDVATSNGQTFTQQ EWEQDLDIRLVFRYLRSRFTSSRPSSPPFTSGTSHLATSSTQELAAKAARIRQHHPLV SHAHANSHGHHRPRPAERRSFRSTTPANPVTMRHGPGSCASQSTRRSARRSSMSSRQS SRHFWDIGGSIGTGSIIASAGPIMGSWGEV QC762_0046060 MAESSGSAGYRRSTGMERNRVFLGFTSFLDVFVLPHPALHLARF SDLNLSLRPATCNLRFATCDILVPSFPSAAYNASLFFLCFAFATCLIMY QC762_213210 MAATPFDPSVQGNSFVFDIYTDGQALRAPAPAPATFLPGGPCNY TDPSLPRCGCRRFWSNSALAATGTVEICMCSHHACFHEDAPSGQTQQTQLGPTQILPV AGVVGQENQKPRSHREPLSPVQELVNWPMPTSFGASLDLNLLDFQNTEPSPRIEATTP LPIGHLQPAQDSPMPDTFNHWGEIIETQAENISLSGFPTLPAQCLLTQGPPSTTSSSQ ARYLRPFAGRGLQTLNVLREDAVCPGPNDDDPTQEHDPLSRREREETPKASSHSQGKT PKPDDNSAYQKLTETVESHEQRIDRLENTSFSVAGHEECHDKHDNVDMRVTELESRVD EVEKMLNDNGSVVGSRRHTRNDAIADDATASVVSVATNTTISASNRVEVYNQIQKLQA QVNQLQAAALPTYAKPWELEVVFMPFPLKGVWVQANEFPAQRRSLGGDGEWTQMQNTL SRATPDPQSPKFAEWPGQSPESNWLLPRAFAAGRIIDQRLKSRGLIKTVLVRGADARS VQLAIHDAFSEVLRVSALAGVRSDYSPNSPLNEFMGLRQAWVPLRKLHKDSKLRFLTP AEMATPALWDFTFLVSSVIMKASGVHRLYITQPEAYLQDHPLGYHAMDAGWTWQRLRE LSRFYPDSQSTTGDVPEADAMEECWAWNDRVDEPPSHNASVLSLRHSHLQRLSRRSST EPSQQFYTGVQSPILTNGPSFIRAGSPLTQRERKGSWPPQFTRAGSVPPPSIPIQSAQ SVARRRVSTVVPYERRSSPLVTRPTPRITTVQTSGVSAKKRRLGTRSPSLVPRNTPRW SRTSMSRSPSLAPPGMFGHHDERDRTPFYYATPHSEAVGEYGYQRGGSRGPPQTMLRT NGYEPDDDEDEEMTDDFQDDDTQGSSSDPCDSEMTHDDSPAKQVRVSQGSFGFGTDGE GNDMDDVDIDVYEDDEEDELDGVDTDHTPGRQNHYHSSHAAWGNQAAAAHVTRPEDIP RAGIEDQMSDGENVDPSSSFSSFASDVSGSFHSSQSRQQQHDDQQEEIEIHQDEDEED REERRSNTSARSSQAPSEYSSRPGPWNIVPSPASPTRTITADTKAMAASQQEAGVKAH SIKRERSSLNSLMDFRIHEDRTAQS QC762_213220 MPKAAKQKKGGGPSGPYDRKSKPAASTNIFKFDKDYGQHILKNP GISDAIVEKAYLKPTDVVVEIGPGTGNITVRALEKAKKVIAIDIDPRMGAEVTKRVQG TPLAKKLEVILGDVIKMPEMPPCDALISNTPYQISSPLIFKMLAMPNPPRVAVLMFQR EFAKRLVAKPGDALYSRLSVNVNFWATCKHIMKVGKQNFKPPPKVESDVVRIEPLIGS ARPKIAFDEFDGLLRIAFNRKNKTLNASFAIKEVLAMCERNYKVYCSLNNIPVDEGVA AAGTGAVVGEGEGMDVDMDDDGADGDDNEEEEEEEEEDDNGMDVEDDEDMPEFFKEMK GDEDKAAAAKTPSRNPKSKVAMVVKAKVNKVLASTGLGEKRARQCDQNDFLKLLVAFH EEGIHFS QC762_213230 MSIRIALENPPEFYTNLDIMKGHVVLTLSRHETVGAIIVKLEGE SRTALGIPNDNSSTGVPHREMPSAGDIIYENHKILYKVAQAFPNENAPPQAGPIVLNP GQHHFPFQFKFPFNNSCGNAEAMAKIGGVVNAGGFAPGAGLFGLGGIRVMDGTKQLMY SHVTKTLPPSFTGFPGEAEIRYYVKVTIQRPGLFKENWRYQIGLKFLPIEPPRPPKSN QEAYARRPFAFAPRTPPSTAPPSTKKRTSFFGRSTTPQPPPPVGGPSNPSSSAEASLA TPPSIEMSARLPHPAILTCNKSIPLRLIAKKLAPSNGEVYLVAIQIDLIGKTIVRCQD LVNNELNRWVIVSRQGLSIPVSKPDDAVGTEVVLPDAIWNNVPLPNTIMPSFQTCNLS REYQLEVKLGLAWGKPDATNHASNSSSFFGGSNRNKGKNLANIPQEIHLPLNFSNVQV FSGLTPPAELVEAMRQGRTRPARKQTGPNGRPPQQQPQPQPNIPPQGVASSSRPPAPV LPPRPVAATPQNDASEAALYPPQLRPGQDAPPYDDAPPTYEEAMAEEMTGPVFPITAR PAYSGVTDENAASSLPEKN QC762_213240 MAPNTTSSRNQLASGADRAQIASSNATANAFLGARAQPSWLNPP SAASRALPANPNPPPSAASIKPAPQAKRGRPRKYPPVSLPTAPQPRPEPHFQPQPPPQ SGSPQIQTTEVQPAQTILPSPAPSDEPSPALSNALDSPNPLPASLSDAPTPVSNMTPA SAATNVPMTHARFELNPAANQNSWTPQPDESWPPEIRSFFQAPSAHAPSPQTSNNQLC SVSAESGNAVGAAIDQDGGCQSTNAAAAPTPQERRRTDTVPLKCQAFEAQIHRHVNAH GGMTAMNARLDRPRIQLLVDACKRNDYFFLALHQLYAIWSINRQDAHRILKKDDVADI IMIDLGFTTVAEILKRNDQLTPTNLRFFVSFPVSVDQLREHPVPRATMKSWVGNFLRS MVTEHVSLTQETCMRRYPYVLDELQGRLLCYSPIMREILFTANRRRLGIPDGVHAQAL DNAFKEDQERRMHLACGACVAVGDSPETIIRINQALIARYQELVKRSDAEKLRLNQHP PVRLGVPSPPVVPSQQFPPPQPTSFHSPAPSPVMQYSASPQYQATQLHQAQLAQQMVS QYRQQQTRELQAAQLPQSPLQQIQHIQQQRMPLPQQIQYQQQQFQQRPQIQQQQIQQQ FQQQLFEQQQVLQRGIAHQTQQHQQLHELQPDQQQRHLERPYVAPINTQIAQVASPQL GRASLAPTTTPTGFGVPRPVLDRQQPAAAAVQITSQSTPQRAASTPRQPDLLIPAKDV TIPRPEWPYEPTDRRSLAASLHQSGCRSPKRVPKDGTPERLFQAMDRFAIPPSLVRPQ GSVYEFSFDVTAEQFDLAVRTARPTSAILVPFSTHFDGALRWRLRCCKLGPSRTIPAE HEWITKEMSWPRNIFMRFNDKTLEARRQPHNGKDLATELTDHVVCGSNKLSIVVPVSG EKSGEHSYVIAVEVIETVGERTAVRRAWDNGLLPEEHTLQIIKKRLTPVSDDDGLIIE APDLPIDMADPFTAKMFAVPARGASCTHLECFDLLTWLETRPSKPTTKCFHSITPGCG CPSSIQSEPSNPDKWRCPICLQDARPNSLRIDGFLCGVREQLAQQNKLQTKSIHVKAD GTWTAVVESDDDDLSETDTPAAVPSGSSTRKRKASSTPTTARRPEVRREVEVIEID QC762_213250 MAAEVQPLPLVKLPSGPSPVTPEQRYWRSFKNQKLHTSTATWPI SHISFPAQTGAVLSNSMVVATKINDLFAVTSGPRVDIFSIRKRELLKTIGRFDSEAHS GEIRADGRALVAGEDSGKMQVFDVGGGTRAVILKTWHIHKQPVWVTKWSPTELTTLMS CSDDKTVRLWDLPSNNPSHTFTGHSDYVRSGAFIPGGNSNMLVTGSYDETVRVWDART PGGSVFTFKHKDPIEEVLPLPGGTTLLAASGNAISVLDLVAAKPLRLITNHQKTVTSL SLASNGKRVVSGSLDGHVKVFETSNWNVVFGCKYSSPILSLSVIAAGAAQEDRHLAVG MQSGVLAIRTKLSGAAAEKARERAAIEAAIGTSALDKIDARNAKRKRAAVSNKNMDML GENVDVVIPTSDTGSRKKKLKPWQRNYKDGFYAACLDEVIDMSGPEYQPLTALTVLIA LRHRSALREALEGRDEVSIIPLLKWVSKYIVDPRYLSICVDVSFHLYDLYSEHVAGSS ELAVLFHALLGKVSRAASKAQTAMEVAGMLETLTLGNN QC762_213260 MQDQRYSTSPGIQDRYSTSPGMQDQRYSTSPGVQDQRCSTSPGM QEQSGYQSSNGYVMSSTDLSRREPSDYSSSSNYGRHSEPSLPTYESHQNQRALPWRDE PDNGSNSYSAAPVPAALIPGIDPNISQEIAVRVNEDSGRRRYNQQAQIETPPRGRTMD VARRYDQDGSPASYNAQPPAHGRSPMTYTAGPSTSSVNVVIKSRAYSPNPPVRDPSPN PPQQHHNPPQQQHTIRRKSVSPRPPSSDSRAMSSVPFGPDSYEVLNPTAAAAAMHDPT AALPDYDAATGKIITHDGREVDPSDHLPMETWAPEPEAKKPTQTSPAPRPTPGGPQPQ PVSSTGRRPLRIAAAPRPQSYVAPDSFDSLTPSPPVSNGRNRLQKKPPHRMSISSGFS PSEPVMSGANGLGPGRRNSNVGLDSQPLAPLPPHQDNFNSQRNLPRASTFDYGAGENC HPSMQGTIGRNGPPPPAKIPLALPPSQGYNNSNMSGALQLHSSSAARRGGMDDYDYHD GGYRHQNDGYANGGGVGGGRELSLEEELRSIDIGTGRSSRRHQGYAHQGQW QC762_213270 MPTDAQIAGGHKANLNNPNTSKESKDNSQKILNNEFNGGDVPKA SDTKDKNPGNVAGGLKATMKNPNVSDEAKKSAEERLNNM QC762_213280 MAASDILLSDNPEPKPPVVNYVLSFLLVGIAWGLTTPFIRAAAR SHSPPPHPLLESRSVQSSVVKRKVLGAFFGVMDLLRNPRYAVPLVLNLTGSVWFFLLI GKAELSLTVPIVNTCAFLFTVLGEWWVEGKVISRDTLIGMFLSVGGIALCVQSKNV QC762_213290 MSDGLNEYRTARVAELLSDFRTLQYYIAAAPVNPTDMDDYYTEG WAALRQCALDGQHILNCAADITVPRASGGADEQAKAELKQVLLDAYARRHEGQKIYLR QAAVQRWIEWRDQILMGGRPHSGNQSQLRACDQQLRAELANITDEAIYSELQVSDMTM GRWVDEDPSLRAVQRWVRTRRA QC762_213300 MAGNAGYDRHITIFSDQGRLYQVEYAFKAITAANIMSIGVRGKD CAVVLSQKKVPDKLIDPSSVTHIFQISPSVGCVMTGSIADARAFSQRAQSEAAEFRYK FGYEMPCDALAKRLANISQVYTQRAYMRPYGLAVTLISLDSEFGPQLFKCDPAGYYIG YKGTAAGPKQQEALNHLEKKLKNRDYADGDWKEVVELAITTLSTVLSMDFKKTEIEIG IVGGPRPDGKEGTYPGFRTLTEDEIDDRLQAIAEKD QC762_213310 MSARVPAWKRLGLKLKGASDESPVLSSTGPNANSASQVNSSPVS ALKRKQPLYQSPANSYNSISYAQTPNKRFRADEQTPGSQRKSVSFTADTKKTSVEPAK KKAKKKKAKKPAQPVAKPETNLEPSLDYLRQWHTARDSWKFNKNHQTLLIKYLFDGDK IPSADIPIFYQYISDLKGGVRTRLRETAVEIKKKDMEQGVSAFPADTKDKATKQTEYE EVISRFLEDLQQHQKQRQCTGTNANGKRPLEEVQYVIRTVDPLVKQRALRRIRAEIVA VELASDSEESTTTSTAAASTITSSSSSSSGQENAVADKRTRSDDDSQQPAKKRRLRKV RNISDDESSSSSESESDSSDDDDDDEDENMADAPNGKEDEETSSSSSSSSESSSEDES DDEEEADDGSDSDSSESSESSESDSD QC762_0046180 MVEQRQHGRTPVHNSEVYLLRQMPPTFSTIPPLSPPSPITPAED DDFCNGMPIEALSLSQPAIAVADEKLAVEERLSNAVHVLSTETTALQNLTALYSTDRL AREGFNRAVEAITRRNHPKYPHHQHHSHSRGATDGKIVVIGVGKSGHIAKKLVATFNS LAIQAVFLHPTEALHGDLGQIGPRDTFLLITFSGKTPELLTLLPHLDKSLPLILLTSH TRPETCELIKHRPDTILLPAPIHEPETKSFGVSAPTTSTTVALTVGDALAIVASRELH PSVASVFAKNHPGGAIGAALRKPSLSEKSARELAVRMEMIPLLDTPPEKTATGADVLR AGYASPSGWVRLQTGDVISPRRIRKLDSADLVTGLEELKGWLVTSKKELVPVAADSSV EKAAEWVLGMRVALGDGQYEDNAIVAVMDRGECVGLLEVGSLMEEA QC762_0046190 MAGWERERASIGMPLQKSSSSAGVMGDGGDSGGMVEKVGGIWRR RTVFLSNRRESLVGHDSPNAWGGELNSGFHGSGKYRLSVTKER QC762_213330 MAEKISVLFVCLGNICRSTMAEGVFQALAKKEPYKDLVADIDSC GTGGYHIGEGPDNRTMSTLESHGITDYVHAARKVNASDFDKFDYIFAMDRANLSDLQR IQQRKSNSKAKVMLFGEYSGTGKAEVISDPYYGGQQGFEKAYEQATRFSTNFLKEVFP DVN QC762_213340 MGDLQGRKVFKVFNQDFIVDERYTVTKELGQGAYGIVCAAVNNQ TNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDSFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSVDPEENAGYMTEYVATRWYRAPEIMLSFQNYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHILGTPNEETLSRIGSPRAQEYVRNLPFMAKKSF PSLFPNANPDALDLLDRMLAFDPSRRISVEEALEHPYLAIWHDASDEPDCPTTFNFDF EVIDDVNEMRKMILSEVVNFRAQVRTVPGQAGSAGNIQQAPSNVPIPAGGPGQQWTAE DPRPMEYGNQMQGLEAELGGR QC762_213350 MVSVKSILLAAMATVAYAAPCQPAFVPTLPKTGGARELPSPPPS FTLKKIAVGHGIQNYTCVDTTSAPKADGAVAILYDVTKYAPGTPKTGIAKALWDRIPS ALLLKPLTLNKLAGTKYGAHATAPFPKEEDLKLLGFPIAEYLGHHYFDISSTPMFDLN RVGLKASVTKLDNVDAPANADKGPLKTGAVAWLQLGDSGKGLSSGITQVYRVITAGGV GQKCDVAGVGVHSVPYTTFYWFF QC762_213360 MAVGTLSLFFPVFIPAATAGLVYAGHRATYLDWRAILTEFLTGP GRTSRILLLLFLGLNWKSLPLGWTVRIFHSFIFHFARRPKTLPQRALFHYSVTSSRTS LLETDYNFHKSNSTYFADVDVSRSHLVTHLLGPSMPIIGDNEKNKLVLDKDGKVIKGS FGIGLGAVFCSFRREIGPMQGYEMWSRIVSWDRKWLYIVTHFVVKGKVKPTSWDGRWK GPTRSKIQKAEDGSAVEEPDWSKYIHATAISKYVFKLGRFTIHPSIMIQAGGLLPERP GGWRGGEDDCGDLVDLGEIDAEGEWDWKKVEAERRKGLDYANHFGALDGTNLLFDGGE DGAIGNFPIG QC762_0046240 MIKINTTTWSCSAHTYNSSDVSRAIALGYALLHDLSYVGYYPHQ FMNLEAFDFVTEPPYFEYPIMPGDIYTSGPPGPDRVIFDDDGVVEGLITHNGASKDGF VACKEKTMSA QC762_213370 MASSKALPSPPPSTTESKQQNPQSEEMVTPTASDISSVLDSPAN KAQEAHHIAFFNSIPWCSSLLGSTPNLIISQSVSRIIRPSGCEEDALISQTLNSPDAI PAYITFYSPPPKPTDYVNEVKSLIALGPKVNGWEGICHGGIVMTLLDEVMGQIFAVNK DSGAMGSKMPLLTGYLNTAFKRPVRTGTKEKPAIVLVVARMTKIEGRKHFCEGVVYGD EEGRNELARAEALFVQLREQKL QC762_213380 MAVGTFPGERASLGHKLTSSISSVISTFTQQPLSRPTNLYRPLF GEVIPLCELYSIANVVVFPSSSQSSALQNPSQPYSQHNGIGSTGFRSTPPSPFIEALQ TIGLGSPSWSASAISVFTIVLPTTNGYVTRSDFLQLRLQDFPYKILRTHEPLRPLQKY SATCPAPGPVTSEHDLATLVESAAGVVQWEDLPTSVSFVDLEIYRTRLTEELRDRLHN APWLSSTPIPRQRVALIRGRPNITAGGPVYRAAKALGLDLIIVDEEGHWLQADTEENK MHREAFLVTDMTEDAGVVDRIIQSIVSYPLPIHGVFTLSDNFFVAVAQVAEALGLPTS PVAAFETSVDKYRSRLLQNVPGQTARVHNVRELESLPASGGNQQAEFIPRFPLIVKPT KGWSSECVSKVNNLADLATAVQKATSRHGSAAVIEPFFDGPEMDVNFVLLDGEILFSE IADEPPCDADSSAATVHSTFSPEALTLPSALPAQEQDIAKSTLRDILVNLGFCTGVFH VEARMVSSTFEYRKQDGVIDLVLKHAKSLPESGAECKLIEINARPPGYRVTVPTRHTY GVDYFAAHMLAAAGDKNRLRLTTRPYSHVLGDNTNDSKRGAQYWSRLVYIPAPAAGTV QWPSKLAPCEELKRRRPDLADKIVLGVDYCVPGDKVDLYTDGARTYVAHLLVVNRESR RDVIRLGEEVQKAFTIDIDGSISSKTEISDGETDVDPDVEGCE QC762_213390 MPLLASWLRIGSPTKKVDACSRSSQDSLTIVEKADILQSQKADA REEKVQWLVRSIVNIHTELLKLPHLRPAPAINKLLGNLVAICSEIHDQDIVDKVLQNV SVQAVLPSLRQICAQSESCLELHWAEHILEGQTQQEVVERLESFPYYENYEDLTRLEV CSILSATKKAPRRVAFIGSGPLPLTSLCLLQALKNDVAVRSLTQPTTNNTTATDNAAN QEPIVLNIDYDEAAISASLKLSLALGERGNGMEFICAEATSASASRDLSEFDVVYMAA LVGVTQTDKEKIMLEVISRMRRGALLVVRSSWGLRSCLYPEVDLATETLLKRLEPCVV VHPYNQVVNSVIVARVR QC762_0046280 MSYLQLRLVALVNRLWFEVCVNQYGALRRYESIVRASNQSRLFR CHNLDAFYLRSTSALRTGLVEGLVRTNPKTIEHHCSSAAEDEARVRYDVVAWH QC762_213400 MFSKTTIVAFLTSLALTSAAPADEISARQAPAEIAPTTVIGHAL ADAWDNYCSAPTSYGYIARNVWNGQEYGQTTLFTFTYPAASAGKQCWLDFYHAQPSWI SNTNGIQVDVFTSWGENTCNAGDKSNKRDANLGRLNVPATGAATWAAKYSTSLTQKGP CKAPGSVERLELVAVGDNTGLSYPQGPGAGLRILYA QC762_213410 MAKSAEARWHLGHWVLLFSLPTPSLCGSMAAWWTDLGPSLVLQN ASTGLLTYSFCNSNSTPIYPQDPPIALRTTYAPKKGTSLAATGWYDQIGSTWASVFYQ NNNDDIVNAVYKCDNRTGLYDQQESNVISDRRGTPSPHTDSGISVTLLGEQEGYRVFY HDRSKALQSLQFKSTDGWSYGSPVSSNTNRSSMEIHSQFSGVRNVTVVTPRDARNMET ARLNVDSTYFIDTFPTPLKGGLNNTSASNRTSFPYDTSATPSFELEAWDGNPKAIGIA IDTDTTRHIFYIGTDRAIHWIAAFVSASVEGGFRAQASQNTDVWPLADEPNSDFTIAS HIESSSIRLYYVSGGRIIETKYRDGNWDRAAPVESANTTIIADEGAGAGDAGLTTGAK AGIGVGVSVGVLLIGAAAAAFWILRKKKSTPPDEEATTAADDTVATVPPMSETGSQGA SSPTAGVARTSSGLSADKWDAEVKDKPLTPPPRELESPNLASELPHTNDRNELPTKHH VTELP QC762_213420 MLTLLIASLILGATTALSLPRQADPIEEEGCTRALLTRYTESYL SAQTIGDPSILSPSPEVSYTEDFRAISIRDSVLNTPFRIAHNRSLLDTTQCATYTEII VTDPANPRVIGTQIRLDATGENIVKIETLVTKEGDWAFNASLTYQYAARETENNWWFT IPEADRDTRETIQAAADAYLDLFNDPTVVVPWGTPCNRLEGSWYTGNGSATDSCNVGV PSGVPITQRRYVIDETVGTVDAFVLFGTRPDSHEFRVEKGKLRLVHTLTVMRNGTFV QC762_213430 MTTISEKGETVAVTGLLAVPVSANNTIPVVSWQHGTILSFDQVP SHMVKLSDPNYNVTDDADSLETLFNIQRFAANGFAVIAADYIGKGPLREGRGEAYVVK GATTQTCVDILNAGLAALEDLGLTPAKLFLQGWSQGAPNTLWLHQALRSDGIDIEATA VASPFSDLDQAWRYWSGKGLESYPPLAAWIAPCMIVALGSYELYYNLPGLMETAVRPQ YRELAERNWQDYNISAIDPSNSPNSTNLLADSFWKGYTNDHISALQRQLIRNAAIAWE YDSPIHFYYGLADEAVHPAMVTRTVAAGGRYAAGIQVARASHRATFLAGLYGSGASLD SFDNVLSWFQSKA QC762_213440 MGNFLNRKPVPAPDANHHTHSDLHSASSRPSVGQWFKATWLDIL TMAALGAVGLGVYHAKPAPTRSFPVTFADGEIVYPEFGYPLRKEIIPIWLAAFLASVI PIVIMLVMQIRIRSFWDFNNAVIGLLYSLITAAVFQVFIKWLIGGLRPHFLAVCQPDL SLASNAAGVQGAGYNGRGYTGIYYTKQICTGDEDEINDSLESMPSGHTTAAFAGLIFL SLYLNGKLKVFSDYHPAMWKLVVLYAPVLGACLIGGALTIDEYHNWYDVFAGAVIGTV FAFSAYRMTYASIWDWRWNHVPLNRTTSFTFGHGGQQYLDVGTFTRRGAWGEKHGLTG HHNGTAAHHHAAPIGATTGTNIPQNGVHGDNMV QC762_213450 MPFPYKTVLITGATSGIGLALAERMISAGIFVIAVGRRKDRLEA LVEKHGSEKVAAEPFDVSDLDAMPAWVEKITSKYPRLDSIILNAGFQRSLDFTSPSTI SLSSVSAELTTNYLSPLHMVSLFLPHLISLAPSPTSIVLVSSGLAVLPLPRCANYSAT KAAVHSLAWSLRCQLSGPESPHTHHIKVIEIMPPAVQTELHPQQKDLVETGQDQPGIE LERYIEETWVDLVGEEEKEDIVHSVLRERLEAVEGPRKGAWEGFVRAMRGAGLRF QC762_213460 MRLLNTTTLKLEQFLNNMPQYAILSHTWEEQEVTFNDISSSART SLKGWQKVKNCCKLARSQGWQYVWIDTCCINKADNNEFGEAINSMFRWYEEAQICYAF LEDVPPHYCSDGKSRPRNSKVVQSRWFTRGWTLQELLAPSFLAFVDSDWNIVGSRETC TLAVAYATTIEQKDIQNFRSCSIATELSWASKRQTTKIEDRAYSMMGLLGVHMPLLYG EGKNAFVRLQHEMIRLFNDETVLLWTTRKGSVFSTDARSFAQRMGGRGRYPEWMVRES LFAESPEAFSESNGLAVIRFDKGPRNVGISNGGISLKVELFQRFEDEGSKYQQRLSNS RTPALYAIKLNCARTSEPDDPMILPLAATGIGHPVYEVLRTGSLYSWQEFMDTTRLLR GKWQSLGRHSITLTHPKPSALINPSTTLLTVHAKSSRLASLLLRKSSGYRPDKDGHQQ WSFVAPRAISSSSDAGFLGFADMDYFGTIMLKDGGALMTNGTLYIQILGVTRTSSSPI FYLLVVYFCPPFPRFGIWLVDRKLPRPERWVDILNQSNGPKPGSSIDGDAPGPEHLLK FLNKDIRTGFDLDSEVDYPHTAPFGDNSLVQVTAKPTPFSPNSPPRLEGQPFSDDPAQ DTAANCWLRQATADELTISKHVQLEISVVEKELLENDHPDEVEWKYMEEMEDDDDLCD LDD QC762_213470 MEKTRTMAPHRLTSALLTSLLATILTAGTTDAALLDVPSPKNYV RRTLARATVLNNHVYIEGGQITQFEDGFSSIRGRVENQVNSTLSIPLNKSWKSESVTI KATPKPFESLARNRWYLWTDTKNGAFYAWGGYWPFGLEMVTDEVYKFTADSRGGGEWG VETLPNGVRLDQISPGEAGAVTSTGTMGIVIGGQATPWTQLGRDNTRQLSGMITFDFE SKALMNGTQKFSPFGDMPVVGASAEFISGVGENGIVVVMGGHVGRTDKEVPLGEMDFF DMRNVTFFDPVTKETWSQVTTGNIPPSPRIGFCTAAFSSKEGGHEIFIFGGSNERDYL VYEDAYVLSLPGFVWTKLPTPPGGRRAYHTCVAVGNRQVLSVGGRGREDEIRIRDAIP QGLLLFDMTATEWKLEYDSNAAAYESASAIKDWYEKRTSSDVRWSSEGVKQLFATSAE ALFTSGDSPDSQSDSNTDSPLGPTTTTMPDISAPAGLSTGAMVGIILAAVICLAAIIG GWLFWRRRRAGRHDALTETDDLGELGVDGAVDRKELASESHVREMPSDAQVEELPTKF QDTKVPVAHYHEVDGGHDYVELPTYHRPRPRASQNTLPPVELDAGYTGRELM QC762_0046370 MKSTLLAAALLQGAAQAQQMIRFGCSQLVVSRLDPLVNPGLEQS PHVHQIVGGNSFNAHMPFEQGFDLVKNSTCTSCTFSEDFSNYWTAVLYFKARNGTYKR VRQFPNVGLRTDGGVTVYYIPPYDGKTTVTAFKPGFRMLVGDAGLRQNRGMQKQLCHR CLGAGYDRGGAPCTGSDSTTLPNKFCDGGIRTTITFPTCWDGKNLDAPDHKSHVAYPQ TGSFESTGPCPSSHPVRLPQLMYEVMWDTQAFNDKSLWPEDGSQPFVWSTGDGLGYSQ HGDYVFGWKGDSLQRALDARCSNAVCKELKTQSSEEAMRCTQPQNIPENVDGWLQKIP GDVM QC762_213490 MRYLAVVLSAAAGVQAARQCSTTTGYTHRVLDARYDGPDVDKPG SDLATISVSLGSSTTPLYECVAQWPESWKGFHNVTEKLIWSDCIWTGAGSGADKSVAF AVDWNKKVVYLAHVFACSDREGTDGLATGTLPLSELDCDYTEEGSAYCIPKATSSGAR PDLRISTVLAPTAPDANTASCSEISEQYQSWTLEKWHRQFVLTPGSFEPKAGTDTGPS FSLKSLGVNGVTFTCATTSAPVGPFQGECKSDVTKAAATFTFDPKLNILTVKQKWDCG DSAALETVGVGYMQGTCDRGFNSDVFTCSSEPVLIGTEAL QC762_214245 MSRTLFPTSTITERFSLEGFLILKVLVILSGIYNAPQRKGQLRS THKRSRKTTGIRILVYPTPPPPGFLHLLSFQIQFLMSASAPAQLLPHRVCTSTSPYLM SFRGWIMGTAGYVVEDGLYAPVVDARVWRDMFGILRG QC762_214240 MISQAPSPSGTQPHLIPPPTPVITASTSGNIRHEWPAIGLTLVH EFISPAEEQEMISAFHAISPLSPADSKRRISQHFGHHFDYTTFGIDESKHSPVPAYIT NFLDRLPVDTDGKEAGRKPDQFTVQYYPPGAGIPPHVDTHSMFGEALYSLSFGSGVPM IFRMSGENEARKLRLPKRSLQESSDGNVNGKVGGEILDKAEGVVVHPAWELMLPARSL LVMRGASRYGYTHGIRPRKTDAVDGITIKREGRYSITMRSVRRGEEIGCDCLFPGVCD ARVRQEQEAALRAGGIKKAEAQ QC762_0046410 MQDKCCYCHSWESLGKDWQIPLIHPIHKRPSIVLAISQYLHALN TTQPRRFELNINCIQHQLEIATGNGAISKQGR QC762_214230 MHISDTLWIHRQRASFSTLLLLSIMAPSGDSDTKDKIQSSTALA SSIETASKSSRSSTHEKEKESPEAIRQRILDQEAEFRASQKPTTNLASFWSWKKSESR RPEDIATQPSIFDNPELAPYFQPTERYENRHRFDPSFKWTWAEEIPLIRKIDWKVTAW SCVAFFALDLDRSNISQANTDNFLDDLGLDTNDYNLGQTVFRISFLLAELPSQLISKK IGPDRWIPAQMVLWSIVSAAQFWLKGRSSFLATRALIGLLQGGFIPDVILYMSYFFKG TELPFRLALFWMANRLTDVISPLLAYGLLRLRGYHGYEGWRWLFLLEGILTLVIGIWS YFQMVPSPTQTKAPWRKKGWFTEHEEKIMVNRILRDDPSKSDMHNRQAITFKLLWESL CDFDLWPIYLIGLTFSMPAGPPDQYLTLTLRQLGFDTFDSNLLSIPCQITCTISMLLI TYLSEKLNQRAFMGVVMQVWLLPCVVALYLLPADASRWAAYAVVTVLLSYPSTHAMQV GWCSRNSNTVRTRTVSAALYNMAVQTNSIISSNIYRRDDRPEYRRGNRALIGIASMNI VNYLLVKAYYVWRNKKRDETWDRMSQEERRNYLATTTDKGSKRLDFRFAH QC762_214220 MHDPASLPDEVLSSTLATIAMNRFLISTSLRSVRSFPKSRTIRP HQLHTYSLRKMSTDTPVPAPWHAAYPAPSSQTVFIPRDEVLSILANRRKDTVLVDLRR NDFEGGTIRGSINLPAQSLYPTLPTVYSTLKAAGLKKVIFYCGSSTGRGSRAASWLAD YISSQNDTEMQSLALGGGIKGWAAAGPEYVKWMDEYDEKVWAKYSTT QC762_214210 MRVSCNIFSPQFLTISSMPPPSSESEDDTSQHVSSSKGQSGPTV MYAAAPDTPGIPSDNSPSISDLFREMQETNRLLKLMLAAHKPDVPASPVSQLSRETTS NSDPDLVKMKVRELVRDIANQSFSPADPSNIKRFIRSFIEERISHKPREGHQGEYGPG AYVPIFVSLRDNHSGPLAEIYWNWERPNKLDPIHRVLMDASQVSNVTKQWGSRFDLDA LTFSHSRETGWIIGKSPALEGAIIGCPLFYSGQGPAQKLVTARSVFDKDWKPIASAMA APDHLTSPGSLWHCTAPLLDGGLMALDRLALITAVVLNKPNTETGIAHRFLHEFCAGW KPLYLRGNRMNYTGWSGNSDTTYQHTIRCFSPASRSDKVNFGLRPHAKCVRQSGQFPS HLASSSSPFTERRMSLLCHWDYTYPPHGFRIVALTDAMTMKQDGDINVSNDLFTDWGD RHFAVEGLNAGYHLLQSSIHRLLMFWETEWGHCLDALESNVNTSLLDILDDETSSRLM FDTSFERSRVYFKTLQMLRIFADAIRETGRDLQEMDPEKLIQGGFRRAGHDVQAFLKQ DPLKDKALWNNWKILSDFQQQTEEKLLRRIAEKTEEITSLRDGVSGGDWTNLVDEPVL TVLQLFNATSLREASRATTMNRYVIVFTIMTVLYLPPSFTSALFGTPLFEAESQEETV ERFKTSTIIVCVITYVLAISLIWLADKWDIAGVVYHDLRTLWRGTTDRLRRRGPDGWS LRSKSRNSSSDALVEGKQAV QC762_214200 MSRRPPRGEYIETDTGNKVARKATLVGTQNIMLGGKTVIQPEVM IRGDLVRSIQSSSSSSSSGTPNNTAVAIGRYCFLSRASCLRPPGRFYKGAFTYMPLRM GDHVFVGPSSVIQAASIGSHVHIGARVVVGEFAIIKDYVRVLDETVIPPNMVIPSFSI VAGQPARVIGEVPEGGHEAFELRDLYKTVGNNPQPPAS QC762_214190 MPLSKHREIARLSHLVTLKHILRLRRRRGERMYVEPGAMRRVRT EIIADDAQVSDMHLNLPHLCNARRYARPTSQDRDARILRTGGLDTSVAKSNDNIEDVK LSRGKHAGPSEKGMAGFMAMKTLIEQRIWHWVEEKKEQLPFTLNTLLLDKVIWPCLDP KNQGILSTAGMIGEMLKEIFPRHADKMAQVENYGEDHTVADTAVGKELLSRLSRNGEW RKLKESLADNAKSWL QC762_214180 MALKSLLSSLLLAPLALAHPGHKEAVHAHRALPLERRSLDHCSK EFNSPEFIQRTVEINGAEIKRLRRALGYEVDEKPKITPRDYLSVSRIDHKSNKTVTEG MDLSTLFSNYGACMLMPVVDEGPLYVKGEEIRKNITNGERGIKMTLAIQVVDYKTCQT VPNAYVDIWSSNATGIYVGVQGYPGMGDPNDASILKGTTLRGVQPTDSHGVASFDTMF PGHYDGRATHIHAIVWLGATKHANNTLTGGRAAHIGQIYFDQGLITAAERNAPYNTNR MPIQQNTRDFLFQAGANGDDPIVRYSFIGKDVSEGLFAWIRFGINQQTSRPLNPAAYW TANGGVMNPTGPISKLPGGGGGGGGWPGFGGGWGKRFAEKLGRKVEAEAELQEDAE QC762_214170 MRFSLALAAAGLAQTAFAAPQPSRGFGCGAPEPSEELLQVSQQF AVEEAQALAESYRSGNLTARDVTAQAISVKVYIHVVAASTALSGGYLTDTMINNQFSV LQSAFAPYGISFTLAGTDKTVNANWADDSKGYEMTMKRALRKGTYKDLNLYFLQKMGG NLGYCYFPTTASPGSTAYIRDGCTILYSTTPGGSSTNYNLGHTATHEVGHWFGLYHTF QGGCTGAGDSVSDTPAQASASSGCPVGRDSCPSQAGVDPIHNYMDYSIDSCYEEFTPG QQTRINSFWTSYRQNAS QC762_214160 MVGASSGSDHNLIHRMAVDDPIPWYRKPNLRTMYLLLFPCVIGI EMTSGFDSQIINAAQLLPAWKAYFGNPTGAYNGILASALPLGSVIGLPFIPIVNDTFG RRWCIMFGSVIMIIGTIIQGFAFNGPMYILARGIIGFGLPYAIVAGSCLIGELGYPKE RPILTSLFNACYFIGAIVAAGCTFGTQQINNDWSWRIPSLLQMAPSLLQVAFVFFLPE SPRYLMSKDRFEEAEAVLIKYHAEGNPDSEFVKAEIAEIRTTLEIELEHSKRSWMDLV ATPGMRRRVIIGSLLGLFTQLSGNVVISYFLGDVLKLIGYTDPSFQAKYNLGNQCWSL ICGVSAALVVMRFRRRTMYLTGIISILAVYVAWTACTAIFIDTKSDVAAKMSLFWIYA YSPAYNLCFNALTYTYLIEIFPYANRARGISIFQFWGKAAQFFGTNVNPIGKSLLRPI KHGRD QC762_214150 MDRSVQRPPSTDGGNAMGPPMIDYGDPALLSHDNAGPQLLAVGW SLWCAAGLFLGTRVYCKLIGSRRLWWDDHFLIASQVIHLVATCLMTMLVADVGYGKHP WDKPGVSPIPSTKELLLMIPRATLTITAMSWSKTAFAITMLRFSEGWMKWAVWFIIIS MNIAFGLSAMVPWLLCTPIQKTWDLSVEGSCHSFNVSLVLAYVSGAYSALCDLVLALL PWMIISKLQMRTKEKLGVGIAMSMGIIAAIMAIVKTVSLQNLLKMDSFYTAQLNIYDT AEISVTIMAASIPALRVLFNEVRTSVRTKGRQYYQATTPQYGANRTGIVITVKAEGNM EEERPGCGDDMSDTGILGSSTSHDPKRICRVDEVEVVSTYSNGKRSMSDEEGGYEMQK TVRKGSMPE QC762_214140 MGHIINTVASVAALTALFVPEVAAQSCPGVNSRFQPRMGSGYRF SLLATGLRQPRHITIDSAGNLLVAEGGSQSVRRLVLQDQGNIVCVQSNTQLSGTNTNH GIALSADGRTLFTSNLASVNAYSYDPATGQVGSGRQIVNGMSNTGTHPTRAIATSKWS PDTILVARGSQNNIDTTTTQTSSGRSMIKTFSISAGTQSTINYNTGGEVLGWGLRNIV GLTEDPAYGGIWSVENQMDDLRLNGRDIHNNNPAERLSYHGVLNATTNRYKGLNYGYP SCVPAWDPQNVGINGLVVGSLFKPDSVPNANDCANRMTGRLHFHAHTAPLDVKFTANG TAAYIAFHGSWNRNPADGYRVMRVDFRNGDPVADVSSTTAQIPVMENSNVGGCPNNCF RPVGLTFDAKGRLYVSSDTTGEIYVIYGA QC762_214135 MADIRTLHWYESAADNQAITANGWRFQVAKSVATGNGAPIYNVV WQSQGVAPVTDISWKAQYALNWTAALISPGVKVNVGGRWQRCNKGETYDLNPQGYWVP SATPAGPDGAGWLHIGNIDYAYPHVLGIHIIVGVLNNQTGRYEPIFVDQATLPKGSSA KYQPQESVSWWLEGSDLTGQVFSDTKSNATTFNFTNPSNPLTDSYEWSTSYIMRQGQW VIAAGPVPQAFRAPPPSENRVNPLGGKDPILLDLDVGSWIVRFNPPLLGGDMGVAVTA LSENLKQQFEALEVQAVGSEGTALTIQYEAGSAPGALEHIGFPRGSPGSQSTIDSALR GLQTAGELPQTEEWLIEPAPVEETNGSAPIQARESKLNGATTHTNTNNNSNSNNSGLA YRYGPAPTDQNPNNFAANYQTKSPFLQQGYPSNPIST QC762_214130 MPAAPQSKSSALSFAAGMPTLRHPDVNVALGVASPQKQGPSRAH IYLALAVITLLLVARIVKPRRLKPSALLPAPGDCQRAEKVDKHPPRFSPIATSPTSQK GNRPVSVLSPWSQFKGGKGVVPFTRLGIHQQHPSCAAVLTGHGAQRAVFGFLGQTPAM DDNENDPLKDRLRSGSASRGVSTNVPDDADFRYQHDPSPAGRYTEQHVGDSRPSYSSH FFPSNFPEGYGSGGSEGMEIGPMSGYSSFGDRDWSAASDQQAGSSSAVYGQDPNFGAE SFTGSPGFSGFDLSTPRRFSRPPPPPPLTPPTRSDNRFPFEDRHIGYAASIPPELDAS FIHQPNPAYSGMSTSDDVLNSSPQTADPIPRRRSYTRTVPIGIPVPGTTPAYSAEAMA SGTAFTPSSYPPTSPLLPPPPPGPDAPSEYVFVGGPGGPGVVLSDQEIDLHGEIISVM DHSGHGWKRHTRVYGGGVCLACLAAEDQGGFYGDTVPLSDRR QC762_0046550 MPHFQRSSLLLFAAPPPVAPTTYWTKHPPTLLYYLDFTYFNPNC MGRAPNPAADFCRVAQSSRPPKHCERDTLQQLASSAEIDVDDFVSSLHRRG QC762_214120 MAKGAAPAGVYSATYSGVPVYEFQFGTDLKEHVMRRRADNWVNA THILKAAGFDKPARTRILEREVQKEEHEKVQGGYGKYQGTWIPLEQGEALAQRNNIYE RLRPIFEYEPGSESPPPAPRHASKPKAPKAKPAVPKWGSKSQNRKSSLSQPAVFSHSH VQQGVPIQEEYESVASQMHEDDTPDNMTVASASYMAEDDRAYDMSHFSTGHRKRKREE EMRDMTAQQHAMYGDELLDYFLLSTNQQAAIRPDPPTNFQPDWPIDTDRHTSLHWASA MGDTDVIKQLKRFDANLMAQNIRGETPLMWAVNFTNCYMKKTFPTVLNELFKSVDARD HSGCTVIHHAAVMKRGRVQSSTCARYYLDIILNKLVEVRQPEEVQALLDAQDEEGNTA LHLAARVNARKCIRSLLGRGAATDIENNEGVRAEDLIKEINTTRSLARTGPQRSSSPF APETARRNGFRDALGEDPTSKLQLSYQSEAANTVQSRITPLVLQKLQDLSQSYDSEFN ETDEAEKEARHILANTQAELNNLRASIAELESRIEADDQASKTEEEVAAAKKQVLALF RRQTQLAIEKATEQNLASVTNGQQQEEEDDSPEERLKLAAQLHAMLVEQEAAEVEYVE ARGMLGTGKKIDQYRHLLCSCLPPEDQDMLDQNLEDMISMMEDEAESNSAALLPPGTN PDGVMEGMGKLGGMALAMAEAAEPMEITG QC762_214110 MAPPAADIDVQTAPVVVPVPTKGSIAPGHSSASRLSGPLTYSGT LDTYEHFDVTNVIGREFTNLQLSEILHDDDKIRDLAILVSQRGVVFFRNQDLSIDDQK LLGQRLGELTGKPETSKLHKHALANSKRGIAVDENGKLDDEVSVISSEQNRKYYADRY GYTAKRLASEGWHADITFEHTPSDYAILKIVQPPEDVGGDTLWASGYEAYDRLSPALQ KLAESLTATHHQPNFVRVKEAFGAELIDQFRGAPENNGLDFKAEHPVVRTNPVTGWKS LFGAGHQVHAGWINGVTERESEILKAYFYQLISENHDLQVRFRWNKNDLAIWDNRSMF HTATYDYVGKRQGNRVVSLGEKPYFDPNSKSRREALGLL QC762_214100 MRSTKAPRPGEQPLLSSYHSSDDEERGRRTLRGGNRRGSPLPPT STSSAHTPTYSHFAVPDLTTSRPTLSRRSTNQLRSRSPTSARLEAKRKYTYAAVFLVT SLVSFVVQTELASYIQHDLGWNKAYAMLYLTHGSWVFLWPLQLLFLRLQRREQSWHQF WNNHKQILRKTAQIVERQEVRVPRGMGADRSPWPYLARTTAVVTTALTVAGSSWYVAV SMTTPSDLTAIYNCSAFFAYAFSIPLLKERLRLDKMVAVFVAIIGVLVVAYGGGSSSD EAGQAGPATRFAGNIVIGIGSVLYGLYEVLYKRYACPPDGTSANRSMMFANTFGSLIG VFTVLVLWIPIPVLHVLGWETFEMPTGETASLLLISVFANMVFSGSFLVLISLTSPVL SSVAALLTIFIVAIVDWCLTGQPLEAPAILGGLLIIAAFAMLSWSTWKEMNEDERKGP VDLSSDSGDED QC762_214090 MGPGGLAQMSHAPYNTTHGLGMASSGLASRRGGQNLKPLSFEGM KAPTEQDNGLPTPRTSRGHLLAGLRTAPKSAMASSFPNNGPASPTVQGPAGRNNRNSM GPGMFDNMYGGPKTSIPRFGGAHQQQVQQAQQQQAYNVGGGAGGYSQQHYTTEQILAP PQIQLDDVSQDQLDPNIHAQLLYTNAYLSEQQQRLQQQLKALQAAAQQFQGLNLNAQA QLMQQQNSMMQQQGFPNMYQQQAQLQGMMGPTTPDASNVYYDPRTGQIYMDPTQVQVQ AQLQAQAQAQLNAQYLQQAQAMQAAMQQQQQQQAGPGAPRVQVSPPPEARNSFRSPTP PRRYDSPLVENPAPLPPPSANAFRRGHKKTPSTVTPSASKTSLTISTGDEPLKTAASS LKTGSFPPMTPLTSGYGPGQARAGEHPVRQPRNPPSLDELKSKPTAKHDGSKNFVTRT RRTVISNIVRAGMERRKEARGSGSVSPVSESAEETVETPVTDNDSDSGRSGSGSLVER DDAECSLPSSRTSTGSWGAIGSDRPSSRQKYERRGPDSASSSDNEGLRDSGSFASLLK NSSRRVKPDTVEGQRKAAMLVLTSSEKRKLVV QC762_214080 MSPLITSTGKPRVILGLMTFGPDEETGARITDVGEYNRVLDLLQ SRGYNEVDTARLYIGGKQEAFTAETNWQQRGLTLATKVVYPKDGGENTKEKVQESVAI SLKELKAEAVDILYLHAADRSTPFAETLEAINELHKAGRFVTFGISNFTAFEVAEIVL TCKYNGWVRPTLYQGMYNAITRSLEPELIPALRRYGLDLVVYNPLAGGLFSGKIKTKD FVPAEGRFSDSTTTMGKMYRNRYFKDSTFQALKIVEEAAEKAGITLIEAALRWVVHHS ALNIKAGNDGVIIGVSSYEQLDGNLTDLEKGPLPQEVVKAFDEAWKVNKADTVNYWHG EVKYGYDTREALFGAGAK QC762_214070 MAYQLPPLPQPIAVFDQFVARQTETLVIKEKLLDSFDIKTHTGQ PVLRVQGQLLSMRGRKTVTDLGGAHLFDIVKEMLHIHATFAANSQSGQRLLEVKSGFA LVGSKARATFTNRRTGQPVTLFMKGNWFDTSADIVDEATGAVVARIDRKLLNMREAFL GQQTYHLTVAPGADMAVLVAMCIALDEKNNEGQGGFCTVM QC762_214060 MSIHASPLEESQDSVAQIRPPPPKADNWRDAVYFSTIIRHSSKI YEFFGISRSSISSSLTPPATVFMSAALTHQDTPQNGTGTTTSTTMSGLLPIQTGSTPT TQSWQSPKSDTGSYPSSNGPVEIARGQPPETQRQETESPVLPKVRRHGVYGMDRFKSG FKDEPDEPGRAQAGGIFPTRTISRTPTATSSRHASITRQRYTSDATQTLVDVEKTERV RAESLRRPSLHRRWGSLLQSASPLWFATPASLALLAMIMRLLPRISIDFRGLRTTSDV FFMGDLILFSIATPLFLLRVGFYPRRNLSLPIIERDSLQFAYLPLWATSFLGLVMFAG FVVAEGRVHPARGWGIAVYVCWWIGMVWAVATGFAILTVLMSSSSVKSKTAHGRFAPL LAVLGGVTGVATGALIGAALCLPGVGVRPEQHRIFDDRLAEPVIFFSICAVGAVLVLT MIVYSVLMHELLLVTGWPPPELTTAIFFFIGPLGQAGAALLFLGDAAGKVVFPPPANY GSDLGGVVPTVTDSGVSGDDGVAPAGGIAIQHKLSPSLATLPLNMIGLTFALLLAGMA ITWLVLAFINLLHRMSRRELYWNSSWNGLVFNIATISITSLWLSLSLESPFFRIATCI FLILSLLTLLGNLVFLVWLAIKREYCVR QC762_214050 MKLAVAGSTGFVGAEVIRQALSDPQITSLVALGRRPVTTPQNLG PTADVSKLKSVIIDDFGADYPDSVKAELSNADACIWTIAITPAKSRSYPWEQTVKVCR DYAIKGIETISQLPRNNANGKPFRFVYISGYNCERDQTKKPMWPLRDYLLMRGDAESR ILEFAQKSHGAVEVLIAKPGLIMGYGSIVPVIQRVLMTAVGIPKIAVQEIAAGLLDQV ENGFERDTLMSEDLSRIAAKKRGKK QC762_214040 MASFNHFDSQDPTGTPPSPVAPKYEAQKAQLTAALKTIQPAGCF VTFDQFPTQPHAGICAGKLIKCARQARMARETRLWLIRRFATRGIWALRSLSSGTQNG QVTCTEIGKELGIDAPIRAELYKMLIYEKGALCKPHIE QC762_214030 MLGGGKTLAPPSGTRLRDRLSASFSSSPFSSPPPSVQLTPSSTP LAETSGSPSSNGANPQEEDAILFPKVYQDAWGAEDVSRSDIDRARIFLLRIVSMSGDT TAIEKLRAILADPSYNRTVPALAYAVQTLLYRNRHASFTVTPLLQAVRYMLLKARVPE NPYTDRARAVLDFFFDPALQRNLSPLPPGELIRMLYPSGRLKVCIIGGGPTGLASAIS LAERGRGKVEVHVWERRWIITPEGKVDYPATAKRRDQVVTLQDTVTNLLSPRSYEALF AGRPERVWPGSANIQIRKVEDRFLKHCQTEAFSGLIHLHAEGVTREELAAGKCGDFHV LLGTDGAASWVRKSYFDGYETERGKSYALGLAFDRGARNGLPWSQPLNMFLTLGQTRY LLNASDHDGRGYLNMQLTEEEWHKMVSVDGQPIHFGRPACLRRPDGSIPEGFDEGRVF APSEDRNSPLWKAIEDGLKLFGFKESEVINVVRIPIVVQAVREGVHMLPLSDSSSVTR PHALVAVAGDAAMTVHFWPGRGLNSGIKAGIALGDEICHALRGGKFAGLELKAMKEYN DFIMKLQNREHDKRSIPILNLSGSPEMLGWLLDKARSVPDEVAIEWLVGAMVQIASRL EQRRDWHFPPEANIEPQIRIVLRQLHSQTLREMAVSFPWPTREMTGAEVLPIRSTKPM EKVAWLESVWKLLDRDSRKKDASRGASPGPANLYENMRMNAQRSKSPMRGRDLSPQRS LSPPGEMGNMAAQMEGLSMNGGLGITNSSGSVQRSAGTLSRRSAVKERVLPSPVSSMS SNDRWRHPNLSQNLDVPCMGGNGDRYQSPAKRSRSPGPIAVSPVSPDPAINLTRMLSV KRPPGSMFSDAMALALFRVDDDGRIQDVAAR QC762_214020 MLSNVVLVLGLAASGILGQITLTPSTVRTGRPGLPTETQTVTAT VTKTETDVKTTTATATSTLTLPAPPASTVSVTVVRTITTVSTTTATATRTITSATTAV STVKQTITATVTQTSTSTLTKPCGAACPTITQTATACRSCFVPQCTTTSVLTRPCGCD GPLPTAAISFPCSDPNACNNIGCTTVYAIQTARC QC762_214010 MTFFEHCDLDQRFTLSKSSWTPRGQYALVFDFDQRRAIKLYMNE DTSDEDVVFKSMAKFVDSGAIRSDSVWIQVSPDGNELIDQGSEVEEDYTMIPCYWTRD QFPPALPSVRRSDLVELDRLGLQVDRVAYDIPEGHTKEATFKYLVYENNIGAFWEEVN CIIGMHARGTHPNIVSFDRLVTETVKGVEKIVGFTTDFIPGGTLDDSKTRLFKLKYLH QLIETVDFLNLELGVVHGDVLSWNLLIDERTDNILLFDFNSAFPLGEKPEISDVDLVV VAMFDVITRSLGDVFDGESPYEIDGVQLLAADLWEKDPEVNLDADVFEFLRVLKAWVE RRKAAEEDPETEEVARNPVEWPELPDPPLVDCSGVMEPRESEMRQRLIMAGQPYMAWQ RPSSHDLSLPENKGKVLLATGEFV QC762_214005 MGPAGRRLQEPCLPPVFLFANRALVDKRKFYELPLNTPRQVGDV EVYKAPHYTGPTHAHKILDPANNICASKQEDWAAITHDGALLTSHFPGDNRRFKYGDI TKLYRRDEAKHNERWTRTSRSNRKIRKMDSEKTKSGKTQEKKRPAGALKKDSGTKRTK TAPATAKQVKADIATARSHLLKKFALWKSHSPPSKAASTPAKGTKGAAPVKGATPGQT ATPGKTPTPGKTPTPTPGKTPTPAPGKTPTSTPGKTATPTPGKTATPTPGKTATPTPG KTATPNKTTLPSRPAARK QC762_214000 MTTTGTGQQSASASDPTSDGRTFQDPKKYLLPNDKQEKERLAKQ HPAARVTGIDIDSITPAITPVPSNCTFQSNIDAQDGPWTFAPDTKFDFVHFRMILSCF TQPTQVFRSVYENLAPGGYVEIQDLCVEIHSPDGSASGTSFEKIVDLFNQGSLKAGIS MTKSKNYKKWLQEIGFVDVEERLAEPGLPTTGWHENERDREIGEMGSVSIQTFIRKGM PAYLRAAGLDEAGLQELTEKAVAELKSGEVRAYYPFYVVYGRKPLD QC762_0046700 MSYCLAPYFTVSSHIFDRVSASFVSIYAKQWMNNLAMNGPDYAT LQPNHKSLLRVMFALIIPFIENGG QC762_213990 MASLALTAAGVAAGSAYLNARLSLSHDLLFARIAGSSVINIIKA VRSGKINFFYVLEKQALDTSSANRPFMLFEGRSWTYKETYDNVLQWGTWLREVKGVKK GDVVVLNYQNSAMFMILWFSIWSVGAKPAFINYNLRDQALTHCLKESTARLALVDPHV ADALTDDVREKMAAMEFIVTGDDVQREVQRVRGTRGDDELRKEDDYVAMAILIYTSGT TGMPKPAVVSWAKIFTAIGLCSKGTGMKKDDVFYTCMPLYHSSASCLGVCSVLFTGTT LSIGRKFSTKTFWKEVRETKSTIIQYVGETCRYLTVAPPEIDPVTGENLDKKHHVRVA FGNGLRPDVWDKFKERFAIDTIYEFYAATEGALGLWNLSRNAFGKGAIGRYGALSTLF LGLRSAIVKIDDETEEPWRDPQTGFCQRVKSGDVGEFLVSLPADDVNKRFQGYFGNQK ATNSKIMRDVFKKGDAWFRSGDVLRWDSDGMIFFSDRIGDTFRWKSENVSTAEVSQAM GLHPNVLESNVYGVQLPNHDGRAGCAAIAFDSPNLSRELMASLAKHAREKLPRYAVPL FLRVVKGVGEQTTGTNKQQKHHLRQQSVNPSKVQGDALFWLKGDTYEPFGETQWKELE GGRVKL QC762_213980 MAIDLGYGKHVWQIPFRNLNDMFLIGQITVTLAICSQAWSKTSF AISLLMIHDGIHGKTRVFIWFAIVSMNMLFGVSAMLFWVGCTPLEKAWHPFMRGTCWS PNVVITYGIFASAYSGVMDLVLAIIPWKIIMNLQMQTREKIGVALAMSMGVLRRGHTR DMG QC762_213970 MGAAFSMSSLFGKLFGSKEVRILMLGLDAAGKTTILYKLKLNQT MTTIPTVGFNVETFTYKNIKFNMWDVGGQDKIRPLWRHYYSGTQGLVFVVDSSDHARI DEARTELHRIINDREMADCLLLVFANKQDVDGAMKPNEVTEKLQLAKLKDKLWFVQPA IAIDGEGLTEGFGWLSDNIKKMPKYGGK QC762_0046740 MEDDTSLTVDMGSGPHTFSVCNRMHGPLMVGRQRSDWLVVSGAV NFGLAGLWTFGASKFRSCRCCLPCQGIILSVGVGVEPENNSDNNNNNNNNNNNINKNN NHSVSPHYCQAVCASLTSNYQHQTRHIETDTHATLTADITHLPSAQVSRK QC762_213960 MSSRNRNSRGPNRNSGSTQGEEAAIWSNIKEELRTMIEGVNNSN DELRGILAQEKYISKSKSSKDSSSIDIGAEEAKLDALLRSGVKGADVSKQQIDSLIET VTILRALVKAKEDAESQSAGTSSLGGRERPSGLLSSGARSSSARGLGQREKEREREKE REREREREKDRDKDPGSVYEFDGAGDSPVPSPAGNHTRKLGGSVGGSDRSANRDSVPP RGDRDTPGKADSVPPDGGLGTGTALQRARNVFLRGQDVVFKPKPTTSADSTEWMLGKV QQVLGEGKSRRYKVQDADPDLPPDQRVEYRTSASSMIPLPPPGADLPELEKGKTVLAL YPDSTMFYKAEVMGAEASTGKVSLRFEGEENSVTLQLVDRRFVVDFRN QC762_213950 MQVPLIRLQCGANSYDWGKKGSDSAAARFAAATPSDGFTIQDDK PYAELWMGTHPSNPSKDLTTGRTLLDLVQDNQALLASSVAFKYENKLPFLFKILSIGK ALSIQAHPNKKLAEKLHARDPKNYPDDNHKPEMAIAITPFEGFCGFRPLAEISHFLEN VPALRQLVGDDKAQSFIDTVKANPDDKTQNKKALQTVFGAVLSASEDDLAKATSSLVE AASSQGAEFAAGGVSSTSGSVLSELVTRLHSHFGSDYGLFVLFFLNFIKLSPGEALFL QADDIHAYVSGDIVECMASSDNVVRAGFTPKFKDVDTLVGMLTYNYAPIEEQKMEPVD YPYVTLNRAAYSSGSEAKLYDPPIEEFSVVRTVLRGNGSKATFDPLDGPSIIICTGGK GKISVGPTVKEIKEGYVYFVGATAECVLESEADGDEDEFVTFKAYCEVEETRNGA QC762_213940 MDNRYTPLQRAPVHQQQQHQHQQRQNNQQQQQQQQQQQQQQQQH HHHHQQRQFQHSQYTPYGQQQSTVGGGGFSVARAGSAAAAAVAARRVVVAKTTNGGVD LPRPGLTSLSASSSSSSTSSSTAAFMHPHPHHYAPAVPNHHNPSFAVASAAGDIRSNN FVNAMNPARNLRAEVSYQQQQQQQRTSSYPGDRGGPIQYQSKVRVTDKYKVIGFISSG TYGRVYKAHGRHGQPGEFAIKKFKPDKEGEQVTYTGISQSAVREMALCSELNHANVIK LIEIILEDKCIFMVFEYAEHDLLQIIHHHTQQPRHPIPPNTIKSIMFQLLNGCQYLHT NWVLHRDLKPANIMVTSSGEVKIGDLGLARLFYKPLHSLFSGDKVVVTIWYRAPELLL GSRHYTPAIDMWAVGCIFAELLSLRPIFKGEEAKMDSKKTVPFQRNQMQKIVDVMGLP TKERWPLLTSMPEYSQLPSLSPPLGGGGGGGHGGHHGHHGYGSHHHHQSHHGRGGNNA AASVSHLEKWYYGTINQQISSTAQANGASSLSVLGAQGYNLLAGLLEYDPEKRLTAAN ALQHPFFAESPDPINANCFAGVKMEYPHRRVSQDDNDIRTGSLPGTKRSGLPDDSLRP GKRVKEN QC762_213930 MANEAPIVLDGGTGFLKVGYAAQNFPEFQYPSIVGRPILRTEEQ GGSDMVIKDIMCGDEAAAARTMLQVSYPMENGIVKKWDDMQHLWDYTFYEKMKVDTRG RKILLTEPPLNPLRNREQMCQVMFERYEFGGVYVAIQAVLALYAQGLSSGVVVDSGDG VTHIVPVYESVVLNHLTRRLDVAGRDVTRNLIALLTRRGYALNRTADFETVRQMKEKL CYVSYDLELDKRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDCDQPGI AEFLFNTIQAADVDVRSSLFKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLQGNPER LNKFKVRIEDPPRRRHMVFLGGAVLANIMADKDSMWISKQEWEEQGTRILEKLGPR QC762_213920 MGNFTQHESLDLAGVVILRIHLDFGNHLDISRDYDTTATMSTAF VRRTTKILILNPNSSASMTHGVEEAIRGINLPLSTEIYTYTAPPASPASINDGDDVQQ SADVVLRNLQETGILKEYDAVLVACYSVHPLVHLIQENWPHLAVTGIFEASIVTSLSL LPYQDNWGIVTTGKFWEKHLSDGVHHFVGSENSNSRFAGVETTGLNAGDFHGGVDPVV VRQKLCEATKRLLDRGVQAVVMGCAGMAGLEDIIRSVATEGRGEEAGKKLLVIDGVKA GVGLLEQMVRNKRMFQRS QC762_213910 MASALHEVTPFLVILILIATLGPLQFGFHLAELNAPEDVITCQK KSISALQQLASLVITKSSSSDDVVDCIPMSRSDFAAISAVFTVGGLLGALTSGPFTSA RGRKLPMQLTAAFYLLGSIVETSAGSVPTMIIGRFLTGVGAGASTVIVPLYISEVAPP TQRGFFGAFTQISINVGILFTQTLGFFLSYGSAWRWIMGTGVIIALAQGLGLALLPES PAWTASAKGDLTHARRVLQRIRGRVSNIDEEVESWGQGASKPTSEEEALLVSNESTSA DASGLLTPSAGAQHSPRVHLGFLQVLRDPFTRPAIIAVVGIMFAQQLCGINSIIMYSV SLLKDLLPISSALLTIVISIINLGVTMAASPLPDRFGRKTCLLTSIMGQGTSSLALAL AIHFDVKILSAIAVLFFVAFFAVGLGPVPFIMASELVGPEAVGATQSWALGASYVATF LVAYLFPIVNAALNDAFGGAGWVYFIFAGFALLWAAFVSGRVPETRGRKDADEVWGRT RRVD QC762_213900 MTFTPTRILIFGGTGTIGSYITTSLLRAQPRFPQITLFTSPGTA EKKASQIAKWKSDGLSVIVGDLTSSADVKSAYQSSQADTVISAVGRGGLQHQIELLRL AEESNTVQWFLPSEYGTDIEHNDKSPDEKPHQLKLKVRKYIRDELRRVKVTYVVTGPY FDMWVDTAPGLESAGGFKPEEKKAWIIEDGEGKIGFCTMWDVGKFVVGTLRHPEESFG KALKVQSFIVSPNEVLAEYEKQTGAKWEVTKTPLDDLKSLETDLWAKGNPRATSATLR RIWAEGGTLYEKNDNDVLNVEGLDSLADAVKHALVGGYKADTF QC762_213890 MSATLDEGAQPVTIPDNQKVEKKYKRSKSEKKRSRDEDDAAVVE ETPRKSKKTKNGDLELPVRLGSQAAADEPSKKKKKKKDQLGNGEAQTDEVVVDGEKSS RKKSSKPKKEPKEEPEDAMQIDTPEKSKSEKKRKEKKSKKDNLETEANVDGQEKKKKK SKDKTGTGTETPTQASHEVATELPSSDSEYPFFTQTVSLYVPFYPIGFDKPLTNVAAQ HLDPLLNHYSPLLRGVLLSYSNLNLSERPAKASITNPPTDETPAWLHSVDEYAVGFGW LTFDAQLFVPSRGKWMEGVVQLQSEGHIGVVCWNKFNASIEAKRLPQGWKWVDISKDD PFARSNSSQPETDEYGEEKEGQQQEEEDILDGEELQVVEQMHTTGYWVNEKGRKVGGK LRFRIMNFDVGQAGDYGYLSIEGTCLELDEERALAKEEREYEKRRRNKQNPSGLLKPL SRRVPEFSMTKFGKEDEEEDGTKKTVLYKASRPGTPDD QC762_213880 MATLRSILACLSFRRNRANRAGYTELLYDSLDSHGQIDNEKFGL YSDSPPGNNHEEGQYRSAPLMAYTSSADDDEEQLVAIAKKIVNLMHKAEFNDDSLQIA ITDVVGERRWNRKLIEECLDNVVELVEQGRQNMGDAMTEALDKVTDVADEEFAFPRRH PESVDGFIAIVSVGVLAEMQGAWARRGSVADWWMREYKAYIPEGRVETFFTRLDMVDP AD QC762_213870 MADSDAPVTLRTRKFIRNPLLGRKQMVVDILHPGRANISKEDLR EKLAALYKAQKDQVSVFGLRTQFGGGKTTGFALIYDSPEAMKKFEPRYRLVRVGLATK IERASRQQRKQRKNRQKTLRGTAKVKGPKPKKEK QC762_213860 MKPRQMSSWTRTRPYSPFNMSSQNPQVTRFCWQCLQLDAAPEYP DGEVLCLDAVQEEIYKKLFGHDVAFPLPPRYGLKVLKELTSRIERSIVDWEEHEISDN LMTTMSELVSVPLPSEVVAAQQKCHVAYHLSLLQEPSDAAVVLLESRSIISGSGTTGL RTWEAALHMGQYLCTNSTLVKGKRLLELGTGTGYVAILCAKYLGAEHVIASDGSEDVV NNLPDNLFINGLQGTDRVSVSELRWGHALLGTEEEEWNGGREVDVVLGADITYDASVI PALVATLQNLVAISPGVVILIAATERNRATFESFLEVCQKRGFHVHYELFPVLPRPEQ RGPFYNDATPIHICQLTVA QC762_213850 MSVILETTAGDIVIDLLVDFAPKMCENFLKLCKIKYYNFSPIHS IQKSFSCQTGDPLGPLSPNSDGGSSIWGLLEGRDKTFPALFHPKLKHRERGTVSMATA PHPSDPETRLAGSQFLITLGEDGIDFLDDKAAIFGKVAEGFDVLEKINEAIVDERGNP LVDIRIKHTIVLEDPYPDPAGLREPSASPPPTNAQLATVRIAEGEELVDVEKDEEAAA EAETRKREREAAAQALTLEMLGDLPFAEVKPPENVLFVCKLNPVTTDEDLELIFSRFG KILSCEVIRDQKTGDSLQYAFIEFEDKKSCEEAYYKMDSVLIDDRRIHVDFSQSVSRL SEVWRNDTNTKRKTAAARKGKGGWGGVQELEKWRKYRNEDVERDDEDDHYRMVHGVED MQHGRHDTGVSHSSSSNNNENNNKRKPYDRRAGESRSSGPSGSGGHEDSRREAAAHRR GRESPDRLTDTHSSSGNNNRPEHRRGHTERRSRSPRQDHGYHRHSYRDNNRHDRPGDP GQSSSRGREDRDWARRDRRDQDRDRRDRSRYRDRDRGQDRYRGRGGGDEYSGR QC762_0046870 MGVSEVSFGSCPSSTTLLSSFSSSTPSRPTNPAAAAAADPHRAT RHRRQARKRKKVLILPRRRSGNVSRQTPMAVFFYRFTVWATDRATLRPWIRGSLPFSP DQATQYRGNLTCSDLLGSCLYQELRCVLKGDTRWSDDDGGGRPMR QC762_213840 MVSQTQSQCYTPSSSSSSSSSSSSSGSTTSDTHTTLIGGGGLVP GLGGLGLPPRLGSRSSYNNTSRPYPAVYYSSPTSLPSLDSDRHHHRHHGHGYQLPSHP LHDGHSRGHLSMSDQGGHGGMDGSDSTPQRKRIAVACGRCRKRKIRCSGDPGQGQPCS NCKNANAEPCQFLRVSFTTFVITFRLSNKRQVQSREAPFIRSEQVGDSPFGYNVGDAR LYHASRAPTYHASPSSHATAYASDLLSTTGHHMGHGSTTDITGISSYRGSTHSGGYSY VPVKPAYYTAAYSSSPHGLTYGVHSDVDNFGGYGLPVPTGEAHHDAPSGIPVMSMPGT PAWPSSRSMKSPSGYGSMYLDPEVTASPTTYTTPPPSAYSAVRGHSAAGDGPNFSFTN MSASLPSSQSDRVLPVPSSTAATTTTTSHRSSTYPPLSGANKPPTATDSSYDSYGSSR TESSGPESIFSDSERAAAFSNSQGPAAFDMSPYGTSSGSTDASGRRDSAYAAAGAGHE TGGGHDGPSLPSLSSAYERHHAAVATRH QC762_0046890 MMLPFTETQSKNPETEKSNASKLTPRYQKRVQNGWVVILTDCIS SLLRITLVRCRWHVRSGGLGVRPTNLVLEPYCRNPRERGIEFGSSPASPFKRRARSVF SFHSGVPARYP QC762_0046900 MNATANSSSYSTARATMFDLRSSPVPTMMHHHDRDLALQKYYLL HEQHESLRQHLDELRSPQSASFFSSPSTTTSSLSPTGIIPAPVVSSSRHQFRSSSIPT IMMKRSGSSGCLGEVAQEEAKLCDVNEGIKRVLTELLNCEAVRGDRAFRTWVQSRLMD TERELRSGRRRRSAPDA QC762_213820 MRLRLVVRRHALPDVRVVFSCKTENDPTIANLLEQVNEIIPLES NEWGLEDYTIELRQKDTGTAFDFFHFQQVADVLKDDEEIYIRPLVTNDRRKRRLSGRD QITNDGRHLLDGIPFGRPRLRAAYNRPAVDIPPLKRPRLTYHPHGEGEDDDAQQLMIT ESGERGRKKARRAGSVRFSAPYDADSDEDVEDDDFGGQNVNEDESDMEPIDEDDMEEQ GLDSDSDEDADLEEDVQDDESDLEAELRDLGNDMEPEKGENSITYGEERGVNARRKAK EFEAPIDGRKRGTKRPRDSNEEDDSDAESVASVIKHYDQHGFPIGSILNGTASRYMVE EMRKKGEPVNLPVHTKFEDDDDEDQNDALEEDANEASHHSSSEESGTSDSESDSGPEV ASSKVCPPVRGSSEHDLTDSNPDGDDDLSSDEDENNEEAQTTADTKPSGGDDSDGHND SDDDDDGSSNSESDNEDHDADSDGASDGSDEGVDLAGDPKSDDDQNSSAPDSDQDSSG KSNSDEADSSDEDSDGSSIDDSNGESSDDDSSASESSSQEATSSGEDSSSDIDSSSDD DSSSDGESSSGDDTSVGQERAVPEPKQIAPSLIKTLQVTEAPAVQSEDAGPPRPWEGK PSTRKRNARRRALAQAKKAAARAEALVALAPTAPSTGMTEADSTELASVTETIAAKKA QMLERIGALTGMIVEELQGDAPSQPASAHDAAPAAQATSRLSETTVAPHKDAACDADR DPDAWRAKINYRAVECCQEGVELSEPPFPFVQRWDPQQKYQHGGRKKRKQRTQDEYQL QDEETPKTKRRRSDVGGIGDYSYDDRGSYYHEASTEYGETTLNYDDEPQEQPERSVSE VREEDEEDDLPPLPADPSTLPLLQPGSAAPGMILTWQQLLMSKATSWAPQVSRLTGII ITLEDHGVLRVRLAKRDQYLDRNEKVYDDEGKRVYDKFELPGMDEEDEEEEEEGYRTL DLSEMMEPRVLQYPSQIVPGTPLVEEPSPSHTANNLEKTTSAQTSATLGHEAPEQSTD ETSQMDINTQTLEESVIPESCDPNGSSEDQEAQAAQVMSVEDISMTEDRQHEISQLIS EAGFHKEVDPPIANDALSNRSSHSPSRQLTVMSHDALPRPFEPSQPQSDLGSRRASRG TTNGVDSRPIWLEPFNGFSDAISLPPSDHRVESPKLALPPSEIGSIQSERQVDPDFSI DLADSSLNALEDDSANRDALRSSPPYAMEDEPSEVESHEEDEQSEDEQAEEEDNVPTP RPSRLARAVSESSSSDESLPSVSELCTQPSTNNSSGVPAREPVQVDVIPAGKSRMSKT TADAEYEEAMRRLDDMEDESEDSNNSGKLDSDDGDSSSDSDIPNVRRGPSTLARRLMV KPIEKPSPRKSQHLKQSSMAKIKMEPVSSHTETCRRRSARTSTQFQVPKGSQVISLLS SPEPALEENYAEDDIDETYKDSQDSDWPDGDGWVKKRRPTSAARRAGLSKRGMSVPAS SLDLLREKASIPQRIASSQQPDSASSQGLARARKRIADGLFHK QC762_213810 MPGQQPDEWQHHAERTTSQLPSFYSPPTDAQHSSQGDADVSHPD FSFSSDPAVGTSATSPPTPKDGDSSSNDNDSNGDRNDGAGTPSNAPPPSSLLSPAFTP PATPGTATPSSLLLSEPRGVPVDSSVPSGGCGSKHPRLLEQLPQVECIVRARIPTTTG AEMFLHLYTNNVDNKEHLAIVFGNTIRSKSLDAVREGETEMDRLVRGAYTGRLYPGRT TSLEKADGSATERQEPEEAVKSQPPLVRIHSECYTGETVWSARCDCGEQLDEAARLMS LPSNKAGGIIIYLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLAT AMLLDLGQKEVRLLTNNPDKIRAVEGPNREVVVKERVAMVPLSWKGKGGFRAPEVEGY LKTKIEKMGHLLDPGALPR QC762_213800 MGESFLLLQPASPALSPTPYSLLLGPTITMRADVLIAALATGAL VAAVPTSPKKPTPPKGDVSNPFVGKTQFVNPEWSNKLTQTYKSFLKKGDVKNAFRTLQ AQKVSTFVWVSRLSELSRIDEAIATARRVQKTTGKKQIVGLVLYNLPDRDCSAGESAG ELLSGENGFERYKEEFVKPYAQKVAAAKDLEFAIVLEPDSLGNLVTNLNIPLCAGAVD TYRDGIAHAITQLQQDHVHLYIDAAHGGWLGWNDNLPLAADEFAEVLKRADEASGKKN KIRGFATNVSNYNPLHAVVRENYTEWSNSWDESHYASSLAPHLEERGLPAHFIVDQGR VANPGARKEWGEWCNVAPSGFGPAPSTNTNNTVVDAIVWIKPGGESDGECGYFNAPRA GHWHDEFAQQLVQNAHPSVYENWWKFW QC762_213795 MLESAKNGGNPLKVELRGNPKNSSTPIVVLSSGVFAPATPNGQA TTNAERITTVLSQLPIDVSSAFCFQDAGVSAPMTNLVVSPAAALVSPSAQRVFDIRQQ GKYKIGFFGMVGMDWDVHSTNVLDDLPKEHKEFLVSEAKSSAKVLRTEYRVDLVVAVT NMRLAEDLLLSGATQEKGLERVDFIFGGYDREAVGTFYPELVMQAAQRGGKQGDQGRQ KRRGVGRVECGGDVRQKEGEDLPELDSVKVTQFHHLERYPPFASLSPSVCMLKTIDTV TPDLASLTTKPLFVSRSRINAKEAAVRHSETKLGNLIAGSMMAYCDAVIALFLSGAIR CDRILGSASEEQRQFEVIGADIIDCLLFQNQLVLKLITGKAMRAALENSVSERHADGR FLQIGGLRVNASLARPEGDRIFSAKWVLQEHVNGTRIEEHIRDEKNYTVAMTEWLANG WGGFSMLRKEQSLREGEAEGMTDTDLFFKAFGEWSDIDNAFISMATENRKKKQAPLAV KKYLNNPYLSSRNSGLWAVRYKMVLGKDDEKGVLIVSPKVDGRIHFQRPENLEKFAQR GGPR QC762_213790 MATGTASEIPRQATREEMRDAKLPMAYRDSCGHLLIPLNRCRQD TYYLPWKCNDERHSYEKCQYVEFKKRVAKMDELREAKGGARSN QC762_213780 MKPSVLVLTFLGRVGALLPLPPAPQPNIATLNLDTLMGSESRFS QLIDHSNPSLGTFSQRYWWDTTYWDGPGSPVVVFSPGEASAEYYSGFLTNQTIVGLYA QAIGAAILLIEHRYWGDSSPFSHLSTVNMTYLTLNDSVADFAHFARQVQLPFDESGRS NAPKAPWIFVGGSYSGNLAAWLDHLSPGTFWAYHASSAPVQAIRHFWEYFTPIWEGMP RNCSKDFEKITAHIDQVLEHGSEEEVKSLKEGFGLGDIKEKGDFASAVGFALAEWQYI SITSSYHRFYQMCDTIQGVRPVDLDGWGTELWFPSGAPTSSPASNGVGLQKALINYSA WFKHEFLPDACSNYGYEDWAQVNSTGCYDSYNVTSPFYTDYSVSNTFNRQWFWMLCNE PFFYWQAGAPAGQPSIMSRHVSPEYFERQCRLMFPDQGDVRSGLSLGKTEADVNQLTG GWFVKTTRLIWTNGEFDPWRSGSVSSISRPGRPLESTPDAPVHLIPGGRHCNDLDTRS GERNQGVQRVQLESIARMVEWVNEFYDGKEGRTRGNGATHILGRAV QC762_213770 MTMPSLSTTQRHGLISDLSQNHAHPGTPIQTLPQDMDIYEPSDC ESDHSDDEEVDLPRQPPATAIATTPRQLEDGLAKLDSTPSPRVTIISTPLSLTDREDE PDCPVTLAGLDPGPLPPKKGSRTYRYFRYNFGSVYRRIFCLAFLGNLSALVVLAAKHG LSSQAERFTYQQASIAVTANVLAALLVRNEHVVNAFFWVFADKVVTKYLPLRARRLGA KIYSYGGVHSGCAVAATAWYIAFLVLLTLEWTGSDIAEEQGMVKGYIYLVSYTILALL VSMLATAWPEFRRRYHNWFEGVHRFMGWTAVFLFWVQVLLLTYENSTPDFGTGLVQSP NFWMLVVITGLVVYPWTRLRLRKVEAEVLSSHCVKLNFEYRDVHYGQAVKLTDSPLRE THGFGVIPHPYAPKIEDATHQTSSSGSSMVSVEREKTQKQNKGLSHAGEKGYSVIVSK AGDWTSKIIANPPTHVYTRGTPQFGVMRVAGMFEPCVIVATGSGIAPCLSLFVQKPDH PVRIIWSTKSPLQTYGQDIIDLLYATDPRAIIIDTSKGGPKFKRPDLVKLAYRVWEES QGEGPEQYARLEGRTNSTGRKKIVGKCEAVVIISNQKLTEKVVYGLESRGVPAFGALF DS QC762_0046980 MASPNDSTIRDDSGFVGVQRSTDTPFGEKIEERGWKNMTLVAFP LERPEVAEREAYKNESPVTNKSLIWLQARPKGFVLIRWFTLPKYVTKNGVMVGLFHSV DKPEEQVVIKQVLGNISWRLSSNVNGIIPEIEYMTLDDPAAYRSLEYDPILYPISQMY AVQIHDYGTRPDNDIKNWHGDSQSTMFMKYYNGGSLWELLENYKKGRLSKNLERTGAE DVPEEFIWHFIAQVGRAYAYLHTGHVKSPEENLRNNNHLKTDLKHPTLKDWVSIGHND GHLHNIWLHYPSAEEKQKDPRLENFNACFPQIHLADFGLACDVKHDVHGEYKARLKRL PEPGTWFDKMYFGVMLKTLLTISEKRIKAWGLGRELAFHVAEREQAGDEIKFPFTKLA SSKKLRAKYSGELLDLVGKFEDMLDFAAEEYDNTADMKEATCAWWTEFTQTKKVQRER RWEEWPSNDWLYGHVIALADYKLDQHRRAKDKRDRPVMWTMGIRGGMPYRAYDPQRWP FTATQDFQQAHMALVAIRVHTFPFWPSRSAVIMVMEARGGKYKDLVRTIHRHNKILND RRKLLKIAEKNYMYPFMNNFYVKPLITAPQTGTSTPSHAHQSSSPQQSGRSQQSSSSH QSSIPTVSTNSPLRDAAIVARVNKPVWELYPFMARELSTLDIRRSRQIDIATRGNLPD IDKRTLAIVDKHVKNILAARSSFWAEVERRKVKHLQEEKHHENSIFEGRKADYSLAHV YKERNINTQISMHHEFDRKHNDTIRRIDNEVRFLESLMEWWKDQISFINNQQFTEGGT VPVHRDFKSKPGLYDEWMLEAEHEQSSSADPDGKSTILPDPNDPSYENRALDRLEKYC EYILRTGFFENPDMTLKDVTNLPAFWAADDTILLEAEQAAENSFADGLSMSADEESVT PLRNLFNAPGDLDDGRMDQTIQDNIDAAEGNSSYIDSSVRRSTPTTKGIPLAEYYRKL YAGENPWAGEEDAGDGDDAPTMAKMSSVMTLQVLSEDEDGGTNTLSRNLNIPTCQGRL VAQTLPGRYQGTTVPSQGPKTSQTRTITHSVDSALGPASEKFCQGPRPWTSLDDFMRS GIESRLFGPLPVQTFDPKQCIRAWHLRLEGDSTPEEYVRNGMDKAVQHAIRVEEELQN GAWDDGAPTYQQRAKGVPKDGWITTIGGFSPNQLEEQRLPTLEELDSAVNDNPIFIST SFSGPATTNTKGREILEREASVVIATNGSIASGLENGKALLWLRQSLLGFEERKRSVR SAMEYAASVGVTTHLDQGAFSATNTSSDGAASEDLYTFHLPWLSVYNDLDGIIRLRIN FLHDDTTTENPTLVERLRNTFPFFGNDMMRTGAIGEFAVGIADYAGGPVFEAAALKIA KAGWRLEVHALGENDLKTQLEGFEKVDAEVSIKNLRWVVAHVPRISTDSLRRLKALGA GVNVSGWLYLSGIGNTTNPAGPPFRRILDSGIRGGFGPDGANIAPLSPWPHAYYAITG KNAKGELINPGQSISRQEVLELFTKRNTWFLGGPDEHSLGILETGRLGDVAVLSENYF TIPEERIKQLRSVLTVVGGVVVWDSGEI QC762_213730 MVTLSSLLVAAATVATGVFAAPGELPGLAKRQTYTTSATGTHNG YYFSFWTDGGPNVRYTNEAGGQYSVSWSGNGNWVGGKGWNPGTARTINYTGTYQPNGN SYLAIYGWTRNPLVEYYVIENFGTYNPSSGATRMGSVVDGGATYDIYRSTRVQQPSIE GTRTFDQYWSVRQQKRTGGSVNMATHFAAWERAGLRLGTHDYQVVATEGYFSSGSATI NVGGSSGGAQPQPQPQPSPNPNPGNGGGGGGSNCAARWGQCGGQGWNGPTCCESGTTC RSSNQWYSQCL QC762_213720 MANNSPRRPPSGIKVIVVGAGFAGLAAAIECDRKGHSVTLFDKV DNIEEITRIGDIISFDPNGSVAFERWPGVVDQMEAIARQTKHIDLYHHQGKFVTRQDF SHEKAWGRRINGHRGQLHNIIYRHAVDRGIDIRLGKRVEDYFEQDSPPQAGVVVNGER IAADVVIAAEGVRSRGRKIVLGFDENPKSSGYAVYRAWFPADRVRNNPVIKHLVENGD THQGFIGPDIHFLASTIKNGTEVNWVFTHIDDGNIEESWQFPGKPEEALKYLEGWCDV VHELVKATPDGRLIDHKLVYRDPLPTFISPKRRIALIGDSAHPFLPTSIQGASQSIED GVVLATCLELSGRQNIPRALKAYEKLRYARVHRAQANGPKMRERWHKADWNEVWKKPE MIHLIRETWLLNFDAEKDAYDRFSSVLEELERDEQQIKPRL QC762_213710 MLLQLLANCIPACVFRVNLYLYPLPPLPKSATMSVSPSRSSSTK VERGSPPAIHSEALQSSLSGNNETSSTSSGTISTTTALQHKDAIPMQARRSMEDELRP VPSGWVREFDPDTHHQFFVDTNYNPNPRSIWHHPHDDSEFLSSLNEAEKDKVRLQISE TVLDSADDLSDEPTDDSGSDSKSSDTSGKRSLARKLKDTLTGTTHDERVSARAERALK EKEMYRQHRILRRGMATAMHTSRPAFLGKDENRTHMYLEAPGHTFPGVADAKPISPYL DEIIYDESEYGYSKPKGRYLRPGSKMYGFGYGGYGCGKFAGGRWSKPEQEYEGRGLAR SWTMAMPVLAGMTMGALGGVR QC762_213700 MAHHRPEPYGARHYPYRKTAPFIGDAVTREVSELDAIDTFLSHR LIPAIRRDSDRPVKVIVNLGHMEQPPEGAYESSNTIIYNAPGASMIIREAGYQLKTYP PHPLAPTRHSPPFRNPSPVRAIIGGVEGEDHDRPYFRSISPGAPAAPSPGCRYVRTRH SPPPSPSRQPHGVRHSLRPISYNRVFGEGPPQPRVVLGTTLRDRLLHSHAHPHARWCR GCHHRHRNINTDGYCPQCVYVLHTAPLPHRRVEETAPGIRPRHVPPSELGEIRVDRDH RMASPGMLKAGRQQERRHPDLMMAETDNTSDEYELFDVRGRQAI QC762_213690 MQSSRTFTGKISTLLRSRAPKSATTRRLAHNITGPRISNRTPPK MSLWYPRISHANSPTPGFSSLFRMLDDFDKYARELGGHHADSSTSLQNFSPKFDVTEH DKDYTLQGELPGVSPENVEIRFTDPQTMVVSGHTERKHEEGDPSLRLGSPDSSKKIEG AKGKDSEVKMKDSKDTKDSKDKSSGPKYWLSERSFGEFSRVFNFPNNIDQDKVKAKFN QGILDILVPKAEKTGARKIEIQG QC762_213680 MADFAGGGTAPPHPDLGLLFDLSPLPSLLLSPSWRITRASARFL EEWNPSPEACVGQELLAFVQNQLSPSPVHLKFLTTAIDDAIALRTERTSMLINTGRSV SWRARVIPYFKGDELLAIVTEWQKNLSTDDEVQPGLSTDEAFRILVQAVKDYAIFLLD TKGHIATWNTGAELLKGYRRDEIVGKHFSVFYGKEDLDIKKPEMELEICMRDGRVEDE GWRYRKDGSRFWANVVITAVYKNGVHVGFGKVTRDLTERKSAESRLIAAYEESEKLKS DFLANMSHEIRTPMHGMLSACALLLDTSLSSRQRDIVGIMDESGQVLLQVINDILDYS KLASGSFSIHSDIVGITSIVTSVLRSVQTTLPPSVHFEMFLAPDLPRSVQGDPLRYRQ ILHNIVGNAAKFTEKGSIRVRAGVQREDHDSYIVMTEVTDTGIGIPEAAAASLFTPFT QFDATTTKQYKGTGLGLSIAKSLAELMGGSIGYRPNPERHGSIFWFTARFKKIKSLEQ IQDWKSRLVRKGGTILAMPEADVVSLRQKLAEVAPIKSLLLVEDNVINQKVMLGLLRS LGFKNTALASNGSEAVNMVRSKPAAYDIVLMDINMPIMDGHQASKAIRDAGIRVPIVA MTAYALKGDRERCLEYGMNDYIPKPVDKKYLIKVLAKWLLQMKDYRKIFDEQMNKLRS YESLTPGARGHVADRLQQLSLTVQGEEGEGDGAATPSDRSVEHKDQSDSHGAQSSDDT INVVKPSSQEEHQHTSSVVSSPRFEPLLPPDQLEMLDSTQKIGLMVTGDIAPNARGSQ ISAEAVDSGGDTENSTSGTTATTPLDPPLDSDKSTADTTHRGAATLLS QC762_213670 MPGPLAALIPVIAGAAARAAPPAVGKAAAQSVAKAAPKTAAPKP AAPKPQPPKQNNPPKPKDDKKPEQKKDDKKPEQKKDDKKPEPKKDDKKPEQKDEKKPE QKKDEKKPDDKKQDNKPGQKKDDKKPDDKKQDNKPGQKKDEKKPDDKKQNNKQKKPTR GCEKKEELTEQALDTTSQVIDRITNRPGRDDDATSDSLKLAGPKPKNPVVAPKAPKAG DVLVSLAVKNNGQDWFWFVHHPTETNTGMTMHAEGTITKGFTVKLTRKTTVEKLESAT GTKSLELIKLQWVEKRWWDEDMFAPNKAAKAEAPFETTAYGVKPPTVTECAPVKETAT EMAMDRMTARIKRKDDKTWVLESCEALVRAGALKQNVLTFLEKLKDSYGTLGGKKPTG PNVSPANPGKQPICGTPSRGGDSLPTKTNRPNMPSTPGNATPRKTGNGTPKKGVTGKP PAINAAAVNK QC762_213665 MELTLTLTGPLESPLTNLRTHIPSNSSDIDMKRGVTRYSPPRLI LRPAQNYMFLSRTQTPECNWPILPNQPAHTHVNGTFCLNFDSQNCVCPRLKADPEVAG IGVILAFFITAFLTVISTTFTLLLTRTDGPLSPDGTWPPPSSLAHPPTLNKTNHVSRQ YIAQPFVVFLHSHGVNIPVIAACATDLVISLSDTQLVTGLAVLRHDEPMSVYHFTIAS DLAWFSSTTHLSSLLVLRYHPRISAKNGHRPTHIRPWTVRLPLTIRLVLMAVFAALLL WATTLGGYQYWYSIMACPAKCTLTHPWGGEPETWVAVNTVLIVYGYSARMLELSVTAR KYWLDHLRPHVLTRDNKFPVPRLRQGLVGMMVSTARMLLFCFWNALGSDLCDVLEMMV WFALGCFWIAEDRRWGQAEMEGEHQQAENRLGYGQLIPIVLLLLPLIALVESYAHHSE ADKKMRFGQCSCGGCEWHGC QC762_213660 MAYGIRRLSYTFAFLGSIIFVLWAVPRAIKPTQPDENETERDKK WINSSPNFLDRQACRWLGLCGIQHIRWDAPALSHGMGEAVMDELRKLALAWEGEEEFE NTWDQEEGWMEADLKRRGGEMKAKVVEKGAVPDFVLDNAPLVHLYSGENFWPSDIAEH VKHMKVESSWDGENGKKDLALDNLGQLNGENGTVFLTSVDDVESRPDWLHSQVGVPTP FDDDDDDDGNDNNDKNGNNGADWGSHDGQPRRADDGMTWWDADKQHPPHRIAAPKNLG RGLRRRASPAQRPMVGSFPEKGKPDASGYSKAPAVLVLVDKGAGILDAFWFFFYSYNL GQTVLNIRFGNHVGDWEHCMVRFQNGIPRAMFLSEHAGGKAYTWHAMEKRSQNHSKPA RPVIYSAVGSHAMYANPGLHPYVLPFKMLKDITDKGPLWDPALNNYAYWYDYEVDHEE SKAVPAGRNRTSLQPASSNPEAPTSWFHFDGYWGDDVYPLSDERQWRLFGEYHYITGP LGPKWKFLERKKVCQTEKCTIVDSIEAGKKSAWY QC762_213650 MPSHVEANHALLVENEDEWEFEYSATEKETYYITLDLSVRDFLE RRTDDIIHNTRGGYRVWYNPLFNAAEPQASNAAFIDDKDVDDNEPPEREEADKRDNSG LQPPRAPTEPPVDPQLKLDNGQDRDYMELPTNTNVSAEEIQILDLHSERPLVSYRNHV FRGSWCANIGTEMIFTPRDEQVPLPALRNLNQNIDLIAASACRINFTETTLRNKNPAN GHGEQDDAMGLDSQEEDLPARYKRNGGIYVHVGGDKSGQRQPQAHFLEDLISLKRKRN ETDEVTITSVETHQNRLMVEDRAEEMRRRKLHRDQQRNMSLREKRRDNIQAGLGVEQR PYGPVRGSGGRRRPRTRARRTTLVRRDTSALSRAGTQHRTESGSVLQNQPTPRRWDEL KGWRSEKHD QC762_213640 MPKVYLLDYVAGNVRSLVNAIEKCGYVVEWIRSPEDVPKAEKLI LPGVGHFGHCLSQLSAAGFLPAIRAHIDAGKPFMGICVGLQALFSSSAEDADCPGICL IPGSLDRFDDSVKAVPHIGWNNASCPTNPTLYGLSPDSKYYYVHSYKYPYVKGELESH GWAVATGTYGTETFVGAVAKGNVLATQFHPEKSGVAGLRVIRSFLDGSGAKALAEHLP QESNIAAELVPKDGLTRRVIACLDVRTNDQGDLVVTKGDQYDVREKGSDRNVRNLGKP VEMAKKYYEQGADEVTFLNITSFRDCPVADLPMLEILRRTSETVFVPLTIGGGIRDTV DVDGTKVSALEIATMYFKSGADKVSIGSDAVIAAEEYYNAGKTLFGNTAIEQISKAYG NQAVVVSIDPKRVYVPKQEATRHATLKTKFPGPKGEEYCWYACTIKGGRETRDLDVVE LTQAVEAMGAGEILLNCIDKDGTNSGFDLELINQVKGAVRIPVIASSGAGNPGHFDEV FRETTTDAALGAGMFHRGEYTVQQVKDYLAGKKLVVRKFEGEF QC762_213630 MFLGERSEARQGSVLMGVFNNNSNTRNQYASQLTPPEDCPLNSH TMGPPGAGAGIPAWMEIWDYAGGCSFRAFLAENVAAGEEDGVESRTLFVFFDRDVVSR DLKKALVALIELADGPLGCSHMVIAIERCIQEEDAKPLTKGLQWAGFSLTTLDFWSSG YDVISSKWLFMGMEL QC762_213620 MTMATMPKSVIIVGGSIAGLLHGIYLKRHGANVIILEQDPNPRR SSHNAGICFGPSVQEFLRLYNDTGVQACQPAVVTRFAYRQNLYWRDTGIVRHLTSWGV LYRILRANFDGLASDAVTIPPPARAGDGQCSYLPGQRVTSVQKTSDVVVVGYLGEDGK ERCSVADLVIGADGLHSTVRGLLNAPVVKEYSGYVSWRGTVCEAKVSPETARYFQDRT VLSLLKRTYIVWR QC762_213610 MFGELIALNRSSPSPPQPNSSNPKFPRYLLPSLAFTLRRRKPSS PTEYLTLSDNRSSKPKNRLGRTSPSLIRCLSCRSHLAFHSQIVSKGFHGRHGKAVLVA PTCPSFHDGRSPEQSFSSPNLGLNNIRLGPTEKRQLATGPHEVADIFCAICETKLGWK YVKADEPSQKYKVGKFILEVVRVVVEQGLEFEPLERVSSGDRSGTECVAGVLFDSDDE DECEELFNGVWNAEDVAARRRADVGLERGHE QC762_213600 MPRTPLSPLSLSVSLLSSSAPRYRLLARPFRPSITSPTSRSFTT RPFLPNTTSARSQLQSSRPYLTEAIRNLQARKMAPQLDGYFKQVDDMSDAFIERLRQA IAIPSISSEAARRPDVVRMGHWLADELNKLGAQAELRPLGKQHGTDLDLPPVVLARYG NDKNKRTILVYGHYDVQPAEKEDGWETEPFELTVKEDGRMLGRGSTDDKGPVLGWLNA IEAHKAAGIEFPVNLLMCFEGMEEYGSDGLDDLINAEAKKYFADADAVCISDNYWLGT ERPCLTYGLRGCNYYSVEVSGPGADLHSGVFGGTAQEPMTDLVRILGSLVDTDGKIQI PGIAEQVAPLTAEEDGLYDGISFTMETLYESLGSKTTIFDDKKKTLMARWRNPSLSIH GVEGAFSAPGAKTVIPAKVIGKFSIRTVPNMEIEKTNECVAKYVEDVFKKLGSKNTMK VYPQHCGNWWVASPKHWNFSAAAKATERVWGVPPDFTREGGSIPVTLTFEQATGKNVL LLPMGSSTDGAHSINEKLDKRNYIEGIKLLGAYLHYVAEEPQN QC762_213590 MLFSAAALLALLPAALAAPATSGPLDKRAPIISARAGKVVPGKY IVKLKDGASDAVVNKVLGKHKADQIYKGGKFKGFAGALDDASLEAIRYLPEVEYVEEE AEFTINAVVSQTGAPWGLARISSRTPGGTTYRYDDSAGAGTCSYIIDTGIYTAHSDFQ GRAIWGSNHVDSSNTDGNGHGTHVAGTVGGRLYGVAKKTTLIAVKVLNASGSGSTSGV VAGINYVQTNFPSRNCPNGTVANMSLGGGYSASINTAARNLVNAGVFLAVAAGNDNAN AANYSPASEASVCTVGATASNDARSTFSNYGAVVDIFAPGTNILSTWIGGTSATRSIS GTSMASPHIAGLGAYLLTLQGRRTPAALCSYIASIATNNVITSVPSGTINKLAYNGVA QC762_213580 MTSRQGQTPKGTMLRQEFETESRQHDLRRTTPTPAKAGSRELTS GAGIPPEEGSDAIFETSSRHEWPSNRTLQHQYDELATPSSTIAEFGDKAARFIVPSAT VLVDHVHRSWNDSRDGSRSASISKSRSRSSSNRRPHERRRGRGERREGSRDRSIASVL SLAEEEQSQTSTQKQHRHARSQVPKSTSVEAMRTDEKVDRFLKSMRPHQSPSPPQASQ LGKPPEFEKPSGIDSLSDLTAENKSLYQRVAALQLTERELLAENQNLIRQVATLNHQL AAAVEELEASKQQQGIHNQHWHRLFAEKEDQYQSRIHELGTQLVELSSTHPNPKKSRP LASNDEIATWFTDQDAAWRAWARVYGHRDPSRLVDGLHPAQLQEICADVRGFVQLTNL ERLPVAILHGGKEAAHTLLQAMLANFFCDEVLESPYWVFTATSLGTLESPSASLPPHA LGSVSEGLKVNMDAFGSGGNNVAGVRESVRGSGYGSGYQMPKSPKYPPPLIMSMTHSL GAGCASMLGLPLKGDMERLQFMLEDSQDPSPYSQQVHWRAHMMRLFATGGMALSPSSS SSTCSASWSRQALIDSRLNYARKLRDRFLGGAARFLLHDQDALGIERLERVLTEMIDD ALRFSCKLWSRPGGIRLKRWKDLPEFKNGYKNGMKSVKICQAQDKSYTVLRQTMADPE KDEEKEDENEGRPVIMVVQPAVEAVIDTGHSEAPSLVWLKARVMVAAPVEEPVPGSGA SDAGFAPSPVTARGEAFFPPSRSAGEADRPSHAFKSSKSSEILSAISYVGHSVGEKKV QC762_213570 MGLKASSLWERPVLNSVNKKARSVPILNPVDPHGRVFFFSWMGF MLSFWAWYTFPPLLTVTIRQDLNLTKEQVANSNIVSLVATLAVRFIAGPLCDQIGSRR VFSLILLAGSVPIGLAPLIKDATGLYIIRFFIGILGGSFVPCQVWCTGWFDKNVVGTA NALSGGWGNAGGGITYFIMPAVYDSLKYRWGHSFGQAWRITFVVPLVCLIICGLGLLF LCDDTPMGKWDDRHQHVQENLKQHGVQGEIVAVPGTITGQPPILSATPSTDEEKGEKL SSSEKNSISAFDHEASLSKAEAIETAQGETIAKPTVKEAVSVCFSLHGLFHVATYACS FGGELAINSILASYYLKNFPSLGQTN QC762_300005 MTLPEQWPTGRQYVPPHQCHTTPSELAVKTTSTAPTHIVNTKEY YNQCGIYFHDNLTVDDNDEQKASEGFALDFVPLSLPAAPAPITPERQSTLPTKALPGN KPPSGNKTLPGTKPINKPSTGNGPRARSSSPSPETKTSPGKPRWERLVRSPQPCARST CGTAFASRNQLFTHLHETGYTRPEAILPPMPPSPTPM QC762_300010 MQNTTFNSALKQSTSLRKDLSSLSSPDADITPAILGSVSASLAA LSRTIDEYNTLAKQELNKAKQEKAFERIKDFKQEISSYRALLDQLNKDREEREYVNHR GELLGRRPFAAQTPENPYTGATVSGVQTTSGYGHARTNSTVESGGGMGIGSGDVMRES HALREQAFFQHTNSALDDYIARGQAVLGDLGQQREILKNTQRKLYSVGNTLGISGDTI RMVERRAKQDKWIFWGGVMGFFLFCWLVLHYLR QC762_300020 MAACIPQQKKASPIIEWNPTDIFRPPPSNAPPDYALLILNQPIH NHVGMVKRLWNNAIFHIAADGAANCLHDAAGIHSDPSFDDLDVIIGDLDSISQVARTY YETPPRRTNVIHYRDDYSTDFAKAVEHTRAKYRPSPKGKDIVVVGSLGGRVDHGISQL HHLYLFQRDPDYNEGKMYFFSGESLTFMLKAGRKHVIRVRDGPAGEKDVFAKWVGILP MKEPSYITTKGLEWDVTDWPTEFGGQMSTSNHILPETQVIEVEATKDVLFTMSLREI QC762_300030 MLSGGIPVETMIFYKPSIVLTAVLCADLAGAQDYVGPNFERCRK RVTSILEGKEEWNGINNVTVEQYIYRGAVRGMNSEYEQTSRDKFITITTEGCKVLCES PVDFYWHSDITTTLSIISNWILPIMALLSALPYDSLHRRTAGAPWWQTRIMGTLRALL NWLGSPETALTNTLFNIHQMHECLAETKSSGQGISGNSNLRSLKMDAYYVLSCIGQFN MPDLSSDEFLEPLVYALFRGFVHLNAGEAAAHAQSTTYPPAGTTPDQRERSEQAKVWT RELLQEMAFQLRMLRRRGIYPSLVNVFLFCVAYAMAVVLAFSDVGERTTAHALALGIL VSWLPLLVLFSILDRNPMSADRSRKLMSRWLWNADAIRRWEKGSGIPASQTPPPVMPA GGPPASPDWWTWNKEENLRALQLPPGQTPLFGPFQQPVRFDPFIGEFVGQGRQMGYHG LAFSVVHSVYDSHGTDRRMRSIQEIVEHTRVRLDGPRPWAWWRMAIISLTIVWLFAGM AFMISYNTPTVGFACRSGSYTVYGLLTFGSWLLSLLPCYKHPDNWMRAVAHAFNGLAL LTLILIIFASFSGIFNNCICKGGLAGYLDFESAEFYRNPNHFNVYKWWMAGAIVGALP ITFSLLRAAWLLIQLKPLWQASEQHNPHVRNPGVDMIWLI QC762_300040 MAPCKHANLLLDVSPNATQAGFARPPAVKRRAAASLASRIEPDT ADEEEAQNLAGTFPGPLVLPDDLLSVYPKDPDSGQTVKVWQRSKHRNRLNAGTPNTIH VAAPPSYSPKMKHMREWIVPLTATEGEEDVSPPKPKDLTDYLSAYYHPLPVTRTPNLT WVPWEDDDRPPNATKDENRYIGLKQGQNITRIRTRPCPDGAYERQLNLGDILDGLLHM VKEIHPRYALVMMLHHDLYEDETDDFCCGRAYGGSRVSVVTSSRYHPGLDWYQEIERA HMWPASHCGNFVRRKCGIRSGAGVKRRKVMMVEGDGAGEGKGGGTAMRAAVDAYVAAP APEKDLAGLWFGRTALTASHELGHCFCLGHCNYYACAMQGTAGIVEDVRQPPYLCPVC LEKVISGFLEVKEYANIGRERLILQRYKKLHEFCTSKTRAGVKMFAGYGAWLGKRIEA LKAEEEGGEGQL QC762_0047230 MMGYKRKVLKTVVASKVRQVSLTNKQESGHIRQDFVTHTYKQNH NTKMSLPTHMRALHLPTISPDPQITLRTVPVPTVVPGSVLIRVLAAQVSQKVYDIYSG KVGFTLAPDMILGGGYAIGRVVQPGPDTTSLPVGKLVMIQSFLRARDNPDEIQAVWGT FDGGVPASREWIEKNWKNGSYAEYILAPLENVEPLDEERLCKPKGDGGLGYSVENLLQ LPVQLVVLGGWKGIGLRAGERVIVAPATGQFGGAAVEVAVAMGAGQVIVMGRNMEILK QIQGLYPRGKIQIVPMSGDEDGDVQKLTSWGPVDAYLDISPAAATGSTHVGSCFKALR NYGRASLMGVLPEGLSVTYSMIVWKSLTVKGQYMYDRADAQQIVKMAESGVLRLGPET GVEVVGRFKLDEIDEAWAVASKNTQFGKLIALTP QC762_0047240 MGASCCSSRTDIKNLHISPHYNDLPGPHRDSNLYRSTAKLARSR RNNHPLPRPQPDTLPPPQHNKLHRQLQQILDTVPQPTIPLWLTKPLLIQRLDVLQRGK DILGIRAILPVLLDPLPAGGHSAVKSAPNSLDLVRVVPRPEKGLDHDELADGEGGGVR AGLDYAADGVPPAEDHVGGKGETYFSRVDVVDLLGNLGGEDAD QC762_300060 MSSSNMASVKSSGIVKAKSGSKADTSFRKRKSHTKSRSGCVNCK LRHIKCDESKPICNNCTSFRVSCTYDRTLKSSEALALQPWSEQVFPLVNARETHLTYA SLASLSLNRQVLTMLNDHIRESDAQAVKNGFLFKDQHLRYLNQFHEKTILSLKMNHRL DLYRRESVRLAIREPFLLHLVLAVTLMHERLAASPLTTEPPSIQELYHHATGSSQLNQ LLSSHPSQLSRVQKDAMFMGTILLACTSFAQLDASLPLASHWPFVSSPHDLDWLKICS GKRVVQKLADTHAADSALRDISYEFVLSGPAARVELLPEEDAIARLPEPLRRLLRLDH AGASAKTNAYYDAGVVVGRVLPLEISEDNLLVSLVLVSFLPVRFREMLEEKDAKALLL FAWYHAKIGQFGRWWVWRRAVTEGRAILAYLERYYGGTVGGDEELLGYPKKWCGMEVM VNEGMT QC762_300070 MSFAPPPYLPPPPKDNPPTISHGALPTEEPPAYSQLESTTFDPN DPMPPATFSVHGRFIYASPSPSTPPSSDPSYQTDYPILTPTHGSRTVNFQRVQYRYRA SNATGRPVISHRGKDLYTLSHHLPILGMPFQAMAVPKSRKTLGEVHIAKSPAFHTGYR ANRVLPDHEVARLERKGEKVPKEYHFQIKEGGAERWVWKDSNGRAVAFQWRGQRSEFG GGDGQPGSSRDPDGGVGDGGGWGVPRLQVLVELDRRTLDSLVAVWCLWAMHLHHEATA PKKTWEDRLTLMARKRPEGTPQGGFYTMKF QC762_300080 MPSATTKDVRVAIIGAGITGITLGLGLRERKVPFTIYERAPGFR DIGAGLGFSPNAEKAMGYLSKDVLKAFKRVANPNGEDYFQWVNGHSEEGELMYKKFVG KDGFQGCKRSDILDAWASLLPSGSVEFGKELEGIRETDNGVLVSFKDGSKVNATVVVG CDGIRSQVRHYVLGSTGKNLVKAAYPGYSQRFCYRSLVPMDQAVQAIGKYKCSTRFMY NGPDSHIITYPVGNSSVLNVLVVISDKNRDWPEGLVAQGRHTCQGSRKEVIDALQGWN ETARNIAQLFPDEMEKWAIFDMAENPASTYIRGRVCLAGDAAHATGPHLGAGGGLGIE DAYFLATLLSHLNDKLEAGSTSVKDERAIVEAALKGYNDARFERTQWVVQATREAVDL FQWQDQRVGNDKVKFGEEISAKFERIWNYDVVEENGRAASGFLCDMGADSK QC762_300090 MTLIKVPQTLKKEKVLLTGGTGFVASHVLDSLATNGHPVVVTVR SEEKGNRLLQSLSHFGASNQVQYAIVPDISTKNAFDPILHDHRFTYVIHTASPYQLSF ADPVNDCLNPAIKGTTYLLDSIHRLCPSVTRVVITSSSAAILNPPNHRDVYDESSWSD VSWEQAMQPEHTYRASKKFAEQAAWSFLEEHNPRFTIATINNTYTFGPLSRSLANSAK FSAADVNTSNRRIWDLIHGKWRNPDGSACIPSTAPVFTFVDVRDVADAHLAALAAPGQ RYYTVGGFFSNYQIARIVDEEFKGVLGDDVLPNLKGQEDDFEEDKHWKFDVSRSEKEL GIRYRGLRECVRDAVVSMLEFEKGTTFN QC762_300100 MRFGEVSSRITCASHIENRRLSGPPCTPEHHPFIHPSIHPSSYS SSSSSSTAGCHRVVMLESGGNYDVAAMSDILDHGLGEQLAAALLTWGGEQDQEARRQP RTQPDHHNEPGADARSDSSFDSASDSGSLTPLTRHHVYFRKLMRFADDSTWSKTALGP HRRDIDVNLEDGNDRDDNLDATDSDSTFTTQPAKSTNICARYRQHRVETMENPPLPPQ NWDKELPPTPFDTTPTSSSSHPQMSCRISTFPFSHPCDIPELILDPDEDHLHTEPSPL PSGPVTPSVVNDIFQPLSQTASPDLEFGPFTPLALEADFQHSMEQQQQSRKMSIKTMV SDVSDGLGIIEEEDDVNTDGVSMLTPTEASFGGAAGGESSIDRFGRVDSRAYQPQAWS ISSSAGSTGSSEWRPSIKSSRKSVTLLSRMRGRHSVVQEEPLEKRSLTPYELSAPAPR QDDDNCVDMPPPPTGSSLPTIHSRTEITSTTNPRFFGRIPWLTSDSQPEKQGTVFGVD LNSSIKLAPMKIRVSHRGRGSSYRTYPLGVYKCCEFIRKEGNKAGRAFCSPGNAFNVA QLKEIFNTGPTYGENFQFEGTDYTVHDAARLILLYLEELPKPLITSSVVRCWVLLARQ EGAIEPPCPRVETGLDFWTEALSRLPTASRNLVKHLLAIFAEVLLQTTGNVTEADSRH FASAVSRALFHQDTDASVVAGGAPTASKKKTNKRSVHPTLALAFLIKKRGEYSATLGK ATNMGTKRDTQFLPTTKEIMQWKG QC762_300110 MGTMLTDPGDDGSSTFTLLTPAEKDLGMYAFDTERVRWFFCPKC SITLYNRVHGVFEGVEVRTFRVNVLTLDERADGSPMEDLKNLKIKYWDFKGVELPKAP LDEPVNGGVW QC762_300120 MAKSANKAKKRKQNAQAEGPNKAAKTAAVAAATAWTTPPDSDGD GPSPGSALEPRTLQTVISHEELDMAIDTLKTIAQYPNLIKSKQCKDLRVAVYDFRQAS AIDAGANASLTARITAALADERYTNVRILLAEMRIREQEPKLGALCRWVRDLDIISGL STIPGGGDGTAIRRSERDEQRLRVIDAILRVTGPVDTNPNAVPISCNPGIALQEIWDL RPSTPSEQVYASVLDKTIFESAPPTLATGLRVIETTPGPLRKPPNHHDALLYTTAPNT IPLATERAPATYQPHPVVPGLGVIRNVLSPEECKAIIAAGETVNFLPDAPMREDGDVS ILAHNFYWVADKLFHDTLWSRVAPHVPASVNGRLARGLNRRFRVYRYVPGAEYRAHID GAWPPSDVLPDDTYVYDASPPGKKQSSLFTFLIYLNDEFEGGETTYFVPAAREGVLNA YPIRPVMGNVALFPHGDPRGALLHEGTGVRKGAKYVIRTEVEYDVEPSE QC762_300130 MLVVTSRGVSTLAAVSNTAIMSTSTVEKRYLHSTSYSEAVVSDN YSFSPFSSPLTSLKFRNGMCLTAHREFLIQSPKLVSLITRHDSFPETISLPEVSYAAG QILVAHLYSGRWTELAWFGPDGGGLEDIARLETALEVYLAARKYELCSLEALAQIHIE QDAARLDIFTVIDVAKRVYPVPDSNDTWFQQHIKSRIKADFDKPDAALLARLDTNFAD ESSIIKLVLKGMLEAYRDKTEALAQQAAALKRPCTPSSDGSFEEVECPSLRTVVEDKA VVCSKEDSQTTSAPTAPPALLPTEVAVEVSTTHANPLLDKSVYEIAPEALHATEEPTV PNVTPVPEPELQAQVSDHNGNSSGATTTRIKLKKCKHKSTKARWECKACGRCTRPSCV SCKCRMCTVKCREENHRAVSDPVVEEKVSPEVVPEVVVAEPAPVQAEDDGWDFAGTSS KTFARNAQIDAAAAAAVVVVAEPEPEPTPVPEPQSIVEDIPRDSFDIANNDRKKEAKK GKQKAVVRPETEPVMREIEPIPLMEPAAGPKSKPSMEDNPWAFPSTKFTKKKAKAVVG TGSEPVSETGNAQLIAEIERGFPQGGWDFWGTSKKR QC762_300140 MASARAHRVGFTAVWTDVMGCGGGGGCSIIDGIITLPGRPRCGS DRGGEKDGGGRGRGCHPKRGDSKKIHRRCRGALQERCWDRGSGGGCDAVEYVARAVSQ ITPEK QC762_300145 MLIPLRPIPHQPGIMKRLQDDGGGDGDDNGKGDGIHAPTPRTPS KSKSAAKRQRRQTDPGFSSPLTLIPTTSALIPNRLKMKPKATSKLTPSAIMGLPTVAS QLPIQDCRTILSTVLSDREVRQLISHLVTSGKPCRRKTASYLSARFDELNTPVPDQTV AFDAIVTAVKSAFRPLSLDTISPSDFEAIMTARGVQTNIRYIHAASVWPASLGTRLNA LKAILEINQHLIRSSLLRELRHQKVFEKECPALSGAVLHICRGLTGPESVVALGMHFP RYSGVASASHRTGSTPAVMGGSQSIGGSQVLLSQTSRGAEDTLEVEMRAQSEFFRKQD VFLEVVEAYKMLIGSKRTGTAVQAVPIGMVDIEEESEEDEEQEEEERDEEGGGEGEIP DTQETR QC762_300150 MIAVSLSRALLLAGTFARLGLAQTEPTILAEDGSVLSANETTVA PAAEAEVVEDAGEVLLLTDAVLANLTALDLSDINLFTFDEDVSGVTTKRSFSRGFQVG DCKVFPGDRAWPSRLSWTVFNLLTGGALIETVPIGAVCYPNSGVYNAAKCQYVLANWA QSALHASDPTSVMSPLYQGETCMPQNGQTGTCEMGSFPSYSVKIRSVYQVQLAVNFAR NQNLRLVVHNTGHDFLGKSTGAGALSIWTHNLKDIKYVKSFRSPSYNGPALKLGAGVQ VGELYAAANSLGVTAVGGECPGVGVAGGYLAGGGHSPLSSKYGLGSDQVLSIDVVLPN GRFVTATETKNTDLFWALRGGGGGTFGVVTSITVKVHPKQKFAGFSMTLNAGPESENS IAVFWQAMYAYWRKFPGYAAQGVYGYSTVFPLFTAAGGYSWTFHPFMMPNMTLAQFKV HVQPLLDEWTAMGLAFTPNFFEKDNLYDVWTQYFPAESVANSNMRTASRMFPASVWNN STNRDALFDEMRAIVEEGSALIQYNMNPRQTEGTPNSAANSHWRDAIWFAIMGAGWAP GTPEPELAATNNKITHDWMERLRAWGPGGYGNEGDVMEPNFGEAFFGTNYQRLLSIKR EVDPNDLFWAPTAVGAERWTIQGQPSWLTTQVGKLCKISN QC762_300160 MTLLPRHPPHPNVLDIPTPPLQQAGLFIIFFFTAIAFVAFLLRL FSRHKTGQWGLDDAMVGCAMLFSLLMIGPFYMYIKLGYFGWRQEDVPPTYDPTPAFWW FFLAQLFYNPILAFVKASVLLFLLRLGGQKPGVRMVIYVLNTFNALQAIAIFLVALLQ CLPIEANWDFALKADPNTRCIDNSFHVIASCLTLLTDILVVVIPFWIFLGLKMKKAAK VAVLGIFLLGLAVTIIGAVRLNGIIKLFYSTPDGKDPFHDVTVTLSVVEANIAIVSAC APALRPLFRMWMPVLFGGTTERYGNKYTPNSKLPYYADQSNTKGGNGTGMRADDVTLK SIKATRNRDGHTECRSASPSGSEEEIMTYNGIMRTTDVRVQYDGASGFAVSTGDKSRP SVDSKGVDFVVAVEEKRTV QC762_300170 MSDQDYGLAPPGAKPSDSTPGKHRGPRFTWNSQYEATFFRSLCD SVNLGLREGSTFKPQAWDRAIQALIDKHNAYANKSHLINKSDNARKKYRLWIGLREDN SFYYNPQTRTVTGTEEAWARHLQKEPLARSLKGRPFEHEEYYEILFPDVPGSGGAPKR LTKPRRKGPDSLNSTDEPDAPGTSIMDMLTDTSYLNPVQTHIAPPLPQQPSIVAPSMP TPLPAPSQQLSRPPAAMAPPPQPRASLPSTTSALTPPEENPQQPRKRMHAGDNAATTN QSIQSDKRRRTAPPNYIDLQQQQTHVATANNVNHALHPALSNHATQSSGGSTSNSTGI AVASSHTVGTHPSLPTAPPVASDITVLAEALRGAKARPTWQEQAMEILFGDFREEDPD LQIKIAEKLLTDENKAMFFCKMPEPLRKHWVKRLREVHNNRVS QC762_300185 MAPGDTVRIRGNSVLYKVIAVNGCMLTILVMNPQPDGQYLDFSP TSIQTIDEYRVEKVDDC QC762_0047400 MTCTAAARFMNVNESLLRIDMPPEDHDGTDMVEVPWIGLSPQQP TPRHPCTLGITFKTTVRKKYGFGYVLNAKIGRYVSSYLHD QC762_0047410 MSNEALYHESLDKLNLSCRTGLSFNFKEQTEQEAQLVVDLGGSV THIPSPQPSPTYKPAGLPSRAALTYTPANAKTYPSAEWELTNDKPQAEGDGFMLWKEY APVILTRRSSSLQSEREQVLDAVSSLGLKGPVKGLGKLSRPVLNKYYRTEQALAEFLI KQDRVLLRPLPSPETGQRELERLETELFDTSKYMGSTNYMTVLTWSDDNPWGTITLFQ VETPLMGSVSQGGYLESQRRKPPNLHVHVREPFVTKIKPVAAMEHIPQQVLVNMRMDT AIRKLVLVHEQNSQRFRWMAKGEMGHPSYATLYRPDMPVPIAVQRSLYEHLDADEAAI LGHHSHRRPSCLSPQAGYQDRQEVTADGIVATIPQFLALLHSTQSIVEVASSPKPSDE ERVETTSETLKDIFHQFLHNDTIFTPFKYIRPVPVETPLHITDKNDIRCDTVGFKPTF WIHHPGAYAAYLSAVPPGKTISNYAGDGDWFKIWEFGTYPNLTAESTYQQTWRLLADP LTFQIPTATPPGKYLLRFEHVALHLANQRGGAEFYPSCAHVEVMNAGWKSTGLSPGPT ARFPEAHEAEEHGKLMFNIWDFDDVQQLNSRKMPGPQVWDGR QC762_300200 MEYDPFNPEHQPKSEPQVAVTEAYTFNQGPEKHETGLARSQAWW HAWWLEVVSMVVTIGCSVAIIAILCAFNRRPITDWTDKSKLAEIISLPTTLSILATAA NATTTLVLGSAISQYKWVYFKAKNRSLADLDLLDGTSRGTLWKCLELLVKRMKTLASL GAIAMILSLAVGPFYQQTVQLTEWDVPSDDGKASFGLAHQYIASGRPNFAAGGGADAS LPSAFQVEAATADSPMQGAIYRGLFNLDGPAIFNCTSNCTWPDPGASKPYVSLGFRSD CANVTEATLRASGWDDTIEGSPRGINITTPGNVTLDTRFSFTSYQPVVVVASKSLLWH QIKNINTSPFDWTGDTVGHTIARMAVFRSELDPRNFELVSSKMQVTECDLSLVAYRYS DISVSDSKLTIGKEELIRLQSGVVERQDDGRFIGVFDTVVDSSGTTIPLKVSLADIGA LTLLFTSTRFMGTLYAGEPPDRSRIHRPSGMGDAFLGGDITDQLVIERFERMAESMTL QLRSISDVTATGISVYSIVHYKVEWAWLVLPLAVQLITLVFFFWVLVRNHHSGLQHWK DSALAVISHSLQAADEDIHQVEVIGPMHVEKIQELKDWANRTKAKLL QC762_300210 MTTNPFNELLGHAYYIVHPDLNCFIPSTPSIPVNHQVIMGDTTS DLPTCGRTRSEPVKFGRALRDSEFLFDPSYRNLNHGSFGTIPSHIRNLMRQYQDQAEA KPDPFIRYTYPQLLDESRAAVAKLLGVPTETCVFVSNATMGVNTVLRNIVWNKDGKDE ILYFETIYGGCAKTVDYVAEYNRGLVHSRCVPILYPCPDGDIVENFEKAVQEVEKEGR RVRLALFDVVSSNPGVRFPFEAITASCKRHGILSLVDGAQGIGMVKLNHLGEVDPDFF VSNCHKWLHVPRGCAVFYVPLRNQGLIRSTVPTSHGFEAAELQGGNLKRVNPLPPNGK GYFVNGFQFVGTVDNAPYLCVKDAIKWREEVLGGEVRIRDELIKMAREGGRLVAEALG TEVLDNKEGTMSACAMTNVALPLPAEDIEASGEEPAVHSYVLGTLMEEYQTFVAVFVF QGRWWARLSAQVYLDLQDFKWVGGVLKDVCDRVVRGEYKKNKVPDTSV QC762_300220 MTIMTLYSLLVHLFILIGPFAAGAIVIVNEQHPLPKTGNSVWNT QDDVQKAYDMFDCLLLDDQTNVRYYTVGSRMPKSSLLHTHADLGNTLEPSLLESAPSV KLMASKPTLWKKGVTYVIAMTDPDAPSRDDPKWSEFCHWIAIGVPTSSGISPTFSDEI MGYKPPSPPEKTAKHRYVLLAFAPANGTTEKLHLSRPSARKHWGYDVGNDGDKDTKGV REWAAENGLVPVGANFFYAQNKKQ QC762_300230 MRFAISFGVFALAITAAAAPAPAPQDQLPCVKLPEAFWTCISDC LYKICVNVPDVVQCNKDCDAKCKAIYAPDCEPGPH QC762_0047460 MWHTLTRQTSALRRKHVHSPVHRHHRTLGDVSHASHPSLVLWHA RNVRGLPAPHPRGRVQVLLPPPGRLLDAAGRGDGVGLGSSPQGFQPAHRTPRRHGGAC GVELPISFCVYGYRGLHYSRHQRLFSFAIKIAKLRGEQGAGLVVWAVYRHVDLLAALH QLADLVLDAAWGVFSTVGPYVMDWEAGQYKSPLANVITFSLLALLQSLNMFWLYCLFR SAYKFVVLGVAKEDRSEAKDEGGDDTSVTVVGNNRPTQGLAHRKGGRPEYR QC762_300235 MKPSRFLFALLPLAAAARGGSFRGLSHIATEIFSFPPTNPIFIE NLFVLPDARLLLTSFVHFGPDINSPLHILNPVTSSVSVVTTLPNSTSQTGIAKLSGAN RYAVTAGILGDNFEFLNNTVNVYVVSLGWNASSATVVDTIPVPGVISANGLVAVGNVV LSADSRGGRILRVDTVTRTSSVAFADPLLFGDPANVSGSLGITGVNGLFLKRHGGQRW VYFTNSARGVYGRFKVNSLGHKVGSVEVLMTATSDDPVGFTNSLDDLVVDGDADGYIA WQDRSLLKVKRYNNGTTAQTVVLGPGGVATGNTGITLKTPTSVALGLDGGHIYVGTGG AVAGNLTGGQVVKVTI QC762_300240 MKRLESWAQHSFVVKGFFFFFFEIAGEERCTFDYLKMLSTFFIT VALGLGITYLILNYPRLKQNYHLSRHRSSLPPSPPTLKTGISKPWLWFRELHQQYGDV VYLQLGPTPTILLGSAQAAWDLLERKGSIYSSRPRFIMGQELLSNNLRGLMAGYNDFW RRWRKLLHSGFMARQSEKYRWIQSLESKVLMKELLDKPEGFREWMERYAASVVVMVTY GRRVTDVRKDEVVEMNRLAMERLTLVNIPGKYAVERYPALKYVPAILAPWKRMVLEQR EKDVQMYTSLMNDVKSRMAEGRLPDCFAKHLLDEQKGLGMTDLEVAYTAGTPFGAGVE TSAGSLASFMLACVKFGHSFIPKAQAELDDVVGRDRMPTFDDLPNLPYINAIVSETLR WRPIAVLGGTPHATTADDWYKGMYIPKGSTIIAPLWSIHLNEKDFPDPHTFLPERFLD KERIAAYPGTTGHSAFGWGRRICPGMHLGQASVSINIARILWGFDVKPAKDEKTGKEI DVDIFAFSDGFNSSPLPFPCEIRPRSSKHVEGIEREYREARSKLEMYEV QC762_300250 MQLSQQMTASQQSHQYPQPSSQQPFSMSQPSSQTLNLQSSYRQY TDPAPQPAEHVMPIYSALYSGVGVYETEANGITVMRRQSDGWLNATQILKVAGVEKGR RTKILEKEIQTGEHEKVQGGYGKYQGTWIPFERGLEVCRQYGVHEVLHALLTHKRGQD GGSAPDVDTPTKEQAMAAQRKRLYNASSQESRGGGVQQGGTFFKSISSTASHAINAIS KARFESPAPRNRNPPSRAPSFQRQPSMQDAGDFPPNSQHSFVSNYGAPESAFGSQETQ PVVNETVEPARKRQRILTPAHSFGGLTPTYPSMNPYAENFPGSPTEPNESFIYSRNEP EYAPGPLRPLPYETAPEAEAKRSMLMQLFLNTAGPDENQKSILRNMIPQELDGPIDAQ SNTALIWAATLARMPLLRALVEAGSSPFRVNASGETALMRACNATNSMEHGCFPDLLD ILGGTLDVRDDKGRTVLHHIALVSAVQGRNVCTRYYLESLLEWVVRQGSNPSSQSQTY GSNGLASSRMNIGRFMGEIVNAQDNRGDTALNVAARVGNRSIISQLIEVGADPEIANT AGLRPLDFGIGLADGHSASGETGERNAPQGSRQKSRENSDEVVNSISHLINESTSQFQ GELKKKQDAIDALHGSLRTTSAQVGEARRNLEALQEKLTAQQLARQKASNLALACEEE EMGLRQLVQQHRVSVDNLGPEQDWAAELRAVLTEAKQQAADDTGVDGHLAADADKPHP AARLPSAAVLRARIRAVHARGEQTRHMVGRLKARSKQRELQYRKLVSLCTRRPEAEVD RLLETLTKAVESEKGELELGRVRRFLGGVEGVVA QC762_300260 MSRPLQLPPPTTTLGLYRQLLRESSYLPSLARPHVDHQIKDRFR RYQKGHVDNDRLRKKLKDAHHELRVLRAANAGDMNRMQKILLKAFGRTGSRRRDLFGN LVRRPPPATTEELEAQVSGTRAWSFDRDPDWLDGWDTEALLAFAKVQAKTSLPSSPRG PLLPKHAVSPDKNIPKENVYGNPFPEKVARTKVRKLYASLADRVLPPLPESEWDRLAL VAEGKFEEAGWYVPSRRSAAKSLAGDADAQAGEWKWQLYATRPVGLVDVAQNKKQRLL SGALDENTPHGNTQPVDRHIYTAKFWKRLVKSIWLLTAKGTRDPEKGGYRVTWARAPF QAPTATTSGMEFFESLPVDASLSNKTKGPKKRGRKSAR QC762_300270 MSPDPIVRHARREDVPAILELIRELADYEHELSSVEATEEKLLC TIAFAPSSSTSTVPAADETEPTSSNKPARCLILLSPQGIPAGIALYFYNYSTWRARPG IYLEDLYVKQSERKKGYGKRLLVELAKEVVALKGGRLEWSVLKWNEPSIKFYESIGAN MMSEG QC762_300280 MSASHTPTAEPTVTFASGRDTVNDPPDVRILHYNDVYHLDSSSA EPAGGVSRFMTVVKEYRSPPQPNNNLPDLVPLFSGDVFNPSLESSITKGSHMVPILNN IGTLATCVGNHDLDFGVRQFRHLSSKCRFPWLLANVLDPALGEGVPLGNAKKTHMITT SNGIKIGLIGLGEREWLDTINSLPPDIVYRSASEVAQELVPELKEQGADVIIALTHQR EPNDNKLARNLGGGMIDLILGGHDHFYAHSYINGTHVLRSGADFKQLSYIELRRNQDL DGTAKKWDVDIVRRDIVRAIKKDQETEKLVEGLTAKLKKSLEKPIGWTAEPLDARFTT VRTRESNIGNWVCDIMRGHYGADCALMAAGTIRGDQIYSPGPVRVKDVTDCFPFEDPV VVIKAAGRNIWDALENGVSLYPALEGRFPQVSNIKFRFDPLLPVGERVLWVEIGGQPL DKEKVYVMATRGYMARGKDGYKALLIQSEGGDCEEVVSEENGILISAMLRQYFMALTV MNKWARWGPSLARHWDKVVQETSSSCPTLPASPTETRQPGDRRKHGDTTNNSHKGWDY FTPAKLRERRSSVSPLVGHAEDDSSDEESPDEDVHRTEVRDTDRELRVMRKFFRKWCR IAGVDDGKDCVEGLKDSEGEVSWTRAIKPKLEGRIVMVASQA QC762_300290 MRLPPPEVRASWPPANYENPETRGPALLIIQLIMLPIALIVLLL RFYVRISIMGKVESDDWFMVAAALCGTGVTVCVILASSLYGWSLHIWDLKYETIVSNR KVSMAVQALFVFATSFAKVSILISYLRLAPQGSWFRKLTQGTIAFVALFNSAFIVVLF TQCRPTSSYWNIIFSKSDCVPEGPPLITQASLTVLSDFLVWILPLPTLYKARLPLSQR IALIVLFSFGGVVVIGAILRLYWIWHVVERTYDVTWEGFHLWIWTAVEVHLGVICGCV PWLKSLVKFWKNGGSTAAGYSHNRAGSRSLGKMTAGSKKGKLNGEEEGADFRCDRGAV FRMVSVNGKKLDGDAYMDLDSYDGSSTAKLDVEAEQGPAARK QC762_300300 MSAIMVSYDHTSYPCVRIHTPLSRRGTGPGLIIVSGDDYEVHDD RVSQTLDPHPTKKWAEEGYAVAEVGVGLVQREQHDRVDRVLELKNQLTEAVDKLRATP ECQGEEIGLIAFHHEHISRHLLDAVKEFPQIKAVIFYGWSPSEASHVPVLAHLAGNTR PSSHVPGVTIYTYPSAKDHLFALPTHASFLASAVSVSHTRCLSFLKPKTKGPYFDLEA IWDEHTRFEFEDRSVEETMKTMVDEPYVNHVPTLTGGIGRAALTRFYRDHFIFSNPDD AKLELVSRTVGIDRVVDEFLFQCTHTRTIDWLIPGIPPTGRKLSVPMTSIVNIRGDKL YHEHIAWDQGTVLRQLGLLPEYLPFPGTQLEYRVPVAGIETSSKMVDENSCASNEMLD YQVREVREVREVGE QC762_300310 MPTGLSSSFASAAAGQTRDSRGNGRVDAGRTGGSGEWPRSNGTR TFRRPSTTPFNQNPSSNPADLMQSSVSDTPSSYPNSQPGFGEGAAKYTREELLDIFNS IEPAKMDVSSLLEPNWNPGHLNGSHPSARPWGKSGDSGHTPQDPSVCWDADGSVKPIG LEDMTEEERLMFSTDVNSTMKPPQPKQPQEGSTPQGGGINGRKTSVNASNYPLASPST SRPVTRRRETADTNPFPSASVASPTASRFSRDEWFPRRNTAEVKEMTDEPEEDHNLRE APAKNQPFALRANTGGPTGFGSASSLWGPGTPTSSSGIGGGAFGNFAIPTPTTAEKRF PSSGGSRLAHLIPKDSTESAAPKASDAASWRPRQRTDTDPFTNRDDGASGSAALGGAQ DNSPPLASAQPLRGNSVFDTPVKGSAGDFGMANLRLGDRGDGHGPNSPSDTNPFRSPT AVDGREEQQDDHHDRQHHDVLRNNQPGPAPDNHSGYSTLPRSFGATGPFDGSDRSQTS SVGAKGFPAVNHLGGWPSAPSVGTPDRDRSFNPFGPGIFGPITDLPSPGLGGLNSVFG VPTTSRLARGKLESLFPTAMQAPIHPHDQDGLADSIPDLRQANPLGAIGRGAIGLPRE ATSPVRGNRAAFEDMYSTPDQTRSPFSAPDQDQQGLTSTAPGQSFPTTGGPSFVSGQA GGDPGSVRTMVMPDRMRWVYLDPQQVVQGPFSGLEMNDWYKANFFTPDLRVKRLEDRD FEPLGQLIRRIGNSREPFLVPQMGIPHGPAPPAGGYGPGVSDPVPPLQNAFPSFGRTL TAAQQNDLERRKQEEQMYHARARELAQFSRHPLQTSVPSTLQHHSSIHSLQSQPSFGS MTSPITMPPQPPIGPMVPGAPFFDSGAGIAPGPAQPPIGPSADLFPADLNLSERQMLA NMQATGSLPAVFPSQSGGANAADGGLQSQLPHVDQLQQDSEGFKDRLLQFQDLRSERD AEEAAATATAQARKVIDEVVKQEEPAPADSAPAPISAPVPAPAAPETLVAAPESAVAR KAAAPAQSELSLTEKVRKTQADNAKSAQKPSVSGLPMPFPPPQNAPLPAPTAQRPASN LPSQYGDRSASGTPDTSSEGAPLAPPPTAPWAPQPGAEAHKGPSLKEIQEAEAKKAAK KEEAAAAARRAALEQETAALLAREKAAAAASIGLPATSTWGTGSPVSAASGSPWKQPT VVKTPVSASTPSKKTLADIQREEELRKQKAKEADRQASAAAGAALGKRYADLASKTSS PAGIAPAGPATQAMTGGGWSTVGAGGKVKVPTGPAAQNRSASTTGIKPSATPVAPKAT PKPAQTSLKDARNLALDEFKNWVRRELTRGAIKEADGLTNTLLEMPLDQPDIISDVVY SCEYTLNGKDFAMEFVRRKKLADKGIIEKDSTAASMPSDAKMVSNNGWSEVAKKGSSS AQAAKEEAAVPGFRVVPSKKKGKK QC762_300320 MGSQNGDTPVSSLPELLEQGKYLEVLLSEPGKALIRSYVHQQQS PSPPPQDQNHNSNSKIVGLAAFNAFLQGNVTGPVLDDTLLSRVEKAFGTTENERKDLA RRCLAELQVDGVGVYAYVPLLELFGLARWVLLGLGDDEKERLRVNVWHYKLLTEPSLG SGSSFNKGLQWCEVPSLRAEIERALEGGQEKDGEALVERAMVWIMLGQEKKARRVLEE ARRERGFVYTLTGALGKRTKFQERSTSQLVVLARSKGAFESGGGEVRPEGLKLNDDTL LEELKFDKEGGETDIGERDDEKEDVPVELRDIKPDEQPQLAPLDQIILLAEATLKDAF SPADTLTSEEVLPFAVRVISDQGKTNWQIYTHALLVRSRIEVHRSRTMERGVLQMQAV VDQVVVDTTQPERAQTQEEKEGEEENGVPEIKVSVHGDEAAPVDNKPKSFFPAAKPSE TAPPEVRLRYIHTLSSPPRWHLESELAYSWAGVGSLVSAMEIFKRLRLWAEVALCYAS NAAREDEGGRGKDGEARAKAILRWRLFNKTGTAPENSEQDADEEDVDLDKIREADYHG PQRTPPPSNAPRLWCLLGDLENEKKYYERAWEISGQRYARAQKSLGEYYLQQKDLLSA RDAYKQAVHVNRLSNELWNRLGDISLRMGEFADAAEAFSRAISSSDSNGGEDARTWSN LGSAFYSLYVERVKELRQQNENGVSAESAAVPGDDEDEETVEKKEAKDPKTLLTQSLH AYKKGASISHDNWRIWDNVITLASRLRPIAVQEVLLGLQHVVRIRKSEDAVDVDVLRL LLNEAVLSVEKPAGMGIYDPPRGSTERAVVQFLETSIVPLITARSEFWELITRERVWK RDFAGAIDAAEKAWRAAMGGAGGGLLPGSSSTGPDGKSRNWLEDKDAWALVVERTDEL VSVLENYGEEVPEIGGKWKGKARLAVRSVMGKGKEAWEDTEEWDRLKGLLEGLK QC762_300330 MAESRPQEKYPAIQGGGSLMIAWQVKGKPVLVIGGGEVAAGRIV HLLNADAVVTVICPSSGLNAEVSYRVAQKQVTHVDRIFLPSDLDDKDWSMVLVAIDDP AASTQIWKLCKQKRLVANIADVPPECDFYFGSVHRDGPLQIMVSTNGKGPRLASMIRR FIAKQLPSNAGRAIEAIGELRVKLRQVAPEPEEGPKRMSWMKDVSDRYSWEEMCEMTD EDMTNLLTFYEPNRVPSFHDLRGMRASAIEAAKADVFDGSFGFSVGV QC762_300340 MSAPRRLGGGRILGSGSKGLAPPSSSSSTPAPGGANPRRASANT ARAVSPFPPSESSVSVGSYHSRLSSPNSLSPPLSSTLPPFAQDLVSHVSLAGPSNRAS SSGGLVCPICNEEMVTLLQLNRHLDDVHQELPEAEQDEVKSWFDKQVLKAKRFQPLSL INQKLRGMDVFESNESQPVSAPAAPGRIAETVVDPEELVTRKHWQRPSGNDTCTDPTC DRKLGPLSGSVNCRKCGRLFCEEHTMYQMKLSRSANHEPVRGIWCRVCETCYKSRGGY NDHNGVSRDHTTDFAAVRARKVERQRLEVQRLEKRLTKLTRLLAEGPQDAGVNSALLS PLGGAKHIRKTIEQSVVAWEEDASVARCPFCKQEFRSWTFRRHHCRICGRVVCADPAT GCSSEIGFNVATPTALPAAEKPTGGGHVSVDVRMCCDCKTTIFSHRDFTDSITHKPSD QIAYENLRQFELGIRNMMPKFHKALIALQPPDDNSNKPPPTHAEIQHAASIRKRLTYS FRQYGEAAKRIQNMPTDSPTQRMLQQKIYAAASAFMHTNMLPLKSLPSILKASGPGHR RLLSNGPSHSPLRNGESATFDAETSSVGGASEVSTAVSALETEEKEAKEKLIVLEEQR FMVLEMLNHARAARRFEEVTALTKNIEELDREIEGSKRTVADVAEKFQGLYVGGA QC762_300350 MESRRPNSEQYSPKHIFNSAFTRRLPVSIIHSHYFSVTVIPHHL PTRQNATLTPPTISPAANPDHSNITISTSPTDDYRPRYRFYNNTFSLHRVSPLYLGPE PDHSGGADPPPTTIGPAQLRLVAQRLRDVLVGDVARGVEVGFTAADSDSASKIANSMG SLEVVVVRPVEAADLLDVSLEKMKDQYRQDEGSQELARSWRALEARVKGKLGLAIELV YEHSMGLAFLLPDLSKSSALTPGAADDETGTGRFLWLPVMLTRMPAPVRVAVTQFLER EFDCKISPTRFGTRTMVGGLERWMETLERNKKEQEKDLLVAVGFNTVAMMPRQMVAVE GGREELNKPGLATIDVILPWRKLVPFWKRGKEMEEGEMRRRYEEGGVEYKASAVTLAG KQGMREEGWGWRSEVHQPFLEALSMYTKRTIAMGLFHPAVRIVKVGCSGFTAAEGRLK IFPTMDRAGVVDLLAMLCEKAVSQQR QC762_300360 MIRLLQPINFPTPIYPQLSFRNKQLTNMDRAKQAVDEFVSKAGH HDTTVEERVAPAVKKETVRPTQHEEINTAIDKEVHQDHYHRKVQPIHDTEVLPEQHIH NRGKVVNREFDNRDNEATERALRAEAGKIKDERTVTGTTHTQSHAPVVQGEQVHHHVH ETVQPVIHKETIQPSVVHTTVPIHEVHHEQAKHHGTTTLPAMSMKEFKQQGGALGGSS ERYGAFEGCPKGVHQQGCGHEIGSGPAPNKMTSSTSRSTATTGTSGISSSSSMSSSEE NISSTTGTTGLGSSSINTEKAKPSLLDRLNPMKDADGDGKRGFMR QC762_0047610 MANQSPANPTIERSADRPTKMEAMATHDAVQWINNAYTRGEPPI MTFSLFQMDTLRYVLGEDPISESMATYGKLWQCPLNAGFYHDLV QC762_300365 MGQKSSCLTHKHTGLGTASAEQYRQPENGTAQDLLKDIARKSKL QARGMSGKCQDGEAGTGSSMHVAEQNGNAVGESASTKRLRITSTEDTDTETTVTAPVV QEVVKPHVHEIRQEEIHRDIHVHTNHTIIQPVYDLEALPPRHFVPDETGKLVEVSESD LPACTGRNAQWHIAAGPVPDKTKSKQALGTAAITKTGSPNSTSGAVNDSDTSSSTDSV PVMEVGQQEEATPIVQKAAVPRSTTTQANEGTTKKTSRLPKPSTAGQTTTVAAK QC762_300370 MLDFTDEDDSYWWARSPGDLQIFDVPDVPVPTLNIHPILYEYEY DKWMERVGAIPEAVVDLDPNYKPLPPRDLAPELMTVLRFGRTPTWPDLWRDAISSPYF AWPNYKPCLGGPITCFTCLKPLDIPYAQEPEGAFGNYDGEAPFEDAVIVEGCSHIMGA DCLQAWLKSKYPNDGQKDFYRWVRGEIMLGEWDEDFQQRIKPYHENPALRRTIEPGCP ICAAPQTIDTNYGTETMDRSAVTFKFPNIKRINVQCIRSYAEMTENDMLVAGFPWIVL WSKKSYREEVERQGVYFRTILEQHEQLGCETHPDVPGGPYNALDYAYLRNKAYERVLM LHVGELLYQDHLWRSQNPHADVGSGSDEDMMDVDYDDNNPPPPGGGGPGAGNRQQPRT PPNRQPRPPVVHRPPPPANPPNPGLARPPIVPRWLKQSKRNPNPHPRPLDPNEPAPPP VLPAPDPWPLDYDTPEELNPLRCPWCGRDDFKKVTERRKHEYRFHNRPDLGMAGRLAR DDRRAARKDAADMLRAARRLQELQAADPPQGDEQQLRQAQQAWLDARRPLRPGTQPDS PQPPGEARTGSPAVERAERLRAFWLERAQQDEQQREEYKAKIQREATRRELEPHEELW LQGGRAEEALVAARVGREQQQQRFGAGRAEATILAVRRQQQQQQQTPEPESPPDDIYG VSPRRS QC762_300380 MGSEGVSNEPSLDPSPTCAPLIPPLKMKVSTITLPMLASLAIAN PVELTERQSCPPIHIFGARETTVPQGYGTSQGLVNMVAQAYPGATREAIVYPACGGQS QCGGVSYENSARQGTAAVVRAVTTLNQRCPDTKIVLIGYSQGGQIMDGALCGGAGATL TGAPLAAVKAAIFMGDPMYNQGLPYNVGTCRARGFAGRPNGFQCSPGNPAIIQSYCDS TDPYCCTGNDANSHQQYVNKYGQQALAFIRRQLDAA QC762_300385 MGATSRVLLVLCRLGELVCGAVVLGLLGQAFSLINDAGVLEPEG RLIYTAVVASLTILDSLIFIVPFAYSYWSFLLDFILVVLWIVAFGLLESALQTKLTGI HTCSSFWFNNYWGYYWGRWYVRGPPGIDINWTGCNVWRTVLAFSFIVSMIYLANGFLG VYWTLEYGNIRTRSKGFFERKRGLGGDTGSASGHLIKEGGPGITQTPVQHRNGVMGAG LNNAAPATPVITLPADTADPAARV QC762_300390 MLFGWMADVHVIFWRKVIFALAGFRFVGAKRKVCLSATNIPFCF FFFFFFFFFFFG QC762_300400 MSATKFEEPAFKVYPSGYHPQRTIILEEQIESPETLTIRLEEEA AQNGGDLSGDCPPGLLPMSYKAQAAQMHGYGDSPYSQYSTQSFSTQQTENAASQLNQM AFAANSHATASQYLSGQTSPGLHLAVISSQPTVGSFGTRVSLKVSCQHDLLGAGGLSG SAPHVYVLFGSYRCKATVIKDGRDGSGSFSWTVTVEAPQFQSTSCRSLSNVPLTLLVE GSGGEGLARQDSCGVFSYQENQGGVSGGNVGAGVPGDSSPPEFGSPKNTVRSPVHHHR ASPPHQHLDAQSKSTSPPAHQHSLPADAAPNPYGYPPAVSTTGTTGQQQDFNASANVS YSQNSNRMLSTSGFRTGLTLTDPYSRAPPMLRSPHHAGTWPGMFNSPLDSLRSPSTSL PHVSHTSITRPSLTSLQHSTTAATPQLVRTSTIGQSSSSANGGYPGYGAGLYQEKATL RLIGDLGSMAENWTAEEGAKKRRIVMFKKQQTGNVITVSFKPVAESERPHNSICVSCI WWEERQACFVTSVDTISLLEQLLYAPHKFPVDEKNRIRRNLEGYRPMTVSKSKHESEA FFGIIMGFGAPKPRNIEKDVKVFQWKDLDAALHKIFSKYSASTPAMGQTLNSPRVRMA TPASADHLGSPYPALSAAVSSGLAPDSISAASYVGAGHHHADPLTSPRTLTGGASSWP TYGAAKPLSPTIKTDSSSLRLSALPAVYDHRSTAAQGMASPYGIPGPSHHAVHHSQGA YGAHSGVPASQGQSRSWDNYSVTDGYGAQSSSTHGGVYGGGAYGDGAQRA QC762_0047680 MQAAGPQISPLVPLRSRTTVQAGRERVRVTKSSRRAIPATTSEC GLTELLFLQFSVGHSLVSEKEVFLFDLKEVISQRRHPNGAVSIQKSPEDGRPRVCFSV PSDLPPFQGFLVDIDNPDLETRPTRPRPQRTHLT QC762_0047690 MSTGVLAAATFYLRPWLLSWLDAIVLLDINCWCDVRFGLINLWQ RRGAPNQFHPLSSLHSANSALDGPRVPPLGVDIVTTTTNLCPPTYTHTNTAR QC762_300410 MARGEENSNSQEKSQGVTQDHAAHVESEDEQTRYAATWKPVSVR PLTIAILAILQLVPFIVLETLLQKHKSAPFQFKTDDSSSYASWQYPAMAFFLVDGLLW EVVYARTCQLEPFYQLSRPEGARLESSLAMGYINTMTFLVPVKSAMAHHWTVFLASVV YFATFTLSPLLTRLAWTMVWPAYSRDTTVVVLMHESWCRVLEVLCLLSFVCGLGLAII QRRGSGLLSEISSIEDLTRLLCDSPQFLSMLKKIPSHADSGALQEALQHCRFRLSYKQ HRRLELVASQDPNTASIPRTIGIGNTSLDAHPFNLFPPVVWAVQLMVSGVYLPIVLLT TFPPDDFDPDILRPLFTALLLINTASWSGIQSSLSAILPFASLTARRQSKEPRVRSHD SLKQIRQRYAPGSTLLQVTAGSNLCLMALGGSLNLQLMMLLVNPLWDSTLAIVKKQGI YAPVPGCLRGPALLAQILSYIAPFISLAAFLNALLYRRVFAPRRPNTLVSKMVYLCRG EHLLHDVRDSTALGLATSEGCYSFGWFQDREGQWFVGVDRRINVAKEYKKVGETGPDV DVVQETYRQEI QC762_300413 MGKPTQAKYRSPYAPNCNAISRGCVCAGLSLPPYTLQELIGKGL IRESLQSSRLDFHQREQAGSDQNHQHPPRRSEHEPASLRWDLWRKVQRCDFGVAGLVK ERADKRKTVTGTLRWMAPELFDKTVEYGKVHRGTGTSLGLKQPALPVFCRVLSRASKS ERPREETESRCFPLPVQKPFLAV QC762_300415 MANEVILRQAPSTTPIPDNSQPEPSGTIFTESQAVVSDGTPETI TIITTTPPGTTLSTTTSGGATCGALVTSFYSAFPTPTGAYSTYLNSVISKGDVCALVR SVPATVSSAAAAYETALDNYFARPENLESILDVGDCLAATPSLALAGANSAEVKFLAK ATDLSKCKSAAPGVSIRGAAALAGVAAVACAFGMM QC762_300420 MGFHVPDFLRPWRATRHADELQVGREGLEPLLASSLSNEPCLPR FSESSEGIMRDIDRDANAVVTAAESRAVRRKIDLIILPLLIWVYFLQILDKTVLGFSA IFGLPEDLDLRGNQYSLVGAIAPIAQLAIQPVSSWLIVRVPHRVLMPALVLGWGLAQT ATPFCRDFTQLMTARFFLGVFEGGCLPLFSVITGHWYTRGEQPLRVAAWYGTNGLGTV FAALLSFGLGRVGEGHGGLRGWQWIFLSTGILTVITVPFVYLRLDNDIHSARFLTESQ KIGALERVRGNQTGSSSTKENWKWKQIREVLLDIKTWLFLGMALGNNLGAQVTVTFGP LILSGLGYNKYTTTLLNIPFGVMQYLVIMFTAWGAVKLRLKAPTLCAMILIILAGLST LHTLPRSQNQIGGLLAGFYCLAFIFGCNTLVVSWILANTAGQTKRAAVMSLYNAASST GNVVGPLLFQTQDAPAYLPGLRTTMGVFAAMLVIVLVQVGVLAGLNKRQERIRVGRGK PARVGDVSVARSDARVGERAFDDLTDLENDEFVYLY QC762_300460 MKAASILGSVLLAAVSVQGHVVPQSRDTPVEDLASEEPSQITSL LEEAKAKVINQVAFNERKMRKRGLTPSCTVDKLRFRREYGSLSTSERLAYVNAVKCLQ TLPPLTPASVAPGARSRFDDFIVTHIQQTLNIHFSGNFQPWHRWYVYQYEKALRVECG YNGSQPYWDWPKYSSAPQDSPLFNGDPYSLGGNGEYIPHDGPVIVPPPGVGGGNIQLP AGLGGGYVTTGPFANMTINLGPVGGLEGVPAGPLGGLGYNPRGLKRDIGPAMNQRYAN WTTVLTLLSQPNIDAFRHVSEGVPYTPEIGPHGGMHYNIGGDPGGDLFTSPGDPAFWV HHGQMDRMWAVWQALGSSFSTTRYTDLGSGPYAHQSWANEPPSPLTPLTEVLDMGYAA PSTTIANVMSTTGGEFCYFYL QC762_300470 MASSNGVSLPPDENRGPEILAICGTLVALSVLIVGLRIWVRARM IGQVGIDDWTIITATTVIFVEMMIIIPQVHYGAGRHVQYIEPPSNVTKGLHLNFVTQP LCLIALCLTKVSVGFFLLRLTPSKRFRRFVIGMIIFTVLSATGNFLTVFFQCQPLAFT WGGVSEGKCMPPDNLKFAAFFNSSVAVLSDIIFALLPVPMLWKVQLNWRVKSAVAAIL ALGVFAAVAAIVKITFLGSYGKHGDFLWDSADLTIWTTIEICTAIIAASFPCLKPLFK TLFDGSSARISGYGSGYKGYVRDTNNGTKSGNRNTLRSKGTVTVTAGGNDTEFELYGG KKFSSDVKAGNASSTSMGSEASILKETTRGDGITKTTSVCVSSVRDEETGR QC762_300480 MRFSALFLAGAASAAVIERQTLITPQVPDASDIKILGVTAIGTG CPAGHAFVNVDATGTIFDVAFDRYIVSAGPGTSPATDSRKNCRISINLQFPSGYQFSV IETRFTGYASLAQGQTGTVRAGYTFSGDPRQEVVFQKNLVAPYEDNYNMLAGVGVEAF SACGKTTAILNVNSEIRITPLATPYFGTMTVSAPQKLALKWRRCVPTSSA QC762_300485 MSTAPEKEDKRDPSRVLGALLGVHAGDSLGATLEFMSWEEIQQK FPSPLRDIIGGGHFGWKAGDATDDTDLTRAVLLAYYDEVRNDKKGQGGEGVVERAAWY FVDWFEGRDWPGRVKGRKPRDVGGATAQGIMAFKAYGDASKSGAGEGRAGNGSLMRCV PTALFQRDEGRMVEESMGISAVTHDDSCCVVSCVVYNALVRALVEGKNADEAWQAGME VLKGVGDKEKREGRGGGRVESAVGKVQRAMEGGRHRVKLDDFALHGPRSARNFREELP RGASGYVLESLKLAVAAMFDPRSLEDILVDVVRVGRDTDTNGAIAGGLLGARDGAEAI PLRWREKLQHGREFAEIVEYLLSSS QC762_300490 MAAGNPHAPTNPSGLRQSITAGSYGSIDSGLSSSPDQPGTGYFD RRHSAGPSRPNPTEATSLLDSALDFREHEHVHDGPCDHGTFSPRPTSPRSFFGGSGSA RHGDSAAQSESEAEPATGGASAPAEGQNNAPRQRRPKTWAARMRSKKMSTSRDLAERH GIRDNALMYMSYYMPVLVWAREYSWSYFKGDFVAALTVAGMYVPMALSLADNLAHVPP INGLYSFVFNPFIYALLGSCPAMVIGPEAAGSLLVGTVIKGSVDKGEGGDNDAILHAR ICGIVAGLAGAMVLIAGVARLGFLDSVLSRPFLRGFISAIGAVIAVDQLIPELGLQKL AEEARVGHASSVDKLWFILGNLDKAHTLTFTVAATSFVVIMVCREIKRRLQPRYPGVA YIPDRFLVVVLSAFLTYYFEWDKAGVAVLGKVEAASGHSFTFRWPFKWSNMVYIREAT STAFLIALLGFFESSVAAKSLGGASFAEIQLSPNRELVALGVANIVGGCFMSLPGFGG YGRSKVNRSTGGRTPMSSIILSGLTLLCITFCLPYLYYLPKPVLSSLISVVAWSLIEE CPHDISFFLKIHAWNELGLMLTILLTTIFFSLTFGMAIGVFLSLLQVIRHATRPRIQI LGRIPGTNRFENAEANPDRLEFIEGCLIVKIPEPLTFANTGELKTRLRRLELYGTSMA HPALPRLRREDSNRNIIFDIHGVTGLDGSGAQVLEEIVRDYRQRGVRIFFSRVPSRDS KVGRTLIRSGIMDLAGGDDHFVNDVSEALKLSEVEEGGEGVLN QC762_300500 MSDNDLTVEEKVAIAALVISLFALLGVVLQYVQAVIGRINGLSI RDREVLGKWAEYAKISFRWFRGEVQYEAPIIFMAASDNTRGPIDDKEIWYVDGTRESC EKTRVELPTSDLRERVHTHKNELATWILAIEAAQMMERDSKQWEANEWRKFATESGTR SPVTLPYKGPVSLAVAIQPMRRSFDKHPAVKRPYATTAICHIIELCAVLGIYWKEFDR DNNKYRAEGNGYSVLGNRVHDFGLVFTFEKPGWPRFEKNRVIPTSEVKELSFGNVPTF YRDKKDDRNWKAPINEQKDLKTLQLGSRTEIAETLNLIRCNEYTTQCYSDETKKHVHL FPVVFEVLAMLARPFHIKDRPFTYLPNPVAFALNKQAFSPRRLLSEFRKRLNFEINTD QGPKYTPPTELANIDRLASDLEEELPKRDGEYSPKCLNELHEAIDEVGDSILNKTSKD VVLDVLRRHVQEVLLAINTSQRDIDWAEYSPTAAAPPPSGRPTHLPMSASAPGTPAQP PLFPPMPTDEGATGDVSFDDLLKTPHEKREAALIKHYFDDIRIRAVSLDDTNQHYDQE AAQASKDIHYSEPGYDGLGLVGLQQTTTAQTMGTMSEDGTGNTTTHSSPRPPTLGINS RRATGTFSNGRLPPTPSRAETWRKHGLTNTEIRRNTIWFALVFRMICWLLLHDFDKKD VQLPKSELMGSRLSVFIV QC762_300510 MDDDFSDEDFLDQIDESDLQKLEENAIQLTQVQAQAQVSQRPPP LTHQHQHHQPQPQPQLQQQHVQQDGYGLDEDDDLDDTVVFEEIAQQSQPGGPKQTNSW ATKSLPVQQARLNANLANTQRWNQHIPLPTARPVYPPPPKYPQAPSSRPIPYHAPPSL RPIPPTRPLPPPRPQHAPTQSQFARPPVPPVPGPYSVQPSQAGQSARPGPQGDIIAQL QSQLAAAQSELATARGEALIIRSKYDKIQNDRDSEVARLRKLHEETLAKHERELNDFQ ATTRNVTTELQFAQQNLREGLGRGKSKKKDGASTPKKNHKFWGQADGFNDVEVVSSPT KGSRRRDAIPTIPPAGERTPSKGGKRKRGHVFASPKHGLEVDENALGPDRGATREVIT PTIIISTANALPYDFLRLVLDHSALRDQPPTFDLFSRFTFPSDPSQTFSTVIFQKLSR LGSPKEPLLLLADFAELVIDLWQRCLSERYHAPIYYLASLVLYTLDLNAVVVAPRITS SLVPVCTTTCQLIALQRHHSPDGDLSNHADPAIRQLSLDIDVTQCLALLYLVALGCAA PARGQAWAEETAETTKHSPLVQFWRTVEPEFVLRMLSVKHPEQDWYGMLSLLWTSVLP DSIGPIPSPVSATSTARSKAKDLDQVSKETIKAVSLFLNESPRWAPKGSVKELEVRTA TLRTLVIFTSSPYGMVQAARSEVVIPRLVTVLCWAIDRLYDLDGGLPAIVQDPAARGG IRSFTNEAEADDPDGQDGEEEKLASLLPRFIARAILLLHTLVTHPRTADVVQMGAKLS ASHGGSQRYLLTLARLCFAEEELVLEAGIDPATAELARELIELSVTPVEGEEMSKAFG QC762_0047810 MPLGPALRSSRMFLRLRSTSLAPDALIRVGLDLCQLRNALGTNT KPTNPLQSTDAAPLLASLPSELRPLSSFAPSCQIPIRPIPNPSGT QC762_300520 MAGRQGAGAIESQAEKKTEMVAEPDSTLLTKPNPEATNTSAAPP ATVSEYEKKKANFMVRTFWTLVMITGFFAALLAGHIYVVLIITTIQIISFKEVIAIAN IGSRARDLRFTKSLNWYWLATTMYFLYGESVVYYFRHIILVDKVLQPLSTHHRFISFC IYIFGFVIFVTSLKPGNLRFQFSQFAWTHMALFLINIQAHFILNNIFEGLIWFFLPAA LVITNDIFAYICGITFGRTQLIKLSPKKTVEGFIGAWFSTMVVGLGLTWCLLRSNYFI CPATNLATSILQDIHCDPNPVFIPRTYTTPEFFFLPPGHTVSITVAPMYFHTLVWATF ASLIAPFGGFFASGLKRTFKLKDFGDSIPGHGGMTDRMDCQFIMGMFAFLYYQTFIAV RDYNPGAVLDMLVTGLGVEDQAHVVKGMLQIWARDGVISPTAADQILNILGDNLASVS HHLTE QC762_300530 MDNPPAANTLGTLGAICWSIQLLPQIIINHRRRHATGLQPAMMM LWAWAGVPLGVYNIVSSFNLALQAQPQILAFLSLVTWGQCLYYQQRWTAIETLSVAVP IGMVMAGVEVGLVFALRNRNGHDWAMTLMAALSALLLALGVLRHYVDIWKHRTVRGIS FLFVGIDALGDVFSLVSVVFQKELNVLGMVIYGTELALWLGVFAAGGWYDLLPKVRQR WGSGREGKGGETRGEELGRGQAENNNTGRATGLATLQNGASSTSVFRTASR QC762_300540 MAVERLGSILKHLAPGNGLSQITSKNADDIVITLAVRTPLAKAK KGGFKDTTIEYMVYALLKEVNQRSNLDPALVEDICLGNVRTRVSDGKASYKLRAASLA AGYPNTCSVYSLNRFCSSGLKAVADIAHAISNGSIEIGIAMGAESMTAGGDALEKPFD EEVTKHSQEAVDCMQPMGWTSENVSADFGVTREMMDKYAAESFQRAERAQKAGLFDDE IVPITTQIKDKDGNSKTVTLTQDEGIRPGTTAEGLGKIRAAFPQWGGCTTGGNASQVT DGAAAILLMKRSTAIKLGQPILGKYVGSTTAGLAPRIMGIGPTVAIPKLLAQHNITLN DVDVVEINEAFASMAVYCRDKLGLDWAKMNPRGGAIALGHPLGATGARQIVTGLSECR KTGKKILLTSMCIGTGMGMAGLFVNEQ QC762_300550 MLHHVSPAAVLAVAAMILPSIVSADGPLASDKRGLCFTPNDTTR ADDAVWPPALSWYYNYKPLPEPKYKDIPQSEFEFVPMLWGAPETKGDTSFVTTIQDLI KSGVNITNVLGFNEPDAPYSWGGSYLDPITAAQVWVDNIKPLSDVGIRVGLPACTAGQ DGLPWLRTFVRECSKLVSTENKKENCTFDFVTIHWYGSFEGLASHMGQYAAEFPNKTM WITEYNFAHQSLEDTQAFYKISAEYFDRLDFVERYSLFGAFRSDVSNVGPNAAMLSND GRLTDIGAWYLGKESTGVKPTDGQSVSAAVRQTVSIVSASLIGAAAGAWGLF QC762_300560 MAESESTPAAAAPQASASSPAGSPPPTTAPAAAASTPASPSAAE QPAAVAQIAVDSNPIYHPQTLEDDDDNDSSLGDENALSTASISSSILQYRKLHGRTYH NFGGADKVEYWAPNDDAQNDQLDINHHLLNLALDNKLFFAPLSKPTRVLDVGTGTGMW AIDFADEFPDCEVTGIDLSPIQPTWVPPNCKFELDDASQPWTFPDNHFDYIHFRYMIG CFKDWPAVYREAYRCLKPGGWIEHLDCTADVLSDDGSLPKDTVFVEWKKVFKEAGDKM GQTFEVVDNDNYVGWLKEAGFKDVKNTIIKTPVGSWPADPKWKEVGQFNQYMLDGGIE GLGLYIITNVLGWKYEEMQVFIAKVRAGLRNKNWHSYCVWGAAWGQKPYDE QC762_300570 MGSAADFPKIDFTSNFQNIINNALSSTPETRQAVDPSTEELLYH VPLSKQADVDKAVSVAKAAFPAWKALSYDERAGYLGRYADAIEANVSGLQELLMKEAG KPVSNAAGELQFAIAHVRETAKLRIEDDLIEDTEERRATVRYLPMGVGVGIIPWNYPV LLGLGKLGPAVLAGNTFIWKPSPFSPYTALKLGEIAAQIFPPGIVQVLSGDESLGPLF TAHPDVAKISFTGSSATGKKVMQACASTLKRLTLELGGNDASIIYDDVDVAQVVQKIG PMAFMHSGQICMDIKRLYVHEKIYDEFLAAFVQVVKSFKVGGGGDPEAFLGPVQNRMQ FEKVKDLYSEIGKQKWQVATGGEPVACDGKKGFFLPPTIIDNPPDDSRIVVEEPFGPI MPVLKWSDEDEVVRRANNTNMGLGASVWSNDIEKAERLARRLEAGSTWVNSHFELSPY VPFGGHKWSGVGMDWGIVGLKGWCNTQASWVRKKF QC762_300580 MPQVRNPILPGFNPDPSILRVGDDYYIATSTFEWYPGVQIHHSK DLANWELVTRPLTRKSQLDMRGDPDSCGIWAPCLTHDGEKFWLVYTDVKRKDGSFKDT HNYIVSAPAIEGPWSDPVYTNSSGFDPSLFHDPDTGKKWFVNMLWDHRRRPLLFAGIA LQEWDPVSGKLVGPRKNIYRGTELALVEGPHLYKRNGWYYLLTAEGGTGYDHAVTFAR SRDIWGPYETHPDKHILSSKDHPHAALQRAGHGDIVDTPDGKTYLVHLTGRPTTQRRR CVLGRETAIQECFWKDDWLFVKGGPVPSLHVELPAARDEDRYWETKKYSFDAGEGLHK DFQWLRTPESERIFNLDNNKLNLIGRESIGSWFEQALVARRQEHFSYDAETVIDFSPT DEREFAGLTAYYCRYNFFYLIVSAHSDGQRELLIMASEASWPVGNLVLPLSNPDSVVI PNEGKVRLKVTVRGKELQFWYALEGEQELKKIGPVFDASIVSDECGGHQAHGSFTGAF VGVAASDLNGTGRVASFEGFVYTPVKHGSDRYEV QC762_300585 MSNKAKALRFCLWITCCRKEDSLPNQPPVTGPETDHHSLLPEGL FGDSCAACGKQGATMRCSPCLIKNGDNCSHETLRTLYCDRTCQAQPWPKHRQISRVVK LYEEVWEHFMDLTEFHFRPKNINIPVCRMTPDRIEFSGMPGHNVLRLTLSSARQLVLD PTHTQFGWKEALSPWESHPQAPRLDDECRASGGVL QC762_0047900 MALQTFFLLAAAMLANAETTGEKVSRQAPSGAQAWAAAHSQAAA TLARMSQQDKINMVTGIGWDRGPCVGNTAAISSINYPQICLQDGPLGIRFGTGTTAFT PGVQAASTWDVDLIRQRGAYLGAEAKGCGIHILLGPVAGALGKIPHGGRNWEGFGADP YLAGIAMKETIEGIQSAGVQANAKHYIANEQELNRETMSSNVDDRTQHELYLWPFADA VHANVASVMCSYNKLNGTWACENDKALNQILKKELGFQGYVLSDWNAQHSTALSANSG LDMTMPGTDFNGRNVYWGPQLNNAVNAGQVQRSRLDDMCKRILAGWYLLGQNQGYPAI NIRANVQGNHKENVRAVARDGIVLLKNDGILPLSKPRKIAVVGSHSVNNPQGINACVD KGCNVGTLGMGWGSGSVNYPYLVSPYDALRTRAQADGTQISLHNTDSTNGVSNVVSDA DAVVVVITADSGEGYITVEGHAGDRSHLDPWHNGNQLVQAAAAANKNVIVVVHSVGQI TLETILNTNGVRAIVWAGLPGQENGNALVDVLYGLVSPSGKLPYTIGKRESDYGTAVV RGDDNFREGLFVDYRHFDNARIEPRYEFGFGLSYTNFTFSDIKITSNVKPGPATGQTI PGGPADLWEDVATVTATITNSGAVEGAEVAQLYIGLPSSAPASPPKQLRGFSKLKLAP GASGTATFNLRRRDLSYWDTRLQNWVVPSGNFVVSVGASSRDIRLTGIITA QC762_0047910 MKTSATLLMAMSALVAAEPMSVLRQKKLDLWSAQSEAGVFDINR YAAQAATSCVNGKAGEYQCKNVDLVSFLRHQDMGSSTRRGNDVWGWTHAASGREFGAV GQTDGTAFVEIKADGSLVYLGRLPTQTTSSSWRDMKVIGNHVYIGAESSNHGLQVFDL TKLLTITTPRTFSITSDLKARFTGFGNSHNIVAHEEKNMIYAVGTGTAAGCRGGLFMV NVTNPAAPTSAGCMSAGGYVHDAQCVVYKGPDTRYTNKEICFNFNEDTLDIVDVTNKR SPVTLSSTGYTGSSYTHQGWLADAGMRYLLLDDELDEQNRKGPAANQKTTTYIVDISS LTAPKFTGTYQSPATAIDHNQYVHNGLSYQANYGSGLRIVDVRSVTTDPTGKSFKEVG FFDCYPEDDSVGGRAEFTGTWSVYPYFKSGHILLNSIERGIFVLKYTGA QC762_300610 MSAQDYYNSYQGGGGGGGQQQQQQQQGYGYSNSGQQTYDGQQQG QGYHPPPPPPPQQQQQQYYPPPGQPHPQGQYGQQQYGQHQGQHCQHQQPPPYHQNQDP VAHQEQQQQGGKDRAGLGSALLGAAAGALLGHSAGGGGSGGGSLATAAAGVLGGAFAG NKLEGVIGDKLDKPKKKDKKDKHKEKEKEGKKEKKKEKEKKSKKGSGSDSDSSDSSDS D QC762_0047940 MSEPFEIVAGALGVAGLFNNCVACFEYVQLGRHFGRDYERCQLR LDIAKARLSRWGEAVKINDDPRFHSSAPIDKSVQLAQSIVEEILLLFESAQKKSKRYE LVADQQDRVVFEDKDMKPIGRALHRRLKDLVSRRQKQTSLAKKTAWALYDGKSLEKIV DQVAGFVDELEKAFPIEAVCHKLAEIEIEEVEDEASLTILKDAAEGIDAAMSDAAAQK IDAIVGRNSAKDIITEERARVQLGNVVTAAALHGEIRISDLTTNSVETVVGKGESRVL IGNEYGGKGFWDN QC762_0047930 MISLAEFLPTIASIFCAAASDIAASIPSAASLSIARLFLSPRNE IFQPTMQRSSDRLHILILEHHPILLVRNELVSLRLLLGGLEEKQDFLDDRLR QC762_0047950 MANQVRSIHAEGQARVHVGNSYYGSSDFLPTADDAAFDSHAEEH NARCHRDTRTELLRQIRDWADNPHGKTIFWLNGMAGTGKSTISRTVAKSFADDGILGA SFFFKRGKGNRGKATLFFPSIASQLVRKLPALEAFVREAINNNPDVARKALRDQFKKL ILQPLDRIHHAIAVIVVDALDECDGDNDVKVIISLLSQAKELRSPGLRIFITSRPELP IRLGFKNVTGKYQDLALHQIPEPIVEHDISAFLRHELARIRDDYNHQALEGIELLPDW PGEYVIRTLTQMAVPLFILAATVCRFIEDPAWSDPADQLEKVLQYQMKAYDSELGKLD ATYLPVLKQIIIGHTNPQKLLAAFRDVVGPIVLLAQPLSVLSLAQHLNFSTKSIYGRL NSLHSPLSIPPRIDFPVRLFHLSFRDFLVDPTKRAAEFWIDETKYHKILADRCIQLLH QHLMRDICGLQVPGKLRSEIDQRTIDAVLPPETQYACQYWVHHLKEGKSTVQDGGPVH SFLTSHLLHWLEALSLLGRISESISMVDDLLTFLHPTSATEVSAFLCDLKRFILNSQS IIDIAPLQIYASALVFSPARSITRGIFMQEERKWITSGPIVEDSWNACRQTLEGHSDS VYSVAFSPDSKWIASGSEDCTIKTWDLETGSCRQTLEGHSSSVCSVASSLNSTLIAFQ SDNANAPHYEHYGISSDNRWMLQPAPGVDTDCKAVRRR QC762_0047960 MRVTCRSGGLAKDNDPHLLKPHIQINCLSTSARGNFLSKFLRKQ RPASSPQFAVLLCKSHHIYNCTLFRRYTFSNTQQTQHPERHR QC762_0047970 MDLDTPMGMAPMLGTARTGATILCCNCGSPIDGTSSAGAMCYDC IKLTVDISKTIPREAHIQFCRDCDRWLMPPNTWVVYVVGTQQCKDCAKSYTANVWRAS VQVRQKVPHKRTFLLLEQLILKHQAHRDTINIKEEKGGIDFYFAHRNQAEAFLSFLKS VIPIFVKDSRQLISQDNHTGDKSYKFNYSVEIVPICRDDLVALPLKLARSIGNITPLV LCHRIGTSVNLLDPNTLQTAEISSDVFWRAPFQPLAGTPDLVEFIVMDVEPTNVRKGK WVLSEVQVARAADLGVNDHTYFTRTHLGNLLHAGDSVLGYMLSGTNFNSSALDAIENS RAFGSTIPDVILVKKHYPNRRKNRKRNWKLKRMAKDEGELLPKAADQEKMEAEYEMFL RDVEEDEELRAALALYKNTKKKQQDADAMSIAETEMTGVDDGPRINMDELLDDFDELG IHDE QC762_300680 MGLSNPDGPDTGYHQSVESAIRKLGLSREEAAELQGYIKRLLDE DKAFPKGYPVESLLMHRYQKEWKHLRIWKEEPVISVEPAFARCVEAVRDGLNLSTFIS VCLPVNASAATGSYAATGQAGLGYGSESESTSTRKSGVSSTSRDHKFDSVSSRTPTPS CSKDIYHGGFDNQGFRGSPPPGPLYVRRHSRLNLSSPLRTPSFHGSGSDPGPGSRGRK YPPIVERQYSLPLAHTRPRSLSSSRATSPILTPHLAFQPSSPLTPNKPNWDFIPNNHD MHDYTVTECTKTSSYRTRTWYPESHPTSRNTPSFVCPSTPYSNGIIPKMDTTTAPTTP SRKPSARISMTDIIPAPLNLPRPRRTSDSTASHSHHVQRKDTHPRLPHPNPAPPAPPT HRSPTPPNLPITKPKQLNSPPHDPTITKTGKLNSSPREDLIDNLCLGKKRDMTPDFEI VPVTRLSPQSQSQSSQTHQQSHRRERAKSRSRGDDRRMDRPPKREEEREGGSWRKLVC GCCGDEH QC762_300690 MSDKRNAFLDAGDSDEDVGRDYDSEDDFQKGGPSAKRRRVNDED SEAEDITDDERYQDQDEDGGAKLDAEPQESGDEAEEGKDSQEKKPKKTKVELPGVKNS LLKKNLVVSEAAIKKSGVIYLSRVPPFMKPQKLRSLLEPYGQINRIFLAPEDPAVHAR RVHAGGNKKRSYGEGWVEFIKKKDAKKVVDLLNAQTIGGKKSSWYRDDVWAMKYLNGF KWHHLTEQIAAENAERASRMRAEISKSTKENKEFVRNVERAKVLQGMEAKAAAKRKKA TEDEKKEYGGGSAVQEGVSQKKRRTFAQVPLAKKTKQEDQPEHVQRVLSKIF QC762_300700 MSVEVITTISPTTEEPILTRNGISTEELEQIPDIATQAFKAWRT TKLADRQIIIKKALKILADRQDELANELTVQMGRPIAYTAKEVATAVKRSEYLLKISD DVLQDTPGEEEKGFKRFIRKVPVGPVLIIFAWNYPYLILVNALIPALLAGNSVILKPS PQTPTVAEQVGRAFQEAGLPDGVIQYFHSGSPTIIESIVRNPKIALVCFTGSVAGGLA VQSAASDRVVNVCLELGGKDPAYVRGDVDIAWAAEEIVDGAVFNSGQSCCSIERVYVD EKIHDQFVEAIQKVLKGYKLGDPLDKATHLGPVVSKRSKETIEAHIQDALDKGAENLT PDNETFKDLPPKGNFVVPTLLTKVDHTMKVMKDETFGPVIPVMKVKSDEEAVELMNDS EFGLTASIWTKDTDKGYELCEQVEAGTVFVNRCDFPSPDLAWTGWKNSGKGQTLSKYG FDQFVKLKSYHLKDYPK QC762_300705 MYLYSEMKRLYTSFQEMITSRKGALRRNGRGGLKRPLVISAPYN FEQIPVTLPGLTPEEILVLREKAAATRLGIHADSPPSIATYSVSAPSSSSNSSTPNPN VTGVLPPPPPPIITNLHTSGPGRSSSRAGSSMRSSSARSGTISRSESMARIPHPSNLR HYASSEEVRPMPMPMPIPSGGGLPAQSNHSLLLLGDLDDDVVSPLELDSHPRPGSRSR GHVNNNVDPVGMFPLDLDFEHLEREFELGSPISPLSPPSKKNTPRGSPKGSVSERGAI RI QC762_300710 MPEHKNSSVVSAPGKVLLAGGYIVLDRDYSGLVFGLSARINVVS HPIQPTQGVHLTEIVVESPQFDDDSWVYGYTPVEGHGGVKVTQLDPGTKPFKPNHFVE TTLNYVLSYIVSLPAKQTLSSIHPAKFTILADNDYYSTTTTTSPSTPQRRFRHLGQTI SKANKTGLGSSAALVTSLTGCLLSHYLPRSLFDLSTPSGRRTLHNLSQAAHCAAQGKI GSGFDVASAVYGSCVYRRFSPSLLSALPPPGTRGFGRAVVETVNSPDWDQEISKEETD LAEGLKIRMVDVTGGTATVSMVKLVNAWREGNKAEADGLFAELEGEVKVLAGALKVGD EQAIKRAMGEVRRLMKRMGVESGAEIEPDSQTKLLDELEGLEGVVGSVVPGAGGYDAA ALVIRDDEGTLGRVERFLGEYSQREGVKARLLDVLGEVEGARLESWDGGRWQEL QC762_300720 MNLQLRITPSLLSFIRQGFTKSITQGYAQSVVAATHPHVLNSQN RPSFGRRNHARIGRRLSTLGLQSAFHTSSSTVAAGPVEPQRLGKAVSTNGGLDAYFEQ LQKTQQVAEEGAVEEVESDKEWTQFQFQQRIEWKPTPASILLGSDASKTLALDVDPAQ VTKRDASPAITPEAEAALAHIDARLAEEIEVRKQLDALEEEVESLRATSPALAEKLEE EIRSRTITPVSHIRTPASLVRTPPVDPQSQSYADHLVKLADGGRYVEIPAVFEAMLVA GIKPIAAAYNVLLTAAFQLAPDKSEVVNKALDIYTDMLRRKVALDSETYNILVSLLAS RSLEVSALQEALEVKRVRFGGMDEPGKFMFASHELELAILREEERLDLAIKLFESSVS SNKAGYTSETYHQMISACAKAGRVSNMLEMFEHMECNQAVPFAATFPAMITAFAKSGD LISAVECYNEYRNLAIANDNGEPTLLKRADAQVYASVINAYVISDKLPGAVKFYKKIL QEYGVTAAEIKDALLAGGFVKGFIKRGVYSEALQWAQSIESEIRGRAMNEIATVAADN GDKLTAVAAFANASPSSEMVSSAMALLAMSIRAGDLGAAARYWDILCGSGFKFTVSFV EPAAMYAVAMIGSGQVMEGLTQSEWMFQRIRETVPAAQLEGEIEEATEFISRFMAVRG IVDPREASPVSYAPSQSFAPSPFPSTPAVAHHEESFDPYAQNTDFKGSSLISDELEGA HGRKGPKLSDALNRFRNIRRAGRHPRYITYAKLISAASREGKIDLCHEILAMARTDVP LLPQYPVVRYGWSSILDAMVGACLTVGDRAMAEQFHQELLAMGAAPSANTFGLYITTL KESTKTFDEATEAVRVFHRAKAEGVEPTSFLYNALIGKLGKARRIDDCLFYFGEMQTL GIKPTSVTYGTIVNALCRVSDEKFAEQLFDEMEAMPNYKARPAPYNSMMQFFLMTKRD KSKVLEYFERMKAKGIAPTAHTFKLLVDTHATLDPVDMEAAESVLEMIKATGQKPEAV HYASLIHARGCVLHDLEGARRVFDAVVKESSVPLVPCLFQALFEAMVANHRVVETEPV LAMMRSNRVEMTPYIANTLIHGWAAEKNIAKAKEIYATVSATKREPSTYEAMTRAFLA VEERESAKGVVTEMLGRGYPSAVVNKVLELLGGGGNAAPVEAAAAAA QC762_300723 MTDPSHHYSNNDAFYLYGDRPENYSDRVAQERDPVEREIVELDR EISHEVRKKFTDQARQRQAAHERDKVEQARASNHKAPIPYSPASYTHTSYYSWVFHHI ERVLFAYNNAVLSRVRKHCSVCSDFVFTKLLITPLQATQQRRRQPTLRPITHNDTGAS NWDRGRGISHIKVSPSTKAAEYL QC762_0048050 MVSAKFAALAALVASASAQQVCSLTPESHPPLTWQRCSAGGSCT NVAGSVTLDSNWRWTHTLQGSTNCYSGNEWDTSICTTGSKCAQNCCVEGAEYAATYGI TTSGNQLNLKFVTEGKYSTNVGSRTYLMENATKYQGFNLLGNEFTFDVDVSNIGCGLN GALYFVSMDLDGGLAKYSGNKAGAKYGTGYCDAQCPRDIKFINGEANIEGWNPSTNDV NAGAGRYGTCCSEMDIWEANNMATAYTPHSCTIIDQSRCEGESCGGTYSSDRYGGVCD PDGCDFNSYRMGNKEFYGKGKTVDTTKKMTVVTQFLKNAAGELSEIKRFYVQNGVVIP NSVSSIPGVPNQNSITQDWCDAQKIAFGDPDDNTAKGGLRQMGLALDKPMVLVMSIWN DHAAHMLWLDSTYPVDAAGRPGAERGACPTTSGVPSEVEAEAPNSNVAFSNIKFGPIG STFNSGSTNPNPISSSTATTPTSTRVSSTSTAAQTPTSAPGGTVPRWGQCGGQGYTGP TQCVAPYTCVVSNQWYSQCL QC762_0048060 MGYEWNGDPTILIVVIACSVCFGWVPIITVVSIVRHCRARLRAK RGSNGTNSDAESQGGRPSTAPDVPKPLQTYHPSSTKGLERSASSRTRSSADGYDLKRV DTNSSWNPIRHSFHYDNESLWGGDGLSRSNSRHRPPYFPTHVHNTTPSLSRPASIRSV ASSHRQQSRSRRSSMASNSDNAPAAFQINDTYYDTTPLPNVTRTVNPVVASSSTPTSS KGPGQAPQQRQQKQPKQDNSHPPQRNRRRRHSLDARRDSDSLTRDISRPNTSMTRREV EEYEDLDNQKQKATHRSHRPPRPGSASRRDSHPAPGGSEETDDDLSMAGALPPAKLPP RRASLHAQTFERPAWLDEEPHAM QC762_300740 MATTQTETRFSSLLSREKAATDEQPVHLSLCGIEVPSAGPDRGA TDDDIWRTAEGRVAGTPHPKDDARKIVGHIKNRFNGSSLSGSGSRLLKLADDITTDIT DATSGSEPARLKVATAALELAAAVRPPSDAIMSLFANMSVVSAVRLFQHWGVFDMLPT SPPTQGTACCDIARQINAEEGIVSRISTMLTSSRILSLTHNGELCHTPTSLLLRSSEP MAAMFDLMYTNIVRVSDILPCYFDTYGKKEPVGPGHVPVTVLTGEAELGYFESVAKDE ERMRGFTRAMGVASGRVPVTGVYPLGKMLDGVEEEDDGRVMWVDVGGGGGHVLRRFRE GCAGLRDGRCVVVDLAGVVDQGRMEAEGDELMMAVEWVEGDFMREMTVKGARFYYLRH ILRDYSDPIATLILRNVARAMGRDSRILVSEQINPDGGGTTGRPMPLYAAFKDYSMLA IGGKERTLTQFERIGKEAGLRVEAVYRDIIMKLSTLLLTTVMALATALPSPSEASTEA IRNAEADATSASPEELEALGIIQWQAAGGMQTRLE QC762_300750 MRTRSSFSHNPHLRVSRPVSACSRCRVAKVKCDGKLPACTACEK AGRENECSAASDQQFARGKERSWVAALEARVEKLDRRLNHARSRKASVALHEVDDNTM TMQDSERRDSLVDITAAIHRKAARTREKADFNTLVSDFGLLTVNATTPGFDTQQESAN PMSFARLVLAAAQHDTLPDPNTDELPYQEVAEANFQFYQDNILPLYPLFPTADLKALV PKIYDDADLGILGGIRSSEYWLFWMVMAIASAAQSKAVNDRNYYDALQYVARALPYAD RAFVPGYTTQIQALVLLTQYSMLDPAHFDSWHLIGFACRACIDLGFHKDHTFTQQSSK DTIDARRRTFYCVYALDRAISMVHARPFSFKDDDISVRLPSPSVDNERSDPSLPLFEL RRLQSDWYQTLVQTDSNDPLRDPVQYVWQKYHETQRWSKELTLDLPATIRTAFDLELD YSSVYLVVPSPRTPKLTDHGRLLIFEHTIRYLYRMYEVVKASPSEGFYTYHDALKVFF MGSQLVTVLRDPGESDLWQSLGATEPPQQNPPLPERLDKDLSDAIARSNSCLDKVNET LKLYGERWEQVKTLADHFDRTTTDIKSYLETRRDMVESAIARSMQKDGRHALPTSRPG TNPSTLAYQSAPMTQHSSQRANQGAPWGNSTGFQGHHNVHF QC762_0048090 MRAVADPTRQKFWKPSTDFDPSDFEVSTTVQRSNAMWGFSLGDS VAAPAPDEKPKSPQTAALNLNHTV QC762_300760 MDAATLLRQTIGAYAPRPLQPGHFNYPRRPPPSHLGHFGENLSN GPAQHQGTVHTLTACCRCRQRKTKCDPALPRCSPCDRAGQTCEYFDTTKNRKMNRTYV VDLQKKVQRLEAELEQLTGEDPNDDDDMVTPGGLVHLDKKSVESPRYLGPSSGIAMTR ILMEEAKRYTDSLRISSLIPELRSRRIDQRDRMQSVVMGSFSGPSGPRAVDFPLTADI PAKEFPTRAMTENLWRVFKERIQVFTPVFHETVFAQDLEAAFNGDTDHYRLFAVNMMI AISLAKVDRWAGLPDTYYLAAMEHFDHVVRPKDLKTLQCLILIVQYSLLMPIKIPVYH VVGLAIKICQQWALGDENTWAMGDSDLQSLDLKRRLVWIVLTTELGLAHMLGRPSGFS RTGDMIKVKFFETVQDEDITPDATPESILQGRFCERKTIAIHYCKMRLMQAEIRRVLY EQERPARITDVDPWVQQMDQKLKDWLDSCPEQPPLFKPWFTNRYHAIMIILYRPSPQV LKPTARAARICFEAAKSIITSSSTGPDREQPTFDRTWVFLQTINSSLNALLWSIGYPE VRAQNSREDVEQLVQDGINIITNFHPKWPGVQEAINLYEVLSKACLQSYTAKVTFELS SPMPSAPNGHFKSPFLTEDGNSPDSENSSPQGQQSHSATSLFSNQSPFGYNIEPPYNF DQGAQYANQSPFQNQPAFRSNSIFMNPMSSLTDAHGRRLSELAPESTPYQTPAAERKA GTPPMPTGTASSLPTPPESLPPPSAKSSHMSLSPRLVGTPRGPSPTPTPTLHHASPLP MVTQHSPVPATHGLAEYGFGQPYGQQQPGPPTSSMAAPSNIPAFTIPPIPGSNAQRAT RVTNWSNPPAPILQPHTFAGANSASIWEPPTQQQGGYAFGGSSLQHPPPPQFSLPPAP AIQHSAQPPQQQPQQQQHQTLQPRSHHPPSHQLQPHQLQPHQQLHQQQHQQQQQQLQQ QQQQLQQQQQQTQQAYNPYSPYHNLPMEGAPWHNSTSAGGLLSWEGYGNQYFGNERHD SLTQEQQTQLLNILEADGMSDISAYLATGNAANGGHGTGNW QC762_300770 MAKKKSSSQEDLVEAESDLGHQKLVRSQQQLIICRNKHWRYISA FHGPWLQLPPEVIETLANINYNTPRPRPIDPAVFFDLVKIRRLVDEAIDLAVRAASGV ASLSQQSIPGLHHAAALGLGFGFRPGNQAKLSPERKHRMRKDATHKLCKAYKLDEVAC SVSTMQSASALEEVASLVLQRSPDDSDAKYVHFFHEKIPSRQLAECTSLQPLDEAIHE NPSDPEPLRTRGIVKIFKEDFQGAVADFTDALRVHRLRRPTHRYAGKEAEAEQQVAQR TARRTEDVVLKEEEQPSSLETQLLFQRAGVYLTMACQHVDAAFPDGSPQVPEPDSDGD QTSPPLSPEVLEARQHVRQNAKRALRDYMTYLSHFEYSPDLPLDIAEDFARKVNSIAN GVRVPRHTTKSASPAGNDERTTQKPHRIYVLSDLFAPSPPQDLPPYPITDLASLQAHQ PPPPVLSPVITETLTYHPLLADALHALLLCHCLIQTSAKELLRHAYMVARLARLADGY PAFQASRSPARADWVEVLRAGGNWIQLAGAWDDLCAPAPVPVLHPNGSSPLHHLQHQP PQSKPALPSLDTTGSEVSTELKELPSPFPFPVPVETEKQRKDRLHHQIVLDALGDERV SDEPSFRQAVLARQLRAEHDYQLANAVAELRAQITSGVVAGQPQQPQQPQQQQQQQQQ LLLLPANDHDNEDDDGSSLEGAIGDLDIDGQKVTSDGNGGVVARAQGNGNGGGPGRHE LEKEYPVGSDRALAVARWVLDAPPNAGIVPGDGKKRRKRTVKKVAAPAAAAPGGEAEK V QC762_300780 MKLTQLVVSWTVLVGSALAQNPDPLTFTQINRVCENDRLLRAVR FREAVNQDWLDAVRYCSSLVYARTRTSTITLSFDLASFGVQRETISTTETTTLPDVRV TSTTSTTTTSSTTTTSTSATSTLVCGGVHVVAAGDTCYSIYSAYGLTFSQLRDLNPGI NRFCSNLVLGARLCVGVLLNGGNPSTTTTTTTTTSTSGTITSSPTFFIPKAKRSDAPE AEYCAEGEAPAVARPAVWEAEPVNRVNYACSCIMWRDVSVHPIQTVLISPTAFRVSVF NTADPTGTTTTRSISVITAYSTITVDVFTGTTATPSTSITTSTSTSTTSNVTFTTLPI VTAFRSFQCNVGDAFAQCCVAGGLLGGLIGLGCDGEFVLSHAPCPATQAVPLCCSELQ PGDGGSTTGSDCYLPTPVINGRAALPTPW QC762_300790 MASSLPWLVLYLISQLHLVLGQTNASNVFIPEANTIISINLPDD GSNDINFYVSSPDWYQYSAIGFGSSMANSVILVMYPSADRKSVTVSPRFTK QC762_300800 MEGVHVVYDNRRVHRIDYRGNEAWSYKHARKRKTIKVSEQNPEI IRHIKDRLYKTAHKNKGFLGSHDRGMRFVTRAQFDNIITPEAVLQVVAKLACCQGLTA RDHMQIAQDIYWGTEDGRRSPCCRLLASLIGTGNSEALGSIKTLIDEGLSDTCLPLLI KEVMEEDTLICAHHEHQHASINDMDLESREIFTALCRSFSKDGGKHCHYVLKGGGPLP MRGPLPMEKNDKMNEEGDFGEVFKVEIDPGDRDFDPEHGDSNGTSRADEFDLSLIFAE SRALKVPGPDDNGQTKKHLIQPLATFEVYDSNDPEPTFYFLFPLADGNLKQFWEKKRH DATREEHCGWMVEQFYLLAKALQCVHNERSLMITSKRTDSNVFCRHGDIKPSNFLFFE DSQPSGLGRLVLGDFGMARIHRKGFRSSQPAGGRMATISYQAPEFGKEDVVSLKTDIF SLGCVYLEHITYHVSQSKDSVWLKEGVKRWIWDLKQHKDCVEVVYDLLEIIKHDMLEA NHQKRINSAMLATELEKVWTKWQRKDSLYGDRHWRESEIGSKAINKPDSSAKVLAISK ELLWKQKANRASRRKIADNTTPNDHENLPVKEPTTVENPSGRSALERGKTFHWTMEPE PQSEDDTGSDCELSAERAEDNEGEHRKMGEKSPTQDHVVSHKVASPQAESHTAPTEAA AFLAVEL QC762_301340 MIRQLLLAALPLVFANPLPVPEEAANADVAAAADIALPPHWHQG GRGSSSSSSTCGKLNGPRYCKGTAYDSSQTNKYLCGDSRLGPTRLPRREPLDSITEFY DRFGGLCPGVFLDTWFNVTGTGWWWYPEENGFVLGDSGLPIVGEVTLGRGTLLDRFGG ETGTFVSPAGAGYQQRALPPTNLNTLADTGVPYNYYVYSVLVPFVVRSGPIRPWFGQP GNGVQFELPKTVAELIVDGVLKAEDVRIVLP QC762_301330 MQGLLGFTLLGSFVSYLQGAAASPATAGGTEKRNPLGLSLPPLI PSIPGVTEPLASNAPPLPILQLPTPPLASPPFTASNIKPKKIGYFWTGAGDNLHKDFL ATVSLDDDTFGTFIQLTDVPTSGNSPHHLGASYDGKTLIGGGLLSLLKTQDTAFYFDV SDPYRPKFDHSNRAILSSIVDEIRAKPDGGFYITYMGSAVGTSPGRLVETDARGNIIH EWPEVTDIPSTLNILGQQFSPHGLTVDYDKQIALTSDFVVPITILKPTLGIQKADTLR LFDLRTHKILSTITIPGGQGIQDVKFIPNHPETAALATAVGLGQVWVIYPFRTKNAKQ GTAELLFDFGPKAKNSLAIYSDISDDGKLAYFTFTLGNHVAALDISDLSNPVRLDDPN ETQPIIGPHYVKISPDKKNLLVLGYFVQAGDISVVNTPGDYKAHWLDLDASGKFSWNK TIDFEREFATTRGGARPHSVVIYDLSDPADPKYY QC762_301320 MAPSENAASIKVLDELMQKLTISKEADQIKEASAALASFINGRI EDLDTPTKTVEALKKQLANKKDATVREKALLAIQAIAQHSEVSSAVEPYLVALLPSVL AGAGDKITAVKNAAFAAALAIAEAINPNAVKAVLPALIDSLRNAQKWPEKMLVLDFID VLIKTAPAQTGLRVPDLIPVISEAMWDTKKEVKDRAYKTMEQLCQLIVNRDIERFIPE LIKCIAKPENVPETVHLLGATTFVTEVQEPTLALMVPLLDRGLAERDTAIKRKSAVIV DNMCKLVDDPNIVAPFLPKMMPGLQKNYENLADPEAREKTKQALDTIIRVGNVVDGKI PEVRNHGDIKTILGHFKEVLPAKHASALEKFAPVLEYAAAVAGQLVDEKETESAVWAE AVKPYVAVVVGDDEAQSITDALRKRANPDLAEGDDGEEDDEEGEDLCNCTFSLAYGAK ILLNQTHLRLKRGQRYGLCGPNGSGKSTLMRAINNEQVEGFPKQSEVKTVFVEHDLDS ADTEMTTIDWTMKKLAEAGVDVSQAEVEKRLSEFGFSEDMIKNEITALSGGWKMKLAL CRAVFEAPDILLLDEPTNHLDVKNVKWLEDYLINSPCTSIIVSHDSGFLDNVCQHIVH YERFKLKRYRGNLAEFVKKHPAAKSYYELGASDMEFSFPEPGFLEGVKTKAKAILRAT KMSFQYPGTAKPQISDISFQCSLGSRIAVIGPNGAGKSTLINVLTGELIPTAGEIYQH ENIRIAYIKQHAFAHIDNHLDSTPSEYIQWRFQTGEDRETMDRANKIITEADEEAMNK VFKVEGTMRRVIGINSRRKFKNSYEYECSFALGENIGMKNERWVPMMTADNAWLPRSE LLASHQKMVADVDMKEALASGQFRPLVRKEIEAHCANFGLDAELVSHSRMRGLSGGQR VKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKALKKFEGGVIIITHSAEFTKDLT EEVWAVMDGKMTPSGHNWVQGQGSGPRLKGDDGEEEEKFDAMGNKIVSTKKKAKLTSS EARKKKKERMARRKRGEEVFSDEDE QC762_301310 MLLAHPGLLHPRVSPHRGEACHLAQHMGHWRFMANIRPRFFYRC RNINDKPYQQQNIISRRPGAVLSPRHAASVKVLRHRSTERVMEERLRCRR QC762_301300 MSSSSSNPNTSSTNQPTENPGLISSHAEYIKGAAESAIGDISGS HAWKTSGEQDKAHARASLNQATQNRDPATSGYGKVEEVAGKLTGCEGMRREGAASASK PNQE QC762_301290 MPPTRSRTSSQGASLKSSSLSSDSKEGSGVLLAEEQQPNPTGDG TSQQADAQENTLVPEQQAEVQGEATVPEQQPAVQDEVVAPQEAPFTHFRQLSQSQQDQ ANAEHAIEVILSYFIIDWDASKHWTSPNRVYSWHEPFHKDGRHPTATFTYTSKGDITE FAVLDALDVERDSLVRKMIQNRDKTHSVELTYHILVKAFTRTGNSYLDFSQEDKDVIR KFSRKVTNEGKQTISDWFIIVVCGAPFRAFEVEPDPWSDDSFVSWFEG QC762_301280 MMRLWHTILAVITLLVVSRCQGCETDYYPSVSTAEPCAIVSSSW SSQQVFSPSATPTVAAELAYECLNSIPLHKQEALELVDAIEPYLEWQSDSAYKADPPE GYFFPPFDMFSALAQVRQNLEEDMYGNEYDFQEDLYVSVFCPGHDGHYVFYPDALTRV FEWRRQRALVSVSEDGVSLPVIKIYEDITESPETASVVSLINGVDAATYVAETIYKAT YNRDPDAAYNSMFYEKASVAVGSPLGFFAKGGRVRYVYPGPNTTFTFENGTALSLENV AAVKADMTGVVDGPSYYAKFCNPDGGNTTTIRESPVDEAGDGGIAVIGYPKPVIITQD GVVSGYFLEGDGFEDVAVIAVLSFEPRSPTEFQAVCQRFFSLAAQEGKTKLVIDFQGN GGGYILQGYDFFRQLFPSIVQEGLSRFKEDPSFLSLASIISDLVAGVNPYTEPSANLV RNYQNWFNYRYDLDLHNQLFPSFDAKFYPHIYKSTPYTSLLRWNLYDSLTTINTTFGL GIEVTGYGARTNLAQPFFAENIVLLYDGTCASTCAVTSEFFSLQANVKSIAMGGLPEP GLIQGVGGVRGAQVLQYKNIYDYASSYLPWAGNPFQAGALSRYSSLPVNRSTSAAVNA RDEIFPQNIYDGLPAQYVVQESDCRLYWTEPMIRDVSEVWKAAADSAFNGAGCAAGGI ERTEPGKCPPRPGTPSPLPVYGPWLPEQYRKVNAPVDDVGWMAVHGMKAIL QC762_301270 MPFRHIGKMTVLKAADIPSVSLLYKLHKLSAAPADTKLVKTLLG PTEIPPPSKSLNDRVAVYRADITSLAVDAIVNAANRSLLGGGGVDGAIHRAAGRGLYE ECKKLNGCKTGSAKITDAYDLPCNRVIHAVGPVYDPADHDTSEKLLVGCYTTSLELAV EHECRTIAFSALSTGIYGYPSREAAPAALSAIRKFLTGKDGDKIDKVILVTFEKKDVD AYTEFVPHYFPPVSEDSTSDAVAQELPSVPTSDLVDPEEADKR QC762_301260 MADSIADSTAKLDITDAPTAPDTAPTVDNAPKLQLDEETGEWVS KGELKKRLAKRAKKASKDKNKEASNAAAPPKTQQPKKEKVEDVPIDTDSMFKQGFLND VYKERPVKPVITRFPPEPNGHLHIGHAKAIAVNFGFARYHGGYTYLRMDDTNPESEEE EYFTSIEETVRWLGFEPYKITYSSDHFDTLYALAEKLIEQGNAYVCHCDDQEVKKQRG GEKGASPRYRCEHANQSVEENLKKFRAMRDGEYKPREAFLRMKQDINDPNPQNWDLAA YRVLNKPHHRTGDKWVIYPTYDFTHCLCDSFEKITHSLCTVEFFLSRTSYEWLNQRLV EYQPMQREYGRLSIEGSVLSKRKLKELVDKGIVRGWNDPRLHTLIAIRRKGVPPGAIL EFVNELGVTTTNSIIQMSRFEQTIRRYLERTVPRLMLVLDPVPVVLESSEFEGTDLTV PFSPKNPAMGDHKIKFSQTVYIDRSDFREVDSKDYFRLAPGKTVGLLQAPFPITATSF TKDETTGLVTEIKAVFDRSGKKPKTFIQWVPAESAGSKRCEARIYNSLFKSNNPTGAE GGFLSDLNPDSEIIYPNALVESGFDEVRKRAPWPEAAGEDKLGKGGPESVRFQGMRVG YFAMDSDSTDDKIVLNRIVSLKEDKEKS QC762_301255 MSGYVSNTPHKASKKRPPQHPRRMFGLMRLRVPQCRPVQAAFAA DDEIDDCPVDEDVFVRYFPSSMASSQEHGGFLCRPHILGVCGHPQPAHGNGQFLETVH VPEKELAHPTDRLDVPAGASDHLACAAAVEGGGHEVDFKRLEHRANRAGVPVLEDQLS RRNAATLRYLVKGVAPEPVHDDIQLPPQHVVPASLKVLKYAVWAQGMKSACCE QC762_301250 MSLTNWSFTPFPPLPATILPNAAFWNATNPVNNITYQIQVSWPF EWSSRNVANKTALSILDERYVLDGNALAGTASEAFKRRKPVSFSQPDAVVISVGYPLT DSVYDLSQRATDFRPPLSTPQTPPSGADPFLAFLTSSLRPFVKSTVFPNINFTRDALY GHSFGGLFVLWSLIQNPNNFDTYLSASPALDWNNASLLNDITTRLGNGIDIPGELYSS NLTSSKLSKPAVMITYGEIEQFPQRKRTETEAEFQFRKNFIQPFKMTRYAREAFDRIE GSGRVRDVAVKEYKGQDHSSVGASAVNDGVDYFIDW QC762_301240 MERPDKPLTPPDDRDRKRGRGDRDRGDRDRGDRDRVDRDRVDRD RVDKDRGDRDRGDRDRGDRDRGDDKARGDDRAPTGEAASYFTAAAPITGPNGSIDPYP IVTDSYRPPQGPDSSYPPRHDYGRPPPGSGYPLPSHSTGISPNYPPVSGANGASASYY GTGVESMPGPAATSGVGMSYIPPAPLSGPPHHGALPIHSTTPLPLAVQSSYYKPTLKS VRQKAEKEVIELANLQRQRKMIASKGTRRDYDEISDKIRAQTATVLGYLKGLRQEMIQ IVEDAEDQRWRRWIVGTIFGTFIPLVKKLFRRPSSEKKTKTRTEYAFIKSKSLLGRIL AATKGHRPGLTTVTFFVFAVLYIFSNEVSLRVAKTASKRLKKLVNKVESTSNGRDGDV LKDDDIKLLSGWRWRVLEFID QC762_301230 MDSLHEQQFHIRDLPTRTVTLFPTRAQVVRDIKEVALKPGANEI AVVGLSPTVDEESIKVEGIGSAIITDITIELLPNRDIFEEIYPDSDSDSEEDESEEDD EFTRKANDELKAVRDSLQTLYDKRDREDEIIESAINRQGFLESYGRSLEKKKGNFNID DSLEMYRKERDKTFEDKMSATIRRRKIAAEIDKLQLEEARLLKLEAKEQARINKAKAK VQRAKDKVRAQKLRRRAKKEKEKARIRKEREQFWPKSCYTIRVTLDATSLSPSSSRRS SVVSAADVKLAPEKHMEDTITYCDLSLSYVTTSAFWSPSYDLALSTTTNSAILCFDAQ LTNMTSETWTNAKVVLSTSQASFSGLHDESPRLLPWRIKLGGRAAHFTSDQAIYSREE RTQKEIWNAAQNNSQQQKPRAELFGVSKPQPHRQFYTQGGGEEVAFALLGKASPPPPP PSNPASLAYRIEEPDLAKGLNLRSANMSAMSKRRRSGNPPDGAVSESMEYEDYDAQTV LEPTPEVSFQDSSFEETGLTATYDLPNPKTLRPSSTASKQRVARITFSNVVFARTVVA KYKPAAYLKAKLRNTSKLTLLKGPTGLTLDGTFMGRSTLPRCSAGDMFKIPLGVDPAI KVAYPKPEVKRSTTGVFTNRNNSVYTRAITLVNTRAAQGAKPVEVLVLDQVPVSEDEK LRVELLHPRMSVSGEGMSAGVPGKDGKEEANWGKAVSFLKKGGEVNWEVVLNAGRSVK LTLEYTIEMPSGEKVVEC QC762_301220 MSTSNAHVSVDGKCRTDDAPGDLAPSHYSDTLAPVFAPKKGWVT GIPAGKSWRLCSYHMERKKSQIEERINAFRQAAYGLPGTWSGRRQEAQQTAKIRPRQY RAIQQRRRTTRRRTGHVPLPPPQSSHGHTPGLIIDYPPSPLYISPALSRSSSPSSGAC EECPEEHPEDSPISQREELEWGFWQQIRRQAYTGRTMFGQTAGSGTQMGGQELQRSPS PSRSQSPPLTIRHLAIRTRR QC762_301210 MTREISPPPLKRRRLVHQSSPETKSHSFRVFSWNINGVDAFLPP TNSKITTFFKPTNSPSGRASPPKTDHETTSNLLRAFLSRHNWPEVLFLQELKIKQGDC KTAAALLTAINSPLSKSDVLSQERTYTLDTVLPRDKYNVRGFQGKLYGVGTIIRKDFA RGHVSLIREADWDLEGRVSIVELKKGLGQDRPLALLNIYAVNGTSSPYRSPDTGKAVG TRHDHKIVFHSRLRDECLELEAQGYNVVVAGDLNVARGVLDGHPNLRTYPSQHCLNRA DFNAKFFGQEDNKRARAHVRTSNEKQACLDAIDVFRALHRTERRYTYHPRTAHWGSSC DRVDLILASKRLWEEGLVLSTGILDSPQERGPSDHVPLWVELKGTG QC762_301200 MMARLSFLLPLLGLLTVPAAAVLTISAALNVIEYVPLLTTSEDY YNSSAIFVNGGVAPLVRNSSIDLGANAETQALRNYANNTSLRIIWNIAEVPYRLVANR AAGISSLSDLKGKRVGTINGTSAGYFVEQLLKTVGLEYGDYQTIAGNICHEAPCGNRT FPDMLARGEIDAFGIWEPTLQLGIDALPAGQTVVFQDRKVYREIYNLHSTKEKLADKK KRKEIVVFLKNLIKAQRVFEEEPEKVYARVSAAVNVSVPLLKKVWRVHDWSGGIPEDL VDVLGEEEKYIARVDNRTASSREHVAKMVDGSVLVEALALLKKEERRGEGHGKERGRD QC762_301195 MTVNDSQFESAPSSNPRDGHSFTTTAPVHQKLHLSPPSEEMVRR VPSRNEMNIHHITEDLSSAPSTTFTYFTPDTDECYIGSLPLPSSSLTPSLILESSSHL ERIPDGLIYPLMPGKPLMALIIAPDSPPEDSETGAYFIRRPNLLNFDTKDKDRAEQQQ AMLLREAVILQQLAQHGHPNMIRYHGTRTRRGRITGLVFDKHPYTLLEYLAEVKEKGK GNEIEVESFVRGLENVVSRLHQLGLAHNSIRAENIVVGRGEGSEGVRAVLVEFSKCLP FGKKFRTSYDGELKVSSKENDRQAVKDFRSWLEGVVDPTLITPTQDDQPASS QC762_301190 MSSAKDFLEAAIRDSQAILPVENADAVTVATPTPRPHERKLATI RRISSLERLTKRFGLATVDGWKVLILNEENFLVGELVVFCEVDSFTPHRNPYSKLFAP WGTLQTMYGQKGWRIATQQLEFRSGSEKVKVTSQGRIFKLSDIGTIVREFRALKLKAA STDNTSFEDYLRDVDFSEKLGIKKWEPESSSSAKVTASANVTISTPVHRPSAPIATSA SVKASAATIAAAPVAASMAPVQAAATMLNPKPPAFMLSTKMERHQNCPNLFNKPKYRR LVYQQTVKLDGSAMSIYFVNKDSHLYHSLPLLNSRVAPFYRKTCVFENGRAGICSTNK DIIYSTESFEAFVNTAVGLGLPKLLAKLDINIAFQGELVGHNICGNPYGYAALNDKAN GKIGDCGNGFDFFLHSIVDLHTGKKWNPKAVCEFAVQHQIRHVPLEGYVRIREIANSH DELQAMAEAAPGEGFVFKCFEDQRSFKVLSTKWILEKGDEALARGERLRDRTAPLEQP HSILRIGGTQSGEVRLPVPVTSAAVKAKQIAVANAVAPLPATSAYVLKPEEKKPASEP IPHAAPKISDATKPVIVAPTVQARAVRAQAIQAPAVKAPAVTASAINVTVPAKDAAKR ARNDEFWAELTPGMQLFEAWASGNDYQNIIDYVNAWKAKHLADQAFNEICQKKKAALA KIADEEKQRAMLRKFAKEQQEKAPANEAWHQTLAVSNDIPMPEPSFNLDCAAEESDSE VSGVDEDPGTDVAAPESTTTVMAPSVSITMSPKRAPVKKTVTVSKETLSWLGF QC762_301180 MALRSESQQAILSSLAPRLRTYQNALLQPVIPSAVPANPLSRTT KRGTTIINYAEDGYDDYDDDDDDNRRRPTGLRSLRRDDSASKVDPSEKVGKEAVAPVE MQGIWRDWMNRTRRADAQNYAQACLPLTLIPIRIDLDIPSYTPPPALPVGGHADPNHP FFKPQEPTVPYRLRDTFMWNLHETVITTDQFATTLVQDLDLPNRAQTISEISKQIRTQ LEEYAGVALHPLFHSHSSRPNAAEPLKASIHVESATPAPQNLATSSLSRANTPHVPVT PSKLGNAPATPGAISEGEGVTASATPLETSADNYESPDDTYRCIVSLNINLSSQVYTD KFEWSLLHPPGTAELFAKQTCSDLGLSGEWVPAMTHAIYEAVLRLKKEACESGGLVAG WVGASSALTASGGVLPPGGVIEFPNDAVNPSGAGAGWRYDPDGLAESWGPRLEYLSKE EIEKREGDRERQIRRLRRETARFSSNTGLGAGGVPVGFGFTGLIEQDAEERMGRGERS KKKKRFRSLSPVGRAGTPGGYRGTPDATSGAGGYGGGGTLAEHERNTWRCSHCKVWGT SVWAVRDGPYGPRSLCNNCGFMFERDRKLPRFTLNLHLGDAKPL QC762_301177 MAHLILLVLLVSTYAYAAPQPAATDTGAAARLNDQLDFDFHNVF PAIFKASVCTQNTYRYSPEYDHAWGPTAGVPWQIISDTMFGLGNNWRPTDNRIDAHLR IYYDDGARYKHSANGQLWDTVEHFYRPAGDGGVHACQEQTFHRCYPAGFLPRLEQMPL QTLFHKWMHAMPFLGQDYPDARGMSGSWDHVITLFTEQALHDPEAYSEFFYPSYTRLF LWQRQQKKAYLGLWAALADLSPSGYLDANGRGGGYTIDRFWGIWPEETKWDQNFLEDN TGETDNPAFGGWITA QC762_301176 MEHGFRTRFNKDIVKGGRQTSHNCSSDSLFANYTLSLAECKEAF SYANLNCTAFGEELTQGGSMSGCCLAYETFTNNTYDPASPPWLNPVPEQNRPDCGDDY TAGDKKVNDSNVETSFWAGMYTEFCNTIGDYSDGVINGPWSMEHEDPRGCVVYTVTAF YRARRMLEEEDKVGGITTENSTATV QC762_0048390 MLKKLKTQLIQEHPGWGGPVHPTMHIVWNVNPMQDNYLVHKRKV KLAYRAMAKEDGALEDGRRIEDLGELEEGERVKQPARCIHQGNNDEWVIESAKMASIY GRAAFTVEFVDQTSLVDAAEASRGMFLKTEHGDHDINGDFSPPYNPLSPESGYQWLET SGNFPSRPNGELDTRGWAFQERLLSRRIVSITKEGIFWDCLRLSACDWRPLGFLGDFS PRFRDNDERKIKGALLNGSAHAQEEHGLSATVRKKYYLLWRRLLQSYTCRAFTNPPDR PMAFQEVLHRLRFLLQEEDHHFGVWEGDVLRSLIWFVETEGENARVLLSEPFVKVPSW LWASVDAPIQYGLWHPFWRYRVRDIEVLAPPHTVVENISVKASSPGQLSGCLGEVILR GPLATLETTKVRRLPGCKLVFDPRPEAWYSSQVSPDFIRDTSRLTRYYEVDCLQDLVI MKILEGGYSEDQVAHYCLILQPCLPPKPLPRQNGVKPHLGSLPSSPSYHQRLGLLVVD KTLDNFCADDPDICKDDGCLLEKKQKRDGQLVCRRCLGRITTIAIV QC762_0048400 MASHPYRRESRTGWGRGNQAGQAGRGQGNAGRGGGHNSMYFIGG DPPTKARQYYKFVRQNRGQLVEGESPTQPNPSDSSADEINAHDPDPFHWFIAPPGYVA AVQNEDLIPDEDDLMMDAPAEGPGRDNYYYDPEDRNTTPPPPYDRTVTGDETNMWTDI KNWIMNVAGTPAAYTEIPAPYAVCGACQLVEIDIKGIPKSHNPNYSVGLGTVLICGHI LCARCYNAWCRDKYNATHGFNCPMCRAKLQCDSRACKDIFYPYIIPPARHEKPPGNGW KEFLDYVPLTLGEGGVRPTQCHICRATRLVVLGRTAKRLFNENCRRTLTDPRAQYMGV P QC762_301160 MACRQLYPPPSSSDTETHKIDIFAVHGLNPRSKDISAHAWDTWR APEGPEGRLWLKEDLPRVVPDARIFLYEYDSKAVFGKDRSTFVDKATELLETVRCERD KNDTRPILFIGHSLGGLLIKQALINAHNNPKFTEIKDVTTGLAFFATPHNGGDWKLVS LGSLVARVATATGFQPGDDVMEVLREGSLFSDILTEMWQHQLMKYDIISFWGSEDYTV PPKSAKLGLPGNVENVVKLNGDHSSLCKIGTTQKDQDNLKKIRRNIEDIYSKALEKQP QPAISQPRIPIINGWDGQDRPSPPVLPPRPMSAQNTPGRRSVSPQPPAFFSPPSDPGY VSPEPAAQEIYGDIYLPTDPADTRSVREAELRNANKWEEVRQLERQVFEEHQRTLGQE NAETISAAYEIAYTANDTGHLLDCERWTGWALQVGSQFLNPKHPLILKLNRLEGEILT DKGDWEDAENILANTLVNQQDALGPNHLDTLTTERALGVVCHALKRPEEAQNRLQHRL AALSEVLGENHVLVVSAVIDLVEISTPSPDADPYGLEKTNPKVISAEVGIRNLYNKLR QSVGPTNRVTLRALRNHGKLKILLGDITEAGDLLRRAKSQTEETLGPDHPDTMAAVVA MAILYAKTGTPRPGVFPAASRPWMELYIKWLEPRKGLKVAEVQNALAMLGMSYVKDGL WLEAEMCFEKLVTAYQGTKKQGSTEAQQASMWLETCRMTTRTMGVARNRGYGGGGNGG NGGRGGGGGGDLANLLATLGLGRNR QC762_301150 MSGKINIQEIGDAYANGRTKPSRPRLQPRNESIIAEAKREMMHP VDLGSPVTPPGDDHWDDDDLVEVSMPRTRDNIKAACIENGIAAFSLYASRKFRRVKNA LLYDSAPIDDSWETINWDEEQEDRMQGKEQGKGKGMAPVYHSFVSSTLSGNEKPRRFR GDAAVDGQEARAYHAGQRGAGGEMMKPRLRTAKVPRQTETYQAASKNADSNLVQPAEK PAAKKCDKKSFEKSTEKAIEKLAQKAADRAADRAAERAAERATEENTEEIIAKCEKPA EPVAAPVKQKGKQKKKNRKYQNEDWLAEENQLDGSPCAAE QC762_301140 MYRSARCALRAIRPVPTATSSLVTAPTRRFASTSASTKKTGTWK GTVVRWGLAGAALYYYNTSPIFADQVPQPQTAPADFSEADLPTIDALIEEKRRHAPPP PPPQATKAAVAAEIEQVANEEESKPTTESPVTAATELEPGSPEALEQEASQQGAFNPE TGEINWDCPCLGGMAHGPCGEEFKAAFSCFVYSKEEPKGIECIDKFQGMQTCFRQYPE IYGSELNDDDDDETVVAPEGIEVATKAPESDAKSAEQAEKDREVQKLVGDIQAKKKKE AGEEKKVEQKVEEKKVEDKNAMPSPVPKEAVDATDANNKQ QC762_301130 MSYAEVASKGPKQTPEEAAAPQPPQVVVDDSTSTSSLIDVDTPS VRTVPSDFAEQEVKTDTQAARIEREEAEKKARAKAEALRAEADLAKKKAKSKAKKADT WLTKRFENMGDGPAGALAVANLIAVIGLSGWLGFKAWNSYERGRLSWKDVGLGLGLIG AVGAVEGAFTNYLYKAKGKGKEQ QC762_301120 MLAQNLLLLIAANLVASIPALDNSPKPINLEATELQRRSACAVA GVVSGQCGRYYRGTGCNDMINAIDPGRCSGTCYSSGDAIASIKASGDGTYGTNCQVFY DSNCQNPIGQTGNTITGGGKCYTPSDGRTGHSMLCWYRC QC762_301110 MASTIPTTMKAHIYTNTSPTLEANLSISTSVPTPTVSGPNELLI QVLSASINPADHKVPELPGPVRRLVIKTPATPGMDFCGRVVQAGTKVDSIALGDIVYG RLGPKQHGSLGEYITAPANVVAVLPKEGVTVDEAAAIGVAGLTAYQAIQPNVKKGDKI FINGSSGGVGCFAVQIAKVLGCHVTASCSPAKAELVKSLGADEIIDYTTTDVCEYLRA KGKVFAQVLDNVGTPDNLYKASDEFLIAGGKFVQVGSPLSLGALRSAASRALLPSFLG GGKSKYEVYTIRDSAEDLKVLGQWIKEKKIKVVIEQTYEFEDVPKAFAKLRTGKSAGK LVIHVGK QC762_301100 MMVPTVALVCMEVSCQHVLCVHCPVEKCWVKGCREPEPYDAKFN SQIPSESHGTQALAQYMSESPESTSASENVSPSASTATYKTFSPRTPSYPSSSGFPSP IFRGSSTTTPLTDHEDIGNSEWEDDSDPLETYDPGDILPPPQMWTQLLETNTNSVFLA ALERGYEGWKREYQNSASESGSNAGAEPDKRDASQKQRSGATGSSRKRLHSELDDDSG DENPEERRRCGPRPKPTPSDADSPSRLLACPFWKEDSSHWKECFRYKLKRIRDVKQHL RRSHCRSYCVRCGAEFKNAGELDLHYKRDQPCDKVVFQAKWLSELQQKVLRESRANPK LSLESQWYTIWDIVFPETPKPSSPYVDSTISEDLSSFLEFFNQRGSDIIRETGESLGF HLGLMQERQLLQTFVARIYDRWASPRGHAQRTAAPSPLQENPQLLQTGSEPDLAWAPP SSTDTQEYSTPALMDLTADTTTQQDQQTAPEASFTTSNHIEAVQNYHSLDPITTATIE SSVLWSNVPDYAGVVPNTSDNIMVQSDSTPLIQANNAPVYIEEPTSLLHYNHDGDLFS IDDSTAGQTSRFSEGTSRHERTTTAPFEDSLDLLNTEFDLLQAASLDNFLWEHNMNHD EGGESS QC762_301090 MSLIAARTRAQRKQIAGSTSGTEIHLADETETPAPPLPSQPAAK PYITALPTKPPISLTRLPRDDHNLLLKKQHFLQKYAITVPRTLKRNAPHAVSATLVFA QQEAGTAVCINPSGLLLTCAHCVAGDETELDLEKVHWLLFASGRAASAKCLAWDSQRD LALLQVTAASAAAPGDLPEGVSFPSVTLADGAPQLGAGLVCIGHPGSEDLEASEAGIS TGYDVLHLSSGSFCGYAEGQDVQDNSEIGALQHDCWTYWGHSGAPLLDARTGKLVGMH SSWDDETGMRRGVALEAIKMFLKARV QC762_0048490 MVAFESEVLSKLCSQDQLELLDAIDQLRLQGINNYVSLPQIIVC GDQSSGKSSVLQAISGVSFPVNGNLCTRFPTELVLRRTPHVSAKVSIVPSDSRTESEQ ASLRGFQEHLDDFAGLPKLIDEAKLAMGISTHGKAFAKDILRVEITGPDRPHLTIVDL PGLIHSPTRQQSASDVELIQEVVQGYMNEPRCIILAVVSAKNDFANQVVLKLARAVDN IGSRTLGVITKPDDLTPGSDREALYLSLARNQQFEFRLGWHVLRNMDSEKGTSTLGER DANEAEFFRKGSWTGLHSSHLGISNLRTRLSKVLLGQIAAELPSLLSEIDEKFKACQL QLETLGQPRDSPDEQRRYLLHLSQAFQALVTASTSGSYNGEFFEDAMTAVGYKQRIRA VIQNLNEAFAEELAKAGHFRAIGDFESTSNLGASLKPQQVTRDEFLNHIEEVIRRTRG RELPGTFNPLVVVTLFHEQSQHWGQVARQHVEKMWRAAGRFLELVIDHIADRSTSRGL KNEISGPAMQRIRKEVADKTAELVAPHQKGHPITYNHYFTETLQKVRRERDSKRTARI LRNFFQISDTNDLTSVPIAHPDSGDVAMWDIQSLVTSLADTNEPDMCRFAANEALDCL NAYYKVALKRFVDDVAVEVIETKLISVLHDILSPLGVYEMSTTLISRIAGESEENRAE REQLTKQLDVLRNGLETCKRFAGFRASGDSVFVSIKAAGNSPMTGDYDSDMESLVKIE ETDTQRERETSSIRSPPISLEEPEPGPEVELEPEPEPEPESEPEPEPEPEEYNSSPRV QNKKRVWRAGKITY QC762_301070 MKSFAAIILTALLGSAVAAPLEPRVDAQEFDVSEFTAGCIPHGT LCTIRFKVATNQMPYATECGFSGTPLGSSSLPDTGFATCTDPSIIWSFRRVQTADATG PAPFYELALSNAGQNIAAAKFWPASAFPILQSGASFYQQYNGEQRFVIHQ QC762_301060 MSVIIQPNRTRHASSSGTMQLAKTLGQYQAPGKRTCHDVACAFL RTQNPRQPPRRETADSSILSSAALSHHPPRSDMFLQRVLALGAACASLSLVRAVDLTG YEYVVVGSGAGGGPLAARLALAGHKTLLIEAGDDQGQSINYTVPAYHARVSEDPKLAW NFFVRHYADDERQARDWKTSYDTPDGTIYSGLNPPKGSKMKGVLYPRTGSLGGCTSHN AMVAVYPHESDFNYISTLTGDSSWRPDNMRKYFARMERKQYINGLYKGHGRDGWFTTE VAPLTIPLKDPQLLATLTGGASALGSLSNQILNVGTLLAGDLNADSSLRDRQPAYYQI PISTDKGTRLGTREFVVSVRDAKNPDGSKKYPLDVRLNCHVTKVIFDKKSSPPRAIGV EFLDGAYLYRASPLSTGAKGTPGSARASREVILAGGTYNSPQLLKLSGIGPKDELKSF NIPVLVDLPGVGANLQDHYETAVTARAPRSYNALKDCTFLFNTTDDPCLDKWQRPILG DRGTYASNGFAAAMLSKSTTTPDGSFDTFVFGGPVNFHGYYPGYSYAAVAESDLWTWA VLKAHPRNTAGFVRLRSADPLDVPEINYNYFDTGSGDWRADIQTIVEGIELAREALRK QPVRTNEILPGAGTNTREELEAYIKDTSWGHHASSTCPIGPDGDPMAVLDSKFRVRGV KGLRVVDASVYPRIPGTFTAVSTMMVAEKAADDILAEAN QC762_301050 MVSATALLLGASLSFVSAHPGNLSPRLTVPGRWESLGGAFTPYA PSVVAWGPNRLDLFGVGLNSAMWHRWWDGRSWNNWESLGGQLTSSLIPAAHKWWDGRS WGGFEDLGGQIEGDPSVVAWGPNRLDVFAKGKDSAIWHRWWNGRAWGGWESMGGRMKG STSTTAWSANRLDLFAVSAQDSSLQHKWWDGSRWNSGWENLGGTLHSDPVAVSWGPNR IDVFACEEGLDSQVVGWAEMGRLGELGGVLESTPVVVSDQANRLDVYVLGTDSALWHR YWDGTAWRPWRSFGGTLVSKPAVASWGPDRVDAFAFGTDYAIWHNW QC762_301045 MSPHPGTKPTAQPTTSLILPCNPSQFQNTTMTTQSTTTPTALQI PEILSQIFLHLPPLDLITSTTRVSRLWHSLLETDPLLQRHLFFLPDPAWTTYPSFIPS PRKINPFFKTVFSLFFHPAFLGEMGVFYAARASQPHSNGNPQEGRLAHWSDEKRERML RKGASWRRMGCWMGVHTRMGFMEREPSGGVVTVREQAYCFVKRDEGGGYGHVLTMGDF YDWIIEALGTVSKTLKTSGHNEDLNMMVWVIIWGQKLGGGSYEKGVEAFSQCRRVILR NGDKISEDEQDEMTKDGAANRAEREGRDAEHLHQERQGLATMMDCYVGLEWRFRMLYM PRPDAVESRDSRVDIRKFMSADGRDGFRTVNALVPGLGRYHSAWVGEPSIGHLLVG QC762_301040 MESRGSMEHTVRPFSITDRGPPLLAIDAAALGLALIATLLRCYV RIRLVRAFGVDDWLMAAAMVTFSAYCSFSIAGVTYGTGQHIEDLPPENNATAKKWWWC CYLAYSATMILSKLSIAYFLLRVTISRIHRWIIYFSAIITVASCATFFFVSLFQCYPV YYFWTKHMDPDGGTCIDMQVVIGLAYLFSAMSIMSDFTFALLPAWIVSHLNMRTRTKA ALITLMGLGCLASAAVVVRLPYMHHIASEDFLYDTTDIAIWSTVEQCLAITAGCLATL QPLAKSIGYRLGLTTRPSLPLSNSAYKMTGGEISVRRSFTRRTETFSSCAVNLRGIPL EEGGLKLQPGISGYTAMCYNTSGAGSQEELRPSQVDRPEIGDSDPNLKEEEVIAVTVT VRAKEGSP QC762_301030 MPITLPRSRREEVTVPAMEKLAPVHCPLRAETDTPDIHGNRRLA RHGLGAANRWGITPDQLVKADWGWTRWRWLPHPAPVTPYSVSHQLRILKPPKAGISSS FRTWRRSTNSFMDILSKSREGDADVTQTKKSRYLSHYDTSFVPGWARDLESDVQIMRD RFNEVITRLREEKEVGKDGRPTSSRVLNNWLNTARRVQVPGTGNTVVSGTTSSISPGT RTTPVPGRQHHEPRTPSDQKDPDPSNPEQDESSTTLVESPNAEPPLQLMLRAIRHELV LIKDAYQDLNDKFTRYHKLATQGGHQRGQESESEGSNEEPQTPKPPCQALGPTTPAVT SQTDQILPPLAQSMTWRNKHLESQKAKCEQQAKRQQRVIDHLLDRVKALSREKSPNET SSILPNNSADTSMTTAASPLRTASQANTGAPGTQPLNGQPGGSAAIGKMVEEKMRQFH GIAATTAQAQDANVASTGQVQASNTAPTSVHVETAARSTATSTNTPPQGTAAQDPDIT QALAILAQALSTTAIQVPVTGTAPNDTASAGTIAAAQDPNTTRALAIIRNALAATGTG TPPTATATTTQTVSTGADAPSHAVVNAATNTEDPKTPPPTTERVIVRGQEQIHALFRS LRLQTRQFSYSAAFQLDSPMLFETDVQKKNREKPFCPPELWERLNRDQRANRICQLIF MWLWRQILRPGVESFGVKPMTAEPESSERGSQEEIATPFQRFSRAIAPLGDKIFRTLS PVIQFEFARNEHLVRRQIWKLCHDAALLKMMFREGPGKQMRVEVPGAHGDQTAENKWM ERGFDDLTHALPFQHWVRVIDLEKSTGKRAIVCVPFGALTMVEDGKKVVLERAWIVGN ANEGSGLFVLQRKSPEEVERTGPGTEHEEPNDSDSGETEDRDETEDACETEHTGDKEM TGETAKPVETETISEGPKPDEWQKPTNITQQSEVIVISSEDADGDESESESEHDDLMR DPTWRPTGSTGQSQSGEHYKRPVIQLIPPDTILDALRRLPPSTLTATDVPSQPASTTS TDPVPTTSSAAIPWTSSTAPGRDADVDGQNKKKKRKRPRMESDPDWIPGQTDGETEPS TRRR QC762_301020 MKLIPLILPSYLASAQALGQRLNFTVDATGRGCPPGSVSAAISP DAQVMTFGFDKLQAYIGPGYDLAARTSNCGVHITINHPNSHVQYAVVENTYHGYEHLD KSITLTLLSTLYRSDNAGQVMTTSAAIPGSGVGQTFTRTVAVPETEYLWSTCGSISTR WMLSERISLTSRERGVEGKFQDEDEGVVPLTRQLRLLISPVQLRWSMLGNVYCLLFNL HAEGPMLRLSNGNKIAVALSFFVPTLSKQLMPGDHLHRLDVLQNA QC762_300970 MHLILTGATGMVGTTVLDAMLKTTDISKISILSRRPVQLAEDAK DPRVNVIIHKDFSSYSPEVLSQLQDADGCVWALGISQTQVNKEDYITITKTYPLAFAS AFQPALRATNKPFNFVYVSGQGATFQPGLFTPIFGKTKGETELALADVRKKNPLFRAS TVRPGFIDWLDQDKSITKYMPPLGLARTGLGHALHPVFKFGMRGNWSPTEPLGGFLTG LAMGKWNEGLKTLKGDEGQVLEGGFPVVENNFFRRAMGLPR QC762_300960 MPATSTPDQLQPPPRDTIFHLSLDPQPPSPAAPTLILLHGLTSS HLEWSLVIPHLQPHYHLLLVDLPAHSRSSSLPPPYTIPSMADQVASIIQSHARNSQAH VVGMSMGGFVTLNLARRYPSLCLSAFVSGATPFEGITRWLARNNWVLYYGFWLSNLII TDGMYDWMCRVMDMKEHRELRREIVRNVKWEVIRDVYGSIVEEFTVEGMAEVGQVRCL SVAGGKQDQVEVTRRVGQVWKERGLTGRLGSRAFVVRGAVHAWDLQFPDVFAGGIRSW VERDELPVQFEVLE QC762_0048590 MIYLSLIVTLAALFFTYRILSRTSRYLRLAHIPGPLPAKITTLW LTYHQSTGSLARHISSLSKRYGPIYRIAPNWVITSDPAAIRQLWSARGPWHRGQWYDM FRMDQPVSTVLSERDNHKHAALKAKLLPGYSGKDVDNLHEAVDRRVADLVQLIERKYL SDDERGVYRPMDLAEKATFMTQDVISELAIGRCFECLVHDRDTYGQMTGVTGSLPLVI TLATIPWTLGLLQNPLVRALLPKEKLEGVVRQQELAKKQAAERFGKDKVVRRDMLGSF VKHGLGHTNAWLETFVQIGAGSDTTATAIRMTMFHLMSSPGSYKRLQDEIDAAIREGR VSSPIAEEEARRLPYLQAVMKEGLRLSSPVMGLLPRICDTEQTVCGVRIPPGTNVCWD AISIFRNQETFGADADVFRPERWLVEMEDVDKKSMEFVQGLVFGTSGRFECLGKGIAM LELNKVFVEVLLRRFDFALVNPLTPLTSRDYSLSLQTDLWARITRRHVHS QC762_0048600 MWRRMRPLGYICCHEGACAPGWECQLGDNMGAVPRVRDVWVTAA LSMRWPNVKTLKPVTTN QC762_300945 MITGNQQQGIKRKRENINPDLLNGDTASLPSPQNDIQKNKLSTL KRELAVKNEELRTALRRIAEQKDYIFTLSNRLLAAENVCQEIEHSISKAAEYQQNANI QENLRYECSVLALQELFVLRTNNSRIAYQELLRPMGGKCPVSSRTREIAR QC762_300940 MEEDSASNPPRVDGGRVGDKDSKTFPLSAPNRGVDNLNRKMQPH HDTLPLIPPTAAGHPQDAPDATPNQTPIARDRPATIAVGPAAHTSQQQHQAAPFYPRS TSPTHPLTVDDYRQPTLQRSDSQESSSTNITDRVFTPPVNGRGASPGTASAHQSSQGS SQLLQLSQIAAAQERIPETAMDPYVDGASSRKRMADGAVKEALRDGQVLMSPGQTHMG GHSRNPSAVSIASTTGSRVGEVSAELKARLSYAMVKVNRGWQTHSIDEVEDLASKAAS PTSSNSTIHLRNGSSASPQLSGGSQRASSNTTPATAFAQQLPGRHGDPYGREPPLMPS RGSSTSPVKNTAPGLAPPVSIQPSLHSVHPRRHSNPRLTPSLLSVSYHGSSYPDLLSP GQLPGYANAAQHRPSLVDAMGFSPHQNNAEKDAIESLLFMSSPGNSANLKHAFPSSSQ PLPISHSGPSRTALPSGRRTLPSSRPMHHHHARSQSQVQKRVEFEKPITETEVDESQG TPRGNARRRINGGPGDGAPSRLKHLPVSAGLTLSSKPTRPVLADADIDRMLEAAAAAA DSDSDGEIELPVRKARRDGAQPVVA QC762_300930 MGGFMPFFPSGSDFRQSGFKDATLKPAAKRIIICCDGTWQSSVT NTVNIPSNITRIARYLSKVGRDGDDPAKEWQQVVYYDAGIGTAVGVLESARQGNTGSG FVGNVIEAYNFIVNNYTLGDQIFCLGFSRGAYTARAVAGLVTDIGVIQPRDMQDFAEL YNVYQAHSDNVLFRQSKAWREWVEGKRLFDANQKGSPKGWKQAPSAWEKKPHGAPPEA TRWVEAVAVFDTVGCLGIPEFEGYIMGGLAWLLSWAVTVEKFGFHNVTLSPYIKHAYQ ALALDEHRKPFDAAVWHLPAPPVRPAAGSNVADLRQAWEELRDTDGATEDQLTEAWEN LVAAEMFEELGKRDAEPKLLQVWFPGVHVNMGGGSKEALEQRRGDFEQIAMITLMWMV EQLTPHLHFDNNAFEMLTDRFMVIQPIIDDLITSKKQDHWLIKKINALKARDNAKNGI DSGLTWARNLAAEALMGWATGPIVDTFEGGWIKKATGSKYRTPGEYKESQKGRTNEEI HPTVRYRMDRLSAEGVGYDPVPLKDFTRQKTVVSQTQADGSIKEVVGYEWVKNNVRIP EYKIAGPFDKERANFERACVVTESASEWLGKLDKELGIDSWEARGLDKS QC762_300925 MSKVIGVISGLLGILQFSMDNFPKKQSNSCVVRVSTGLASSGGL ENPEGGVYKVHVFNQNQERIGWSNGKFISNGGFVDIKVDQGSNRQQAAFATIEATADG ICIPYMSATWVDEQKYGWVGDIGSYCGQKWYYSNYWVANTQPRCTWVDRDHSHGVKAG MIMVHWPSFHTNDNWVSGDPNPRSKCGYPGFRAYKNWGDAEVTEWWKRDDTNATHPAE ITNDDGVFDPNNFDGSKWVETDYTSGDSSSVVIAGRQIIKKRTVRKLDPRLVISNSPF HKASELCESETSFGPDFVSLVEGMYCNMETSELLPLCTEGLQRGCFHLEQKTHVKRDG VVSEGQKEYEHILDWTTSN QC762_300923 MMCQLTARSRWNVMLGILDGAKEESANDRERAVRQLSMSESGFL EAVPYVVAAEVTSIACFVFSRHREMLMDFYEELFKLRKAYTPTGEEVDEEMEPDGQPH SNREFTYDEWEKENLLYLSSAVGLGLGYLEKVQRLSDMARPSWFRLILGHFQPAEHSH VLRLMETWDEVVGPIQEQGGTFEAETPVRMPPQPQPGSSVRTSYDASSYPGFHHIFSG QARSSYYVTNLMEYGWPFWDLDRTVALEPSLELEPAYSESNWRVLHTGRNDNPLRNHP FWLPEHGWRPIITKMLLPNFDASAPFDQLDFDMIKIGSGHGRRWGKDDERKLSLEERA GRAENRLQFFRDLMTNLDEYWALGPAGSTSD QC762_300920 MSVFVNYGGPKLDKKQKQLVRSQAMVSVRGQQKIARAANAHQRD TGVTNPSGPSAASATVLSLNPRRLAPAIPNPSTTDVSEDSEDSAAMVLAKAPRANTSR KRAAAAAAAAAAVAVAAPALISSRARHAAPPSSIRDMCGAPPLSTHSTGVSARTFQDY LSRCNNYSSYLDQAFVLVGFKQPSYFRPDMSKSACIYIGWLLTAGVLDAYKGRDEMNY PYYEYRAVSELQKFIDGAEQRELHEVVYPVVVLSMFEMVRLSPRAITHSAAVEMFIKS RGGLAKMPVVMQHLVVMGDMLQGVSLDAPLVFNILNPVTSLRAATVGPFEGQQFRSSP FLMCDADEFDLANKYVQPHIHGDLPEVLQSALDSLRRFFKIPSERGWEDEADWQHLGT VDLDRIIDQPWEASDISGLFLETCALTARIMRRTLLEGLDAFDDGANKEDLQVIYENV RFIGLKAWVGLPYIYVWVNLIGFEASTDIKMKAYFVAEVVRCAFSYGCYQMEIFHAIL SNFLSMRNALKERKFARIALTLGDCGFFA QC762_300910 MDSSRSKMRLLSLGALFLSTSSALPNGLYSRQVDDAPEYTALGC FVDTGSRVLPSKVISTHDMTAEKCAANCRGYDYFGTQWSSECYCGSNKPTDAAPASEC NMPCSGNPDETCGAGMRLNVYEFDRACDDLDTEEPPVVISDFEYKGCYTDNVPQRVLG GITVAQHDMTLEKCAATCTAGGYAFFGVEYGTECFCGTSLDAASTKVSEGECSMTCMG NHSQQCGGPNRLNIYEKPNPVGAGSNLESVGDFHYASCWTDKVDDRSLKAVDWRTDDM TVEKCADRCSEFSYFGLEYSRECYCGNELIGQAAPEKDCAMLCVGAPGQWCGGPDRMN LYTKATSTSVTTSAEVTTPVETETDTPTITPEPETTTAPTEPETTTSDIPVSTTELPS STESSTTTTQGPELTTITDCPPTPTYNGNPAYCYASGGLPAACRQLASTTLNWRSVGS SMSACKSALTRYGMPTNPAATACFPTTALPVVPSSALARSVADSVYACLHAPTASVIC QSDSACATNTYTVGQVPSPTPSTGVDLLKGDGGFEDGTLGDWVLGPSTHLVSTTISNA RPKSGSRGLLMRYLNVNGGGNSLTYNLPVVPGQQYRFSLSFQHTNPSSFTSLYLYVYP DILQTSFTEAQLNGAPANAWGTREITFTAKASWVQLVLNVGGNVGATNDVYIDDITFV RLT QC762_300905 MCSGRSTQGWYLCGISTRSLKTNISTTLAMKDPFCSQKNDQRPH PASTPPPLSAIHEKTKNYQSRRVSEAEVTSWGAVPDQKVPIIALQPPSTRESQPSNTY ASGVYPKRGYVMDELHLQRPMSDQGNHQKSDVSHPDGGQLITYYRVGDRDNGSIDLLV PFDEVLRYVSAREREEWEGGPERADTAGRIMKKHNRQRERVLEAAEQRPKNVFLEPTK TSDVTGRSVTRLLARTVR QC762_300900 MAALPDFTLYYSAGACSNAVRLALHELSIPFQSVNTRRNANLKI EPADGSLTAEEYRDQVHHKNYVPGFVITFADGHRESITETPAILSYIASLRPERGLAG KTDLEKARVLSWAVYFAGELHGVGWGALLVPKRFVDVSDKKLEEAVKEGGKKNIKAAY EHLETELQKGGGEWIVGDSLTLADVYSYILYRWGVMHEFGMEKYERYTEIVKKLEARE STKKTLEEEGLLAHFQ QC762_300890 MGFEQVIKALRNSLPASNLFFEGEREYEALNGSYLSAMESDIRP AAIFRPESRKQVAKFVKIMRPFALGEHGEEATVRFAIRSGGQQPLPGVANIEGGITLD LGLLNSVELQKNGKQTVVSVGAGARWGAVYDKLDGTGLGVTGVRSASGGVGGLSLTGG VSFFSSREGFVCDNVLNYEVVLASGEIVNANEHENSDLWISLRGGGNNFGVVTRFDFR TFPQPGKFWGGSVFYFLSSWPYHVGALLSELRKKDAADPNAHVQLSIGYSSQFAQFAC QSQLSYTGADATESMPEVLKPWADRHPQIEQLNSVRLLTLREAVSEQPAESKGNVRCA YMNVTVKADAPTLFEATEIFTNSLESVKACSGLMSSLTFQPYSVNTLRQTVRHGGNSL GLDPSDGPLINIMVSTYWNKKSDDAAILKYMSNATSYMRREAQRRNKLVPFVSMNHAW THQDVIECYGEENKRALQETSIKYDPEGLFQRGVPGGFKLFNRK QC762_300860 MESTMDIAQLVAQMQDTLSTIHTTLASLNTAEHDAKLDELEARR DNTIKHLLAAFSAESEVLHQKRLAQREEIAKRRRIEDEERERRRRQEDEELAERDKQE DEARDGRLLHETNEVEEETDHLMIEIEEEAQRAIDEGQKKLMGLEERRKELNHLIEEQ LRAPIIPSPPKRSRRGSNLPPAVATPSKPPEPVAPESLDNDGAKALEPEDISTSRHDE ITETAHKSPTIPQADMVKESTLNTDANSQMQASPTNDSRPTSRQDRPLFVQPVISRSG TILHADQLEETARAPVEPIGIPNRNTDSPDPLDSSKPDVTWWENKRREEAERALHHPA TPSPSSSHTEAEDTGAPSQLPAEPGVKDADQPSSSQATPGATRQAVESGDVAQPAEET PAMPQLEHISFAADEEQENTHNASFELTEASVTPEHHGSELSVNGDAASSVSSYHNQP SIEYRTTSDEPPAVGVPEGMDDSCSLTDTSEYCQHEEVSDRHHPHRPPLIHSESYTGD ERVDEVDIPLRRVPSHQPPREEFGHEEVGNDQHAVSDHETDVCHALAPVSQEQELDEH PHTPSLQVIREDEVLESTAEETVAPEPQQHGAVGLGTEDSAHAEKSIVEHDPHHGSEL GHDEDVPALSSSSDHGDHTHSSYDDGSHLEDDYAQPEPITPNPLILQPFRTGDGGDRG SPVRDRDVPKLPDDVGRHGEGDAEPGVSGAGGQPGDQAHLGVLRDDGYVGGGAAEGAE EKAEESLVPGAEHVSDAALPPAGLQNEEAADDQLRRASQVSNESVEMDAAYTTTVNGE GELFDDDDDDDDDDEGDAESDVSDIEDAYLNEEGVVDAVVAAMGPEPEDQEHTEKDAA IVKTSVDEVAEVPTEVPAEAPAELQAIVAADAPVHTSTDIPLDTVLDRPVGPTVEMPE PMAAQVEAGAHIQVPDETPFATPMEVPGQAEPKAQVLNTMGDSDGTPAVSATVPPVET PEPDHPRTSPNWVNEADDYFAELDQRQETPRLQFFEQQRTDVKTSLAPDSQTASQGLS ASIHNPDRPETPDQDTELAYQEHRSTHLHSQEQQQSSPQSVRSQSTIDSAPPSPEPHT VTDTHVPVIRGLVSTQSPSYQTGRPRNNSHLTEYDHHRDESEDTPLAKWRHRESTLSM PDQPPVAPLDTAHSSKHSHASSESGDQKGGSLFQRMRNVFEQQSHASDINTSRSSQSR PISTDRHSGGGGGGGGSGLWGSGGPLSGRFGKSWSSSSNEHHHHRDYHHSPYTEAGHS PVRGTEGDHDAPGIEQLRKPFEVEDQDEKAEEEDEVTFPLRAPIVLRDNFDRLNVISV QAQVPVDDVLDSSSSSSSSSVDHRFSWASSIPSSCVPLSVVTTATTAAETEEDGEEDI VEVVAGKKGLGRRSLVLSPSSSVRRQRGNGEVEKGSELRLPWLWVQGWRARLQKAGDE IERVRWEGRLENHVVRGREEGRQRRECREERGEERHEVVVKRLPAGMSKARRGVVVNV GEYVSVVEVGGALPKKGRRKSVHWA QC762_300850 MLSPTQLLLLPPTLLLCLVIRRILTNFFFHPLSSFPAPFYTRLT SLPLAVLSLLGREPEFLHYLTKKYPPSTRAILITPTLLFFPHASSLKPIYWSPTHNHK GSLYGTGALGPTSLFSTIPAEDHKSLRKSLGGAHWSVSYLKTFQEPRIDNLVTFFVNQ LSLLPPDHEPFQMGEKLAQFAADVMTLLVFGKPWGFIAHDRDERRLLSAFRESLPMFA FAARCNSFRDLILSSPWIRGLIMPKVDDKTGSGYLASQAKLAVAQREQEREQGIGGEG KMRDYLDYTLDARDGNGKPLTRAQKEAHATLLIQAGADTTGSGLGAVLRLMLEHGECM KKARREIEVADEKGLLSTPVLYEETKQHLPYFVACIKEGLRVNPPAPNLFGRIILEKG GTVIDGVHVPQGAEVCSNPYVVGRDPELYGPDAEAFEPERWLNGNEKRQAEMEAANFV FSMGPRVCLGKEIAMMEMYKVLPEIVRRFDFEVVSAGKYVDRGGVACNKDFMVRVRRR A QC762_300840 MIWQRTLVASLLAMGAQAGIGDMVAEGMMRGSPSVERRLQEIAK ANILSRGYMEIRQAPGLSTGIGSNTPVNPDGTVDMERWNEEVNQACRDSLETLNVASN PSGACICYNLPVLNNVTGTFEADLRLFQISPPTGDFQGIPQEQINVALSYNGASVAEK EGGAARQGPEPAEEGRLRLLRSFLFVGRINNDAMGGEEIPMAQLQALVMPIVTLSAVN ADGQTVATNVSVNEAAFVSGVFSQEVVMSTFRLAELAVEEEVARLKNGTTAFVLPGVQ LLIFPIGLIITSIWLVIGVAAYGMGTYARYNFRESHRRMVAKTQKGTMARF QC762_300830 MAQSLTRVNNFGSNPSNAKMFIYVPRNLAAKPPIIVAIHYCTGT AQAYFSGSPYRQLADQKGFIVIYPESPYSGTCWDDKSIVSSRATLTHDGGGNSNAIAN MVKYTLQQYNGDPTKVFVTGTSSGGMMTNVMAATYPDLFAAGIVYSGTAAGCFYSQSG GTNAWNSSCAQGQVRSTPQVWAKMVFDAYPGYEGPRPKMQIYHGSADTTLHANNYNET IKQWAGVFGLDPAKPDVTQANTPQSRYTTYSWDNGKLIGVYAQGVGHSVNMRGADDMK FFGL QC762_0048760 MPLARWKHDGDTGHNRYENRRPQGSNRAHATDQSNNGIFGFFIN KAEDKADEGRKRIEEERKKIEEEERKKIEEERERTRKEDLKNQEQDALRNGRKQTSPT ANKKRSSTALAATNTPTAVLSKLPQEANTRSAIKQIPTTTSQAQENPNTQIVVDGFET GTNGVPSSSIITSYTTQGTNAPEPPVTPDLTTPTAAPTHTLNAGQIAAIVISSVGLAI ILLSAVFLFLRFRRHQQHPGASAHSLSNPDLPPQNHHHHPYSQLLSAPLPPETTNALP ELSKPRPTISRWLSQVRAESHPHLPNHPPSISLPSFSSHSGSQARARGQPDMVSDISS QISGFTHPTVTVSSGGRSFRPPTQLRPPTGSSMGSSGRDVKGMKPVHPSIYISPATAS NSTGSVNSQGLLGGFPLPPGRLMEEIQVGGEEVVSPVSLGFGTGLGTGVENGARLSGL GVGRGYEEADEYSEDRRGSWEVDERLGVLEGNKGSA QC762_300820 MTCRIALLFGALFFALPSTARNTELRKTTSRVPPVLAPNCRYVQ IPLGNISADNVDFRRTRRNVDFNSPKDVTKFFSSCLDPQMYEDPDDNTTKLIVERNVT IHGILCRPERPVAAQDRRIHLMVHDATYDKSMWHGLGILEYSLADALASGLGGSYTLA IDLWGHGDSHGPTYPDPWQVLQPPFHVEVLHELAVRLRTNQHGLWMAFKNVTYVGHGF GAQLGSYLIEDFPNDVDAFVGTGLPTGSKMTPRPSEWMFAPAHSTDPKYQDQPLGYFT GAVTSFLDRRNILYGGIYDDDLAVLDFLSQDTITGGEACWSGSYLSSEWLGSAFSFKG QACIVAGEYDRIACADGPECKERVRGVCSKVFPDASSCKSNVLGSTGHAWMLHRIGVK LANSVRSASALGGSWGNLCSVIS QC762_0048780 MSRDELMMKPALESPPLPSSTPPPPPPPPTGEKKLENGNSSTTS ENDDGLEEVDLGVERGVGQEKWKKLLLWQPPRTRWDERNPPRFTVWINLLFGFAACFT VSNLYYNQAILNRIAESFSVSFERASVVATLMQAGYASGLLLICPLGDVVPRRPFILC LVLLTALLWIILCTTQSFTVFIVVSYICGATTVTPQLMLPLVGDLAPRERRASCLAVV VSGLGLGVLVARILGGVMANYTEWRGIYWFGLGVQFVVWIGLYFWMPDYPSKNPTALK GVTGYGKLLWGIVVLMVTEPLLCQASIVAFCLSAVFTSYWTTLSFLLSRPPYEYSSMV IGLFGLIGVVVILLAPVYSRFVIDKVMPLVSALMGLGLELAGVIVGTFTGGFTVAGPV IQAITIDLGSQFTQIGNRAAIYSLRPDAQNRVNTAYMVAAFSGQLTGTAVGNRLFAQG GWTWSGSCSIGLLVFAILVCLARGPKETGWVGWSGGWMIGRDDLPVKNSKNPPDEEAV LHKEDSDGRKGRQQM QC762_0048790 MRQRVCDILDEYDDKVDECKTMAQNLSLAMQAAWNQTARQDAAV NARIAQLNTTIALETKSESAMMRSIALLTMIFLPLSCVASGAYVQMDKLMARLTTE QC762_301360 MAHSSATGKVSGEHRHSLGDKIKLPFHDLKAKLSHNHHLHDTKV HIIHTKHKIGKFANLFNPEHRHDEEHEKACDEKRARIAESHRFDSYFPEREGNMVKWY VDGRDYFWAVSVALEKAKETIYIADWWLSPELFLRRPPYFNKEWRLDQVLKRRAEAGV KIYVMVYREVQAALTCNSEHTKHALQALCPEGSPGYGNIKIMRHPDHNVLENAADMTF YWAHHEKLIVIDYEMAFIGGLDLCFGRWDSHNHALSDLHPEGVSNEVWPGQDFNNNRI MDFKNVDDWKQNELSKAESGRMPWHDVAMGVIGPCVYDIAEHFVLRWNFVKRDKYKRD KRFEWLELRGRQGEDEDLVGVQRPKHPVGGYVTHPLSPMETKHLDHRGSVHAQIIRSS ADWSSGILTDHSIQNAYSDIIRNAKHYVYIENQFFITATGDQQNPIHNIIGRAIVDAV VSAAKEGRKFRVMILIPAVPGFAGDLREDGAIGTRAIMDYQYKSICRGEHSIFEQIKK EGVDPTKHIFFFNLRSYDRLNRTSAIKKQEEESGIKYQELQRAEAEEVMGEGIHGTID TDGGRDSHMGHRSEQHGGQARTVHGEMPVNLEQARENSVGERDTDIKRRFEAAKNGDM GVDSPVSVAHYAMANQGKLSEVPWDGDEEDEVNHWVQEELYIHAKLLIVDDRIVVCGS SNLNDRSQLGYHDSELSIVMEDTKTFQSTMDGKPYEAGWHAATLRRYLWREHLGLLPP QDLDGSDDPNAQPPGDDSPNDVGDQDDSWKFVEDPLSDELWEMWTSRASKNTEVFRQL FHADPDDHVKTFDDYNGYMPPKGVKAGHIFDRMIPGHEVRQKLDQIKGHLVWMPLEFL RDAPMAEKGLQVNSWTESVYT QC762_301370 MFLHRTPSLSRVESNLQPTFTIQNTTMAAAAVVQIPAGATFLET FKKSFVDVPIDAEKGNAISTAEFLEAAESLTTMFDVLGSVAFSPVKKDMLGNVEKIRK RMLAAPLESQNIQDLVRNELKTKSHTATEGLLWLVRGLEFTCIALSKNIGSTEELADS FRGSYSVTLKPHHSFLVKPIFSAAMSACPYRKDFYAKLGDDEQKVQEELRKYLAALDK IVNILKGFLESKEAKW QC762_301380 MEAIRKPGKPKKSASKSTIFGWFQGTKTAKGRGKSKKALSRAVP ILQLPPELILLVLELLDITDQLAFNRTNKWFYSVINTEIYARNVRFGGSTCMFWGAEH GRLGTLKHALAAGGNLDASGPLARKAKGSAASDTETEDSDNDNTDGDDTNDDDADVVP ATRTLDSKLQPYATPLHLAAKNGHRDVVIWLLDNGVDINAPSFRVCSCHAMKFDRNPL RRAADWPRWRPLHTAMCHNERLVAELLIHRGASLSLDATPEHNHMALHTAAANGLVPV IKLLALNDPDLDANQRDRWDNTALHYVAELFSARGSADIRDTIAKLLALGADLEAHNE RGHTPLLNACFRGNFAVAHRLASIGANPDPHRYIRKFRDIRPLYFCTLPRADFFNLDD APVKHDEFEGNRVSLIRALVEGGARVDARFDKRGHREATALMLACELAEPRAVAMLVR CGASVNAQDRSGRTPLYYACSVRVDHRGEVAEIAVLLIRHGARMDLEEEPMSSPLDWA VMQLRWTEDRILQEMLKEANENSVTRPKLKAALKKCASSGNYKALKLLLTFADEHFDV TDRDVKFYLDLIIEQSDPWNQVETLETTLNFGRAVYSNEMLLLKTIMQKNKALSIAVL NRFVSVSEPTFLGGQTYLHLACQWGEVEVVKQLLERGADVDVFDDELRTPLSIAVTED HPNVAACLMNEVADPYLVPSDDVLQKIYEDDPGEWRYMKKRFLTAFDLAVRESRISII KEILMRYNLPPIPPRQWKGSYLYRACQNPNLTPLKLILERLEDSEAAEMCSMSILKDV WSEKIRMDVAVSALQAAKLLTDVAVVRHSPQFWELVQEIAMWEGADLHKLTIWDMIMK EMRLKITSCHDKVEGDLANAEFPKGTGKKPMVSITPDMTDEELLECGLPIPKD QC762_0048840 MMRIPCGHRTMLAPTSSAIMSRRSRRVKSIPSLENAWAAGGGGT RLHRTTGGHDIGGKTSLDAIRLWVTSYYNEPMSCPPFNANS QC762_0048850 MPPTMDISVIIVGGGPVGLTAAHAFSKLGIDFTLLERRDIIAED VGASIVLWPHGIRIMAQLGLLDQLLSIGTGLMSGTFQTVNGKAFLRTSSPQLCKTNHG IYPQCFARAELISTLYNTLPPSARSRIHTSKTVTSISSVPGGGIKVLCSDGTSYTGTF TLGADGIHSVVRKTILSLSPPPITPSPLKTRYSLLWFSLPLLPTIPASSAFEVHSKNL CLQVLANEKSNVQFCFLYQLLPPDHSPSKKFTQSDIEAIISRPDVSSLPLGGSGLTVK DAWPLKSKCGITPLEEGIFKQEWHFGNQMVLVGDAAHKVTPTIGQGLNMGLLDVVSLA NQVSEFVGSAGSSREKVLGGLDGAFRRYRAERLESVEEDYKRSGVVTRLTCWRDWRFK LFDRVVMPIPGVDVLLVNKVNSPIMARGLVFRGIRVEREPFEGRVKWKEKMPLLDKTA HDEERKESGG QC762_301400 MADDGMLLNFEIGDAPLVSQVKFKGGRWRDRVKANKSAKAASQG GPEPAAKPRAPYDPNRPTKRPRGNDDGSDHRPAKAPRTSDIPWVPTHAMKTGLVSSSL FTSIPTVVTKFDEEAPAEPAEPAKPSNAPLSEEAENFHTLGLARRVAHHLATKLEMKA PTAIQKNTIPVLIKDDSDAFLQAETGSGKTLAYLLPIVHRIMELSMNEDGTPKKDAKV HRNSGLFAIILAPTRELCKQISAVLEKVLRCAPWLVCTTVIGGESKHSEKARLRKGVN ILVATPGRLTDHLDNTKVLDVGTVRWLVLDEGDRMMEMGFEDDIKAIVGKIRADKLAT KNSEGLVLDGVLPKRRVTVLCSATMKMNVQKLGEISLEDAVHITASKSEMEKDAAENS GSTETAFTAPAQLKQSCIIVPAKLRLVTLIALLKSTFARKGSVMKAIIFISCADSVDF HYEVLKDTLTVEPPPPAQEGDAPAKKPDVHIETTVASAAYITSPANTRVMLHKLHGSL AQPVRSATLKAFSGCKDPAVLITTDISSRGLDVPAVDLVIEYDPAFAVPDHVHRIGRT ARAGRSGKAVLFLLPGPEEGYTSILPSSATITPQLYESILQKGFATNVNLPASSQTKN AETESNKRETWATRAEALQLHFEQRLLAPAPGFEDAEEGPKGHKGKFSKTGGKNRQPV KKDNPLLDAGRQAFRSHIRAYATHVREERVYFDMTQLHLGHMAKAFGLREAPGGIGSG ISRRTHKFTPATNGQKGGGASASGAAKPSSGSKSKFDDDEFGQVDEDAAKRMKAKMKM LSMNSASEFNLG QC762_301410 MSQISNDRLGEDPEKQTHADSDQTDPEASTPDRPDTSHDEITGA VPPSKTVAPARQFSTTDAPPTDKIELKEEDAWKELGFCFPTRKKWTILTIIFLVQTSM NFNTSLYSNGIDGISQEFGVSAQAARAGAAVFLITYAFGCELWAPWSEEFGRKPILQL SLGLVNIWCLPVALAPNFASLMVGRALGGLSSAGGSVTLGMIADIFEPNEQQCAVAYI VFSSVGGSILGPIIGGFVEEYLPWRWTIWIQLIFGVFVQLLHLIFVPETRTTILLDRI AQKRRKSGQSPNLYGPNEIEPFWQRFTFKELIWTWVRPFRMFLTEPIVLTLSLLSGFS DALIFMQIQSFVLVYRQWGFSAVDIGLAFVPIGIGYIIAWISFIPAIRRNRKERALRP GDEHAQYESRLWWLLYTAPCLPLGLIIFAWTSGGPPVHWIGTMVGSAIIGIANYSIYM ATIDYMICAYGPYSASATGGNGWSRDFLAGVLTVPATPFYTNIGGSKHLEIASTILFC ISLFLVCAVYAIYYYGPELRKRSPFAENLASKVTSEDHGTPHRRISGLPSSEIGYSGF RHRHEHGAQHIGATICA QC762_0048880 MPPINNLFRPFFAQNTRLLCKPFSTPRRAEVMTTQVAFAAAAVG IGAYVYMRSGATAAPATSATSASSDTTKPYFSGFGFRTLKLHSSEVVNHNTKKLRFEL PDPNQSSGLTLSSALLTVSFPPTNGRWTPVIRPYTPTNPLDEPGFVELTVKLYPDGKQ SGYLHSLKPGDIISCLRIPEYVWQPNKHDHVALIAGGAGITPMYQLIQGILANPEDNT KITLVWGVNGDRDIFLMKEFAELQSKYPGRFRAEYVVSQPEAGSTHRKGYVNGKVLEE LGLGANEAKNKSIKVMICGPPAMEKALKGGKGPFANKTGVLHELGYKPDQIYTRLKSS PYGTDYPSRLSIKLPCHMPFRLPLKHLPSRYLSTAPEFANRLKTINLEVERKVPCLAI PTLSDPSLWSNPAFISVDPLPFKIFHDVYYDNNDTLSSHGLWVRQRNGVWQAKVNPSV RRGRANTRFEELRIESDIQKAVEAITRVEAQATANFGLNKMADFTTHREGWRVDGDFM VVRDNTSFGWGVVEIELEEQIKCVGGEEVDKKWKERKMEEMDRRIEMFMEKYSWAWGK GQVKGKLSAYLDMTRGIRSGRVR QC762_301436 MVEMVDHLSLQQLPDALQEDLEPSKKDPDNACWTCTPPDTVTDP DSGSVPLSVDKVPVAIPVRFHHPLLPLMSQPPDPHSIVISPIRPLANETVEEILNLFT HAIGFYHLINHPAEDFDYQEELHKYPTEFGGLKVSFIRESFYPTAGRAESTLVHLTGG SSTTTIGRQPSTANQPAATDVSLTLSFKAVFGSTVRISSSESKSKDRLEGKLDVLVIP EADQIKIYITVSTHTLAMTAVTAKSGAATPDWASNIRIASAKHGHELGKVTRVFDPSP QQTFPSAFTHDVSLVDTIGWTALKPAANSPKPKLSLQWLSQDEWSGTKYYSSKLALLD DSLTEDEAKSIGVIDSRCQVHRSSIEFGQPGNTRVFGQNGTPVCVVEDMPDKTGKIAK VAGFSSFVQVASDVQRYDLEGEKLYKRLEEGRVAFYGAFQVPPELSCGYRIA QC762_301440 MASHTPQYGQQQSTNADYHGLQQQDPTLSDPIAPTPGMTSSPSS PPLPRRVTFFQRTGRNGSQAGIGLGINQQGGTTDYGRVRQASPFGSPPYDETKTPHGL HQNEYYAGIPDTPGFYSQYQNRDLSPDGPEKPPLRPPRWSWSWLNAPWVMYAALFLGI VFAGSHHAFYVSLNGKPADDQLKMMRFGGFLSYASKASLFTAVFFAYRQQVWVTVRRR HLRLKTVDGIFAISQDLTGLFNTEIWRKSKVVIFLAVIMWLFPLVVILTPSSLTVELR EEAKQAECSTVRTLNFDTERKKNWRLADRLYGYPGFSLSLWNCTLTNSSEVFEPYNQT FYDYWDGISQPADLVTKQTAYSGNVVPRENVALDTCGRGWNCSYVVTFEAPGYKCDEL GRGLNMDNKALRDRGAPFDSSDLIPKGDYAYIADVMSGEYARPQVDTLEGGVPVKKPF PRHLGALRTEPILWIGHSVRTRPNDALPPSKKDNADFQSGYEPVIFRCEHYVTRYTVQ FNHTFSSQSTKVIQKEHLKPIIDTKLETANSTLSDGTYDTTTAQPNNNYILPYPDYEL YRLSAAYHSLGLGFRQFLKGKIHYIPFPNPTTDATQTRLIDKATFLAVPNLMDAVQEF YENITLSLLSNPQFMIVSWAAEPQTRSGVTASESPEDDTSPKYPCVKTRIANSYLYVQ RDLWIAYSIAILATIICVGLGTAALAQNNYHVRDVKVSSVIAATRAPCLEELPWKTSK WGEVPWEIKETRLGYGVISDRESVGTPRIGTGLSNRPTTANSTGASTVGNGRVYYGFA PPEVLERTRRETFGPERGRPKSSPFSFKIWEHS QC762_0048920 MTDVGDGRLHYDRASAHCATGVLAPHCGAYLPVVEAQSNIPPKL RMPASLLFSNFCFHRQLSNCNNLPPKLLPLLASISSLKRAASKCGQ QC762_301460 MPRQLPWKINRDGQTSVEARQSPNPTSTRSPAPSNRPSRPSTAA SNPRSSHERFNAPRPSAGRRGRSPSTSPPPEPPSEELMIEGVDHDDRYRMVEDEFLAV AGEFTRHLHAAEYQRLKGLARSQNADTISNISRPVTGEMTEMVKRRHAALNIASKQRK GITQLKGLSPQTTSDTDDEEAPSRKQPNPNSLQGLMDSPRKQAVPLTSVSSLFQGSSL RETSPSSGRMAPPGLRRAESTFSVPVKREPTPDSDDLDSQAPWPIRRASAQPSIKREM TAAQETSFADFPAPQPRARSRAATIEPSRAMSRPPEQSQRQATQDTKQEDDDDANDFL SRIRARRLEQRRRRESRAQPGIKSETQEAALDDIPFV QC762_301470 MAPSAVETETTTVPNIGNLKLNATTGPYKEIATTKFDKDAEAGL KGHKAAKYPHYLPTWNPNDKYPPLQPFEHYEHGKDADPSFPNLLPESTAVTHLTPTIG TEVKGIQLSTLSDAGKDELARFVAERKVVAFRDQDFRDLSIEQALEFGGYFGRHHIHP TSGAPEGYPEIHLVHRGAGDNSVDKFFANRTSSVAWHSDVSYEAQPPGTTFLYIFDKP ESGGDTLFVNAAEAYNRLSPAFQERLHGLKATHSAHEQANASALRGGIVRREPVIHEH PIIRTHPVTGEKAIYVNPQFTRDIVGLKKEESDVLLKFLYDHLAYGADFQARVKWEEG TVVVWDNRVTQHSALIDWKNAQRRHLARLTPQAERPFETPYKG QC762_301480 MSHSWNENEAIGIETSTRVRSTDVSQANYPSKKTNNKHVNEKKF DRNAIDGGNLGARIQALTDSEETSSILFCPHIWQRYLVRFVAFTATLPAVLLLWAAYY QGRTIVSYWTGDESSHIALSRLQMLSATLFFLVEILWAVDQLLVAWQIKSYSFFGTWR PRLRLLGENNLPSVDVVICICKESEALVYGTVLAAFDLDYPLDKLRVIVTDDGGDSAV ESGLAALRSRVGNVFYTSNYGKATEKPPGVKAANLNNALQFIDSLPGGRAELTAVLDV DMIPDRAWLRATIPHFYPAGGYQEDGKEIGLVCGVQTFYNIPDNDPTNQSNSLRVKCY HPIQDQAGKGQCAGSGWVMRRKALDDLPGGQFPTTCVCEDFYTQFLLGQTNHWITAAV AEYLQCGLVPDTYQAQLKQYTRWYLSNLAVQDLHQLSGHSWISLLEKLVWKAPVTMVG LMMMHLKPHLATLQLILFPLLFLTKTPLIVTSPDRSKELIWLLRIHAGSVFFSYLHHV HIGVMAGYRASIMDWGMTKWLSPHYTVAYMKTYFPGLCNSTQSKKSTAAAFVSTGSLK DKLFERFPARRGGLSKRLNHILLECGVWMHCVAVTAILVTAWVAVKNLPEASNNMEKL LSILLWVFWPSNQAFIVLAIACFTPIKYAIWPPNVGENHDLLQKVHNGPGAPTSFLFR PREEAKRWEERSNPIWGGGLDFVTVYTIWGIWAGLVFIWAWWL QC762_301490 METLNERQFDRLEHDFITALHILHYHRVLDAYGHLSVRNPLMRD TFIMSRNMAPALVSSADDLITLTIDGAKPTDTSNQNQLFSERFIHSEIYRQYPSVNAI VHSHCQAVLPFTINRVRLRPCIHLAGFLRPEGCPVFDTRNHEGMRLGPAHSENPPDDG SGSSGLLVKDEFLGRKLAYKFIDTKTTVVLMRGHGFTTVASNLQNVVFQAIYTAQNAA VQKEAIIMQNAAMAVVGTFPTGADPGIHFLSKAEATAASEMCEDTVRRPWALWQREVE VCPLYQNSA QC762_0048980 MAPRPARRSARGVRKNYNEASSIVLDDDEEPIQVPDNDRDIDDD FGSGSNGVPDFDGDGHGADDDPSSDGDEVDEDGNPKTTTASKSQQKGKRNAGAGMIQS RKGFHDIPHYPLETRIVTRVYAGPLRRYARYSALRDSMYGPEIPRIRVIWALETKWSA FPVLPPKAGASAVRGIMPSPWVPQGFEKNQTLAASKWYERYHLHCPVEELQVCHHVAA HQGESLVPNANGELVTLLGPWDRQREFILPRRGSLEIAENGSPFTQDDIVTDGEEARS RGWMIDVGGIPLAIAWAPFFLRNNRQVLAVATIPFSDQDWSLVVEENSGNHNATSEIQ GSVQFWEFAAEEDSSKEGMATPTKRPSRLLGAKCFSWGRPKRMQFCPVPMDESASPST NGILAVLCSDGRVRVIDCKAIHEDQVPDYACMEAPMVTFGQDNDYNVEVTCLTWANTN RLALGHSDGSITLWSVYPKTLLQRHGAHTTYVIDICSAYPSNPYLVASVPVGGCATLT DMSHPSSEFTYFPVPAISFQPNLLCWNESMQGFMALYPSSTPNTTIAFLHHRFFAQAR SICTGPNTLTCVSVGTTHPFILVGCADGSVFACNALQKLFKQKGEPLRKLKIFEHEYR PVVATRSSQGNSIRGAVRILQGYLPEVNDDPRTEKRKEMDRKKRLEREKKSKYTASGK KKGRPKKNAGLEPEQPEEEHDLEGELQEKLASRVVIHEPLTRVTAVAWNPNTNFSCWA ACAMASGIIKVMDLGVEDFRA QC762_0048990 MLRVISLSLWLLSSLRSKVFHAQVHDFDDAGSHGAGSPATEVSI RVPRNGRDSGQWLVDYDPGRELFLQLPFKVVLLFRLFSALQAKTDPSAQPTRIKGCVV PTETQVSVLGPVQMLRAWAKKR QC762_0049000 MPGPAKQNPGTTGPGSGSGLRKRRPSLTETIVQTATDIEQRLKL LLFDQLEPWRRDNPSILRGYRPTSYSFRASFRSLFYLHNESVNIWSHLLGAFFSVILA TYLYLLIHPRFDTASSADILVFSCFFAGAFLCLGMSATYHAISNHSDKVAKWGNKLDY TGIVFLIVGSYVPALWYGFWCEPGKLTVYLGAICLLGSGCIMVSWFDHFRTPAWRPYR ALMFVSLGLSGVLPIIHALITLYTYDELNKRMGLNWVIFQGALYIFGAFLYAVRFPER RFPGKFDIFGSSHQLFHIFVLLAAASHLYGMTKAFDFHHSTGVGALCAS QC762_0049010 MRGRGKQDKDVEKLMRTSKDVKLSWEATLWETNLSHVFSNAPKI YNAPWKMTQLRPILLLSSSYV QC762_0049020 MSAEEAVEQRPEGGAEGVRGWAVAAEDGGVVTAPWLKLVEEEEF EPLLDVGGGLDDGFGEGGAAFAEAGAGAWTGGAGILFGGAGHGG QC762_301520 MAGSVFALSLLGLGAVQAAPSAPTVETRQIWIGDGGGVFWPGPD QPIAPCLENGSGAPQAPCLLPPIPGDVTLPPGFYLPPKDKRDADSVDKRQIWIGDGGS QFIPGQGPLKPCLVTIPLKGQPPCLLGPILTVPKNKRGVEARQTLIIGGPPGSLNPGN PGLPGGGMPVCLPSVPLDQQPPCMLPPISGGGGGLILPPNWPAPSFPPKEKREAEAPK PPKLTLPPDYATNTKQVIVRLEARLVALQNKKYKTKQDIELIKDLKEALLYLAGITNI SAPPGTGTSFTPGKRSEDVFQLLPPDYITNTKKVIETLQRELIVLQNKRRKTKDDIAK IQAIKDALLYLAGITHISAPPGSGSTFTPGKRDTFKLPPDATTNPKRVIEQLEKELIA LQNKRHKTKEDLQLIADYKAALLYLAGITNISAPPGSGSTFTPGKRDVFKLPPDATTN PKRVIQQLETELIALQNKPNKTKADYELIADYKAALLYLAGITNISAPPGSGSTFTPG KRDAVFKLPPDAATNPKKFIVQIEKDLVLLQNKKNKSKADLELIAAYKAALQYLAGIT NISAPPGTGTSFTPGKRDVIFKLPPDAATNPKKFIVQIEKDLVVLQNKKNKTKEDYQL IAAYKAALKHLAGITNISAPPGSETSFTPGKRSPVVDVNAIGAYEKLCPNIKGAQDAL QQMLLKDQLTAEEMVVVRALINFLRGCGVEPGEGPSYGPILTVPKRNTPVLSAEFDLA GLEEAYKELLTTAQLATAAGQPSFANWITLSTIADILELYGVKVDRSLHVLTPLPKRQ ADSITIGGRTCKVIDILGLRAALAALQIAYGEDVTKAPTTILLIQQVIVTALQLCGQS VPGWTVIVPGNPIPGGPIVPQPTVPGGPLVPEPNVPGSPVVPQPTIPGGPLVPEPYVP GAPIVPQPTIPGGPLKPSDKRQAPGLSNATELLAALNILEKAYGTYGSGTIPVPVWLI MVNLVTILQTIPGTVVPGWPVLGQGSVVLTPSP QC762_301530 MQHVPMFGYPTPPASPAFGQRCAIPNQYLAAHHQQPRYVPVAPE ERLGKFITPSLQLTGIAGTGAYGVVYSAVDIHTNVRYAVKCLSKFNADGTPLDRRQVA FQTREIKLHYTASAHPNVVSMLKIIDFPDCTYVILEYCPEGDLFYNITECGRYVGKDE LAKRVFLQILDAVEHCHNLGIYHRDLKPENILVSDQGETVKLADFGLAIASERSDDYG CGSTFYMSPECLDHSSRRPFYYCAPNDVWSLGVVLVNLTCGRNPWKQASYEDSTYRAY ARSQDFLKTILPVSDELNDILGRIFTRNPDQRITLSELRARILACSRFTEQPTAQVLS TPPASPGHTTVYVADGSAIEDDDDEFDYDEPLSPASSDGESTCSSDEGSLTSSCSSIG DLEEDEDDLFEDIPEAKTPPIYEAPEEPRVVAYHPQQEYMHPVHPVQPLHAPVQPVCA PPKFQQLHQYVWDMLKFAQPPQLHHPVPFHHQVPLFAMQGCY QC762_301560 MASPVPSSDEGEIVEATSLPRAERNIDVDRRGRHHQGRQASREP EYDTAARRSHSPRGWKRPSDDRDDHHTRDRREPRQFRVRYEDNYRDDRRHGRDLDRPP SRGSELLYDERPTHSGHRDYRGGSDRNRGSDRGYGRDRDHDDYPDKRPRNHSRSPRDR RDRGRRDRGRYGSDRHAADEHKYSAHVDRQSQNGSLSKKASSVEASGVSKNHAKIDQG ATAERGINELAISQNGIPTQDSAQAAEPDMDWDPDAVMDEEAREKAEIERRRRLREAA YKRGIGASTPTIQSLQAGEKNVSSPASTRQSTPGLLKVDAATPNSNGISSPALSPGKA PEDMSATALNFADDQALINAHIRAKSHDEDGPSAADYDPTVDMQEDEKRDEQRHGNVG LHGELRDPSQPEAATVSASGEQENGTKKADEDDDDFDMFADDFDEQKFAAPAQPKAAA ADDSRPQHGAGGKNIEGDDKDGYYKIRPGELLDGRYQVLTTLGRGMFSGVARAVDVTN KQVVAIKIMRNNDALRKGGFTEIAILQKLNAADPDNKKHLVRFERSFEYKGHLCMAFE NLSMNLREVLKKFGNNVGINLNATRIYASQIFIALGHMRKCSIIHADLKPDNILVNEA RNVLKICDLGTAIDRSDAATASTEITPYLVSRFYRAPEIILGMPYDYAVDMWSIGCTL YELYTGKILFTGDSNNQMLKNIMEIRGKLSAKMYRRGQLSSIHFDELGNFISVERDKV LGKTTVKTLPVVKPTRDLRTRLLAASSGMNDSETRDLNHFIDLLERCLTLNPDKRLTP AEALRHPFFPQKTHPSTAAR QC762_301570 MGGKAKPLKAPKKQAKELDDEDKAFLEKQRAAEKAKKEMAAKAG GKGPLNTGAQGIKKSGKK QC762_301580 MAEKDPGQILLDLLADPFSTQLQKTAIIAALGSSIGTTAVIAFL FSILRPFNSVVYAPKLKHADEKHAPPPMGKGFFAWVTPLWKTTEEDMVNLIGMDATIF MRFTRMCRNIFAILTVLGCAILIPVNWTATTRVGIEDNWLSKITPNLVWGSAQWASVS VAWIFDIVVCVFLWWNYRKVVQLRRKYYESEEYQHSLHSRTLMVYDIPKNLGSDEGIA RIIDSVVPSSSFSRTAIARDVRILPSLIESHGKTVRKLEKVLAVYLKDPKNLPPARPL CRPSKKDHSYASYPKGHKVDAIDYLTERIKLLELEIKDVRQRVDKRVTMPYGFASYSD ISETHSIAYLCRKKNPQGAIIKLAPRPNDIIWENMPLSPSARRRRRLWNNFWMAVLTI LWIVPNAFIAVFLVNLSNLGLVWKEFRDELASSPQFWSIVQGIASPAIMSLVYLLLPI AFRRMSIRAGDKTKTGRERHVVAKLYAFFTFNNLFIFSVFSAIWGFTATVVQRTNNGQ DAWKAIYEADFGFLLFLSLIKVSPFWVSWLLQRQLGAAIDLAQLWTLFYSFVVRKFSN PTPRELIELTAPPPFDYASYYNYFLFYATVALCYAPIQPLVLPAAALFFCIDVAFKKY LLLYIFVTKTESGGMFWRVLFNRFLFGTFLANLVTFLVVWVRGIQVDRTQVYALAPLP ILLIVFKIVCSRVYDDKIHFYATRFTKQGRTEEGLNVKEQSMRNDRLAARFGHPALYK PLITPMVHAKAQNVLASIYQGRLSDGREAGGLGDSVSVSGYSDTYVMDSMMSGKAGKA SPSMPGFEVVPESRLDFEFYKNRDEFAEDHGAGELFGSDIHRPGTPGTMMSGPDSRPG TPSGGMGFGANRRLLSPYNDPGAGPSSSGYVGPSVYSPPILGAHEVPSRSRSPLYSLG NDSGANLVQGAALMPVSSYPTTPAAGGPGDGSYDGDVGTYRPGVPTIPPGIFGGGPRY GGLPQSDQEFGQPATSQDPTQYDYFRGPRRGTPGPPGGGNGGNTYRG QC762_301590 MACCCRLNLCDLPSCVLLHQLQIVNLSSSYGTAAIILPRPQFGL LTIAVLQFLFSLSSAKSYDVTSLLLVTIALRPSFAMSGEAEKVTHQELTSAPPASSTD VGETSKLVDINAVANGDVDNKATGDNDKTSQVAPVASGGPVESRIAARSSATLTPTPA PAPAPTSESLAEPSTSEENSDSAKTEPSGQTKATPPESVTLPAALVKTVTNGTTKDVE TTDTPQKSTEAATSDVSAKPTEVNTDKVAEDAKNDKAAHAPAVSANGNTRDDDVEMGE APVAPDSTAATKTAASIPPLGGGKRKAEEAFGDSNGDLGETQAQPEISITNAVNESEI STKKRGPGRPKKQQKERKTLTSVGRTARKTRSQGPV QC762_0049090 MAGEFPKHPFLLTPEETAQALGTDIDKGLTSTQVKELQSKYPTN ELDVGGSIAWYTIFIRQLANAMILVLFFAMALSFGVGDYIEGGVLAAVIVLNVSIGFY QEYGAEKKMDALRALSSPSANVLRDGKMQVIPNAEVVPGDIIALKMGDTVPADMRMFE AMNLQCDESSLTGEAMPIEKITTTEIYVEGGEKLAQDEGEVGIGDRINIAYATTVVQK GRGRGIVICTGMQTEVGKIAASTSKKRRKPGRSMNWKKYGKAAPVKGAFRRTYDFLGK FLGLTEGTPLQIKLAKLAYLLFFCAIVLAMIVFSVNKWPNPLPSELVIYAISTGIAII PESLVAVLTISMVVATTVMRKANVVVRDLSALEALGGVTNICSDKTGTLTQGAMIAKK VWTPASNSIYTVRDSKSPADPTQGRVTVSSADVPVQEEEEKRDYDEERTTAAIKFDGV PDEKLNPKPQSSPEAEAELTPDLRMFLLSAALCNLATVRFDDKEEKWKTTGEPTEIAL QVFAHRFDLGKKNVEATGWKQTAEFPFDSSIKRMSVIYDAPENNESGLSTENSHVFTK GAVERIIDLCSHVGTTDVPMTEEYKEKILKQMTDFASQGQRVLAIAYRAWNGRYVAGK NDGVDPSLPKNDEAQRSAVEKDLILLGLAGIYDPPRRETTPAIFDCAQAGIKVHMLTG DHPETARAIAREVGIIPRDLSVLPAGVAQSAVMKATDFDKLTDEEIDALPELPLVIAR CAPETKSRMVEALRRRDAFMAMTGDGVNDAPSLSRADVGIAMGSGSDVAKSASKIVLT DDKFNSIVAAIREGRRMFANIQKFVLHLLSSNVGEVILLICGLAFFDESGISVFPIAP LEILWINMVTSSFPAFGLGREAASAEVMRKPPHDKRRGVFTNQIIVDMIVYGILMGAT TLSTFIIVVFGKYDGQLGRDCNKHYSEECIPVFRARAAVFAELTWMILLTAWEIKDLR RSMFRLNPDSESKFPFFKDVYNNKFLFWAVVIGAVSVFPTVYIPGLNRNVFKHTDISW EWGVVFGMTIFYVFGIEVWKWVKRTLNILDDHKVRQGKWSQGEEGQKMRFAKSMSFSS LKSWASRSKAQSNGGLTPSTTQPRSTSQAPQNRVPQTSPV QC762_301610 MAPAKSSTGGKDVYSVILPTFNERQNLPIITWLLNRTFTEQNLD WELVIVDDGSPDGTQEVAAQLIKAYSPHIQLRPRTGKLGLGTAYVHGLKYAKGNYIVI MDADFSHHPKFIPQMIEKMKQGDYDIVTGTRYAGDGGVYGWDLKRKLTSKGANIFADT VLRPGVSDLTGSFRLYKRAVLEKLFESTDARGFTMQMALAVTAKAKGYSIGEVPISFV DRVYGDSKLGGEEIVEYAKGVLQLWWSI QC762_301620 MTSVIKMRMAERAAQLSRQLNYPKGLLHNQVAIITGSGQGIGAE CARLFANEGAKVVVSDIDGAKAEEVASKIRSGGGQAIAVAGDLLKDEYIKQLVAKAAE FGGGKIHIIVNNAGFTWDAVLHKMTDKQWDTIVALHGTVPFKIIREAAPYFRVKDGEP RNIINISSTSGVHGNAGQINYALAKAGVIGMTKTIAKEWGPAFGVRANTIAFGHIETR LTANKEAGAFVEVDGQKVALGIPEKQKQAPPGIVPYQDIPLRRPGTATEAASAVLAIA SPLSSYISGQTISVTGGRNM QC762_301630 MQKKGVRAFSGHSYGHTGHHHGGGGNHYSRLKPVELDPLSEYGL PSKGEKRLLSPKVQESYYSKIAERYLAFCTEAGDKDSLQKQFARLAVADTTPSSSASS PVISVPPSPLTSAPPTALPLPIPTSLPTGSFPDITFIATDNSKEQDQSGSLPSILSAL RKLREALVATQRRDPFTIQVYLFAIRLGILAKSFESYYPALLYLLRSVHPFSNLTSVE LAECVSYLVLDTACRRQDLAGAFKLRKEYKLKDKKVDAVLKALVGDNYVLWRRVKRGV DGYRVKLMEFADGDVKRRTLMAMGRAYLSLPKEFLEGQMEESWEGLRSKYGVGWELGG EKGEKVVIRKVGRG QC762_301640 MKYSAALVSLALATSVAAQGLADLPECARDCATQYLRGGIGNCG SDPECICKNSTFLDSIACCLVDVCNEADQKTAVSVAATLCKAFGVNDLPTAVTCATAS PSATTPVRTSTRATTAANTDTAVTTPAGTATTEATDAPSTTETDAPEESSATETETDA DAQDASSTSTSTSTNFGPRPTVAAGLGAIGGLAAAFALL QC762_301650 MGLGVLEDRVMEHVPGTTRYFDDPERPQFSSEGVEGLKCDTSGP MPIILVPQPSDDPNDPLNWPLWKRDLITFILSVTAIFATCLGPILAANTLTLTEDFSV KFSKVAELTGWYLFGVGIAAFFFVPSGRLWGKRHLFVGGTILLIITSAWGGASRHNYA SMAAARVFQGVATAPFESLVNVAVGDLYCVHQRGIRMAFTNLAVFGGAFFTPILVGKI THEIGWQWTFYFVAIFCGLCLPAVYFFCPETAYRRDQSLNTDMLSSDGPGAQQFFANT LNAPEEPEKTTKRDAPSTPDTLVGDDANQTQVGLSEIPISSAPAAPATSSTAAPVPTA RASVVPPPKATFKDSLAMFNGRKADENFVKLMLRPIVLFFHPAFFWACLIQGLMIGWT VFIGVILAQFFIGPPLWWGEVETGYAYTAAFVGAIVGFLIAGLLSDWSARLMTKWNKG IYEPEFRLFLIIPMMIFGSIGLYGWGPTADDLLWDIPPAIPLMFFGFQVAGMVIGAVA SSLYIVDAYRDLAIEGFTIMIVFKNLLSFGLTLKAFQWLVLNQTKATPLFNIIGSVQL VVCLSSIPLYVFGKRMRSFYHRHDWLAFCKVR QC762_301660 MSVPRSEYLSTVWKDGIFANRVLFITGGAGSIGSAQTRAMVHLG ADACIIGRSVEKTENAAKEIAKVRNGARVIGIGNVDVRSYDSLKAAADRCVKELGSID YVVAGAAGNFIAPIAGLSPNAFKAVIDIDTIGTFNTIKATMPYLVESAARNPNPNDAG TTGGRFVSTSATFHYTGMPLQSHVSAAKAAIDALMGSVALEYGPFGVTANSIAPGAIE GTEGMERLASSKLDPKTRTKGVPIGRWGSVRDIADATVYVFSDAGNYINGTTLVVDGA GWRRQGAAAVGVDDDMQYPDFLLAGTISKHLKSGRKEKAKI QC762_301670 MARLPFERRESQPKPVLPIASGSEDDDDDDALSLFRHSKQVFPK VIREAEEESRDRSNERKRKSSLSEEEGNERVRRRRTSSPGLSSSPPKQMAGLADSDDD DDDLIMDVKGKGKEVVRPTKIVTPVKPRHTAVSATPVVMIDDSDSDDALDKKPVKADL DSDDDSDIQEFSPFEKQESPDSHDDELQEMIRKQREKLGRVKEDLVVHIYVDSPIPNM KPILVRRKAKQDMAPIIPTWIAKQREIGLDISSEELNLFVTWKNNKIYTPNSVASLNL DIDEDGNIVFGDGEGYRMLRQGPALHMQVWDEDNYKDFQQWKDKERSVRYGLVDLTEA DDAEPVPEEPKKKGIKVVLKAKDLEPLKLSVQEDTTVGTMIEAFRQKRAVGDAWQVSI WLEGDELEEDALVKDTDIDPDEPNQFEVHMKK QC762_301680 MPAPTALLQSTAASENTAIPIQTEQEEELILDIQDATGLTDPAA AAPPPNGEESEMVFDEEGRPRFAPGRDVDPVRRVETRKIPIPPNRMSALKSNWTKIYP PLVDHCKLQVRMNIKDKRVELRSNRATVSNEALQMGADFISAFAMGFDIDDAIALLRL DSLYIQSFDIKDVKQTLGPDALGRAIGRIAGKDGKMKFAIENATKTRVVLAGSRVHIL GAFENIGMARESIVSLVLGQQPGKAFNSLRIIAGRMKERF QC762_301690 MTSSAATTQLGRHLWGSIRDSVTIFAARLPQRPPKPTRTPFGQL CQPFHPANPSHTSPLIHPAKSFSRAYSSRAARPPPRKSCTSGYLLLLGLSPSSSSTNA VVTTCARNTSQKAQLSYLARQIWKQSRQPRNDRGEVSIIGRRGKSSSTNRGGERNNVT GQPPPRTEVEKPQNGPPKTPESELSEAESIADSMSKYLHLPKMPHRPTKEELLAATNG FLQRLRVRFKWFSIRSMRPWNADEWGAFVSWFLFGHLVWILVGTTTFFSLIILFINTV FAQETLAKWIGDYLTQSAGLTVVFESAIVPRWKDGVITFRNVFVSRRPGQHGKSTVKK GSSNAAAEAAAARQTALEEEADDGNYTQFDVTIDTVNVTLSFLKWWNGKGLLKDVEVK GVRGVIDRTSVVWGDEEVDPLTFRHEHNPGDFELDYFKMEDLLVTVHQPGGFRPFSVS IFSCELPQLRKQWLFYDFLSASHMSGAFDGSLFTIHPRQIHGVPAAAVEDRRQDEFGA SSAWKKFSRLRIDGLKIDHLNRGVEGPFGWIYEGNVDIVADVMFPADPAEGIGKVVAE FYDKMEEAVTSNRYLQILDTNGTRRREREQNIINNSRPYSVRHDENLLSGENPSAPLP ASSHHHPPPSQEEIPGYLVMDLRIHLNNVRAAVPLFNNPHISYVNQALVRPIVAYINA KRTYIPVNCRIVKRVTDFDGSWTVWDCGLMDDTSAEVYSAFAYNVEDQQSRVRRFKRV GLWTVSLVVHALLAGVAGDYM QC762_301700 MPGPNKRWDDEEEESSSGTEAPNTAAPVGRRKFDDEEAEDSDVL DSWDAAEDSEVEREKAKKAAEAKAKAEAEAAANKKSKAQRIAERQAERARQLAEESES DGETEAQKRERLRRTEKEADLAHAQDLFGAIGISSGRKANASASVVQLPGSDPNNTID LSTLPIFNPLTKGQFETLRNATAPLLASNAKKAHFVNFAQEYFKQIIKDCKSDEIKKV ASALTALSNEKLKEEKAAEKGGKKTKAAKTKTSLAGVSRGGGVAQDTATYDDDEAFGD DDFM QC762_301710 MISSKTSNRICLCSPQQTARITRIVVSSRPAYLTGLGYPVSLRL SSAVSQSSSQHTRSFSSTRAAHLKDFFPVKETAYIRKTPPAWPHHGYTEEEMLAVVPQ HRKPGSLSDWLAWKLVRLCRWGTDIATGIKPEQQVDKSNPTTAVAAQKPLTEAQWLVR FIFLESIAGVPGMVAGMLRHLESLRRLKRDNGWIETLLEESYNERMHLLTFMKMCEPG WFMKTMILGAQGVFFNAMFLSYLISPRITHRFVGYLEEEAVHTYTRCIREIEQGDLPK WSDPNFQIPDLAVTYWKMPEGKRTMRDLILYIRADEAVHRGVNHTLSNLNHKEDPNPF VSDYKCDADHQRPNPALKPTGFERSEVIG QC762_301720 MDQQLPQARGRSLSAASTGGGHHQQQPPNIIRDHSPSPARFPNP NDAVVSSIGLGLGLVDQQFPTTQPDYSAYNANSNSFLNNHQPSPQPFSQPGLSDPSNV PTFDLNQSFTDPLKPENSSFGTSASSTYPQPQTLLAPSFGDADFTIFPPSPGESQYDA PLFVGDSQQQLNSANANMMAQSNHNQTPPHLLNPDPQQPGSAQHSPSFNQHQFPPPGR HSRNVSLGPEAALLPGQVDWTRAQFQGHRRSPSEFSDVSSAAPSPLLVSSDSFEQHDG HSPMVRPQDAGLYQELHGIGSFSISDQGAHSPNHPGARSPSHSPAISPRILPQQLPDV NQNNFMLQSQGNYGHPSPYLQSSQEAFPSLPQETENITPNQMPAPPSINIDFAPTAVR NGFDQPKSLDVDSLTPPERGRRLARPRAVTDPYNTSGALLGSHRSPSATGSLSPNSAS DGRSDISRSLSPLDRSGASPNRRRQSTSSVPNNVIALRLADPEYNGGVAGEGAGGSKR VQKHPATFQCTLCPKRFTRAYNLRSHLRTHTDERPFVCTVCGKAFARQHDRKRHEGLH SGEKKFVCKGDLKSGSQWGCGRRFARADALGRHFRSEAGRICIKPLLDEEINERQRQW NEQRMQQAAVQGMVMQAPGMMMPPGMDPNAGAYPMDHNGNYALPQALLAQYPALAQMN WSDMGGGGGIEDDISGRSSYDASDYDDEADGGYVSGPGTGFGPGGMQENFGEIGYASD YGGR QC762_0049220 MALPSETRAVIIASIGKAEIKSVPLPTLRDDYILVRTTAVALNP TDWKHVYGINLGPNQPTVIGTRVGCDYAGIVEQVGPKVTKTFKKGDLICGPAHGSNAV QPEDGTFAEYIVVKGDVQIKVPCNLKDYEAATLGIGITTAGQGLYQALSLPLPPPASP VPDPDPDPRRKILIYGGSTATGLLGIQFAALSGYTIATTCSPHNFSRVRSLVGNGSVS AYDYRSPTLASDLKAWAGDDLTVAWDCIASTDSAKLCASVLAKEGGKYRSLLRVPDEV VKGVNGKVDSGFTFAYTALGEAFRKAVDIPAVEEDFEFAKQFWELARKLLAQGKVKTV EAEINRGGKGGLEGVLVGLRELKEGRVSGRKLVYTFER QC762_0049230 MTATNTHTPTLSVITRVPIPARVDPKAVLASLHAYEPLIKANPY VAHFEQRHLDVSEVVDDPLFLESGTKLQAFIVVDRVPVIPGLGAWGTKEVGIPCVMQS FDHGVRVRANAQAGVVVRSSYEVRRRGEVQDGPDLLLGPGDEGEWELVEIAGIDCNFF VKHFVRSRFSSAHQEILQRVVDGVARKTDAAAAGVNTGPAVADAGTPAEALPVVSSPF PAPTTF QC762_301750 MAALDALPLRDNWADDVRLEATKIIYSINGLTWDGKDERHQDSD FIEARLAFIARSWIALCAGPKGSPTFDADSRRIVKPLTIPSIIPRSRATEETGSLEWD VQDLTGSGAYAQSRHLSVDPLLADVLAARSRAGGRRHQNDILADPTRDYQIDLATNEG FRQAAKKKNNKKGGAAKPNYISNNSNSNGNNDEGEKKEAEGGDAGANGDGTGGSGGSP NGDGGGGDENKDDDKKEDEKREEGTVEEKAEEKTEEAPPPPEDDLVGTGSKKKKKGVA EPEPPAVEDPKKSTDSGLAAASAGPTFDSFSNIKMNGNRDPPRKEEPKPAQKSGFGGW SGLGWGGLGLASKVVENSPWGLKSKIGVGFSFGDGSGSEEHIQRPKSSARQVEKLAEK PVERPVERPVEKPVEKPVEKPVKKSAEKPVERPTAPEKLSDDPPADDWFASASAVTAK KKKNDLVQEAKVEPGSKSGGNDAWDFWGAPKNKKKPQPDPEPEPEPAPEPPREPDPKP EPTKSTETDDAWGAAPVKGKKKKGKITIADEQPKEPDPPREPTAEPEPEPIPDPTPEP PKSYEEDNPWANIATTSKRKKKKGKNAVPEPEPVKEPEPEPERAPTPELVPDPEPEPE QEVEDSWGWGTSSKKTRSKKGKKIEPEPEPEPEPPKDPEPEPEPEPVPEPENKQEDDF WSFGVTATKGKKKKGRSKDPEPEPAKAPEPEPEPEPVPEPAPERSKKEEDDPWGLAAT SSKKKKKDKGKKAAEPEHEPEPEPAKEPEPEPEPEPEPEPEPESEPDILEHSMWSFGF STKKKKGKKEPEPEPPKEPEPEPELEPEPEPEPEPEPERQKKREDDDLWGLSTSSKKK KKEKGKKAVEPEPGPEPVKKSKDKKDKKGKKVAEPEPEPEMEPVPEPEPEPENDDDDA FWGSLSGNKMAHHETSSTKDLLDLDNKNGSHVEADPRASTESSGGFFGWLSGKNKKKS GESSDVSTKPEPTPEEIERAAAEEEKRKVEEAAEQARREAEEELAREEEQELAALVAK KNKKKRKGISRAEQERMDELEANANRRALAKEAREAEEADRERERQEEADHDAKKAEE KAKLEAEEAAEREREEEERERQEAEAAEVAAAAAAAEEEAAAAAEAEAAAERARVEAA AEAEAASSKKSKKGDRKSKSKRDKEREREEEEERERDREREREREKEKERERERERER EREKEKEKDKKKDKDRDKDRDRDRDKDRDKDKDKDRDKDKDRKKDKKKGKEKERERGR EREKEREREKEKEKEEEDEANYLTAEDEDDLFKDLNDADITAEQLEELLDDGPAGKGL KDKASIEPVETRDGPGDDPFSFWGAAKKSISKSQKTSSLLPQALDSPPQPPESDIHTG SNHAESSSKILSALGAFGGKSVWHTEAGTSPSPDLAKPTKSRSNKIADRMRAFEVADD DKDISGAEHDNDKDNDDRGKRRDKDDIYAEEKDSRDNHRAGAWGEYGGYEQYDPYEYE APIDDIPPRTAPPPPAPVEVVTPKEERRKKKSKDKKGKEKDKPRSSEPAIIDVEAFAA PPPPPPPAPPSPPPAAAPYLPRSTHTGFPGGFPLDDEDHLDNHPRRRNIMDSGDDDEI VDIIEMASEKKVSKRSRRAASSPEVVDDEIHPPPPPPVPVPPPAVPDPPPPPMSPMSP PFSRSVKKERTKINRDGASWNMWSAAAPPPPPPPPQEPSPKKSSSRSKEKERERESSS RKKASSAAKAEKSSSRDSGSEDRPEKIDRVRSKDNPANRFPSVFASTPPISRNVATRE KRHISSSKPSSRRQSVEMSGGIMSPPPEEMSSKAAKILGVGGAAGLATGLGIGLSRSS GRRSRKSVDEEGDVVMVDPTPPSPDKAERRRSRQYPPRPEDDVVMVDAGDATPTRPPL KRSASSANKKSGFSSLFGGVFTPSKSDPRLDPRSTDPRLDSRPEPRRRSTAFTTTDEE AGRRVEEDEAEREARRAARRAARRAEKEAAERGAGEQAAEEARRAKDEERRRRRKRQQ EEEEARRQEEKEARRAERRAQRAREEADRRYAEQPDSEKAERRRQRAEREAAEADAQA RRAARHQERRRGHQPEEPARDIVEEERHHRRHRSHQPEDFAQRDPEEEERRRRRDARR ATQEAQVREERHHVNGRHRSEPPVEHSVYPNEHQHARENTAGSWPHSGTSSWVKEHSD APPPPENEEEDEGPLPVEEVIDEEEARREARRARRRSRYAEAAAVENGGMTAEDLDEE RRRRKRREERERDRERERDRAERDHRYPPERDYHKGSDGSGDMRRDPRRSSTFDAATP RSSWWKRLAGKN QC762_301760 MMDPHQDPPLQQESQKYDAEDAGNGRPADNSSSNINDTTSDSDP EALAQVPSGPAYSVFSKSTKRWIVTMIAFSSFVSPMTANIYFPALVPIARDLDVSVSM INLTLTTYMIFQAIAPTLVGDLADAAGRRPAFLICFVIYIFANLGLALQKNFAALLVL RMVQSAGSSGTVALSFAVIADVAVSAERGKYMGIVGAGINIGPALSPVFGGLLAEYLG WPAIFWFCMIYAAVWLIPYALTVPETCRNVVGNGSIPAQSWWNMTAVDLCRARRRKRN GESLGGARTKQKLRFPNPFNTLKVAFEKDLALLLFYGTLTYLVFILIAATLSTELEAI YHYSDLQLGLCYLPYGVGCCFAAVMQGYILDHNYRRIARKIGFTIDYKRGDDLSNFPI EKARILPASPFLFAGVAAVICYGWVLHFETHVAGPLALVFLIGLCVTGSFSILNTLLV DLYPEAPATAVAAMNLVRCLFGAGGTAVIEYMLRAMGRGWTFTFWGLVLVLFSPILWV LTKWGPGWREERRVRKLKEEEKERAVTSRP QC762_301770 MEDGPVSQGSGTSTGAEERHDQPNEVRKSGVKPNSGSAGSVLGK VSPAETASKPQSLGQPRHLPNRQSHVKQLPAIATTQTFVHMADLTTAFNALLKGREAP PTKPFNLDTADEFLKEAHRINTLIARLHSELRNLRQAYLSTAAPRKTHLRNASSQPIF LTDRDREEIDANAKMTIRDLNARIRALEDAEKLRQSTAAALLKKRFSHGLGALGSWAA GGAMLGKSKEQEMAEAAAHQLEAHRDSIIWYLSTRLRETIRTQQRMMETRLARELEKN RSVLARAKGSVLLASGGGYTAEPVTAGARPNVHNASLAAEEEQRPKPRLDNDLTEDQI QMFEKGNQDMLKHYESTLDKVRTAEKSLIEIAELQNLLVGNLTAQSAHIDQLVAESFD TTEGIGKGNKELKKSTSRSSPARYTFFAAAGLCTALVLWDLII QC762_301780 MSSKAPSLTVSSSPSTSTSTSLSRLQTIANHMSPASITNFPAEA VPQAPEDPLFGLMRAYKADQSPDKVDLGIGAYRDDNAKPWVLPVVKKADEILRNDPEA NHEYLPIAGLASLTSKAAELLLGTGAPAIAEKRVASVQTISGTGAVHLGALFLARFYK VNGANRTLYLSNPTWANHHQIFTNVGIPIEQYPYFDKKTKGLDFEGMKASLANAPDRS IILLHACAHNPTGVDPTPEQWREIAELMKAKKHFPFFDTAYQGFASGDLDRDAGAIRY FVEQGFELVIAQSFAKNFGLYGERAGCFHFVAPPAPDAAEVTTRVASQLAILQRSEIS NPPIYGARIASIVLNDKDLFAEWQENLRTMSGRIIAMRQALRSKLEELGTPGQWNHIT DQIGMFSFTGLSETQVQKIRSDFHIYMTKNGRISMAGLNTRNVEYVAKAIDRVVRDLS QC762_301790 MASQERSMPFIKNLASSDRKIRTSALASLHTFLSSRQISSSLTT LDILKLWKGLFFALWMCDRAIPQQTLCAELANLINVLPRHAVVPWLRGFWATMAREWT SIDVLRMEKFLLLVRRVVASGFEWMKQGGEGKKKKSGKETAWDMQRVDNILDLLNEWP FSLEDEARVEQGTEKEELVPQTIPVGLRLHVLDIWVDEAEKVGLLEADDVEASKILQR LIDQVDALEQATTRPAVRIRSKDSLGDERLPGNRKPAVEEDQTTTDSGDGGDWDGFED QC762_301800 MDQDAMANPAKTASPEVVEAAGQELNNALVLSPGVTLTLGPESL LIPDQLVTKPNRSCLPSLGTKKGTPSSIPYYNILWAETSEDRSWLVVDYAHEDSPHHL VVRNLKFAVPAQTAEQTDDYMIKWVESLLKRAYGTAVRRKRAWVLVNPHAGPGGADKI WDKQVKPIFEAARIPMTIVRTTYSGEAVDLAQVLDIDNYDIAIPCSGDGLPHEVFNGL AKRPDARRALSKIAVCHIPCGSGNAMSCNLYGTHRPTLAALAIIKGIPTPLDLVSITQ GEERFVSFLSQALGVIADLDLGTEHLRWMGAARFTVGFLMLVLQKKTYPCDIAVKVEI EHKESVKRHYRERVTLGSADVEASNGSGQSRACDGTDADGCPTTSSSPSPSAAVDDGG PGLPPLKYGTVMDKLPEGWELVPYEKLGSFYCGNMAYMAPDANFFSAALANDGLMDLI ITQGDVSPLKSVGLQMAVDTGEFFDSQLVTYRKISAYRLIPRNAGKGGYLSIDGEARP FEPFQAEIHQGLGLTLSKHGCFEAPGPLNWDKVTTSERLLA QC762_301810 MPQILSTKEANLFRQVIRDYEEKRYRAGLKAAEQILKRNPKHGD TMSMKALIMNAQGKTEEAFNLAKEALKVDFKSHICWHVYGILYRTNKNFEEAIKAYKF ALKLEPESQQIQRDLAILQVQTRDYEGYVQSRFAMLKARPQLRQNWTALAIAHHLEGN LQEAERILTTYEKSVSVAPSKTDFENSEALLYKNSIIAEQGETERALEHLEQECKKCL DRLAVMELRARYLAELGRKEEAETAYRALIDRNPDHTDYYKRLVDVLGLTAEDEAAQK AIFDEYSAKYPRSDAARRLPLDFLTGDEFRTAAKAYLALMFNKGVPSTFANLKHLYSD SFKKDTLPSLAEEYLQEAEGSNAEASSDSSKGVGAALFFLAQHYNYHMSRDLTKALEY VEKAIQLDPKSVDFQMTKARIFKHQGDIAKAAEAMDQARLLDTKDRYINTKAAKYQLR NNENEKALNTLGLFTRAETVGGPLADLIDMQAMWFLTEDGEAWQRRGNVALALKRYHT VFNIFDIWQEDQFDFHSFSLRKGQIRAYVDMIRWEDKLREHPFYFRAALDAVKLYLSM YDKPEGVNGANGNNANGEDAAEKKKAAKKAKKEAQKAEKEAAERAAKQNPNKAQKDKE EEVKKKDDDPNGVKLAETKDPLGDAMKFLEPLLQFSPKNIEGQIAGFEVYIRRKKYVL AAKCLKAAQALDENHVKVRELAATMQKTMDGVLESLAPKIQEALKTELA QC762_301820 MNYRVLSDVIGNSRILMGQSDPRGIYESSKAKRGVTPLPNSTWK TSTTCTSASSIYQTDTAELLLISIINVDTSLGANRPGADALDPSSTSNRVSDKVAGVL WTKPAAPSQETVSPSASPAPRPHTSVPMTQSSSLPPLTPQFCFSTTVLRDFLRLSRGT IDDTITQNLNALATPSRAGFDPTSTSRLARPATRQIDASSCEAFKNQVLFPSWQSRSD VLSYCTLVATSPDPDDPEATLRETEKEKNRERVVDERLDPYSSRFFPREARTEQLATI LRQEMGVENIVRARSWGIVRERCGDIENSWEDALARWRKLHTAS QC762_0049330 MSRLKSSEPGPCVAPLTMVEQFLAQVVRMLEPWLGLPQRAAHFT SNFNFHLRFSESFSFSIFSLRSVARSPCIHLTSSDLPDTATYCTPSSYGGGLNYTRAS CGRDT QC762_301840 MAQSPESPQLRLQSSLDSNPVKPRVLPNINTLDEHLQSLPTQPS SQQLPQLSQSSRPSEPSQPSQPLQHHHPRFWTPPPPTQSQAQAQTQAQAPPPSQTSQP ASLPESTSAATSSSTTNGGGRGGEKDAADESSTVKHSNTYHHAPGASPAAAVAVGAAE NSMHQVRGSQYEARYNGDAPMLTASMMSNSQPLPGPPRQPVNYAGSMPYHPDSLPPTS HYPYPPQAVPPADPYRPTPTSLPSMRTLDHGHGHGHGHGHGQPQPPPQHQHQQHGMAL GGHMVAPVAPGPSGLGYHYVHPHVYGLPDPSAAGMRFAIAPGLAADPRIAMSGGRHKK EIKRRTKTGCLTCRKRRIKCDEGHPTCNNCKKSKRECLGYDPIFKQQPGPSAIQPAPN NQQQPSTPSTLASPAPTVLSSSTTHAYPPPTATTATYPPPPAPSVAFDSPASATPQSI KADQAYDYSAAIDPALQAAEASSTPASHYQQHIKTEGGTSHTVGDKNNLRAKKMKVDE LIALGGAFPPAPSSPPSPETIDEMTKLYYEVYVPGLTLFFETQWYDIAKDRIATVLSA ATLPNGQPLVSLFASFVDSISKIHGTDPSNMVASGHLETCVIWALARLPLLPSLNTAH PPSASNAPGRDSNEACGRLRVFETLISGETLESNPLTPPAASMSPSQRSELEFWFHLA QYLLHTHAPSSGSSTREHLLGLMRSLLDGRENRDVIYSIVVLREFTQRWDAGPNEQNV GAHLEESDPRSKLAVATRFIRDEAASTGGTTNVVRRLSDLAYRAFVRPGVNVNRTGRG G QC762_301850 MASSKSAGRPKVLLLGVIEHAQSSWEAVGEIAQILTPKSNNRAD FIQEASSGAFDGCVAAYRTFDSFEVTGKIDGELLQALPDSLRFLCHNGAGYDQVDVHA CTARGIRVSNTPTAVDDATADMGIFLLLGALRNVAVGMASLRAGEWRGKTLPPLGHDP QGKVLGILGMGGIGRNMAKKALVFGMQIRYHNRTRLDAHIEKEIGAEYVDFDTLLAGS DVISLNLPLNPKTRHIISRNEFAKMKAGVVIVNTARGAVIDEAALVEALDSGHVSSAG LDVYENEPDVHPGLLANPRVLLVPHMGTFTVETETKMEEWAISNVRMAVESGRLRSIV PEQKSMER QC762_301860 MSNFKVADISLAAFGRREIELAENEMPGLMKTREKYAADQPLKG ARIAGCLHMTIQTAVLIETLTALGAEVTWTSCNIFSTQDHAAAAIAATGVPVFAWKGE TEEEYNWCLEQQLVAFKDNKKLNLILDDGGDLTHLVHTKYPEMLADCYGVSEETTTGV HHLYRMLKEGKLLVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKIGVVA GFGDVGKGCALALQGMGARVLVTEVDPINALQAAMAGFQVTTMEKAAKVGQIFVTTTG CRDILVGKHFEAMPNDAIVCNIGHFDVEIDVAWLKANAQSVQNIKPQVDRFLMKNGRH VILLAEGRLVNLGCATGHSSFVMSCSFTNQVLAQIMLFKNNDEAFAKKYVEFAKSGKL EKKVYVLPKILDEEVARLHLDHVNVELETLTNVQAEYLGLDVEGPYKSDHYRY QC762_301870 MADVQKDVPFKSAQVEALVVIKIVKHCSASFPTTATGSLVGMDN DGVLEITNAFPFPTVDVANTDGHQNDASSLAAAAPRAKANIAYQNEMIKHLKEVNVDA NNVGWYTSATMGNFVSLNFIENQYHYQRDNDKTVALVHDVSRSSQGALSLRAFRLSPE FMAAYKEGKFTTESLQKSKLSFKDILVEVPVIVHDSHLLTTFLHQMPTLPETAEVPLP TSLNDISRDPARLPANPSFDALDLSIDPFLEKTCDLLLDSIEAHYTDLNNHQYYQRQL TREQFKITQWQTKRKAENAARVAAKQAPLPEDEWQRLFKLPQEPSRLEGMLNARQVEQ YSKQVDGFTAAITSKMFAVRGNLLPE QC762_301880 MTISQEPAIVAEAQDSNTRQVYAKAPIIVSESEVVATPTPSPGP DRYLVVSPYTEQQHLLDLDTLDTENQLLALALTQMRCLRDDYATAPYLDTFNWPDIID TLRALANQRQHVWRKTSFYIVAFRSRIPPTTIYAELGTLDKAAHVEATSSGGFLK QC762_301890 MRDNTQAEILAAGAVAAFTVDLLVYPLDTIKTRYQSQGIVGQPG RPAPVSHGLRGLYQGIGSVVFATLPAAAIFFISYESAKSALKFSLPSTAPQPAIHALA SAGAELASCTVLTPAEVIKQNAQVLQRSSSSGHSRSSSIEALHMVWRSEGGAGRRLWT GYTALVARNLPFTALQFPLFEIFRGQIWNWKRGGAESSHTRKDYADSDQLQDTRQSKE TRQNGLKSALVETGLVTGASAAVSGSLAALLTTPLDVVKTRIMLNAGSSSAPETTWEI TSRIVRKEGVRGIFRGAMLRGTWTALGSGLYLGSYEMAKIWLKGTSPDHPNFS QC762_301900 MDFQYHSTLFHIPFEVRDAIYSSLFSSTILSFATSVPTDDARLN KLQTPLHATALLRTCRRANAEICKSWLSHVLFYFDDPMSLLDKLAPIPLEELSLIRYI SVRGDPLLLTYPPKIQVHHNLVGVLKLLPRLQLRQLTVLGSGSDKFQYRMLDELIKHG NGWQELRFISHESGMLGYAYYCLDPTLCDRYQRRPQPEHWQRVMEERDGVHSYPSVSI YRGTRTGHCGAVFKPETREVMAQSHNGAPFSVDDYGTAEDPVFISESERGKELMVAVR RGQRGVDYEEKTNSPFLPGRDIRRDFPGKTWVEIRAACTKAAY QC762_301910 MAVKSPLLAWAVTLFCDHVRAAPASLVPPHYSGEAQRLADPTYG PIPGQSDLYNYYWGTDRPFPGNISDPIFPTQKGPPGVDDWVWQNLLSAEWLIFEFYQQ GIEAFTPQDFVKAGMPNTTYRRLMEIRNNEAGHLRIFQNQISPTSIKPGRCQYRFPFT EPVGYMALMTVLEISSMAFLTGLVQLPKLDFNKGAMLAIAEVETRHEVWALLDIWKAD PFGGPSDTIFPFAGEILDSTNAFIVPGSCPRENPEFPHNRQRLPALSAGKDTVSLTPG SRISLHFDDPRNQPYFNKRIQYYAVFFHATASISVPINTRHWPREEIWVTIPRNFETK GVIVACVADRQGAPTKESVIAGPAVILEQPATLATALLRGKSG QC762_301920 MFLFALAVAAAICVFQLAHSLQRKKSVRRRNDEEAARLGCSPAC VMPTKGFLGFGRLVESVKATKADRGPQYVVEAIDQEMGKDVHTCVVPIADYELIVTRD PANVQAMLASKAPDWDVGEQRNASWKPLFGSGVFTSRGEAWKHSRALVRPQFTKDQIN DLALIERHVQQLFSAIDRSYGAGEKGWTASFDLQPLFYNMTLDITTELIYGYSVHSQN PSERVELPVIPGYEPPDRENIGTHMDAGKAWVETRGALWKYRWLLPTREFNAHCAAVH KYAEWFVQLRLQRGDKYLAGIQSETGLTSPGRYILLDELAKLTQDPVELRSQTLNILT AGRDTTASLIGWVFYFLARHQVVFNKLREQILQQFGPYHPSQPSGIEFKELRDSIPYI NSVVNEALRMAPVIPLNERVAVRDTVLPRGGGDDGNDPMFVPKGTQVLIPTYAMTRRD DIWGPDVNQFRPERWEENGGRKFGFEFIPFGGGIRQCLGQQFARTKTAYVIVRLLQRY DKIENAQEPADAPMRFHHTIENRSGSGVQVRLHEA QC762_301930 MTVNKTLRGHKLLMVMPWKQPAEFIENLKAEFPGLQVVTYRQTE WDQTWAPFPDEEWKDVTVLLTFTVLPTPEQAPKLEYVQLMSAGANHVLDLPIFKDTEA KFCTANGVHGPQISEWIIGTYLAFQHRFPHYHEKQKEGRWDRSDLNLIDDAVQKTIGI LGYGSIGRQTARLATAMGMNVHAYTLHPRTTPASKKDHSWTPPGLGDPDGIYPSKWFS GSSRADLHAFLTSGLDLLVIATPLTPNTQHLLAAAEFELLAADGRKGRTFVSNIARGP VVNTPDIIHALKEGLIKGAALDVTDPEPLPDGHELWSMENVIITPHVSGASTHYNERV LSILEYNLKRLSEDTEPVNKVNKREGY QC762_301940 MGNTISIARKGLWGFHEEPRIKRRCVRRNPPPSTGTSTGGGNGS GGGTGSGSGSGISTGGGGSNGGGSGSGSGSGSSGGSGSDSGSGSGSGRGGDSRSGTSQ SGGGISREHGDEGNTGGKGGTGSETSHDKGSGDNGGNGNDEGGGRHNGLESLGGSGTS PSQASSKKFFQAVAGSTGGANSTDPSNTATPTDEYGSPLPNPTSLPPGSDSSDSSDGT VVGGGWPSTGVIIAIVGGVLAGLAVLLVLAWLGHRAWKRREEKRLMTTEKSGIPPLFS SESFLAPPPLPTPGTAVHNTSTLIFRAKGLTKPPTHPFTSIHEADSSTAVHEMPSPMP ETIGNRHELATPDILEIPDFGDIDLDITDHEDDGDDLGIRRPTSLL QC762_301950 MGLPAAALSGQPCRVAAAVSADTGSTDAFLPIFQFHEVLRLWIS LFDKEVSLPVCTQATASRPFFSFSFPLHVRYPKRDSRFLRTPSQPHTVASKEGSFRVA PRGSTYSRRATMAPASLSALPNELLEFIIEDLDRLKDIASLARTDKRLYDAANSYLYR RAAERGDAWPLAWAAQCGMVKTLKMALAVGLDPNIQLVDQLPSSEWQKATATAKSAAL GNADDCVWESDNECESNAVVEWSLDAEESDHATTLDTNQPSSSIGASDHWGHAEDSES DSESDVLMDDMMSSDTDDSTSSFDGHYGAGLGPRIPTRSPGTVTRRFHPIHLAVRGGH TEIVQILLDHGVSVIACSENFCGCTHLYGVLNALESPENSHVPHWSPLHIAICHSRSD VAQLLLSRGATPAMDLWNPHGEHRGLEDGATALHHAAAMGLTDVVRYLVDNKIQTNVD VKDNKTLTPLYHAYANGRFDSTLPLLLELGANINVETKMYIPYTTITPLGEACRLGAF QDVDKMAELGADVNRGFILTTNGGGLSPLHMCSMPSARPAGQSPLDICEDERAIPRMR TMQSLVSKGAVVDAKDCYGDTPLMAAAQHCNVPAVKALIKAGADVHARNAMGRTALMQ AIVGPSNKAMAPAKVNHNALAQTMRALLHAGARIDETDLDGSSMLHLPFRRQKSYDEL QLFTLRFFLNLPGIEKLFSITDNRGWTPFVNAFMTPNIAACDIFVRKGCLRSGLEPDI LKDLFRYAVKDAPTASMDSLLEIVLDLDTDRLLTSDPSLFMTMFNQANQRATRAMETI ARRGLPQFTPVDSTRVLCHALRTMELSVAYSLIDAGASVNTPDESGDYPLALFVKNAI MQSPALAMPSAEQLLLAFLHHDANFHLPIRPGSSERILNRVIALEAEEALTLIFKIQP LSNDPRAANGFYLHGALSLAAGQRLCNEKVIDLILAAGPDLTEVNRAGDTPLSVLLKS LCRERRWTWKYHRFIRALTSPGLDINRQNIDRKSIVDYLEDLMHPKNGGAGQTTFLTR RLRLVDLEGGGKALKFLPRPQKRIRPRNIVDS QC762_301960 MGDTRLKMVGGVTTSSSSTLDRPNLSRLTRRSHSQRSSDPGDLP HVSPLPSNPLDVMEQGYMADDHHNSRHAQEKGSEKISYRRSAITFIRTSWASFVSFSK WAVRILLGQNPAWNSAIMVLATYLGILVAISSIFGWPVLPLIRIRGRQGSSVIPFGGG SLSRARPCHTTTINNYSPTTINNYV QC762_301970 MSLFSLKRAALAALSFCSLAATVQGSPIPSPSEVEVTIRQSGGY KNIVYFTNWGIYGRNYQPAQLPASQITHVLYSFANLRPDGEVYLSDTYADLDKHYPDD SWSEPGRNVYGCVKQLFLLKKSNRHMKVLLSIGGWTYSTNFASAASTPASRARFADSA VRLLADLGFDGLDIDWEYPASSGEAANYVLLLQAVRSALDSYSATHASNYHFLLTIAS PAGPTHYNTMQLRSMANYLDFFNLMAYDYAGSWDFRAGHQANIYHTNDTATPYSTERA VSDYISAGIPASKIVLGMPIYGRAFTNTNGLGQAYSGVGGGSWENGVWDYKDLPKPGA QVVYDAAASATYSYDPAKRELISFDTVEMIQRKVAYLKQRGLGGSMFWEASADRTDGQ SLIGTSFRELGGIDSSPNQLRFPDSQYENLRAGFV QC762_301980 MTAARRTRSAVGTTDRAPRQLPGSACEACQKRKVRCDRKSPCGT CKDGGLVCEINTQRRPRGPKKGELNNLRSQVGVCLNRVVALERRLSLDPTEEALTLAT QFSEAPPVPEMPSASSASDQDFSQFPSPSCQRRPSWESDIHIAMSPMTPSSLPMGFST FQFPPSPQSPPRCAFVDDLMQADLDQLYFDRVHPNVPIFSQSRYFARSRQISMMDGPN YLLCLQYAMWTLAMSFSSQFESSRDLFYKETRHMLENLDLHEDDMNTVRVEQVQAWLL LAFYEFARCNYRRAWITAGRAFRLVQLARLHEVDISENAAECDDAFSREEKRRTFWVA YYLDRLLCIRNRRPLTLIEEMICTRLPSSDLAFQGDSPVQERFLEEVFASGDHSLLSP LAESAILLTIFGRAVSQCQAQRTQSTYASASLEFWMRHEWVKNTLSSSLNSLMVNHPV ISAAEPMLFFSTMMAHAVKIYMCQIVESTSHEESCRPNVVECQNQAMHAAREIARLVK AHEHIPYFKAHIFLPLAIFLAASRLMAHPNRVVENMQALTPPGSSCYQGMEVKDGINA EFQCCMKALRRMQSFNNLAREYLLVLEPQESFSLTAFQGAFLCE QC762_301990 MESNTPIAIVGMSCRFAGDVDSPEKLWKLVSEGRSAWSEIPKNR FNINGFLHPNFEKLNGTNVVGGHFLEEDVGLFDANFFNLSAETAAALDPQFRLQLEST YEALESAGITLQDVAGSNTSVFAGSFFRDYHESLIRDPDALPRFLLMGTGAAMASNRL SHFFDLRGPSMSVDTGCSTTLTALHQGCQSLRTGESDMSIVGGANIMFNPDMFLAMSS MTLISKDGRSYAFDSRANGYGRGEGSATIILKRLDDALRDGDPIRAIIRETGVNQDGK TETITTPSGEAQEALIRACYKKAGLDPSQTTYFEAHGTGTPTGDPIEVKAISSVFQDT RPSDDPLRIGSVKTNIGHTETASGVAAIIKVALALEKGQIPPSVNYEKPNEKLRLDDW KLKVPTELEPWDGKEDVRRASINNFGYGGSNAHVIMEDLDSFTGSRRLQQAANLDNAI SRSRRSSSALEYLPRRRVFVLSGKDERATQAMISNLKDYLLNTKITDEDGFLDNLAYT LGHRRSRFPWVTSVSADTVEALIKILDSPKAKPAKAAIASPRVGFVYNGQGAQWWAMG RELIEVYPVFKAALLDCNKHLEKLGATWNMIEELNRDADTSKVNQLDYSTPVCVAVQI ALTNLLRAWGVNPTAVTSHSSGEIAAAYAAGALDLQSAMAIAFARGGLASESNRQIAR QGGMMAVGLGVEDSRRFLSRATTGKVVVACENSPNSITLSGDVCGLEQLERVFQQENI FVRRLKVDAAWHSHHMEAVAEAYHSAMDKKVRSPQDHLDFIFSSPSTGTRMEDAREIG SPAHWVRSLTGRVQFVDAFRNMCFDTPGAELSVDMVIEVGPHAALSGPIQEILRMHEF NGVVIPYESCLVRKKHAVETMHTLVSSLIQKGYPVNLAPVNLPYGREGIKVLHDLPRY PWNHQTRHWIEPRFNKALRHRQEPPHDLLGSLVLGTDLSAPSWRHIVRMSDIPWVRDH VVQSNVIYPAAGYISMAIEGMAQHAVRQGRKGRVLGYQLRDIDILNALVVPETADGIE LQLSLRPCSEKVLDIKGWTEFNVQSVSSDNIWMDHCKGLISVEHSSGDEMGFCQNALP GHGFKLAHPMNESAYRTRVSPQDMYAGLRSGGICHGPIFQNIKSIRTRGQQSVTSFTV ADSKATMPKHHQHDHVVHPTTLDSVFQAAYTAIPDSSNVRQTPKVPKTISKLWVSHDI NAQAGHFFKAYTNLSHIDNQSMTTGLTVFESPANEMIESIAPVITIDGFVCQSIGTAP VQQTESWEQDKFTTTQWVPDIGLLKEAYLKDNLSSHISDQERDTLMDLRQACLFFIHD ALAELTAADIKRLERHQRKFYIWMGHQAELARTNQLAPDSSRWIKAPLKEKLALFEKV RSGSTNGEMVCKLGPHIVPILRSKITALEVMLEKDLLSRYYLDALKWGRTNAKLGELV KLYAQKNPRAKMIEIGAGTGGATSHILQGLGNSKEGTDPNVGSYDFTDVSSGFFEAAK EKFQDWNNVVKYKKLDIEQDPMKQGFEEGGYDVVIACQVLHATKSMNNTMANVRRLLK PGGKLFLMETTKDPVDLQFVFGFLPGWWLSEEEERKFSPSLTIPMWDRVLNRTGFSGI DAEIRDCDDDDLYAFSVMMSTAATGPPKFDFDIAFVTANPTTPNPWLDQLGVSIGLLT WTVPTVHSLDSVTADDNRVCIFVDDSESPILASADRTEFEGLKTLSTRSKGVLWLTQG GAMDFKTPHAGLAAGFLRSLRQEYVGKRLGTLDLDPTRPLCSAESVSYITQVFRSFFN YSDLEAASDFEFAERNGVISVPRYIKDVCRNNNVFRRPAEQSVHPLEPFIQQDRPLRL TIGTTGLLDTLAFDDDASALEELPEDFVEIEPRAFGVNFRDVMVVIGQLKSRVMGYDC SGVVTRVGSVAAANGYKPGDRVSVLLRGHYGSRTRIHWTSAVHIPRDMGFETAASLPT QFVAAYVSLYDNARLQRGETVLIHSATGGVGQAAVMLAQRVGAEVFVTVGSEEKRKFV MEHFGIHSDHIFSSRDVSFASGVKEMTGGKGVDVVLNSLAGTLLQASFNCLAPFGRFV EIGKKDFELNNSLGMEAFTRAVSFSSVDVIALGECKPMEANRIMKDIVRMVAEKEINT VYPISVLPLSDVGKAFRLMQAGKHIGKIVLAVDDETMVPVVPRKLNARLRTDASYLVV GGFGGIGRSVCHWLAEHGAQHIAVMSRSADSFGKADQLQTELISAVGHQVKVVGLGCD ISNMKELNRALAKYARTGAPSIKGVIHGGMQLKDSVLDNMTLEDYNAALHPKLHGSWN LHQYFSGEGDLDFYIMLSSLVGVVGFASQSNYSAGGTFQDALAQHRVARGLPAVSIDL GIVKSVGYLAEEQESAKTIEALQRHGFMGLRESEILAAIDSAIATPLAGALMLGINTG PAGQTEDSPLRWDARFSQLRYQKTEQEGGQMSNKSGSGDLASKLASASTFDDAAEAVV SGITKKLVDIFMIPKDDIIPSKSLADFGVDSLVAVELRNMLSMKAGADLSIFDIMQSP SITALAGAVAARSSHVGTAILGG QC762_302000 MVSFSQMQSSNTRIIEVLPAGLVAVFVGGTSGIGETTMKQLAKN TVEPRIYFVGRSREAATRIVAELHDLNPAGEYHFIQADVSLLHAVDWVCREIQARESV VNLLFLTPGAVLTSQGSDTIESLYYPTAVTYYSRIRFIVNLLPLFQKASHLRRVVTVF GAGKESLLDGDDFPLFPGRYHQAGPLQTTDHGSLSTMMTLALESLALEAPNVSFVHSF PGFVKGSSSNSSPKTGGVIRAVFKVVGALPLFEAGERHLFLATSARFPARDGVHSRIP TAGVGLGKGGSVAKGTDARDGSGVYSVNFDGESVAFKVQDTLQQLRDRDMVRRVWQHT IKEFTRVTGSAFV QC762_302010 MPSSSRTNNISATVAENMTSLSAVIVRPDPDAGLSESERAAVDR KLVRRLDLVLLPWLCFLYLLAFLDRTNIGNAKIDNMANDIIMSNLGYSATLMIFFVAY SAFEPVANVLLKRMRPSIFLPLIMVGWGGCMTAMGLVKNWSGLMAARFFLGVFESGLF PGVNYFISCWYRRDEFALRAALFFSFAALAGSFGGLLAAAISTMDGIGGFAGWRWIFI LEGALTIVVAIASFWMVQDFPDTAEFLSEADQERVLRRLDRDKQSSAHHENFKMLYVW QALKDTKTYLAMVVYMGPMMPLYSLSLFLPTIISNLSFTDPSQIIKNQLLSVPPYAVA ALVTIVVSVFSDRHRKRGIYNMGLACVGIFGFILLVASSNPIVQYLGAFFWRCRHLC QC762_302020 MPVIPFFLANTTTLDSVRPLLILTEEQTDLLVTIERALGSVSLA AVTLVFVAFALFRSLRTVPNCFLVCSSVANVFSSVATLMTQDGLRTAIQNGDKGLCNA QGFLFQMFLQSNPWWSFAMAINVLIVFRYDADQGHFKRWWWVYCSICYGGPGISAGIL LALGYYGNVRIWCWIDSSHQMLRIYTQYLPVWICILGTLLIYLGLGIHIFRSRNDVDT KFPLRASPEHPPILQPIPRDRKSFLSFSDWPLSPFTSNALFPESPDGTHPLAPMPMTV TRAQSSHTRKSRSIHTRPSRSIRTQHSRYTRAALPTRVASAPSRRSSGYMRAFGLNPR RAPSPPTLSEQDGTLEPRRAEPQSVNGLREIISAFTIDDPVKRSYLLTACLSAFAILA TWIPSSIFRVNQILHGDTNFPAYVASTAALSIQGVWNFLIFFLINRRTCVSCVRDRLS TPQQSRMSASLDHGQRSRPLTTVHVKTQTELNRDIIGLAESADIAIETKSIKTLPEVT EIYQNDDILTHPLPSREKDAQGPASTTNLAATMTTPPTAHLKPQPSKRSLRPIGPVLK QKLSSSNMRRDLTVRIPWRSPSRTSNWSLSTTGRNKKDEEGPWEGESSQRSYSWDFLD IGLENRGNLLAAISSRGTPTGSGGSPITLHSRASAVMGHSRGVSSPC QC762_302030 MSPYRACYDNSFRPPSPSSGPTDDEDYEAYLQASPYNNRSYISN KKSVSGGKTTSTTYRSPPLDTYEFERQPYPTPRTQARESPSTSDSDESTPSDSEYDSR RGSYSRDWDSDPPDNRFEEAKRLVFSVLLWVPDTALSVLQYLWELPLRVLRAIRPWAL YPLLFALSGVLIYATLRPTIPYIYREIMEDLLTSVPYGRTRDKLWASHEPGVFDNVVA FLWGSRAESEKDRGPEYLKRVESLQGGFVATAQICKQVLVESEEMYHSHDSFKELWRL VYDSDWERGKMAGEELWAVWKRMALETKALIGDFQKRIRLLHTKIKEEDLKLVDLAHQ LKQLKALRPSEEELAAGKKSTSPKILRSRPRGTALPTAKVDSEETKKETVELDELGRR RLRDLVVTNLDRTRSWISAARVDLDILMRELEVLLRGLEKATSIVFHIYPERYYHDRV ELAIWNRLLTKARESGLSDKSAEDLKELPKHILSAQECIWVMVTTLGKSSEEIELLTN ALTSTTRRLAPRWTVDEVVGVYANISQELEESADLLGLSTLP QC762_0049550 MEVEFPAAVFGNNMTAILKLFDPRHPPTFHVGQRGLLRPHGPHD QFAWEDFVRKDKLKPFLDEITAVENKACGPHDLYRTTLEEFDSETRAYGKLRDLQGEC IPSLYAHVFIQAQTADPALAGRPEFSIFGILMEPIRGFIPEKLPDLPASDAPPKELWK TIVQDAVYPANEINKRGVAMLDCRAGNALVKHDTHRVVIHDFAQCQFRNEVEAMYAEQ KAEAEKGDEGEDNGGKAHETKAGSSTRKDVFLHTHQIIKEIHPDHHVTRARPGGEMDL LGYAAAGHATAVQDNYNPPGTNDVPVHNVPGFYLDAVRAYKASPKPFDRPGGTLIDKI YFGRWLYTWQDLTFILYKCVVQNTDCGNDLMHYLLAPNDADKVDELGHHLDTDRLLLA AGDWSCTLHNEIYVYDNGDWIKDPLLWQSVQGASWDDVILEPAMKDALMRDVIGFFDT RDVYQDLGLMWKRGIILHGLPGNGKTASIKALINSLEARGKEDGSKRIPSLYVKAFDS SMGGKWSIRYIFEHARKMAPCVLIFEDLDSLVLDEYRSYFLNEVDGLESNEGILMIGS TNHLSKLDPAIAKRPSRFDRKYHFKLPNEETRKRYAEYWRKKLESKPIVADKFEEEIT YLIAQLTDGFSFAYIRELFVSSLLALVRGFNPDVVEEDPREDDAGDESSSTAGDGVIV EKPAIVGEGNAGVENTEEQEKTGEKTKKFKPKRVFPVLDIPEYLQDNLLLKIIISQAK ILFEEMDRDDDEQKEKEGDGDGAIRRIRVKRGLPAPRMQLVSGTTAASPPADSASANS APDDSAPDDTDLLRM QC762_302080 MSKTMPTLELNPHKRIPLLKIKTHHPDHEPQLPISETKSHPTRP TASDLPDPPPSNNTGSIYFIGTATTILSWHGARILTDPNFLHAGDHVHLGPGVTSERL TNPAVDINALPPLDCILLSHYHEDHFDRLVEDSLSRQFPIISTPHAKSCLTSPSKPEP FQNVTALDFFDSLIMPIVQPTSTNSNGKTPQIKVTAMPGKHVPPGPLAVANDLLGAVP PTNGWLLELGYTTSAETAQNTQVGYRIYISGDTLLIDELKQIPQWLHGEKIDLMLVHL GGTTIPGAKMPLLMVTMDGVQGVKLMKMMNPDITIPIHYDDYDVMVSGLDDFKKEVNG AGLQDKVVYLDRGEEYQFQVRGL QC762_302090 MQRTPSSPLLPDYLSYLSRTRHLYVTLPTGSDIEHIMSHQPTVI KEGEWQDGLCGCCSGGHFWMGCCCPCILVNKTHELLENPSNPSPSGCGMWGCAWCGLN FCGGWGWILECLQRGEVRSKHRIEGSGCTDCLVACCCPCCGVIQSHKEVEKRRDAMQG GVPDKMGYQGQAPMRA QC762_302100 MESSPIYNFSSVAEFARIHKAGTEHEFLQDARLIDEINGQDLKF DAWLITRIRQTIKRSEYLLLVQPGSNGESKMPSQGGGGKVRIAFADGTFSGYWDVARI ENPVAILGSSSSPLAKLPAYKVTVPTAQHHEVLDKPIIDSTGSSSSSAATSPKRLSPA ASSSTISPIASSVGNSSGLRCDSHDHKIVADQNDLASQLNPTNVVKVNFHLFASESTK DSEIGALESLHGRYQNATDRQLDAFNYFVTLTNPAFRVNLHDKLPHMKKALDRPGWFE TPLGKRFQMLNQQQKEAYLHGFDHLPCGICVLPGGPGAGKTHFNLFTIAMAQSEPMVR FGKRPNESAKVLFIVDMNSPVDDVANRMVRLYQDLGMKKSIIRMKGWGFEVNRSSKLN QAEDAAAGGISNADFTNQFLATVKEMTTGQNSETSCRAPSLDEAAWERYEAHKDTEYE PIKKYMEEELFGEEECLVVPLRFRNLVYDLYRDTLADADFIATTPVAASNHFKGMFKP DLVFFDESPHARELCNLVAIANFNPVAWIFCGDHRQTVPYVGSAGSGSQNPYARQMQI SMMERAARAGVIRHELLINHRAYGSLHRLASGLWYNGRMVSGTAKTENRPLVHVRNYL SRLIGNKPCGVPRLIVHLKGSVGEKTEGTSCWNPSHAAWVMTRVKELLADQHFRKPDS VEPGTVLIISPYRAAFQRYKKELRTLPQWAQKRVEARTVDVSQGHEADFVFLDLSKER STDFLDDPNRLCVALTRARLGEIILMSGDMPYSEHFKHRSEHLRRMYAACMDRSPSAT SENGDGNDLCSGNGQVVWLHAEIPDNEDWSTPLSDDWPTMAYDDLDEIDQSALLHRKA TEALAAQHKRNEEFYRRSTTRKINQEAYDWLMCRDADGDSEKEFSPENEVLREKYVQR KDIVQEKECIEEKQVEEEVSTEKENSVDQNDHVIMKECGQGKSCVEERECTVEEGFVI KNEMSSQPGGGGGLALLACLVGNLGKSQADQ QC762_302120 MRLQPANEGQLLIGVVVGLSCPRRCGVVRGCRRDEVGHTAQCRS GERECQVFNWPNLAGLHLQPGLPNHDSHACRLRRHHGPEAVCPSASSQQAHLIHLGAG VVLGCRCRTCDEHVPSQEPLVHFPVGFPGSQTPSSIINTSWHHSNIATHLHSMGYFVG ITSTGFVGTDELSAVTSFQPCHIFGDQMLIGTGIRAGFYLLYIAAILAVLFGVDKQFR LWHGAWGILALSLFLSMFLNVVDYNLIIIDYAILIQLVLWYPVYFVFTVLFRQALVVD GRRGAKTDTEYRERLQRCRQSAVTELDVARARAYSDVLKAFALHAAAEEADADHDAAQ EALVHAVQHYVSHWHEQIEVPAEDGSQLGNNTDGGAVTTVYNTELIEEIAAAPTRADI DKLRDLYVAALVHSHHSVAEARAAEHEVALIASEELVIKRRARRPKNAFRHFLLTTSY KDQLTAAIGLLIWSMYMFGTAALNWPLLRNGNKQGGACDNVPTVYFVLASKKPFADAG FATFLRVWTVGVCIVAVITTAVALFILFVSFFGPAALGLRQKERKRKGKKSVESGQGE YVYDVRSSPGYSCKARGRHTQEILSCIHQTQSRTIRHRYQSRTTSPIQFTLWNIPWAV LLAVLLLVTIVCAELTINRQGPNNNMPLDFARPPLRETSEILGFLIGLYSLVLTLLSV IGAFVAAILRKRRRGNQHDERHIHYYRREKGGQAGRSEQPALVD QC762_302130 MQRGSIFGASTSSPSDDATDFLTKRKPDGSCQGHILVPKELLAP MLYDVGVLFLSKRDSDKNEARCLSSLGSAFEICTSNIILKMSTKSVLFAGVQLLVVVC SAQKNEFFRWNTPRAAGLEGPSLDRRQTTPPGYHPEFGSCGSGTTCENACGANWLSCR ASTELSLFCYNQVDLGQSCCENGSGRACDRGYYCAWKELGGRVWCCEDGQSLEECGVG EGTTSAPPSSSTATPSAGPSGSTDTTTTPTDTESDFPTATDSQCVPSTVTSWGTTTVV STFEVTVTVGTGECNTASTVTSPPGSSSWTDTTITKPPTSQTTHRPTNSTMTSPIVTA GSSDLRVNLGSLALFVLGVMLY QC762_302140 MHKHGIPLLFASLVTSVSAQSCRLQFDGRVPPSFGVAGFDTPNN FFSETNVLGAGLSFSQLIQLPAISASLFDIETIPIEVTISDASIFNGQTGFRRAELLP ASNSGIDDSTTGIKTLHFSIGKDAQRPLNLSHEYQLVFLESNDFSTNQFVLKTGSILG GDTAVDPDTLQFFGDVNQTPSKLLFSVPFLDGVFHNFAVTLDFDALTTQVFFSAGGDP LEAVTQVISNNVSGQGQFYFGLLKKLTDAVGDITRNGFQEDGIDEGIIFDGIFQEDSS AGCVSLRP QC762_302150 MITAMAGSASGSRAATLLTLIALSLGDVADAKFLSMPFTTDFDI FGSGTYGPDGPWQAVGVLLGSHERKSHLVPLEGPTVAVWPTAASMVALHSTKAGGKYN ITRSGNASEPFLKITEGTSEDNASALFRFADLNSNHRTSGLMVVDAMTFTNLRFEEPG YANVQATIYVMDSSVITYPNNRTSKPTVGVLGFGRPSDLNFRGTSVLVQMKEAGLISS SSFGLHIASVPLKQRGSLILGGYEENRVIGPVGVFTKTTGLPVTYLTDVSIGYEGATE KDREDGSVWPNPVPDEASRVGLARFMQPSENGIIAIPNPAVPGIYLPPPVCANAATKL PVVWKEDLGYYLWDTTDPRYDTVMNFGGYMAFTFLDSTAANITIKIPFKLLNLTLEAP IVDEPVPYFPCHDTDSYNTGIWELGRAFLQGAFFGVNYDTNVTFLAQAPGPDMEQSVT RSLEPTDRNMTGLPVKAFVNSWRKQWPELVIPDTESTLSPGALAGIITGTLAVVGLLT AVGWFVWRRRQRGRGAQQLSGEQPSDSEMKSVSELGIRDKVEAKICPYPEKPFVEIGD STVLELDSRSVTSVRTVRTARDLSQFSEAPDSPGVYEMPADNPFVDRQRDKEKEADRE SQR QC762_302160 MLPSLLFTLLSGAAFIHPTIASPSVILEKVEAKSIPPGWSFDHN AISTDKITLTIALKEPGFADVKARLHQRQFGGNNHHLSRDQLHTYLQPKNKNIETVKS WLRSNAGVKNVHVQGSLLSFEATAQQVKDLFSADLKYYTYSSDDDDENILRTTALRAL SYSIPSWLRAYIDFVHPITNFMPPRKAGRPTKKPTPNKPTTTKKASSSSAKATPTKKA TSTTTKRTSPTTPKVLTSTTTRRTSTTTIKKTSSSTKKPTTTSRPIGIPIITSALSQE TGLPDPEEPYEGNYPCLVATSPRCIKQLYNITYPPYNPASSPRSPVNFGVAGFLEQWI LHADVADFLSQYQPDYLYRSATPTGPYNFTVELINGGINPQNDPANAGIEASLDVEYA MALGYPTNVIYYVTGGRGTKLDRDGNPSSPEETDNEPYLEFLEALLAKPDAELPHVLS ISYADDEISVPRPYALRVCDLFAALAARGVSTFVASGDGGAAGTGQTRCVMNDGSGQK KMFIPTFPASCPYVTAVGAVDNVAPPFTGEDFSAGGFSNYFDRPSWQDEAVKPYVDGF VSRGDSRVGLFNSTGRAMPDISAIGSGFQIIMGGEMSEVLGTSASAPVVAAMVALIND ARMRAGKQSLGWLNPLLYSAKVRAVLRDVTVGESYGCLFPDGSTQDGWPAVQGYDCVT GLGAVRQFDELMEALL QC762_302170 MAAEGGTDGGFQAYHEPHVIEILILVSFFLFLALSDWLADKIFR AGLIGQIIVGLVYGVPLANILEINWRETFLALGYVGLLLITFEGGLTIRLDLLRQNFI LSTIAALLGLLTPIALSFALLYAGFGHAPLEAFIVGTALCSTSLGTTFVVINSASNAT DYSQTRIGTVLISAAVLDDVCGLVLVSVIHQLRGIAEDGDVNLGWIIGRPVLASGLLA ILTPLVAKFVVGPLFRRFLEKPLSKFKHTSNILLMTVVLCAFLAIAAFAGASMLFGAF LAGAFLSSIPSKEKTPSEPDTTSFVTTFEYYLSGPQKFILQPLFFASIGFAIPFGELW TGEVIWKGVVFTILMVLSKLVVGLVVPAWDMFSAVGFPKPAPPANERMSAGWAPATLL GMAMVARGEIGLLIIQIGLNETPFLTRKAFVVGVWAIVLNTIIGPVSVGVLLKKVGDQ IATDKTWGIQSKPRDIDIESAMAPEQPGQDGRLPEGKGEESIGGV QC762_302180 MDYQGQNLTLTYENGQEVTVESVAMVRMGANFTGVRTGEGFYTL FCDPDASIGVEPPATTEVPPQFPTSTRTDTSAGDVSSTNTLPPLSPTISNYPWPAVRD NGSNITSGYFLNGTGYDDIVVLALSEFYPPDMDPMAYLVDFQATIANLLAKSREQNKT KLIIDVSENGGGLVAAAYELFAQLFPNTTAFSANNIRETLSVVQISNVSSADAVEIKN FDANTFDEINPDETARFKALSSLHENSMVEQLIPGHLFSPITGLNLTASDALLGPVIL DNDTFTAYQYHPWNQTEDVFNITGAGNRANVPPSPFTVENIVLLTDGACSSTCATLAR LLYSVNGTNNAKIKIKTAVVGGRPDPALGTVNNTSTGPMQAVSGVPGAQIFYFSNLHE AAKAVLVLSPELNSTTNSTENEDLLLLAEGYAMKRSLAGIGGGEAAGSINGKNDFVSL DNLETPIQFLSWNEDVIGGVNETNYCHYYYTKEMVFGPTKVWERAADAFWGEDGEDKL CLPLSD QC762_0049670 MSKEQLFQLLPMPDDGLQQVLEYAATLSKQEAAEHFSNMLGDSP QVVEFISTFNARRSDPKAPQPPRNAPTSTPTYSSASTAQNSAQNSEADGVPKPRRGPK KKKANIHTPPPRQVASFALGPGTVYSKKDSQDEYISARSGASTSSHATSGPSKPPPTK TATPPPTQTSKPPPSALGSLVSDLGQPKSKNKSNPTSRTSTPGPSSSKNNNGNTAKVT ITGGVAMHGSSTVLSDLDQAIRSLEITTNPSHSTNSAEGVAARRCNCVGTRHPPLAAA PNCLHCGKVICIKEGPGPCTFCGQPLLSSAEIQGMIKELRADRGREKMAADREAHKKA EVAGTPRPYTKTRDPTIAEAQALAHRDKLLAFQAQNAQRTTVRDEAADFDATVGGSMW ATPEERALALKKQQKLLREMEWNAKPEYEKRQQVVSIDLTGRKVFKKMAKIERPPTPV DDVEDDYGYEAPILQGTHVSKGQGGAFSKNPLLSGVIRPVYDLKGKGEELEGRKDRAT KWRRVQDDLENNGEVILHGGIYGGGQGARVGAVGDEPECDNLNFQCALITGGGGGLGK ALASYFISKGKKVILAGRTKSNVESAAKEIGATDYYVLDTGKTSAFPEFVSLVTQKHP ELDCLVNNAGVQKPLEVSKLDPSEFLYKADEEINVNIRGPMHLTLQLLPHFRLKPAAM IINVSSVLGFVPFSIINPVYNGTKAWLHFWSMNLRTQLRDEPQAKIRVVEIAPPMVGT DLHRDRDDPDDNKKEKNPISLTVEEFMQEVAKKMERGDGMITAGPMGREIVGAWYESD KLGKRYKKIEEGGM QC762_0049680 MIAQGDVQLCPRALLGRYLAGKSFLSARGSWLVLLAELGLRRAV YPPAHFLPAADNKTLILRLARLSILRTGTAVLAPSYRYPRLLDPYHSEPALCRAPLPR NPPIGDAFSQLRIAANTTHGTTLESSSRLPVTCTEIEDSTRRGHTLATANAILLFLQ QC762_302220 MPTTHVEPGSEALLEEIANSLWKSKKVVVITGAGISTNSGIPDF RSENGLYSLIQAQFDAAEKQQDHLSSASDASDSSSEERPTKRRRASHDLSRPIDGTKL PGQWPHNTAQNTIKSEKGHGAASVNPESSDPTDDDPLAQPATPRDTSGPQEDVTLRDV ENSEHAPGPPTVESANASFGAEKPVEPPLSRGLTPLPSPRLKVPEGCPEITPGRIMMG PGPSFTSSPPSMPVDAPRLRSRTDMLAHTRSSSPLSSPPPISYDPYQESPEGSSCSSS GSSSRSESEEPSSVSTPLLTSQTSYASSGRMSLPHMKGKDLFDSQIWSCPLKTSVFYT FATTLRNKVRTAQPTNSHRFVSVLRDSRKLVRCYTQNIDQLEERVGLSTSLTLGAGSR YRFSARAGRSSAVSRSLLKGPEVSDLSGDGCSQQDSEQPPASQTELESQELKDESGSG EPEGKQEHDGSGSDSSQAVPSSSQPPSSQPAPSGPKRGVECVFLHGSLAELRCFVCAK TATWEDEERQAETLAGRQPTCPHCAGATAAREEKGKRALGVGKLRPDIVLYGEEHPHA HLISPLVQHDLSLGPDMLLILGTSMRVHGLKVLVREFAKAVHDRGGKVVFVNFTKPPE SVWADVLDFWVQWDCDAWVEDLQHRKPALWLPPGTVLPDQVKVKGAKGPRRQSGGETG KRKEPADTASKKRKEVDGPNRKKQKREIDEVADSVIVALDPADVREPSPPPALEVPII PVTPPVPSPSTAPPVRPEVVREIPRLRPEAPPRPREMAMTTDRLPPPIPLPGPSPLSM SMTISPPPKPTRSAPPSPPAAPVIPELKPIPRRPANRVVTREPKLNPDAKRPASIRDH KLNGAYLTFKILGDLKRITGEPPVAFYTPSPSPVASRPKSKRARKSAPSALQGVGLES VDCTLMMEDDVEANDSRGLAHESSEVKDERVTNPMDGTVGIPDIPVSQAVSSISAMVK SRRRKRTAWRMIRGVETQVSLDDNGEVVLPLPLPHTAATFRPLPSPSFRALPEPRPTP VASPVTCQPSSNPFASIENGFQDTDRLIDKLREQSRPSTPLRFQFPPLNIPAPTEQSP PKLETLEPKVTSPGPLTANNVMSPIFAKPRNPFFFADPLAGGLAFPPTWHQHQFHHHQ ATTSMERTTSQQSATSHQRRGSWNPEDQLQQEEREREVAMMLTMMSNSHGMGYAGV QC762_302225 MSTRSSRGRRSEAIHISHLRFYLCFNASAHDCFRTVSSQSLIFP SLGPYLVLREIKYLGSTYIMASLTAGGSQDVVMAQTEDIELSAGAFAPPSPAKNDEAA MASSESEDKDDNEGIKVVVPIEHVVIMRLGAKEVDKYEELKHDACFVNNSDNEGLREG PSIWEKEGSTTTKQLTATYAVPFKAVTKKRSPVGDDGSRLSPGGRPILLVKSTIPTCG GERRPRFVIRVVHEGRKKSNGHVMDGPAPTTKARGYGVVSPNPINRQILMQKHFHWDC HQLSPFLSLPNSHAFINPKILVIDSTHPSLDHDKSKLFASHVVIARFRLRWKEWHRNE YFVENSIPGGGNRPHHVFEGGADGLARFVCNSD QC762_302230 MIRTVPARRAIVRNTRQFHSTPFRPEAIKKDGPDGTTSTATGSN RSLVLLGAAIIGVAGTYGMMMGSPRTVVPDDTSKQPVSGTSPTSARANQASGKLDPNN PK QC762_302260 MDNLTTSLAQNLTISLSPADLQATFSNDNNDDDDLGRAPPRMGF NTEPKLCQFILSRRNVTASVPLEIRPSDCSTGSGLFIARDEQDGIEAGREIYRSKPLM LAFDGAGDDGWCHFCGGYFEGYFGGEKRGVRVCSGCGVGRFCSKECQKLAWNRFHKEE CKVLKSTPGIKPQSLLAHRLVWFQQKGYITTEQGAVIQGLEAHFDEYTREEGGKTTEV YDVAMAIRDVTGEGREKKIDVGLIWKLVPQLWTNCVRLRGSSSRETVAFALELVTAMI NHSCEPNAFAFMEKGEIRVRSLRKIAAGEEITICYIDPTVDVKSRREILMDEHFFECN CARCKDEIETQKRRVAADGETSMATVRQAQISMLDLIKSAAVTACKYPGVYPDFANLT VVESKMNTIMKNAFPNFGWGDDLDPVPMVRLCLSVLYLEQGKPAPALRNGLRGYFARR GGRTGPNAVNILVDIIHVLIAASCLPPDSPVLKDASFPLRVDISNVMYWYLYKACKEA GEVFGGDCEYTKAIGDLFAKMMAGLPAGASRPLEEDFMEELESSLRRVVEWAGVWVYE GA QC762_302270 MSRQGAHEWGDRPPPTGPKARPPNKKRRAEAHATTDSSEPWVRP ESQSYRPARSPSPKRHKPEPPSGNGIAIKGSAGNRHYSSATEEEDDRGPPAQLGDYQV RRQSSAGVEEMAAGGGRDVADVDFRGDMPFPDYFPEATPWVMDRVRHEFRKLWEFLEL PNLRPVTATWHPLVTATLSELGRVESFCDFINARRRELHGQTMDFKRQLNKFERDYKA QSEEMEGFKKETDKFRRQVTKLENEAEVYRKQIAKLESDNSQQAAEVDGQKKKISKLD QRLSRQITETEICKKKADKLVEDCAKQAADIEASYKKEIVKLEEDKSRLAAEVEGYKK QIGEGETDKKSPGVGSEGGQAQVCKPELGRIPVGTQEDYPPRAPRGPKHWAKKNDDRT SRSPAKDSTGEIEDYKTQIGKLEETCKQQVAQIKGYRMELERWRQDSHNWSAEKERYK VDMGHLQQELGRLEKERDSVVAHKNRRGDTIRHLEAKLARMAAKKDPRAELFRVREEN EKLSNDYDALKASYDNQLLMFQNLERDAAEQKKKFTTIRVEHQRSIDKIKAEKQDLER QIVEIQKAHNGNNQRSPADHELAAPDIDQLMGGMEPKMPPADEPKRSTEQLPKSAQQH IDQFLGKIMSTGSAVDFSTTPVVRQVSVPSSGGDTISTPKPAGISQPQEGQAQGGVRL SADHESQELLCYQAMVREKDERIKQLTAEYIELQKLFGEKIAQIEKDLQTNKARLQQQ AQGHDDKPGGDTVNAILKSRVDKLLVKDKDKETALKQLRDANFKLEHLVEEKDDKYKQ LYAVNVTLQSMVQEKTSIVERMEEERNQLQGDIQARDVQIRALEGKISETEAQLCEEA DKSTKLRQIIAHQESEISTLRATSSLPDTPASAVSTASFQLQIPQAASSDDGLRGDNG TGLGLSAQQEPPVFIKRERPDPGCELMETTEQDQDLRKGIVSLVSELFNITPSQKWTT DTIVKFVRCLGCGQDGNTALNNARAEMTSISDAWTLKDVWRRDCAEQTEQQSYLKDTL TGRFTHLCLLLSSVREGQDDMSTCQVIGELARGLVSADHSQFPLAGMAFLECVASNQA KPQRVRATEGLMAILICELCRHLQQMLQAPKNYWGIKEILGTTEDEAAETSTIWKLAT ILAEDDTRSDPLETRKRLAQTCGDKFSFFYQADEDNKEREIGLLSCGTGMEDDTNSQI FLMLDFGKRWIRMVDCGLAYFTSNRAAPRMLDLVIAREDGEKKEEVELFKIEAAPKDV AAFWLRNICYGG QC762_302280 MAAFPRLLLGLLSFVLFAFVLGSPISSPDSPRDGQVEAREAGAL AGDNELVLAPLPTWTTPPVRPTRPVLTPIPLEPTPAPVPTRRAIDIEPPIKANPQKGC TTTSYESWVYPCSWSGTQTIYPTTTTLYKEVNCNGCENIIIWKDYSSCPNMVINKTER VNTASTYWSTICKPTALFAKRKAVDDIPAVQTPTIHNIGGSQDQVPIPAAAQITPFPK PVVELRSPSDGHGEGGHLQPDVCQMTLVIQPPQSAGKTSTKYSRYTTTTLSVNCSGCT SLVVSTALAGYGPPGVFTTTTTLPVGAVTAYACRT QC762_0049750 MTGQNTNSVPLFFGAHPRPDADSTFLIPSQLGASSLTVTVGEVG SFPFLRARDSTARGSSPEKPKSHKPMGRRNDVTSRRNIAVKLRCTA QC762_302290 MPAVLFHQSPTVDGMGGVNDSRGVLRMSISGQESLYIRVNTGFT RGHGLVAHETSMITAIFAVASTVAATSVAASSLLSTPTSYPLTTGPDVQELAAWTANP IRTVVTVNSNPIDVLAAAEEDVPKVLEKLQELCAGPYAHKYPEICEYLGGEELWWPTA VPSTSPSILSYISTPQSSSIAAKPTLSVPTTTSSLPKPTSSPPIPTSSLALPTSSLDS STSTATSNISPADSELSVVAATRLIAPVVPTSVSVAASTITSSPSTLELTVTRSIYRF HLTAPPAEMVECPYSSSAEGENVETKALCSVTTIHHHLPISTLITVTGPVLSFPRNGA PVTTMEEPTGTTTMLRTRIRFTRIKTIDTELLNDDEDDAPLPPASVQFVSSCSPTLEI DTAPTTPTAGVEPELPTAVLPSATNRPGWTGYRPFPSISWFRSADPPGGCTQTETIFS KMTGFQTATVFAATARATRVVACECPNIKVVLVGGPGVVIEARTTVTVGEVRTETGFE CFTGVRGF QC762_302300 MDMDMDVDTDRDREAATTPPGPAPSTPTGGTLAAGGGGGGGHGK LTEPRRRNRPALSCIQCRTRKIRCDRNEPCASCLKSKIVNCTYEEARRPKPRLWRLSP APAAAQPDNSPTAEQRLAADSGYTYKEVSLAPAPVPGPVATSAATANTTTAVAAGTAT TPSSASTTSTRTSTSTSTSTSTSTTTATVAALSPPSHPTSYRDPGPRSSSATTTTSTT TILTARTAEPVSAPTPAIRHLELTPSHSASGFGNSTAALAERVQQLEQQLAEALRNPD RPQHTSQNAHVPLHCPQGSGSKTCLANGDKFFPLIINLAKRIESDRDSDIYFLLKKCK DLCRVIKSQKVPPHVGFQLGSDVPHESVARRLVEAYFRTFESVYRILHQPSFWREYNH FWENRTAASPAFIAQFQLCMAIGTCFQDDVAALRPSAAQWIYEAQAWLASPCEKARLN ISGLQTMCLLHIARETCGVECDLTWISAGPLLRTAMYMGLHRDPDSLPAISVFRAEMR RRLWATVLEMTLQSSLDSGGPPLISVSDYDTRPPSNYDDDQLCEGDKPPPNPRSPGTF TQTSVQLALLRSFPTRLAIAQYVNHFKAPATYEETLKWNTELTAACRALSATLQQSYD PAGILPRRLSLFQLRLAEHMVHRFFLALNHPWLWSAQHNPAYYFARKMCVETSLKLYR AFATGSPAGDSGTARETDDFTRLSTCGYGAFRSVPTLAVLTICLELLWQVQEDRSFRQ SMNLDHVLERPGSEADLGTTGPLSIGSGAAPRQDLLDAVKYSIGWTVRRIRMGETNIK GYLMYSALWSQVQALQNGASDAEAEEQVLASIADELGQCWHLLQEAAGGKLPLSMIGA ALNGGYGPGRNPFEDSKMDYSYGLDSEGSLCDQGFDSIFNFHNADFFIGT QC762_302310 MLVPVLRRQAVQHVRLARIALPSLTRWYASYPPHTVVKMPALSP TMTAGNIGAWNKKPGDSIAPGEVLVEIETDKAQMDFEFQEEGVLAKVLKDTGAKDVAV GNPIAILVDEGTDISAFESFSLEDAGGDASAPAPKKEQKSESESSAPTPAPTPAPEPE STGPSGRLEPALDREPNISAAAKRLAIENGISIKGLKGTGPGGKITEEDVKKAQSSPA AAGAASAASYQDTPISGMRKSIASRLQSSIVDNPHYFVSSSLSVGKLLKLRQALNSSA EGRYKLSVNDFLIKAIAVASKKVPAVNSSWRDGVIRQFNNVDVSVAVATPTGLITPIV TNVESKGLETISASVKELAKKARDNKLKPEEYQGGTITISNMGMNAAVERFTAIINPP QAAILAVGSTQKVAVPVENEDGTTGVEWEERIVVTGSFDHKVVDGAVGAEWMREFKKV IENPLELLL QC762_302320 MSSFAFVVSALDRIAQHAGRNKQLTDLAEKALAALKENDQDLPD PEVVFAPLQLATKTGTVPLTTTALDCIGKLISYSYFTAPSARAPSQDGSEQAPLIERA IDTICDCFQGEGTPGEIQVQIVKSLLAAVLNDKIIVHGAGLLKAVRQVYNIFLLSRIT SNQQIAQGTLTQMVGTVFERVKTRIHMREARAQLGQKPSHSSLTIDRSDDQDTQTEKG DNDEGATPLDEKSEAGAKLTLKDLEHRKSFDDSHMGDGPTMVSQVKPAKKSTRSVSEQ SLAEAAHEDTPEALDAEDEAYIRDAYLIFRSFCNLSTKVLTPEQLYDMRGQGMRSKLI SLHIVHTLLNNNIGVFTSPFCTITNTKSNEPTSFLQAIKYYLCLSITRNGASSVDRVF DVCCEIFWLMIKYLRAPFKKEIEVFLNEIYLALLARKNAPLSQKLAFVGILRRLCDDP RALVEMYLNYDCDRNVDNILQRIVEDLSKFATATIPITPMQEQQYEDSHAKNGAGEWQ LKSVLPPPLTAAMITNPHDTDGDVPKEYAIKRVAIDSLVETLRSLLHWSEPGRPELNG GGGEVERRASSDEIRESIDPSMSENVPRIDTPIPPSTPVIDDDPDQLEKEKARKTALS NAIKIFNYKPKNGIKLLLRDGFIPSDKPEDIAQFLLREDRLDKAQIGEYLGEGDQKNI DIMHAFVDLMDFRKKRFVEALREFLQSFRLPGEAQKIDRFMLKFANRYMTGNPNAFAN ADTPYVLAYSVIMLNTDLHSSQVVRRMTKADFIKNNRGINDNADLPDEYLLGIYDDIQ SNEIVLKSEREAAALAGTLPAQSTGIAAGFGQAFSNVGRDLQREAYVQQSEEIALRSE QLFRDLYRSQRKNASKAGTKFIPATSFKHVGPIFDVTWMSFFSALSGLMQGTHNLTVN KLCLEGMKLATRIACFFDLATPREAFISVLKNIANLNNPQEMQAKNVEALKVILELGQ TEGNRLRESWKDVLLCISQLDRLQLISGGVDESAVPDVSKARFVPQPAGRPDTADSRK STSSSKKNRPRAHTGPQGVSLEIALESRSDEVIKSVDRIFTNSANLSREAIVHFARAL TEVSWDEIRVSGSNESPRTYSLQKIVEISSYNMTRVRFEWTHIWDVLGEHFNRVGCHA NYTIVVFALDALRQLSMRFMDIEELAGFKFQKDFLKPFEHVMSNSSDNRVKDMILHCL VQMIQARGENIRSGWRTMFGVFTVAARDPSENIVNLAYEHVIQVYKTRFGVIISQGAF TDLIVCLTEFSKNMKFQKKSLQAMETLKSIIPAMLRAPECPLSHRTKKVESDALVMEQ QRGTSVEEGFWFPVLFAFHDVLMTGEDLEVRSNALNYFFESLLRYGGDFPSEFWDILW RQQLYPIFMVLRSRPEMTNALNHEELSVWLSTTMIQALRNMITLFTHYFDALEYMLDR FLELLALCICQENDTIARIGSNCLQQLILQNVTKFTPEHWSKIVGAFCELFERTTAYQ LFSATTINSTASLSPPPNGLDFGAALSPTSEVHPVDEKSLKINGTESNGHVSDTEVPP IVVESSPETDASPASANPSAMAATPITPQLEEFKPTNTLQQQPVVVTAARRKFFNRII SRCVLQLLMIETVNELFSNDAVYAQIPSAELLRLMALLKKSFLFAKRFNADKELRMRL WREGFMKQPPNLLKQESGSAATYVAILFRMFGDKSPQRQDSKGDVEAALVPLCQDIIR GYITLDEESQHRNIVAWRPVVVDVLEGFAGFPEKSFREHIKNFYPLVVELLGKELGSE LRGALLGVLRRVGEVGLGIENMGLCTAGLNGEGGQVGGHHGRSHSVFSVQAGHQGDGG EDPSSRFMGRG QC762_302330 MDNVPISGLDELNNHLDDLIQDPNLAIIPKLFDDVELQLTDSNI PPLIPSLLPKLTQILQQYNDDPAVIVSLTIKLLRPISFTQVLQLASEDSLNQALTSPA PAAQLLGLTILHKAAKTPTDAAILSVMPSLVTSLLTAWLSSPKVEVGQKASKVLGDLL DIDCPRPPPPPPPAITTGTTPSHHELVLRRVPGKGELWKLIFHQPSTYNLLLDLISGR HPGTANNTSQLSLAQGRTLRILPRLAALNIDAIASSNILAPTPIHLTNGHLTDDDSDT EMNGATRNENAATNPPQQGEGLLQYAALRMVDKRDALMHLNLVDFFEAFVSLMRITEY SAVKEAIVKGLLRDAVPHDDMLRAALDTLPDRTVAEEADDLRAWLRVVMPPVAEVPLR QC762_302340 MFRGGRGGRGRGRGGPMMGNRREIAQNSVPWAIDPKDGIFIDGK PSDTYPVWNVPKPPTLTKKEEKQLDYFLIFREQAHDSPLYTEPPPRSKDSRKRAYGQA QINSRYADESKATIDPFTAIETYSKRFERKKRTLPDFSNLPFAKEFFPTELHATLDGL DDPNADRKRRRTGPKKLAVSNITSYGAGDLDEDGDEVNGEPDFRKALELLDNVEKLDG EDAFLSGEEDEWGNEDEDNEDAADAEAADQYEDESEDDYNAEQYFENDDDDYGDDGGD DGGEGVF QC762_302350 MFGRSASGPGGLSINTGGANLFGSGTTQQTPAAGGGLFGSTTTT QPAQQGTSLFGGTSTATTQPQQPTGSLFGTTATSQPQQSGGLLGQTTTTPQAQTGGLF GNKLGTTQPAQPQQQQQTGGLFGGGTATTAQQPQTMSLFGGATTQQTQPQQSGGLFGA TPQQPQQQQGGLFGGTSTTTGTSMFGTKPDAPAATSLFGGGSLGQSTMQQPAQQQVPG LTMGQTAKQSVVPGVRIDLSNIRSTTRFNDLQETLQKEIADIDDRIQKCIRDYEAVEA FLPSHGELLSAIPTDVSFVSRKSEGAHRALESDIDAINQLHELIKTDADNARLSFKAI DNLKLPVQYHQTGLWSKGGSTGAGDANAESNSDLITFFSKTADEMDTMMNRFEKNLGE IEVHLHGVQGNMMEALQRAAAGNRPGQNGVDENVLELAAVLREFEEGILKVAGKVGGL KEGITELQLKDFMGHGS QC762_302360 MSSSQPPMDIDEDEDFYGDSDQEATPATTTTAQPAATAAAPPAA PKNDSNSDLEEGEEEDEGGEMDEDEDSDIDIITERKDNSQPAPPPQSKYSEIRNIPQR STTAPEGAPPKQYPSVPREESRQQQQTELPPVSTSKIDVNAIPIHKPTGKPLTQVNID EDLPDNDKPWRKPGTDLSDYFNYGFDEFTWALYAQKQEAMRGEYNQDAIAQNNTKMME EMTKMMMMGGMMPGAGGPGGPGMGVPGGGPQMQGMDGMAPEMQAMMQQMMASGMDPSQ MGDMSGMFQGGQQPGGHGGQQGQGGFGQGGFGGNQGQGYGYDQQMGGGRGRGRRGRW QC762_302370 MGGQRHQGSPVIDRYMMPQAKRYKHSYGLPEKTQSTERPNAVRR GSSSESGITLTLGPGDVAAVDKLGSSQSSVSESKESDAIVIFLPIFGFECHRHRKYLS DAISNASGELKTSQQDKVISEAAASKSSPSRHAKRRSTVSSSYYSTPSSPFKDAAKLL RNAMPVSNQSLLLDGYLRSPKPVHCRRTLDQFSYYMLSSTETRDKSQVAYKWAKDTKA CDKAKDRPIIMVDQLWVWILHNGTVITSFPTTWNGDESYDLMKALMAELGGNKERPLI RSAEHLLHLILRTSLDFCKRKGPAGFRFEDCFRGSINSVSEKQNLLFDEFRRITTRLE KGNLSPKKKKKEIESLLRLDEETKLLIEIMDIQDELTIIKTILSQQETVLQSLLLLYP KKGRDDESDGEDDADKPLRNREIEGLVRDLLRAFGQGPVVNDTSKVGSDVPPRHVHFQ EVLCHQQATGTLPTDSSIQGGECSNGGELARSSSQGELKGKGKEGQSEQQAAGPSPQL IKGPEAKRRDQEEWGPTASSDRVNKPETKKQKALLTHPDLMYGTLGIVQGHIRVVTNM LEVAEKVQSLVSLGAKRP QC762_302390 MFNLETTMPLHPSSKTLRPPSRPISRRPSLNNAPTTMSTTTATI TTTTRKTHPSTLSRQQSSTLQRNQQSSDLLITTISPLVHTIHHFQQLAYHLCLNTLFA LSILASASFVASKAVAYRSFLLSKILAESGVLAGRWVYAKVWNGQRSRLFRKRLEFEI FVLLFGSGNTILLLVLWPGWIVLGLAGVGWWLVNG QC762_302400 MSDQTPTTSRFTAQNQTTNERLSHTTVGLVGLADFRKRRAEVLE QQEREAREAATAITRANNNSNVPTPPDRSQTGTPAAAVPVPSESETDRRHHQPPPKKK KKTTTKRAVKALVSFGDDDEENNQEEDGPQTTKPKSKNPTPESESDTKKIVNTSAPVI PKALTKAARLKEQAEKDALRKEFLILQAAVKQTEIAIPFVFYDGTNIPGGVVRVKKGD HIWLFLDKSRKVGAELGVGGDKNANARRDWARVGVDDLMLVRRGVIIPHHYDFYFFIM NKTLGPKGKRLFEYRAEAPLPAGDGAAAAATASGGVLKAGGNIRTLEGAGDDPEWTKV VDRRWYQRNKHIYPASVWQEFDPDVDYSKEIQRDTGGNAFFFSGTK QC762_302410 MQESKPPSIQMLSKKRKVLDDLEQPGRKSQAAPASQQSLNVAAS DDSHFRHLYDRELDFKQLAEKDVDFAAVLQENGHLDFTEPKAVMQLTKTLLSLDFNLK LKLPDDRLCPPVPNRHNYILWLKELVDTSSYEPPGRPACGLDIGTGASCIYPLLGTTQ RPSWRFVATDIDEKSLQYAKENVALNHLENRITVLGRQPEDTLIPLRGRESEKVFHID FTMMNPPFYESEDDMLSSAQSKVRPPHSACTGAPVEMVCEGGEIAHISRMLEESLVLK EEVQWYTSMLGKARSVETLVDKLKANGIDNYAITEFIQGNKTRRWALGWSFGPMRPAE HVARVKTSGWKKVSPPVLAIEVLSLHPGRSIDHAITRINEVMRSLELLSWNWDTDARK GTGRTRENVWSRASRRKKMRDQSTSFSVLSSAAPGSDPVQCRLGFDVAVEMGVPTTTV TVHWREGHDAVMFESLAGFLQGKLKDLG QC762_302420 METDKHSLEVREGNPPAPANGEECKAPVEGNPKAAATDKKTGER VAGKPKDKKKTEKKSSKAKRKKKADALPSSDESATDDDTSESDSASDRDDSSSESESE SKKKRVTKKRHEASRSKRKSRSKKSKKPVTSEESSSSSGESDSDASKAETEDCSEDEM SPREAVKQMHLLVAQAQQLAQQQQHLSLGVPLSSSQGMQFQRQNAMPYSFNPSRQYNN DDYDGGAPPSFNPPRSSRRGPRFGQTPGGRGNYGLGDLDAGLSNLLDPNLLRDGKRPT KDKKERKKQKKKEEAAKLNYKRVDQVWDSSIHNYKLQDTAEGSVDSQYHDFLFHVRRT FDWEGKYKATIVDIKSKLLRECLQDVMGNVKGVSLVESTPKLDPNLLFLYLDDLKTAL KGLKRRTCKGAKRDLKKEQKRLDDKRKHLKVLIKYLDKDYAEVKKSLYPMLKNGLITF DLLWALWKPNTLAFAHTYSSPDEPRVFKVEIAEKHSSFMKGDYYYLEGKYFEYDGKQF GYGSVSEEIMEFRGARKITSLNCYPLSYHKNEARVREELVERGKKFVGLAGVYYKSHQ GMAYYKKKKGVVKVNINGRIMVDPAIHRRINPNYPISMVRPKDHDRYSDDECSDESDC SGCGSDDEEEAFKRNARLYRTKRSGPPENNMGEKLEKVSAQNDEVGEETKDGEEAENK EVPATGSKDAKKIPEFSEEDYLIASPVVLGFSFAEKLWLEFTVSGVKEIQWNETAYDS LVLESKTKDIVKALVESHKYHAAESIDDVIQGKGRGLVAVLHGPPGTGKTLTAEGISE LLKCPLYMVSAGELGTDSRFLETELQKILDICHAWGAILLLDEADVFLEKRNMHDIHR NALVSIFLKQVEYVQNILILTTNRVETFDDAFQSRIHIALRYGNLEQKARKAIFKIFI DRVRAHEGSKLGPFTDSDYESLSRKELNGRQIKNTVRTAQALAVNKGEPLCMSHIRQV LEVQANFDRDLKGGTGYQDAMRSYF QC762_0049890 MKLTLSALTLALAALPELALAGFAASCTWSWQEPKYVVANCKKK DGSNFRTRQDMNLCVGVDNWTGRLISQNTGNAFLECWDTHKDGNTGIRSTCWDYTEAD VVSTVNIDAYMQNLDGWLWCHGHRSAPY QC762_302440 MAPSAIETQTPVVPIVTKGAAATTERPAPLKLTGLLDQFESSEV TPVIGKEFPKANLVEWLNAPNSDDLLRELAITISQRGVVFFRAQDELTNELQKKLILR LGELTGRPATSGLHIHPLLNSERELGGDDPEISTISSIQNKKFYACGAIADELSPKKQ STGQWHSDIAFEPVPADYTSLRLVELPTTGGDTLWASGYELYDRLSEPYQKFLESLTV TFQQPGFNRVAEAAGFKLYDKPRGAPENIGTELKAVHPVIRTNPVTGWKSLFPVGGHV KHINGVTEEESKALLTWFLDLVYKNHDLTVRLQWKNKNDIAIWDNRSTFHTATFDYLG GEYGERFGNRAVGLGEKPYLDPNSTGRREALAREKGQQ QC762_302450 MATVLTRGKALTRATAHGSEFEKKDFDASSTSVASIPPLGEPIE RKKHFWQRSKPYDSEAIATLPSVFDDPETAEKYQPPAEWENTHRFDPLARWTWGEENK LIRKIDWRIMVWACIMFMALELDRANIGQALTDNFLPELKMNTNDYNLGNTVFKLSFL CAELPSQLVSKWVGPDRWIPTQMVLWSIVASAQFWLSGRTSFLVCRALLGMLQGGFIP DIILYLSYFYKHAELTIRLGYFWTAMSIADILSALLAQGLLRMRGVEGHAGWRWLFLI EGLLTLIIGIMAFLLMPAGPCQTASWFRGKGKEGWFNEREEVIMVNRVLREDPSKSDM HNRERITVGLLWKSLKDYDLWPLYALGLVFQIPFVPVGQYLTLSLRGLGFDTFQANLL TIPYTVGHMITMLAVAYAAEKFSNLTALSVTSQIWGLPFLIFFNVADLANTNRWVIYA VTTLLLSFPNPHPIQVAWNSRNANSVRSRTISAAVYNMAVQTSGIISSNIYREDDRAS LYKRGNRQLLAILCMNIVVYALVKVYYVWKNKSRDKKWAAMTEEQRLDYLATTKDEGN KRLDFRFEH QC762_302460 MGDCTHMNKHHHLLLRGASSLTVSLCKRSGPTHLPPARPLFPSS GAGGTTTAAAIVSNFFSRHHHSILTSPHHHLPKTARMSTTTPSPVDLIEQSKRLAAFQ SVTAHLVPFPSRTRIGIGSGSTVIYVVEAITTLVPPTTLSTMTFYPTGAQSEQLIESA GLNLQYINKLPPGTLLDVCFDGADEVDPSLNLIKGGGACLWQEKIVATSSKKFVCVAD FRKMSPALGTMWKKGIPIEVLPLAANRVLGELERMGSLGPKVRPGLPGKAGAVVTDNG MWIVDAPFRPLRVEGTSDKEKGEWQVDELADALIKIPGVAEIGLFYGKNGNQAGEGGA QKPVEAYFGMEDGSVKVLSA QC762_302470 MASVVRSTALRAGGACVRCRKGKTKCVYENGRAPCKNCAKGMHE CYLPSESMAHGGHGVSPARMQHRIRESLPSERVVSSSAVDRQVPAGPSSSVSRHASAA HEKLTPELMTECERVISKTLPACVAFHKPTFLVALKNASMEPTMVNALLTTAARHSPA MIRRYGGHGSSSTAAEHFASKTINLVMQNLDTPSLADIQSVCLLILHEWGCRNAVRAY TYLGLAARMAQMYRLVHHHNSTNEPDQFQMEESFRRTLWLIYILDCFLTSSPGRHPAL THLDVQDVSLPCLDMNYNFTSPVHVRTLSGAPPAGLKDPSAQLSEVGEFGHIVLATKA WRQVVEMMTTTTLATFSDERCVQLEQDIEVLRQSLPMHFADKPNNINLHITMGSGYTY AFIHCLLNCGTIFVNRRRMLQVVTDENFSIDLWRGSSHTHVQTVDKIFAASHSIIHSL LALETGADKDSILCFPLFMLFAAFTAGSTVAYLTLKGLAPANSSESAFNIVRDSVRMC QDGAESWPLVIPWQRHLSVMSKVLRDVKSAPRDKAREDSKKRALSPSVKDDNVSQTDT NPDAMDYEQPDVSAAGPQAVPTTEGRASEPPVPRKTGITTINGGPVAVPTPADSPPPP VSVVAKVDSPSPASPGSALGGVQSSSTGPDVPDVDMTALELCAAFERQLLELDDLAAF MGGGVGSAPS QC762_302490 MTTPTLNYLTTRLPSLLPKSTHLSDIPNPFRLLASLDRQNWAFF SVAFFAWTWDAFDFFTVSLTLPDLAKSFGRSRTEITWGITLVLMFRTVGAVAFGVVGD RYGRRWGFVVNNGLFFVLELATGFCQTYSQFLACRALFGIAMGGLYGNAAATALEDLP PIARGLMSGLLQQGYAFGYLLSTAFSRALVDTTPYGWRPLFWFGACPPVLIIGLRLLL PETRTYQKLKMERELKHQQQQDEEEGSGQEGGTGTRKKGVTHVFWEQGKQVMRKEWLL LTYMVLLMAGFNFMSHGSQDLYPVLLDTQFLFSRDQGTTIQVIANVGAMLGGTTVGFM SQFAGRRLSILVMCVLGGALLYPYTATDVANQGWGRIAAAAFWEQFAVQGAWGVIPAH LMELSPAKFRTFVVGTSYQLGNLVSSASSTIESTIGERFPLPPETTPDGTVVGQRCEY GKVICIFMGCVYAYVVVLTLLGPECLGRRFDRRDSEALEDTGVVFGEDHEQGFEIFQG AQGEREKRDRKGEEAV QC762_500010 MALTQVFDYMITYGVSYGYVAAGRCLLLLYVDRDDWQTLYCHPC LPADDVGEPTNDWTDRLSHTAVAQLVSFCLSSFQSEALEGQSLETALSVAKATLKTWP EPYADVANLGLEPAELSSAPSSQNTDISEFTSKAKPTGRNVALRSRSSCKPAAVLPPG NEHDEHDEEDHSKPGASRSRLAANKRRCGPSSGDEDEDIAMADPVPTRQYCTQACLLG LKRGKDLDENCPNVSLHRFDSSSRHPVNAHRFTDMVEQQLLLSPYKGCRIVDFWGKRG AMGWLFKLELLPYSYTFVGKGTLEGRLRRLEHEGRVYARLDHLQGDVVPVHLGLVRLD RGYILPGFEFVFHMMLMSWAGQTPSASMDDAETLKSESLKAVWREGVDHGDDNRANYL WNAERRRMMIIDFDRARLFPPPKPRAVSRLPEPKRKRDNSSEK QC762_302510 MTLTEHDTQRVEVDQDFDKFAQSQEAATSSTTSLHSSLVNYEWK HGRRYHSYQAGSYSFPNDEREQERLDLVHHAFWCTLNDRLFLAPINPDDGLRILDIGT GTGLWAIHLGEDHPGAKEIIGNDLSPIQPRWVPPNVQFIVDDVELDWVHAGVSVEPYD YIHCRYMAGGIRDWPRLVKQMYDNVKPGGWVEFQESANTLYSQDGTLSKDNAMVRMME GLILACDKIGRTLDPAPQMEKWVKDAGFINVEVQKFRLPIGSWPRDARLKEVGTLMAV NFIEGVEAFTASLFRDVLGWSQDEVSALNAEVRASAKKGNAHAIFDFLVITGQKPE QC762_302520 MDFLNKVTGGNNASQPADHSNNPTTTNPTDQSSSSGGGLMDKFN SLAGGGKESEKKEDPLDKGVDLFQQHVLGQGDQSNESAIEQAKDEQISDFIRDQYKKN TGKDFPVADK QC762_302530 MKFLTPFVLAMAALTTAMPAAENPAVPAIAARQNQNRPVPRGTC CVANTSLKQDSCFTANGQPGRCVPGGNNCGSRLSCVANSQLTCTNNIIERGKNLCRAR AGNGRLFDGARLINNLNQATVN QC762_302540 MGDIYISNGTCYGSAGNELDRSFIPCGNAAFGPVTCCGAGDVCL SNNACFGVHGTPGSYGADLTYLAGCTDESYRDGSCPDKYGIDQPWIALTVCDDSGGDW APCPQEGSPTTLQNGAYCSCTDAASTTVAINNSPRLADIARLPQTTGGTVSFFPGNEP TNTSPPAQTTGGGNGGGSSNTSSSPIPSETGSPGSDSGTGSDSGSDPTGGGGGSSSSG GGLNSGAKIGIGIGAAIGGLILIATLLTLWHYNRKNRRSVSGAAGIEEGGEKPKKAFA PVPSPRASEADSNPVSEADGKAVTRPWSMRSELEGNNTAASAAGKKAPTANGNQKVNT DGAARPGVGELDGREVQELPPHHDLSPVAELPGSEAWAQAPGGGRGRV QC762_302550 MVGFEQPHPFKEAHHHLSVMTKLYRSAAALVLSLPLLGLGQQQA AAPDPWAFTHSNQEKAIVLSHTGGFNIGSKIVADPRRPGQTITCDHGYFEYFIPLHSP PQGHLRRTTSLVLWHSSSTQTFQNRWDGGPGFKDLLLRLGYPVYLFEAPRLGRANWAC KPHRYDVTYRDQDNFLAWNFGPSYLNWWPGLQWPEDPEVKRLSWEQATGGRYVEFDDY EHILLHAEVAAKGAVHTEQGAERGGVVYLTNSAAGLRALLAATLSDETVGKIRGIVAY ESAGYVFPEGHNVTDRRDEPFGPLVVTQEAWRRLAKLDFVQFVWGDNRPETGSGFWEE MVVQSRLCAELINLYGGNAEVVKLKEDLGVVGNTHCPFTDMNNEVILGLLEERLERSG LDGFEGRVGKGKGKGKSKWRG QC762_302555 MRSSFLLAGNLWVILGAATSAKLEQRDDVEECVQDGLLNCFSSS LVQASQFCTNSIVTATAFTEVVTVTPTVTVTNAVTETATITEPVTESTTIAQSLPLKA RRRKRGCSNRPPLNCLRSFASSVEPLQFTSACGCIGITSTTELATVTADVTSTIFETP TVTEYVTVSPTPVEEESTQQPTTEPTTTEPAPTTPIEESTLEPTATPTPEVTTTTTAE ESTLEPTTTTAPEPTTSTVSVPESTTSAAPPPLITNGDFSGNSLEGWSITNRVGTGAS VGVISQGAGNYVMEIQSSYFVSAAITGLSVSQTINCEPGADYRLTFRISVISSYTNGN PWSVVLGGRSITSGAGSSLAWTQIGYTFVCSATQGGNDLTFRIHSNNNRAARMLVDDV VVTKL QC762_302560 MDLVTAIGLVSGILTFVSFGTKLVKGTIEIREALDGTLDENRTR QEVAQEMNRLSARLLPPDDTKLVAEEKSLCLLAKKCSSMSEQLIKLLEGVKSDNSRSI RQSLWSSLKSKMHGKERVDLEQRLDHCRNQLELQLLFITRRQTDASLQTLFKLVKDDT AKLEYLRQSINRLEQQVHLCDLSTAAQAQIRSLLPLPDHVSNSIAQDRVLRALAFDGM RNHQKPRSGSSQHDV QC762_302570 MGGLSWRKLLGWDRHPSDGHRHDYDSWWHDSRRRLLPHYHDESL ESAIPPQAVTEVALRLRHLIEQCVPCELNPDLVTRPHSKVITTKVIKAAKEAGGKEHG ACVVFCLLVNKRWWKHQSLVELWDADLHNLRAVACEVIAKQIIETENDPTYLMHSVLL KRYSIIIDGKPTPPANVVERAVDLHALRVIGSSGYQKCINFLWKGWLVQDEDDPSTFV DYKDKDNTSYCAHLDPDRMRAPMYQNVTQMLFSFFYLILYTLAMNSVNARGEIDLIEG LLYVFTIGYICDELSKLWKAGHQILSFWHAFNSVLYALVTTSLAMRFIALSAGVDDPI RHQYTTLSYNFLAVSAPLFWGRLLLYLDSFRFFGAMLVVLKVMMKESMIFFALLIVIF VGFLQAFIGLDFAEDQAAEDFYFIIQAMANALMQSPDFSGFDKFSHPFGLILYYCFTF VVMVVLLNILIALYNSAYEDIYDNANDEYLAMFAQKTMTFVRAPDENVFIPPLNLIEI FCLAIPFEWWMSKKTYEHLNDIVMAALYSPLLLVSAYFEMRTAQEIRGNRARGEEDDD TIEEWEQMSDHFDFEGDGWSKTVASAKSNLEEDADVVEIKKLKQEVEELKKMIEGLTK FIAGEKGLGNGDTEELKGVDEEGESSGGA QC762_0050050 MRLWKSHLINQDMSSTSHSPCHVYLTGTSTFWRLVFMTEATISG EDQQMHGSSPIATMWLQHKYRSTFPLVYLRNICQRGKKEDHSPLARPVADIREQPLYV PQISLPFWDKLHVTSAWSATPMPNLSTRTSFRQTG QC762_302580 MKPTTLLLLAATGAEAHYRFSKLIVDGVPETKEWGFVRQSKNYQ SNAGVTDVNSNDMRCYQMRTGTATATVTAGTRLGFVAMSAVTHFGPVSFYMARVPDNA NINTWEPAGNVWFKVGEISAQAGPNGQLGSSEQNWPAYNQKEVYFNVPKEVPNGKYLV RVESIALHQAQSAGGAQLYINCAQVEVVGGGSGRPGPLVSFPGAYGRNDPGLVWSYYP VRTSYKAPGPAVWQG QC762_302585 MVEDHRINGETIPSGVQDPSRRQELNEALWLPMWHLHLSKVGLY GSAPGVVFSTGDNTKFSVGMNCPDTAFGGTNSIRLLVGHDAKGVAEHPDGNGYAPQTA RTNGHTCTGRRAADLGSVNYAILSVQQGGVGIADDNNFGNRCPEQKTI QC762_0050080 MGQIPPWQIDQRGCQTEPHDGDIFSACRRFAALRTVDSAPCCRQ NKTAMTQKTPSPDPAGDFAISDPMTPVSGPIIQVSPHLWCRSALIFFLFFDMLPVSYI TSTQKRGEFSSDFTAAAAISHDGGWRSIINLSSPDRRTLVCSILYSSEPIEPWQQRPP FQTSPTLGTDGTLGCLFTAAEVQLLWLTR QC762_0050090 MPQTGANLMAGAYISLGRNNLSPGLETTTCSPSSGSGSLTLTMS SLVIHGQVNHLRPRALGITAGCPSSPASLAPQRAAAAATKFDAVVAAGGSQHQWGRSP TQKKRTVALPTVKTTKLTLVTGG QC762_302590 MAPLVDNSQIQSAELLRPLPLAQHAYIWPFAIIWPIFLRYYLSE ELYNKHIGAQEWTFVWVGSIITVQALTWLCTHWSVNLDATFTARKVRTIEDAKLIKVV PIANAGSAEICKLVRDKVGGKPNLSFLFQKRRFLYDPETKKFGTLAYDIDASPAPKLE KFQKSRGITSASELERLEQHYGTNTFDIPVPTFTELFKEHAVAPFFVFQLFCVGLWLL DEYWYYSLFTLFMLVMFESTVVWQRQRTLVEFRGMSIKPYNIYVYRLGKWTEIQSDKL LPGDLVSVSRTKEDSGVACDMILVEGTAIVNEAMLSGESTPLLKDSIQLRPGEAEIDI EGLDKNSFLWGGTKVLQITHGNTEEDRPKLASGVPAPPDNGAMAIVTKTGFETSQGSL VRTMIYSTERVSANNAEALFFILFLLIFALAASWYVWDEGVKKDRKRSKLLLDCILIT TSVVPPELPMELSLAVNTSLAALAKFAIFCTEPFRIPFAGRIDVACFDKTGTLTGEDL VVEGIAGLGLGHSGTDTPRETDGAHSHMTKVHDAGLETTLVLATAHALVKLDEGEVVG DPMEKATLNALGWSLGKNDTLTSKPTTVATTGIAGTVQIKRRFQFSSALKRQSAVATI HATENKADQRLKGTFVAVKGAPETIMKMLVTVPKDYEETYKYFTRRGSRVLALAYKHL SVDNELGMSRINDLKREKVESELTFAGFLVLQCPLKDDAKEAVRMLNESSHRVVMITG DNPLTAVHVAKEVEIVDRDVLILDSPEHSVHGEEKLVWRSVDDKVQIEVDPTKPIDPQ IIKTKDLCVTGYALNKFKDQVGWKTLLRHTWVYARVSPKQKEDILLGLKDMGYYTLMA GDGTNDVGALKQAHIGVALLNGTQQDLTRIAEHARDQRMKGMYQKQVDLMKRWNQPAP PVPAMIAHLYPPGPSNPHYEKAMEREAAKRGVTVAQLAKANGTDIETVTSPAAQQLIN QDPRRAKQSDAVAKATNFADKLQQSMMDAELDDEPPSLKLGDASVAAPFTSKLRNVIA IPNIIRQGRCTLVATIQMYKILALNCLISAYSLSVLYLEGIKFGDGQITISGMLMSVC FLSISRAKSVEGLSKERPQPNIFNFYIIGSILGQFAVHVATLIYIARFCDRLEPRSEM VDLEAEFSPSLLNSAVYLLQLIQQISTFAVNYQGRPFRESLSENRGMFWGILGVTAIA FSCSTEFLPELNQQMKLVPFTEEFKTTMTAVMVLDYVACYAIEIVLKFLFSDLKARDI AERRPEQLERERARKEEERKKKEEEEDRKREEQVKEFERKLEENKRRLAEAWGRN QC762_302600 MFASRIQTRAFSVSARQLSKVTVLGAAGGIGQPLSLLLKQNPRV TELALYDIRGAPGVAADVSHVNTKSTVKGYEATPSGLASALKGSEVVLIPAGVPRKPG MTRDDLFNTNASIVRDLAKACAESCPEANILIISNPVNSTVPICAEVFKSKGVYNPKR LFGVTTLDVVRASRFVSEKKGTDPKDENITVVGGHSGVTIVPLFSQSNHPDLSSDAEL VKRVQFGGDEVVKAKDGAGSATLSMAMAGARMADSVLRAAQGEKGVIEPTFVDSPLYK DQGIDFFSSKVELGPNGVEKILPVGKVDAIEEGLLQACFADLKKNIEKGVAFVASNPG K QC762_0050120 MPEYQEGEDEQLMSNLFKSQHPGYASAYWSEQLLSHDNDPRPPP TPATSAARPCGLKSVRADPPTAAPEVPKTSDIQAACNLTYHEFPCQCEPRWISPFACQ DSATRWVRATRHYLSSHLHDIAILSFNHRPFEPFGLF QC762_302610 MWLLNTITLKLEEFCDNDLPPYAILSHTWDVPSQEVTFVEMQGL RETITNKTGFAKITNFCRLASQNGYEYGWVDTCCIDKRNSADLSEAINSMYRYYYDSG DCLVYLSDTKPMADWGSLSNVKLDDVLRPCRWFTRGWTLQELLAPETRSFFDATWQPI TNRHLVSVISNITKIGDACLLFRDNISEVSISERMGWASERHTTRSEDITYSLMGIFN ICMPILYGEGGKKAFRRLQKEIMRVSFDQSLFVWKEDVSSSGFLASSPAAFACPPTLG LWAPRNLAPFYMTNVGLSVRLNIVEILVEDRTWVPKNVLEIHDSEDDEEKVQMAIIGC DILNSDNRWVLLALYVQPVPGGSFVINGKLSRAYRRVASHTWTAVPTGALFQRSGPSR STDVLILEDEHYDLVRRASREHDHRTWNRFGVSLPPFLRLDLEAKSEPSQT QC762_302620 MSSRCAASSVVRQLLVAVVLFIVGVLAQAATRERISLNADWRFQ RFTSNPDNLNYNTLKPWLTPSANNFINDASRRTKRPSNEPPNVTYTQANFNDNSWEAL SLPHDWAVKGPFYVGNNVPVGGNMGRLPIQGVGWYRKKFTLNPGDEGKSVYLEVDGAM SYAAVWINGKIAGGWPYGYASFRVDLTPYLQSGSNQLAIRLDQAVESSRWYPGAGIYR NVWLTKVNPIHVGQFGTFVKTRSSSAQTATLDLTVQVENSASTAAAGQVQVVTDIHSY DAVSGKAGAKIGGFSNTTVNVSPGSIASVNASATVRNPKLWGPRPTQEPNMHVAITRL YVGDQLVDTYETPFGIRSLQYLGDGLRVNGQRVYIQGVCQHHDLGSLGAAFNIPAARR QLEMLQDMGTNAMRTSHNPPAPELLDLADKMGFLVLDEIFDTWGSHKTRNDFQTIFAD WSEPDLRAFVRRDRNHPSIWAWSFGNEVAEQGSSNGAAQAERLRNIVRQEDATRQSTV GMNNAGPDTAFVSIVDLIGLNYQGEGKGNGAPTFENFRGRFPNKLIFSTESSSVVSSR DTYLFPVVNSNNAIVRANGPGADPTTRQVSSYDLYAMEWGASPDKVFVAQDRYSYVAG EFVWTGWDYIGEPTPYDSSRSSYFGIIDLAGFPKDRFYLYQSRWNPSVKMAHILPHWN WPNRVGQVTPVHVYSSGDEAELFVNGKSQGRQKKAQYTYRFRWDQVTYQPGEVRVVTY KDGNEWANATVRTTGTASQLRLSTYQNRVTIKADGEDLSFVSVAVVDDKGDVVPTAEP NITFSITGPGDIVTTDNGDSTDMVAFPSKERKAFRGRALAIVRAKVGASGTITVAATA NGVKSAEVVLQVE QC762_0050150 MLIPSQSWQTHAAEKRESLLASIPDGWKLSPEDFTKAKSQRDNT GVVREHLEAAEALILAKDAPDIVSHLKDGTYSAVQVTTSSCKAAAIAHQIGNCLMKSS LTRPWNVAKALDAHFKETGTASGPLHNLPVSLKDQFRVKGVYTTMGYVG QC762_0050160 MAIDCLFTPAHHLGTFELMKCDIRTDQAVGPHPPILRGLRHVAD ALKAAGQKVVDWEPPLQTTTKRVHVSFLLADDGNDIHPHLVLSGEPLIPDLQEPFQLK AAN QC762_0050170 MGSLATTQRFKLVFFVPTSHTEQCKAAVFKVGAGRYPGPGNYTE CCWTAMGTGQFRPGKGANPHTGAVGKLERIEEARVETLVVGEDVVRRAVEALKRAHPF EEPAYEVYRIESY QC762_0050180 MRLINVTTLQIDEYFGTGIPEYAILSHTWDATEATLQQWSKRTT RLRKFKVPGYAKVYGACKLARQDGLRYLWIDTVCIDKSSSAELSEAINSMFAWYENAK VCYVYLSDVKIPSKDDNIDVLSLFRRSRWWTRGWTLQELLAPTNILFYTREWVQMGTK YALAMLIQEITGIGEGYLRGTRRISLCSIAQRMSWASKRVTTREEDLAYSLLGIFGIN MPLLYGEGSQNSFRRLQEEVIKTSIDQSIFAFETGSSDNTLLAHHPRDFAAQGSVRPS FARKLTPPFTLSNAGLSLTVPLIQTMSPYWVLAVLNCVELHWDQEDVSARSVICLPLL GRDKKYMRARAPVTLISLGADLAGGPKPTIRPKLIDNNVEHVRVGREDADTDTVTTGS TSSIERTTPLEIQDLTTREDTEILVSYFSRIYTLWGNEMDEAMKGFAVSEMMLDSTTE TPEQGFMLTFPRGLGEYRYRYSYPHTDLYPNISFFIPSAVAPPMHHDRNSNGNNREGS ADPDGHHQSQHPRHGEGANYEYVGIYLAVDSATRNWACRILDLGASFSGMRSDLQEMT ELGDGLILGKSEEWLHYDQQGDVIVAARTRFKTVKGKPCKEAIVVELVFDVEELLEER DSE QC762_302670 MASQPPRPQLRKKASFRDRFKSWQKSAPPALEAIEEPKQKFVYE PKHAASDFSRMPVLPVGSQRHRIFDSRRTAPLQSLPEGTTRLKARRGRQSEGRRTDDA VNPPPQLALQHELARRDSKSKRYSYTLVEDPLRVSQTAAVHQVPISTRPMSWDQAPTE LRQASHTPTRHHSHSQHTRQPRASPSTDFELFLAQAEAEERAHHEVVLRQMSQRAAAA QAAYPHRPTVHPNPHTQFASAVSDVSAATTAVGGRTFASGGSKNSSQRSRDAASTGTG NRSHSSFARPLPTEVSQQPLEQPPQRLRYKGHSRNPSWATGASVELTKLDTFAAETAP TVQPVQVLGVDEAFKPPHTQVDQPRTLRRQSSIAQKIAEYIRPSRQVDGGVGYQRSGS KRHSQAIPKVETLAE QC762_302680 MSSGSSTPEATTPIPTLPETHSGIPPYLLPKATRAKEAVFSGRT KPAKPRSREVPVVPQGVSRDQFLEALEELKRELGEENVEVNDKPLKDGWYMEHPNTHD MMTILDEEEFVAGAVAYPGNTDEVVKMVKWANRWLVPIFPISMGRNLGYGGAAPRVRG SVTVDLGRRMNKILDINPQDYTCLVEPGVSFYGLYEELGRRGLREHMWVDTPDLGGGS IIGNTVDRGVGYTPYGDHWACHSGLEVVLPTGEVIRTGMGALTEGGENTWGVFPYGFG PYSDGIFSQSNFGIVTKMGMTLMPNPGGHESFMYTFPKEEDLGPLMEIIRPLRISTIL ENVAQLRHVTQTIAAAGLPKSHFFSGSGTIPPEIIHSAAAASPVGDHTWAYYGMSYGP PHIRSYKLAIIDAEFSKIPGCRKIDPSTLPEDEYFWSRDRIASGTPDIHELRWVNWAP NGAHIAFSPVSPIRSADATKLFQLGKRIHEKYGIDFMPAFIVGLREMHLIVEIVFDRD DREEKERALECLRDMVDEAAREGYGEYRTHLVLMDQVAGTYGWGGGALGRFNERLKDA LDPNGILAPGRCGIWGERYRGRGWEMGRGSEGGSEGDGVGGRVL QC762_311570 MSEAITNQAREILGDLTTTFTAPEPCWTPAHHLDLNNELAFFGW GFYSPGLECPVGYHSACTASATSQGWRLQFRIEADETFVGCCPSGFACHNQNGQTCIS NARTATISTYQCESGSQINLAPMTLPNPTRSQAYIYAPMIQLAWKPSDLPPSQRPIVT SAPDEPSGGGGGGLSTGAIVGVAVGAAAVVIAIVIGTFLLWRMKRRGVARAGPDSKME DAPTGPSTPPPGYPTTVTEEQTKYYYSGQPNQQWHPGMKEPQVTPYELGQSHAPVELS GARWDIDGRVEAPGPESRPTELETPQGTPPAGKPAVLHRSE QC762_0050210 MEPLSILGAVAACTEIVSVITRVTTNLHSLKQRWSEGARSLQLL IAKLSTVRAALAQVKDWAELNASTSPNGDEMRDSLGVAMEGCQVFIEALDQDVAGLLG DSVVSRLKQLFIDSTIKEHEQRLDSQILALQVLLNAAYCQSLSEQNSLLRQTAARQVF QQIREDTSTVREQSTVRDTERSTIAGTEAAPVPSLRLGRIDSFPESTPDTVPLFGIHV RPPSRSHENSYPDSRDPTWQRPMPFEMLPYSPASTPVSPASDKSSNTSGFFSLGKAVS STTSLSAETLSKSPPSTIRQRFGRLRLSSSNSSRANKEAATMSPKSQLSIRFPGELVA TDMYLQSDGNHPPPKPIVAAQRGDHVEMASLIRRRADLDHPHKGTGRTPLAVACHCGH NDVVELLIAEGANIHSEDKRKLSPLHLAAANGHCHVMATLLDREADINVRGPHGKTPL RIACDHGQLDAIRFLAKLRAMVDSRDEAQKTPLHAASEAGDDEIAKLLLQLGANKDAK DSHMRTPLHSACISGRIAVVGTLLNAKADLEAQEEESLTPLATAARAGLKTVMDLLLQ HKASPRTRSAGDFTPLHWASYNSHEEAVGLLIANKKTELDARSINGRSPLHVAAMGRS FGVIEKLVRAGASLEAECLEGNRPLHYACQYATHSEVALLLNAGAGCNVQNMTGETPL HISVRTGNLRTARALVARGAHVDSLDNKAIRPLAIACQDGHAEIANFLLTSGAMVKGA TDAPMCLAASGGHVQVIQALLQHGGAVREVDAQGWDPLRRAAFAGHSQAVASLLGNGA RATNLGTLSSFSFASTATAEQRKRILDLLTMAIDTENAEYQRVTYLASSAMPLNVGQG NVTELPDDTRSRTNEQYVDQREGLTAPNPSPSPPVRPPPSPSRPPPAIPQILKTADGE HDLGNQEYYDERESTRPAPYFQPSPNPLTDSRNQQQQVPILHRFLPYRPPAPSQGLVP SYLSPYTPAPISPPDAQYYSGYTPSNYGGPASPSDALVSPPSSMRVSPPLPSSIMSTY TSSGVSAMSGTTSTYYPYPRPVVRDDGTLAAGSEALYPARTGTDGNRAELP QC762_0050230 MDAVFTSTPNPPKCHNNTIPLSAREYWIHQANTLALSHPCPFAA FGSVIVNHTTGNPQGTLICTGANQNSRTGNPTLHGEMAAISNCSSLFVSSAYNMTPAE SLAAFKQLTLYTNAESCPMCAAAVRLAGLREYIYGVSIKELIELGWGQLDIGSEEVIG SGVGMRDKDPEVVLGGVGREESKRQFGWQCAGGECPAGCERGEGIGDCLPVERGVV QC762_311540 MTVDAILRDVSKSPLSSWWLEKFDTDVVPNIYVSPVQSRLQYPA YHLTCYLEPNSHYARTLTTASDSKNSGIEEREIREIAKDILSRLNKWSMRLDLRHVLQ GVTIGSRFRLDDTNGMTGDAAGIWLAPNYELHKRYLPMSRLTELWKPYIASSELPPLI DFDVLRSRRRLHNSISEVLLPSGEVVIFKSVIRVVASMYHEMCELLRMPPHPNIMSRP QYIVTRRVSGAEQPIVCGFILRYLPGGSLLEWLTTNQSEPAVYHPLLLQTKIKWIRQI ILALGHLYGPAKSFHSALRPDNIVIDENDNIVLVDFEQRGSSRRWQAPPL QC762_0050250 MDPSYEELVKQLQETERLRAEAERLRAEAERLRAEAEQNAIAEK QRADKQAQQVVELQEQRRPTTLIEYFQLCHDHLSTKFRVQTDRRFTTQGIWTDPAGKY CPRRLAQWDGFLDEQRAALGKVIAFFPETLQLLESRDFVQGIGSRLAAGSPIGSERDL ERFHHTAVELPVQCIIDQFTHSQQHADAIPPLLGVGDGIFFENHMNILNSDTTPSTSA APSTPPPPPHVPPTNKISIRPDQICVYRSLNGDRTLAYVLEYKAPHKLTAQHVREGIE DLDVVRDVVNCVEIPNNDDDRFRYFARRLSAAAATQTYHYMLQAGLEMGLVATGEVMI FLKIDWADPSTLYYHVAEPTHECQAQPTELIPYCTAVSQLLAFTVMALLGPGSQGQDA RDRAISGASTWNEDWDEILARMGNTPAARTPPSSGRCWEPRIYVGYDRSPIPFRHPRR KKSPQADEPPPSLGRGQGPPPPDDEDAGPNPDSHPLLLPAKEGRRGSVALRSHPELQR RRHGYTLAAKGTTSSSVRFIEHEARIYEQLQPIQGLFVPVSLGTIDLREVGRRYFYAA DVHIIYFLLLSWAGRDLREAREQPSSVGIRRNVIRSLQSLHALGVAHGDVRRENLVWS HRPSDPVMVIDFERSVLTKASCQLGLLGGSEDGEELPPECLSEDDKLFEQDLQKATYI WV QC762_0050260 MSSYSHIPFPPSSAPNRDHLSPYDEVTVQNAQSEPDNKAGPITH VPGEPAVRLLPDQVRIHISSHLDTPLLDELYEHLWLIAKKCGRNIDPLHTQKVKGRSI VPTEDPRLHLTWHRDRIYIKPVPVFLLNYQFWITYLQTSTQDSSCGMSKELGFDSSIA TGFLRSYALLVPHRLDFELAKEAHLIPGDVENWLQWSKFISHFHHLSDENVARRYHYG QLRLSWLNWAVCVFRPQHARTLWFYEIPHWSITAFVARATVPLLFLFAGISLALSSMQ VALSVPTDDPWFHGLGESKLQSIGRAFWVFSIAVILGWAAIGALLLGIPTAILVWQVS WGFMREKRRRAGTSAG QC762_0050270 MGLAIYLVIFLLSIAVLYYQATKSIPSRLNSDQAPKSRFELVPA CASGLPTRADGIDIIFVHGLGSNPDSTWRATKHATRQATTTDIPEEAATDNEQFVNWV SDFLPSDLLPAVSRDVRLFFYNYDSYWKRDAVHTRLTNLGNELLEHIGGIRMSETEQS RSLIFVAHSFGGLVVKRALVQARASRDFGHVAEHVQTIIFLGTPHRGTSFGLWGWLAA KGLQPLGSNPSILADLEYDSFSLHDLHKDFMAVAPEDLRVFNFFEKRPTCILRLWFVR LERLCVHEQSATYEGRNVQNIGLSVDHFGLNKFASKNESYQSILSKLTESVRASARPV KHHYAVPLGRVYTYTERVGLSAELEQKLHIRHEKASVPYAVSVYGLGGVGKSQLALDY AEKHKHDYNPILWIDATDEETVRSSFKICAAELGLTVEGGENQGSIITDAGVRAVLRW LCDRSEADDEWLLIVDNADDVSWGIQKVMPKGNRGRVIITSRDEQSIKLVGGTCESVC VGDMSPPEGRALLLRHLQLDEELAPGGIKDDCDRLVKKLEFLALAIDIAGAYIGSHSP SDKALQRYLADYERHRDELLQTDFFKGLLATEKTVWTVWDTTLEKIAMENKGLQPDVL LTFLAHFKGGIIQDEMFRLASRGMEKVKANMGEKESEGIPFHLQQFLPLAGDKWDDFR YQQGCRLLLRYSLLQRVDGGWAGVTMHGLVRWRAMLSHRSWPWRQWYMVFVLAACCQN IEEEEPEFRRHLVGHLPDIHRDNGQEREYLLRYSSFIGATIGRIYYDEGRWEEAEKLF VQVMETRKIKLGADHPDTLRSMANLASTYRNQGRWEEAEKLEVQVMETSKTKLGADHP NTLTSMANLASTFWNQGRWEEAEKLFVQVMETRKIKLGADHPDTLTSMANLALTFWNQ GRWEEAEKLFVQVMETRKIKLGADHPDTLTSMANLALTFWNQGRWEEAEKLQVQVMET SKSKLGADHPDTLTSMANLASTYRNQGRWKEAEKLQVQVMETSKTKLGADHPNTLTSM ANLALTFWNQGRWEEAEKLQVQVMETSKTKLGADHPDTLTSMANLASTYRNHGRWEEA EKLQVQAMETSKIKLGADHPDTLTSMANLASTYRNQGRWEEAEKLEVQVMETSKTKLG ADHPNTLTSMANLALTFWNQGRWEEAEKLEVQVMETRKTKLGADHPDTLTSMGNLAST YSNQSRWEEAETLEVQVMETRKTKLGADHPNTLTSIANLASTYRNQGRWEEAEKLEVQ VMETRKTKLGADHPDTLTSMGNLASTFCNQGRWEEAEKLEVQVMETSKTKLGADHPDT LTSIANLALTFWNQGRWEEAEKLQVQVMETSKIKLGADHPDTLTSMANLASTYRNQSR WEEAEKLQVQVTETRKTKLGADHPNTLRSMANLALTFWNQGRWEEADKLEVQVMETRK TKLGADHPDTLTSMANLAFTWKSQGRHADALTLMKNCAQAQQRVLGDEHPYTLKSLAT VAKWSS QC762_0050280 MRLTKRSCCYASSGLSFAGRATTPYCPVRFGHTLTHNTVICQNR SGGKSSNGRWSWRKKAFYHEISTASGFPAEKMPPFHEEQKGQMMERYTESWKLGSGVD MADVPFESRRSSRNSGNSRYYRVHGRRRSRRRIGARRRKRREGELPQTRGRARRGEYP EPTAGIPVHRRPGPGVEAFARSRIHMYDDGEPGATFGDQG QC762_302687 MWAIHLLSLASGAAAVQQLIPRLSFADVCIGKPSPDIIDPKTVI HLREYICPALTGGEARYSSSDVTLEPPHPPPFAFRPASPEFESTNHCVDQYCVFHNQR FAHGRGMVAITTARNIQRLQALHKKSPPDLIPHVDEYDPTHLPYHEAAIPGKGLGLVA NEKLSPGTTVMSLYPALIVHRTFFDQIPASAQAPLLSAAVDLLPEPLRKRFQEQMAHF SGDQIHSIIQTNSFQMDLSTKAPEGGHHYGNWPEVSRFNHDCRPNVVFQINPKTLQHV TTVVREVKPDEELTISYLDQMDMTENRKMRAFHAWGFDCSCAVCAGSAKEIKKSDRNL EEIKKIEGKLSGFTDKVDRKLLERLVRLYQEERLESKIAGGLTLVALNYNMLGDAKNA VRYAKLAEEAVVREFGEGNGDVKAMRELGRDPRGHFTWRGRAGR QC762_302688 MALNLLSLVPLLGYAFALPQSQPPASPNIQNLAMWGTGCPLNAA GLMSGVRNGSPIFSFSEWGLVLPNVDDPESETTASKFCTEEITLNNGPVGFQLRIETV TVGGWAELEEGTKLVVEAETKLGDVVAGGQTTSVTSADLKGNDLEVSLDILPFVYSAC VDESGTVPKIVIKTTVSLVGEKRADGTVSKGVVGGAKALGVHFSPVWRPCVRPMAKTI QC762_302690 MESTLTTKTEGGQQYHSQDETCVGDISWTPEEERKVVRKVDLRL IPTVWLMFVISWMDRSNLGNAKIAGLNADLHLSSTDYSLAIITFYSRPGPVGYAFCGP LSNLIITRVRPSIYFAGLMMVWGIATCCMGAVKTFPQLLVLRIIVGIFEGGLTPGVYF VISSWYVPAEQAKRAAFVLSAVLLGGAFGGIIAGAVTGGLEGRYGIRGWRWLFIVEGI ITIIWAMIAGFILPDFPATCRHFTPEEKRIATSRLRNCGTNIGDNQSDGPRLGKVQSI KLALSDWRTWGVAGGIGLCGCATVLPYFYPTLVNGLGYKEHVTAQYMTVPIWAVAFVC ALSSGFIADRIPNHRAAFIAGWSGFAAIISIVVCVVEEYKARYILLIFQGCGVWTCIS LGVAVATTTFQDMRPEVRAISVSLPQAVGNAANIYGAYLFPQEHAPRYLAGFVVITVT LAAGSLLFALVDLGLSRRRKVLA QC762_302700 MIEKFEVQEEGRMRLMYGAHISQIMRVRQLRNDCLVERGETPRA EQSSSLVLLMCPLPQNINLASMMTLISDHIRDKLKELYSHTSRHYHTLNHIEALLTLL STHREKFHDPEAIEAAIWFHDAIYNVHAQGNCNEVQSAQLAGSMLSGLVDATRLKGIQ VMIEATATHTVPDELQSSEVVADAALFLDIDLSVLGAEEEAFDEYEGAVRKEYGHVDE QGWREGRAAVLRGFLDREWIYHSDIFRGLLEEKARANLRRSLERFSGSVP QC762_302710 MTTQQAQEPYRGYNDESRAHWVLIPTILFTILCPLLLAIRIHAR RATTMLDRGDWISATALFFNIATNVMFFAMVSHGFGKHSDVIPKHDLFSALQIWFFGQ ITHKIALHLTKVSLLLLYVRIFSHVRAFKLTAMGLIYFILLYMTSSSIVGICQCIPVA SAWDLDIRGKCLNLYIIWNMNAIVSLVTDLIILVLPFPLVFRLHIPLSQKLALMPVFG LGVFIVVASALRVQSLLVSHVTDRTYDIIGTLWTIIEYNLAFVCLCLPSVRVLLVRTW PTIFKSSVGRSQTSGATAGHRYARAGGGATTWPVKIELDDRPWSRVGGDAGLNGSDST DEILGSGEGGEAGVEPAGITRTVEFGVEFAPVSAPARAATRESRAGESEA QC762_302720 MDTITKLLRARALADHKARIPIDRLDAEHRRHLVRAINNVLSTE LALFTYAQIIDGLPTGDVAWDVRSPLLQGEHPLATEHEELCPAAMEKAREVCPKWDTE MLRFSPQVLNAYQEAAPGSKLFATRLSEMVAIAIHEFGVLLYQLDFCVHKGGREAVDA IAKWKETSKPEFIHYLDHDIYPQGVADVVGYWGEDRILRGVVVFERRAEERDGHNSNY SNPDPPNIYLSPSRAKVTIRVTQLRDEQQQKLVDFLLLEDASKAAESSPLPILVDKKN LKRFKLESSIVKYGIF QC762_302730 MPRRQVSFSIPVEECHITTMLSTVVLALAISSTRAALNVDLDSA DSIRFAAGLVASKLMDYYHGEEPGQTPGILPGPPPAGPYYWWEGGALWGTMVDYWHYT HSQFYNDLTLRALVYQANPPHNDYMPPNWTASLGNDDQGFWGMSAMLAAETTFKNPPP GQPQWLALAQAVFNTQAERWDTRYCNGGLRWQIPLSNNGYNYKNSIANAIFFNLGARL ARYTNNRTYAEWAGKTWDWMEGVGYIDKENWNVYDGGHVERNCTDINRAQFSYTAAIF VQGCGFLYNYTNGEQKWRDRLEGLTNRTLNNFFLSQPKAGTRPAAMEPSCELEERNQC TTDMLSFKGYLHRWMAQMTQMAPFVRGVVMPVLRESVRSMAESCLPDGTCGFRWNRGQ YDGNTGAGQQMNALGALVSLLVEQPEVKEAVTTKNGGTSAGDPNAGREGEVGEWEGES EVITDVDRVGAAVVTILMVGTVMGMMIWVSATTGEEEEYARVMRGEKKKGKGRVIERT QC762_302740 MAPVLPPRRSRITKRDALGPRFDDDDDDDGYNPWSDWKEKSYNG PGGGNSGSDEGILSGDFGRDGERNNIDFDLDDRVTSRNNGLSGGQIAAIVVSIVVFFL LVSGLCFWRDRRRKKRRLAEEQTLADDGVKRDVYMKEQGEELSPVLPISTQGVGGTTP TPGQGIGGQDAPPPYEPRQPEAAHVSGGETATDSGNWRQSRLGTEEDDIMVTDGMPPD SGRQREGKGSGGLEIGT QC762_302742 MRYRKAVNLGTNALAFFASHGFRPSSAPRLRGRPRSSARKRPIL CRPRARLRPLAACCESPALVNAYDPAEYYRDRALWSKCAKSDLLQFFADFEEFQAEGH NFTPQEVHQAVLEAL QC762_302745 MGDEASLKTVERPICAAIAHETRLPECYDDWILPECYDHWDLPE VYIPVANPIEPGQRPSRRDWANRVQAPAALGEKQSPPPRSCWRRHWAWWILAVVLVVG GAAGGAIAGALTLTRRQGLDGSVVSASPTPIETLSGTTSLFGLTQPHGATSGAASQNT QGTTTTTTTTAGIQAPTVPTDSLSTSTSTGSGFLPSKPQIQETTTSATATQKDSETGT LGATPTQRSISLPAPGTTVEGSPATPIKSPSPSSNSPEPAPLMGQPSKPTRAVQIGRA SRPGEPRDLLEIAFYPESPCDYEVIGNYGTWVCDTPFALGGTEYQWKGCGSYTWLVWG PTQERFGACADKVTAKTLCGGLLVIGVWLCSPE QC762_302748 MVFDIRPLNTSVFAKPQDALPADTDALVLGQPHLLFQKCSDGVE DSETGTEQCIEGVNSEVNLRSPHGSLFVNLTSKSRGLIWSFRLLKCIVSIY QC762_302750 MEAYWHLTRHSDRDWYLLRMDRLCRDCIQHSDLVLASTGYPGTS RPDPSPRVDVLPVSTPRINTPSAPLEVIQTTNKTWNGCKFACPNESNRLHCSGCATQH SVRAFSKAQAERSDGSRICIGREGVLRLCEHQHIKWPDIEAHFLRQHNPDNRSQLVPI TCQLMCEHERRSTGNKHAPRYGFRPRLTVSGNSNMQYDIDWSWTTTTP QC762_0050410 MEPFHSQQVGERQRKNEAQVASMMAFDDSLSAIDLDGDPKHLRE PGQHPNVLSEDEEAALDTGSERVCRFPTLPSTESSVSQSQGFPSTAMPSPARQKPWKP HHRRQRKLTHRGVMGNATPGNALPSSTLLSSISLPNFPTLWDHEGSGENAGEACPLAS AGSETSAANEAEIKRQKHARCVAAWKELQAEMKRRRQARRTGNDLAAAVEQMQL QC762_302760 MREEAMKTTKTKISRRAVFRVSKRVLRHRCLFKVRPRRTKKIQA RSKKAANRVTGALVVCGEMNIREDEVWGVVSGVEELNLVQGGR QC762_302770 MNRFTARVLLAQATPMSCRLAFPVITGVRPGRFYSDVSSPKAAE QASAESGGSRSKEAIEQDAVQKGEREGSSGAGFVPDQLAQGGAKGRTGGGEPLESSHH PPAQPKISNSSVPGNKPNLTKEQQEEVDAHNAEFEKKHGRAAKANDDKVNKAFWSGRG DRNIKGAAEE QC762_302780 MGSMAAVLDTSAAPAGSAQQRIAPQLPTTARLFHATCAFAVQKL LLPPWIFLRKVKTYIISPGETYPDQIKAYPALKHLPVRIFLPPGYDRTSTKRFPVLLT IHGGGFVLGNPWDNDPWNRAFSSKHGYLVVSLNYSKAPGSPFPKPVYDLEALIQLVLA DTTLPIDYDRIAIAGWSAGANLTLAVSQLDTVKLHVKAVVPLYPVVDFVPTQETKCAG RRYKPDLGGFRGKDKDFLLAMSPTFNWAYLNPGTDLHDTLLSPAHAKREALPKNIFMI GCELDMLAPEAWRMICSLAGKRVPREDEVVGRQMMAKEGELITGNDDRYAWEEVIKMD GGARYKWLLVPDQVHGFDQDSIGHMVGNDVKCLKDAKMKTEKMIEIIGGWLDDVMDVD K QC762_302790 MESNNSSKNTRERRRGCDEAQVVLDYIDEELSSWRGKWPVDAWS STELLNDFARFWAMRTFEPSGETRLEALNEDGEKIVSWATARGHWPILDFLVAI QC762_302810 MSALSFIKRAGRSKPSSPAAPMLSRSTAAGTPSSSRSPVVDTDA TTDRYSFPSNPSANPKAVVTGGTKGSYYRFTILTSKLIRYEWSPDGGFEDRVSTFALF RNFDAPAFRTVDKEDRLEIITDHFHLQYDKKKFSTYGFSVKVADDVWRYDGNSYGDLG GTARTLDGAWGRVDLDPGVLSRRAYAVLDDSKSMLFDADGWIATRKPGRIDGYIFAYN GDHKAAIKDFYRLSGSQPVLPRWTLGNWWSRYHAYSAEEYLELMDHFQKEGVPLSAAV IDMDWHKVRIPSKYGSGWTGYSWNRDLFPQPDKFLKQLHDRRLKVTVNDHPADGIRAF EDQYKEVAKALNHDTSREEPIRFDCCSRKFMDAYFDVLKVGLEKQGIDFWWIDWQQGT RSRIPGVDPLWVLNHYHYLTSRRNVKTIEKPITFSRYAGAGSHRYPIGFSGDTQISWA GLQFQPEFTATASNIGYGWWSHDIGGHWGGWRSNELTVRWVQLGVFSPILRLHSSKSL WNSKEPWSFESQPGQIIKDFLVLRHRLVPYLYTMNIRAAFDAEPLIQPMYWNHPHTEE AYHVPTQYYFGPNLVVAPITSPDDGITLTSSTRAWLPEGRYVSLFAPHIVYTGNRHIQ LHRPLDQIPVLAKEGTIIPLDTTPTVSNGTPRPEEITILLVVGKDAHFNLLEEPHASS HHYEIPHDDPRKNFTSTPIAWNQKAGRLTIGPERNPHSQYHTSPNRYWKVRLIGHTAT EASLKTSGAGHWKVTKVDGSTEIDLGPVFRSGATDSAGQVFEMFVGHDLQLDVLDFRK QAFDRIHRAEGWYADKDRVWNCVADANSGSVQERVERLYHLDGVYASLKNSVMEVWAA DDRAEGTAFGREIWTSVAGVGEEDWDDYVLV QC762_302811 MKTEPLFGLAALILPASFGAASDSAKALYDQIHEIKHNTSLLHD TKAPGWVSAPTMRGTTSILWSCLLTLFACVYTALHLNIPERGITNVQLVAKRIEWMFI TLLFPELVLFYASAQWWKAKKLAAALSLEEKQQLQISGPPQLGTFRKVVNKMLKQNVT RSTGDIEKIGSHESSSSSTINDSCIFDLKYGFYVLMGGLEAELDGKTVILSHHGALLL AQKDIKPFRIPRSTHLGPNKSRRAAKAVGYCTGQLDGY QC762_302815 MAFNCIMDASSSPNKASEESAEASSSRNKAFENTFEAYSIDYET PPTSPDASSSSTKASSVERDLNAEFDRLFCSDFKIVETVFQPKNVEPPVYPVWDRFCF RKPDVGMQHAQLTKEILMRECTGKPFPMVEQHGPDTTRERFGDEVWNIHDLMQQSRDM KNPPKMVDGVVDELNARGPPPGEMSKFLIKMMKDEARYVGKRLYEQV QC762_302820 MQLTKSRQRKLQNKLHRSLPIEGSRESELWVQNTNSPLLRLPPE LRNRIYELVLSVGQIQVIFKKYQFRAISNGANSNNTHRPLYDVVPGGFGCMVFGREEN PWPTAHLKPVGPGQRIPAAYQNWKRGMTLLSAVCRQLYHETVLLPYRLNAWSFHTIAV MDRFLIKERRLPKSHRGAIRVLYSQCVLTAAVEKKLGGLEMVLLDGGARMVKRVVEVD KDHGDKRVVYWDIEKGWWK QC762_0050500 MSLPPSNGHEASNMSQIQQHGYMTSQEQPVAYQGYSSRAGLPRQ RERPHGPEAGKTRREAQASIGHLSRQDMTTRKRRTSLPTAPISPPAQGPLDGSHITAH DSHRGEALGALKAIKLLIAARVKELEGRVPSRRVSFAGSDGENIWHDFDNREEALAGD MRELKLVEDAMDVDKENNSCFVVPDRAPVKSREGGVEKMEGIVLAPKAASKPRKHEIQ RQILSAYHDNTDAFYWDDKELWDERFRR QC762_302830 MTIGNRSVCDTAGYDGRARASCPRSLLKALTAAYVEAQTVGNHS FISTLGRSAPNFLYIENNAVLGDLSHSSLAVPINPDFHRSIHDVEQCASVTEIIAATQ EHPYVLHTRIIWQATPDNLAVTGISLIESVVTDEGDWLFNATGTLDLNKGEKWDVIPL AARESREQIQKLGDWYFDRFGDVGRGDVPWHAEPCYRLEGGLPARGTKKGEDCIHVMP GGIKVPYRRYVVDEEMGAVDIFMGFPGLDRTQGQAPMPDSHLFRVEGGKVRYCHTVSA CVERGCGIGEIEWPERR QC762_302840 MSGAGGPAFKDVDFSKSIQTPPDSPGKPKSKFTHKKTESWSKHF QNGNRRDGSWASVENKMAIPTSPGSHNTIEVPALRSPDADASQYMHNLSVSPSQKERR LSRNSFGAALPIPRSKRQSRLSSVTFPDVQEALRNASKESQRPGMPPIQPTREILVSQ VQDVQSDKVKLAKNMAFVFDIDGVLVHGDRLIPEGKMVLDMLNGNNQLGIKIPHIFLT NGSGKPELARTEQLSKILQNPVNTEQFIQSHTPMRALAEYYNTVLVVGGEGYKCREVA EQYGFKDIIVPNDIVAWDESIAPYRVFTDEERASARPRDFSKVNIDAIMVFSDSRDYA TDMQIIMDLLVSENGRLGTVAKDPVSQRIPIYFSQGDFLCPTEHPHPRMSQGAFRIGL EAMYKAHTGVDLERVVYGKPELATYKYADEVIASWMDVLHGEERIPENIYMIGDNPAS DIVGGNMYGWNTCLVRTGVFQGGENDEENPANFGVFANVWEAVTAACRKQLGDDFKFK WDDRVNPVLHGNQSAIH QC762_302850 MGEYLRPSPTTTTTTMASKTYTLNNGVKIPAVGFGTFANEGAKG ETYRAVTKALEVGYRHLDCAWFYLNEDEVGDAIQDFLAKNPSVTRKDIFICTKVWNHL HEPEDVKWSFQNSCEKLKVDYIDLFLVHWPIAAEKNPDHTVKIGPDGKYVINKELTEN PEPTWRAMEELADSGKARAIGVSNWTIPRLEQLLKLARIKPAVNQIEIHPFLPNTELI EFCFKNDILPAAYSPLGSQNQVPSTGETVRENAALNAVAKHSGHNLAQVLLAWGLRRG YIVLPKSSTPSRIESNFQIPELTDKEFNAIEDVARGRHVRFVNMKDTFGYDLWPGEKE Q QC762_302851 MHSSTAILRLLAVASAITGVTSSPTPEDTSQDTDLWYINYHNDG WYCVRPDRSRIDSGTDWNPPFGNTLCLDQVRTCQAFCSTRGGTRAGENVCISDNEGED DPSRSINYCTTCKCQEDAGPNPVAEYSGSLAQYMCRRLGFPDDVCNTKVATTASGFIA SPITSSSVASATARLPNGSLEVRPLQIISEVATSAGTSSTASSMPESTLESPTTSLTP RPASTVDQASQPSPTEEPVFDSGAMKTAAGVVGVIVAAAVVI QC762_0050550 MSPPRLSPQKPIFLASCALFFEPLFVSAPSLFRHIKMHFPSVAG LVAAVYGITGVLSATTSLKPFDWDFYEAEGWTCLTPPKRSQPGRGSMSFGNNVCPNQV STCQAYCSTRGGSVDWRNGCFSNNDGYDSPFEWITYCFICRCKDDKDTMPDLTVYYGS IERYVCERQEQNCYYAYGQYAQSPPEGKCKICPEGEYVSMAPGVSTRPITSLSFATAT RGVAPEDATSTTTTTISTSTSTPSTSTSTPSINTLVVTGAGDDLWKALDPEGFVEAEE TSTTRGAGPQPTALSTDGLDIEVVVKDSGAVKQAAGVGVAVLAAVMAL QC762_302855 MRFITASCLLAVGLGTSGVLSRDWEWDTRKQGYWCLTPNIRRTE NGIAYYGNTVCPSQIKTCEAVCSPLGGTIPGQNVCITENNRQDDPDKQIPYCFKCQCR DESWPNLRLYPGSVARLECEREAEWCAVQREALKDDPVWGKTKCECPALLPLPVVTGV GAVAVVSSTPLTPSMTSSTIVSTARIATSESTVVETSNMGEVPTAVTSSVFISLVDDM QGRPVVTGGPQPSSHEKEHPNSEEAISGAAQPTAVEEEIVGSGAGKGAAGVLGALLAV MMVV QC762_302860 MVKIAVAAPGQVAREIIEVLVATGKHEVITLARRELAPEEIVEG TTPLKVDFHNQAELEKVLQGVHTVLSFVVVQNDPDGIAQKTLIDAAIAAGAKRFAPSE WFIARYTHLQWAASKVAIRDYLGEKNKDGKVIEYCLFQPGIFTDYHANENINKHLKTN DFLPIDFANHKLLAPGSLKPRLTATTVRDVANIVAKAIEYEGEWPKIGGIAGETLTLA EELAIGEKIRGKKFEVELLDVDSLRKGEWNGSWVKPLEHPSIQHLDEETRAAFSKAAF SGFLLALVDDELVVSDEWNRIFPDYKFTGVEEFVSKFWAGKP QC762_302870 MKVTSVVAAAAFGATTVSAGLSAEAASKHEEVMALKIKQWDAAR ASGLFGGSKLYKALFSTVPCRNGKAGEYSCNNVNLQAFLSHEDLGSASKAGNDVWGWT DKKSKREFGIVGQTDGVAFVEITGLGRLEYIGRLDTQTEIVSWRDIKVIGDYAYIGAE ANNHGIQIFDLRKLLDVKPWWNPFFFKPKVFSKETDITLFDGVGATHNIVAHEETNMI YAVGGRSGANARNTPCAGGMFMVDVSNPLKPVSPGCIPQDGYVHDAQCVIYKGPSAAY KGREICFNFNEDTLTVMDVTNKSAPAVVSRTPYEGNAYSHQGWLIDENQSFLLLDDEL DEQNKVGPAANGRTTTYIFNVANLEAPVNTGYYQSPAKSIDHNQYVIDGLTYQANYGS GLRVVDVSSVKVDPTGAKFKETGFFDCHPEDDDVNGVTEFLGTWSVYPYFKSGYILLN SIERGIFSLKYTGKKAAYKL QC762_302880 MKSIFSLAAAAALVGLSNSTPVPDATAAAHGPYHISGFFASKPH LSSGCRFEFNLTSPTLPSTPPVYCWASVELGFSGATWLGYVYQGLGHCDNERVDWTFN HPRGAETDRNDAVFNVTVDGVLGTYRVPKEDIYVNLNDEGNPFDNDVSYSGPREFEIV DFPGGD QC762_302890 MGSTGLFESFLGAIQASLSVLLVMTYGGVAGWLGLLDRKSSKKI SKICVQLFLPALLITKVGSQLDLDSVSNYVPIVIWGVVCHIVSFGIGMLAQFGFGMPN WASVAILINNTTSYPLLLIGALQETGILDTLVMGDESSKEAVERAKSYFLVFSTISNC ITFAVGPRLLEDTDSWDGEDKDGSDESGGGNQDDEEASADEQTRLLGNRNSSSSGRDN RQQENYTDEHPFFVSQEQWDGLSPRAQWWVSLVLSFFNAPLIGAVIGAVVGLAPPLHK AFFAPTQEGGIFTAWLTASLKTISQLFAPLPVLVTGLSLFNSIKEFRKSRKQGREDGK LRNIVPWGTVSFILLVRFVIWPAMSIGTIWLLATKTDWVGSDPILWFTMMLMPAGPSA QKLISLVQVTEGVGGEQEGAIARLLTISYIISPLLSLTVVGSLRACQGVLDG QC762_302900 METITPWPLVAAIVGGLCWMATTVIWRLYFHPLSRFPGPKLTAV TLWYEFYWNVVKKGQFMWRVEEMHQRYGPIVRINPHELHILDPDFYDELYGCGVNSKR KLDKYEWWTRLAVASSSTFTTVPHDLHRLRRAALNPFFSVASVTKLEPLIKSKVEKLS ARLDKIAQTGEVFRLDAALMALTMDVICDYSFGHDRKYLDKPDFELQFKEAVVGATGG CFLMMQFPWIPSLMERIPQSIVKRTSPGVAYMLARQEDMIKQVNPILAGTDGEKSGAR SIFHALRDNENLPPSERTLKRMCDEATLLTGAGSETTGQTLTRMFFYLKHVPDALERF REELDVAIPSEQDIPSWSTLQQLPYLTAVIKEALRLSYGITTRLPRVAHEAIIYKQYV IPAGTPVSQTGYFILMDPTVFPEPHVFKPERWLGSGKRLDRYLVPFGRGSRACLGIRY GSTLAYAEMYLTAATIVKRFDWEMYETTLGRDIACHRDAFVALGAHDSPGVRATMSRR KL QC762_302910 MPLQLVQLQRAGENVPSIISSLSTRSSTASSPITTININRYVLS GSLTRETGVIGGPVPGSRRCVHFDVTLRYLPLFREKLRTDRCLQSYFIMLPVFLLQSK FSALQRETKPPQPLPVGSRLVIIYNHPKDGQLGDLLYKMVIRSTSNPYGGHSYVSDDP SDFHPISRPRTACPTFSTTLKTSLLSPGLLLHSTLLPSLALNSSLASISYTVARLTNR LEAKDLIWPLGPLLNAWWSAVFRHQFAHHPVSLFSAYKALARPEKLVLTGVTLWGGRL FYRVLSRMLKREKGRDKPAYESLKRQHGFSWNKIWWKKFVPEIGWLTLISLPVVTPFR LGYYSGAVIQQSLTSITQKGWVQALSVGLFTMGLTMEVLADWQLDRFKMGKGIGIGPG TRSRAGSLEKENGNGVKFTQPGWTVETGVNGRRVSISRERENREEKICKEGVWGIVRH PNYLGDALVHLSFPLMLYASDLLTPIAMLGPLANYLYLRCVGGDKTDEYTRTRRYSSE DVSKKIEFDRYRRERNSFWPDKGQIHNKWTWIVVGCGMAGALIERLIMGVV QC762_302920 MKYTTASALLLQATTALAGPIIEARQQPRAVFTRVATQTGQGCE GSFIFYDDANQIATVTYPNYGVTLPSGPREESCTTTLQVSFPVGQCTAGTALGTTTGT VYLPSNGITAFFTARDYAIQPTIGTITDVSPNGQWTGARSAEPYVLRDSISYRLTPPN PQNSLVNFTVFGDLSLQPENAGGGSLTAEQFVFDIRNQSACKFDFDHGVVKKRQ QC762_302930 RAPEVSYTGRSTRHPPPFPPAFPWTFLEAHFLVRQPPSPSPSPP SPPLPPPPPNHIPFCPLPIINKMPIFETRFLADPDMARWWVIVAASTWFLYNKRCFLT NILFNEDWTGLEGMTPGDEDQDQPALWTMLA QC762_302938 MAASVDRYLTKIRAEPPTIIVSDRIEGEGETIRLQWINVLREAD YIAKMAVFFRLYKMIIENAIHTAGENNANELRGFLFLMGLSVAHELIHTFVGPAGRRA YFNPRNPRGTRQVGDMVGVFTTQNTGRAVSEAWMHDCLGLNWKDFTFPVDLAGPSQNC TNRTQMEGTAQRKTWKDRLQYRGDYTPHMPEINHMPLHRISSSALRDIMRTVANTAYI KVRA QC762_302940 MADNMYEKLETASAKTREAMADRQHLSPPPTHARQNRMRIATRE TSCWYILWGFVLALCGLSILVDRPLLFVFLREPDFKDQCTQPEPHFPAKNEDLDKLVD IIEGDAFRNASVDRLSRAIQIKTESFDDMGEVGRDPRWEVFFKFHGYLALTFPLIHEK LQVEKVNTHGLLYTWKGSDDSLKPTLLMAHQDTVPVPPETIGSWTHPPWSGAYDGKYV WGRGAADCKNTLIALLETLQLLLEANFQPKRTIILSFGFDEEVSGRRGASALSAFLQE RYGKDGVAVIVDEGAGFEETWGTLFAKPGTAEKGYVDVKITVRMPGGHSSIPSDHTSI GVLSELITKIESEQYPTRLVEENPYYSQLQCGAGYSDNFPKKLKKLLADNAFKKPSFF LTCRNKPDRLALEAAKQGPAIKYLMQTSQAVDVISGGIKTNALPERATVTVNHRINIG ETTKIVTDRLTSIGDEIAKKYNLTLHAFDDSQEEPNSIHLSSAVNKLEVAPITPADGS INSPFTTLAGTVRAVYGKNVIVTPGIMTGNTDTRFYWNLTRHIFRFAPGYDADDEVGL GKIHTVDERVSVLNHVHTVRWFVMFVRNMDEARFDTDGGSGKSNDLGVGSG QC762_302950 MKSLFPSRRKPPVQIPTDTQDLFAHLPTELIILVLEQLNTSSDI ISALHVCRNWRDILLSPEIWPSIADRLAPGLTTHIRERNCSVNLHAQAKVFQSALNLH HLYQSGLFSHARHHVVRVNDGSFTLSKQVSVESGGVHSLAEVPGLDPLSEELHVTHVR LYSHGRIAWWPEAWHLPYFAVVDDLRARVRRMFLFPGQAELRGEDRRRGWKTALGEKL FVLGQEDAGVCVWHLERDEMKFVELPGGFDRCVVDGERLLFIGRRNAEVWLWDWAGEQ GVREIDVAGDEPRYVPGPVRMGGQIVQGYPRPAPKWGLRFQDTDVKVDFILHPNDWRV IFVVTWDEVDLVVSEFYEGCMRARMVCPREHLAYRGMVRSRTDNAVHYLRTDRCDGRG GYVLMTAWVGEEPMCDAGHRGSIVSVCFNVHTSEFSALVHHASYQRTPAAHLWDGLLA VRVAGEDQNGLKPVVALLKPCDADEGNEATSQPGNPMPVRLIKQPSHNITPAEGNMTF VSEDGEIRPRAQSLHGMAHAFEAGGDARQAGTDAMKAEWLSGDDKTLVYVAGRDYTVW MFGEDGIPKEKKEVRLWKERFKNAIASTGAWNEGIVRRVR QC762_0050680 MPRNRHGMAFSLPEPVEIPVLHAVLVVLPRQSAFSKSQREYIIA QGQAGHWMLDVLLAHFFDIPPVDAKTWYFYIHRFIEN QC762_302955 MSSRGKTVRDDQLCVLGPQRLRYPRWRGEEGLAAKRRGGVDGGV GMNPWTSSRETRASKVRSAERQVESPEAKKPLGQRGPETVRLEIGFDGRKNLTFMSGP VRSLSLEGLMA QC762_302960 MGSHAPPHHNHHNHHPQHPDADPAQAVDGLVRAIRDLTSDPNYK LVADVFSEFLFVKEQNNQLSTSHQVLLEEYRKFRNELEDQKEILVKERREMELVVQEK VQEIFQLTATRTRLETDLEATHRALEEEIEAATQAAEAAAKKYADLRDQTTLEYADLK ARKEQEYADLVDLKKLELSELKALTTKQYQDLEAAKLAVEEEKKTNEAAAAAAAVLAA GTIAALTAAKAELEKTLEQIKADNDATIQALKEKAEGLEAAEKALEEELEAAKQKIAT LETALEERNKEISGLQESLRAAEEQITSLQQTLEEKNNEIDELHGKLTTETTRAEKAE SENHDLHNRLEDTEHNLEVTSNKLADLEQYRIELQHDNEDTYVAVLDKIWTTIVTLVE TSFRHDIDDAILSDASCWTNLRSSPYLKMATQLQIPLPQSNTAAAKGMRISAVLAILS RALHRHIFRPNYLLEDDDEPLLKFLRALEDDDPAREAHFRATMLAMMPERQLEQAARR VKTVVREVSWVVQHLLTALQFEAFCTGLEAACRLACEQWMRIQVANMKIEPYFGPPYD DYDWQVLDLPEFAEAIENDKAVETDEEVPPTSIDERLESALAEASKVPIPASIRTVSA AGTLPGDKLLVNDTDNGSLHSAHEHEDEFEGEVDPDEILLVVWPSMCCVENGELMSIT QGLVISKEQARPALDESRPPRPKLIARPGSRRARTMSMPAGQSRSGSPQRAMTGKVTN HFMMMARELDSAAAEEVASQAASAT QC762_302970 MKLLAFLPLASASIVILPGGSPLPYRPSTNITLSSASDLLRQSA PNEFPNSTVQLLLSAYSGTLDPAAASTNFSSHLPSGDSFVRSAIQAWGEHLHLVLRPD EIWFTILTQMNFYMETHAEAVRHLFVKHQGQEVIFIEDHTWTDVLWRFKEEIQKRVLT PWLEEWIVPGFSTTTDNDVMTSTILMMGLVKAYFRYEGGIICGLPSVTLEGTREDWVK LEKKLERLEFFGEEPKEYKRRLAPIFKRFVKSWDEPDSAETKRFWNSIVFASYSNICG AAPLDVSGWITGFFYWDEQGQPWGRGGRSVVQLDGVDYWSQDITRLPVGYARAPFIMR DFGGKDRFEAYVAAGNLGKKVMEGWPVGYEAALERSTGAKVDRERLRTQRGGHATLRP LSAWMLYGPLAHNATKTYKAAEAELGLLASRTKANLGETCAKPQE QC762_302987 MVPPYTAEEKQWLRVHFDGEFKFLMAYGLSIYDEDERAEGRLIA RAMMANDG QC762_302992 MGCLSTLKIWRKKGPAPKPVVTHAKGVHTTSRDSSRSTATYDEK HASIATEASSLLPFEEARLKEEEAGDPEVARRRRAEEEKKRQAEREEQERLDFFQMM QC762_303000 MAPDDRDRHDSAPDLRILGDRITLQPSGFVEPPKDKDEKDEALM KNVARFRSEPLRFLRDVSLYVSGTGWRAYDDVIGQPIFYNGFSEHIKTQVMSATVLQT KIAQLADLRISVEEKQGLLPPPSSGREYEIRKAKRRAELVQGLQEVAEHLTDNMICKF ESKTFIRGAYYLVTQLLLRAYHQGIHVSSEEVLRLRNVAEEAEKKKQSIIFLPCHRSH VDYVAQQLLCYRLGLALPVVVAGDNLNFPLVGSFLQHAGAMYIRRSFGDDQLYTTLVQ AYIDVLLQGGYNLECFIEGGRSRTGKLLPPKFGILSFVLDSLLSGRVEDAIICPVSTQ YDKVIETEGYVTELLGVPKKKENLADFLSGGSNVLSLRLGRVDVRFHEPWSLRGFIDD QISRLSKVPSAIHVDWTDTKNQVVRQKLLRTLGYKVLADINDVSVVMPTALIGTVLLT LRGRGVGRAELIRRVEWLTERVRAKGGRVAHFGNLPLSDVIERGLEVLGKDLVGVVEG LAEPTYYAVDRFQLSFYRNMTIHLFISEALVAAALYTKVKQGGGPAIQDIPYKELRDQ VLFLSSLFRGEFIYSGEGLQVNLERTLAGLEADNIIMIERDEQRNITKIGLSDAERAA GRENYDFYCFLIWPFIEASWLAAVSLMGLTPPLGQKDDLGKTLYHQGDLSYFEAVNKE TLKNSYQRFEEEGIIQVVRSKDSRIPPRLRVSPEWRPRRDEVTGNLTASGKLWDFTEK IASSRREGKNRRDGATVSTRVIRLTDELGQKLWEEAVRGVDGGKKAKGLNAPARLSAE EERVLERSQREKKKRRTLEGRAHL QC762_303010 MIVPTKKDHQSIAADLLNRAFEPEEATKILTEKVIQRPLFLCPT SPPPADARRARHLAAKRAKEQRRAKALKPKPVSATQRKKQGLYQIPKEGQKYALFEPL HNLWLGYIREILGSEVYTGGEAAAAKLASADFHGAGVEVVRSGCVSRVGIKGIVVKDG KFAFEMITVKNKVKVVPKEGTIFRVEVPVPVIIKTGEEEKKESRMVFELHGDQFQFRS ADRATRKFRAHFSKTL QC762_303015 MGLETFCEFDVRENLYASPGCLGRQNGEDEYRWVYLDCPPPAFG GGGSDFDLVHSLNTRSFSHSLAVGYQLQLAAGLPFYRFFWFFFSLFGSFTGYSLDTYH LSAQMKFSPTLLLLSATSPLALAIASPQDNPAVPAPSGSGTPVLRRSGAGDTAVNARQ RRAMLRMRQPGTADDEAVAYTTEAAAPASTTEPPAEEDVTEEEECVDVEVPDLANGTL PLNGTEGGNGTANGTTLSEQELKVKRMVKVKLPDYRRDGEGVKERDTEAELGLTASIA KLLRW QC762_303020 MPPTANAAALIRHTVLLRPAIITTAFSKTFPTTTSRAVFRSCTV IPKVTSRTMASSCSSSSSKGGLEKLPSSPVRLLRTTSSVRLWRRPHLLDHRTVALVPT MGALHSGHLSLIRRAARENHHVVVSIYVNPAQFGISEDLDSYPQTWDSDVAQLVKLDR ELADDGDNLGRISAVFAPSTKEMYPGGFPGQEVDSKGSFVTITPVGELLEGRTRPTFF RGVATVCMKLFNVVQPERVYFGQKDVQQTVVIKRLVRDFMMPIEVVVGRTERDEKDGL ALSSRNVYLGERRRQKATVLYRALKAAEGEYKRGERKRGGILRAAEGVVEGVKREEEG KGVEERVRFEVDYISLADPDTMEEIEEVDPARGGILSGAIKMLPVEKAREGEDLGHSG GPAVRLIDNIILEPEGGKEE QC762_303030 MTANINLHTLYIHQQHNYHDDQHYHPEAHSLTPPAHPEALSSSP DNSPPRPKRARSLNPLTTATVVDAQVKRPTRRFVQTSKARRVRTGCFTCRDRHVKCDE GAPVCNNCLKSNRQCKRGVRLNFAETNQQVKGPPRTVPRGKDWLVTFQDDSREIASGY KGGLESYSDAPDEDLGVSPIDDFPPITRPRKASADVQGSGALPSGNPSAFEAAKGLSS VPEASRAPYYPITIEPPTHQEPVHLNGGHGQVQAHLPVRNTEPFVPNPPQPPQPHHHP FALEDLLQQHRPVYPVQQRRDSDVSSVTSSLIPEGSNAQNPENDGDGPMTPCSENGDE SPTSERDYLSTEHEINCMQVFINDVAVWMDVFDKDKHFSTVVPSLAFKSTMLLNAILA CGVKQHSLMSPPASRAAESDRARVYHDTSTSLLLRSLQNPDRNTDECCITAVVLNVYD IMNETEPSKLPDERSTAQQRQQRLEHIRGARALIRENNYSAGSASSLARACFWLNVGL EVLSCLSFNWTTSWEPDDWGMDLEFTTWIVGSSSGNGSVIASSEPDRGDDLPSFSGPA AQASSGDEELWAQRIIYILAKVINFRASSSPTIPVLHPSQFHNPGHHHRHHEPTPHDE QVRLQGRFAEWTRLKSLLDAWNHRCPRSMRPSGYVTGPSSRSAFPNVWLMSRPAIIGR LFYHVCMVLLAQTNPLHKGDTEENRSLQKHHGRQVCGIVSTSAVGDKGVGSVAIRGLA VAGAVLDSSQERDEVLGILGRIEKSTGWRLGGVVAELRKGWEVKDVMPTTFGGQVVTL PGLPAVTMGVGMGVGRIGDSFLRGPGGPPMGGSSSKMTNPLSKGADFRDREHPYQNWY VRPNGGEVGSSSGEGAGREERRGVHSWGV QC762_303040 MASNARPAQPKTILRGHKTPVQVAAFVRNNERLLTGDSDGFVVA WDLTIMRPRAVWQAHTASILGIEGWGHDRIITHGRDNRLVVWKLTAEHENVLSTSLPL DPSSQPRPKPWMLHVLQISTMNFCSFSYCPAYPDNSPAAAAADEILLAVPNTLSSESI DIFHLPSLARKHTVGLPTSSSPDQKNGMAMSLSLFHHPTSHHLTLVAGYERGLAAVYQ LDPNTSKWVVTYQSNPHTQPILSLDVSPDESFFLSSGADATIAKHPIPSCPPSSGIIQ DPILTINTRHAGQQSLRIRSDNLIFATAGWDSMVRVYSVKTMKELAVLKWHQQGCYAV AFAAANTSPATSPPQEETPRPDDKKPEGSHSKEVTTQVPKMLVEQTPKQKRLHQARTA RWLAAGSKDGRVSLWDVY QC762_303050 MIPSNNSFPPVRACLFDMDGLLLDTEDLYTLCINLILEKYGRPK LPWSIKAKLQGRPGPQANKLLHDWAQLPITPEEYHKQYYELQAQHFPGTQPLPGIPTL LSDLARTRYWDMEKNKESRAGETAPTPHRVHIALATSSHKSNFILKTSHLTELFSVFE THRRVLGDDERIAPGRGKPLPDIYLLALKTINDSLPEGEKPITPEECLVFEDSVPGVE AGRRAGMRVIWAPHPMLKKEYEGREKEVLAGRTYEAGEVDTHQLGEVDDGWAEYRGTL VDFPYERFGIVVPPVEVEGEGWMLETGRLADGEVVEVVGRA QC762_303060 MAAQDEEPRIAGADDDMEQQRHEERFPPEEEASMVADSNAHKTE ANSLFGSGKYDIAINKYDEALAVLPNYLDYELAVLRSNIAACHLKLEEWKEAVTNATA ALDSLDKLEGNGSSKKQDPTPPAAEDEVEGEIVSSGAKAAGPALGDDAQRKRPDDISR IRAKALMRRARARSELGGWSNLEGAIEDYKRLSTLPAYDTLMSATDKKIVSTQLRALP ARAKAAQEKETAEMWAKLKDLGNGILKPFGLSTDSFKMVKDEKTGAYSMNFAGGSGGK QC762_303065 MVVPESTHEQVRLEPRRRYVDVDHWRPYITTRYPEEIQSGDARE FEYEILGQDAGPRPIPAFPVRNGFRIPAWIPAANPELQPGVITDDHIRMACIILIQMA PDLAREIPRRYLEIQSILDRGIESSRRRVLTEERVAEYAPQDWTASPDEGGLKMEEEH LPDVYMRILRTFEAADSKDGPFGLFADLYPGVREHDIIPAPKWPRCLGHYIFDRVAQR KVTDQRRDAWAAGKFEKSRAAIAAEKAATEAEAAAQQQQLEQKQQQQQQQQQQQQQQQ QKPQRKRDKIISMMQYGAPKAPLP QC762_303070 MATRGVSALKFVGTVSLGLLTGLSYTLTTLTIPSLLNLPSATTA LRAFDSLTTTSTKQLRSLSGLSGTAFLLAYYLSPKPLRHPYLLYTSVLILGSQLVGTD LVAPYISLGPSSPATTAGSKKSFPQQKKKKPSASARARMEASYEVLGEEQEEESEEDE VLEVGEEANGEEVRAKVEGWLKKQVVQVSVLGLGFFMSVVGIWGDGVVNVYGRV QC762_303080 MPFKKDLTASPKQKLKSSIQRSLRTSLLQTYPLLNPFIEEIMPK KSSLEQMKLPDRVSLYVCDGNPLFFQHDSDVLLPHLKLVHRFPQCFPTVRIDRGAIRF VLSGATLMAPGLTSAGGRLPEPDAREGRETLGEGAPNEGADGEGRWSRELEKGEPVVV MAEGKEEAAAVGVLVMGTREIKEKGKGPVMEDAHFLGDGLWRLNVD QC762_303090 MPLVVPGVTADNMPEDKTQEWMNKLVGKTLSENEPSSETCFCKK DLPESTRVIEPGSMVTKDFNPNRLNVHVQEDGTVSHVSHG QC762_0050880 MCSHVQCHDDELRAERVQRSQRTQSADAGDKRTRKKKGQKRRES SPDFDSKIEKIDTKSTKKRKR QC762_0050890 MPTTPWRTLSHCFIKPPLRSKLGNRKRSNHGLADAADRALARTL RNFAPKLGLELGWGFTART QC762_303097 MKMRLLALLASASLITALVPPAIHNPEPMAGINLPREALPLITS APNPLSPLSPRQDRPESPSPCFTEVVTTTTSCVAIGECTSGPHTSLVCHADYICRSDS QGNPSCMYRESSLGVAGTIIAICFAAALVISVFSICFLCCRERREQTRLEKAAEAQKL LKESKVASKRPNGRNVTGGVTGVGTEGSGYGSGNNGGDVGQQTGYAGAQGGQGNPFAD SGEGALR QC762_303100 MKGNRNPAPPVAASAASQSPSAKGTAKYTNKDGSKFITVPKGST PVESSQPSPTCASPTPPAPPAVNRKKQKRREKAAAKAAAEQQHQAQTGQPSNGTLSGP QPPQGQPSADVEQHEDEDEEEADAGQDSRDQNQQPPNGTPNGQPAKSKKAKKKKKKNN NAAGASADGSVNGNHVDHRPPPTSHINGRGMSKEKIWNTSSQEERERIKEFWLGLDEV QRKNLVKVEKDAVLKKMKEQQKQTCSCSVCGRKRTAIEEELEGLYDAYYEELEHYANH PHTTSNSSLLSRHHSISFSSIPPNLPHGLPSGPRYTHQPSHGRILEHVDDDADEDEEG EGEEVDYEGEEGEEEYSEEELEDEEEDDEEYSDDEHDPSELHRTEYASDFFNFGNSLT VQGTGNNAYGSSSIGGILTVADDLLKNDGRKFIEMMEQLAERRMATQERVLHPGEQDY EHTNGDRYSHSHPPPDPDDEEEDYEEDEDYEEDEEEYDSQEEEEDPMTDEQRMEEGRR MFQIFAARMFEQRVLTAYREKVAKERQAKLLEEIEAENQQDAQRKAKKAKEAQRRKDR AARKKEALAEEKARKEAEKAAEEAARKEEEERKQAEAKQRAEEKRKRREAQKKAEEEE RLRKEAERQRKIHEREENERKAREAKEREKKAREEARLREKEQKEREARERREQQERD KREKEAKAKAERESREQAKETKEKRKKEERAAQKAAAIAATAAAAMAPSVTLPKRPAQ QSATAIPALAQSSASFASPLITVATPALPMAPTPVRPRQPSQQESSGIQTSGVGFPPG IAPSHSQSPHPLTPIQASPGPIGPPSKSGLTGPNLFGGQASQHAASPLSAQPSKPVPM HPSPFGMPMGGGGSMPFPPGLGQMPPPGFGSPMHRDPPFSPMNAFRPPPGIVSIPPGL SGPGPNRGFPLHQHHPPGFPGPLDSPVPPMAHLMGPAGMQSNGGTTHSRQGSGSHESG GPQPISKPTPIGRPASVVHGQRPSSSSPSRDFSRNDPDTHLGSSALLDDGDDPIDFAG RPVRFPTASSLPRPGGFGAFGMDPMFPSHPNPWGPQPFGMPPQSHPFPPGPPPGFGVP SPVGSSWGPPPGASFGIPGVLERPTEPRHLTLRKMMRKACEDLGEHDTQAAEGRTGFI PLADIKAQVDRLHINGPPVNFDELLMICETEGNEVNGGGIFELREENGNKSIQYFLNN DRARPQGVLRTVGYHPGSPTNGGGLG QC762_0050920 MKTGQERHSKAEVTCSSARQLSACILFRAEPRSRVFTTPRPLPS ATFFALSSNSSQHPKRLPVEDPEVRFYDGFSLSDTCHIRLADSTKGPSHYMSSSLIYF RLH QC762_303110 MAAMQESGQHQTAIRRKLVIIGDGACGKTSLLSVFTLGFFPTVS PPGLIRASSIPTVFENYVTDCKVDGKNVQLALWDTAGQEDYERLRPLAYSKAHVILIG FSIDTPDSLDNVKHKWVTEAQERCPEVPIILVGLKKDLRDDPVAIEEMRKKSQRFITP TEGEHAAKEIGARKYLECSSLTGEGVDDVFEAATRASLLMFEKSEGGGCCVIL QC762_303120 MSTKTFLPSLRALTTRLFTRPPPLSTQQCRPLTTTPPSLALSKS SIAKLGPGSKPGKGSSSANKNQPTRRSKKKGEVVRDPRMINLLRHFAILSPQRIPAPL RMARNRYLRHWTIHRAWLLFRRQQREARERNWMRQYQSMNRACEELRLTSGPGTREEG YLFRMAMEKKGVYGLKGVPIEYARAQTETPARVPWDHEWKRD QC762_303130 MAHLTLAGDSCFTASEAQKLKDQINKIAPIKVSKLAGSWIYYAH INGDANAAKQTLSQFLPLSGSSTPPLTHIGYGRQWFVTPRYLSPWSSKATMIAHVCGF ENQIQRIERGRIITIEFDQPYNDKTVPFKDILHDRMTEHLTTEEPDLNTMFAESEPAP LEVVDIFAEGRDPVQVLNEYNKARGLALDQSEVEYLVEQFTKLGRPPHDIELFMFAQV NSEHCRHKQFNANWTIDGITKEHSLFGMIRNTHKATPDFTVSAYSDNAAVIQGENANL WAPDYSTGSWKLNKELIHVLAKVETHNHPTAIAPFPGAATGSGGEIRDEGAVGRGSMP KAGLCGFWVSDLHIPEHKAPWEIDVGRPAHYASSLDIMLEAPIGSARFNNEFGRPCLT GTFRTLLTADDTKAEGEFRGYHKPIMIAGGVGTVREKHALKDPKDVQEGAHVIVLGGP AMLIGLGGGAASSNASGEGNADLDFDSVQRGNPEMERRAQMVINTCVALGDHNPIAMI HDVGAGGLSNALPELVKDAGFGGRFELRQVECVDRGMSPLQIWCNEAQERYVILVNSD GMERFTAICRRERCGFSDVGTVLSKEEDGVSRLVLSDKESKKYPRPIDVPMDVLFPKG RKLERIVSSKKPTWPVFEPVASLKAALGDAASDADLFKQAVQRVFWLPSVGSKSFLIT IADRTVGGLTIRDQMVGPWQTPVADVAVTATSFSLNGMKTGEAMAMGEKPTLALISPA ASARMAVAESLLNLGAADIKGGSYRGDLKRVKLSANWMAAVNHPGEGAALYEAVEAIG MELCPKLGVSIPVGKDSTSMKASWKDGETKKSVTAPVSVVISAFTLVEDVRRTWTPQL CRVEDVGETVLLYVDLAQGHKALGGSALAQAFGAIGHEAPDVRDVDLLKDYFDALAQL HESGIVLAYHDVSDGGLVTTIAEMMFAGRCGVDVMMDGVAKSGSLADMTEALFHEELG AVFQVRASDETNFKRCFATCGPPVGLIKKIGVVQDSSKQNLNIRYGEGAPFASLDRAE MQQWWSKVSYEMQKLRDDPSCAESEYATIADSADPGLSYNLTFSPAENIVPLTASITG FFGKSPRVAILREQGVNGYAEMAFAFRAAGFDAVDIHMTDIINGRSLADFVGLAACGG FSFGDVLGAGQGWAKSILLHEKSRKELAEFFQRKDTFALGVCNGCQMLSRLKELIPGA EDFPAFVQNNSTQFEARYSMVKIEDNPSNPSVFFNGMNGSSLPIVVSHGEGRAEFQSQ QQFQSLTESGGIPIRYVDNRLEVTETYPYNPNGSPGGIAGVASRDGRVLAMMPHPERT IMADVTSYAPREDVEQWGEFGPWLRMFRSARRWVG QC762_303140 MAAQNSKQRLALAVCDFLSSSLKDGTLREEDSDNIDIAINCITE AFSVDFSDKAAVSSAIGSQNLLQIYSVYEKLKNVTAPASSQSAGSSSAPPPSTAVTEE QKKQAESLKSKGNAAMAQKDYPTAINYYTQALSVHPGNAIFLSNRAAAYSAAKDHESA KADAEAAVAIEPTYTKAWSRLGLARFALGDAKGSMEAYQKGIEYEGNGGSDAMKKGYE TAKRRVAEIEAEESASATARSASPSAGGGGTPSLADLAGMLGGGGRGGGGGGPGGLDF GAIMNNPMFASMAQNLMSNPDMMANLMNNPRLRDMANSFGSGGGLPDIGSLMSDPSIA EMARNMMGGAGGAGGAGAGAGAGRGSGAP QC762_303150 MATPLGASSPTGSLTLSTSVHPSIVPAPYAENDQHVCFICLQNE NDTPDATWVHPCPCTLEAHQDCMLQWVAEMEVSNRRSKNGLQCPACKSPITVEEPYDA IVALRNRFNRKFSRISPGLLVLIVSECSVVGAASYGFAAITVFAGRRAVASMVDKMGV IPTVITCSLIGPGLVLSRWLASLGNLVVLPVSALYSTFLIGRNQPLTWPPSPVWAVAL MPSVQFAYTFLYYEVFGKLEKRLNRALRGRPMDEEPPNEAQLQQQPPPIQAVAANGQQ QQRQPGEQQQPPPQNGAGNNNNNNNNNNQREGENGEEGMWDAVINLGRAVVGLFGDDD DQADADLDDDDVMGRADEIVFEVQLNLGDDGHDHDHDHHHHHHNDDDQDEMGNIHMDV AVPAGLPAPPPAAAQQPAPPPQNNNRRNHRNRDNNEVARPPPGPNGEGETNFLSLFIN SIVSSLLMPVISFGMGEAIRFLAPKSWVTRSVFQRDSIWSSIWGGGGRRRTIPAAAGT GGVFAPSILQHQWGRSLVGGCLYVVLRDAWTLYVKWRRVQVKQNRRVKNVERRAAGKD N QC762_303160 MAGPVPVASSLSDIYTVDAIGTQAKRWNNLLARFQTIYGQPAEF VARSPGRVNIIGEHIDYSLYPVLPMAITADSLLAVSTNVASTNTKEGHYKIKIANVQD AKFPAHEFDIPYEAVDIDATVHEWTNYFKSGLRGALELLRKKHGNDFEPKSMQILMDG TVPAGGGLSSSAAFVSSSALAVMVANGEKTVDKTELTELAIVSERAVGVNSGGMDQSA SVFSERGSALFVSFTPSLKARPVSFPKTNPELTFLIAQSFVTADKFVTGPVHYNLRVV ECSLAAAYLNAVLNPPGTQLPSDASPLSVSLHGFHETYFALQEHSSGATKSKSTESQL EELVALTAEKLDKRDGYTREEIAAVLNISVDELNKKFTSRFPVRAEKFKLRQRALHVF SEALRVLKFMSLLEQQPTNTDDTSEYNAQLGDLLNQTQDSCRDVYECSCKEIDELCSI ARKAGSYGSRLTGAGWGGCSVHLVPAGKVKAVREAWEREYYSKLDLSEEQKEAAVVVS KPGSGSAVYLVDDKPGPVVVDDRDRGSEVY QC762_303165 MHMHQLPSPQGRQITLSPTDRSFLQVEGGGETKQLGKHITLLWC QC762_303170 MSQQPCLLERLPLHIAQYLANFLHQDSIRPFSLASKFCCQAAAP RRFTSLKLTARERDKFDRDVEELRATLAVDNRSHHVRRITLRGFLLLGTDHLGPNGVD GRFLANGGWNESPDNEGDSETEDVYDSSYFRPNLPKYDSQRKQELNEAWQPFADLIGQ LSGLRDLIYACTHQIPRCVLEALHQRHPRSRLHMHTFSLRSLYLSASNVQAIDADEYA LLTSPCLYSIDMKYEPYSDEAEKSFNTEALHWLIVNSSSLRKFKLDYRYDTMAVRHGA YQPESSWEDFLEQAGAGKSADGKISKKPEKHPLESLTLHSSGFIYELLNHIAAWKPLR SFKELRHLELSPCLSLDVTSLISMAAEGEIPSLRSLSVDWEDMHNNLGQLLSVLPHLD TLKLSGNLDETTFQGIHDGPRLALRKLQIYPFAPLDHSQVRQLIQWCPKLEDVRLRLA REAGPHETAIYRLLGRLPRLRRVVLQFVCPEPQYPPPISAIMDLSWDKFRDVRKALVE IAVDETLARAIFRKIAARKCPLERLKIECLPIQDSARALRSYHAMSSSYDASWEDIVE VVGGRTWICTRQDVESSEVEVREVTKETGSRDKALECLKKTDDQEVKELWKQVWPPRE GGSDKWWENWWSYPLSDILDDNGEDVVGGAGPDI QC762_0051020 MQRSLRFRLAFNNSLAHERLTAKFQPPYERMAKHGSKALDFGVD YPNNYCYWNLDHGFWYRTRNKASKCPPISAEW QC762_303180 MGQTCTTVQVETGESCWSLATKCGITGSQLTGYNPAPEFCSNFQ VGQHLCCSDLDQHVCCSKGDLPDFSPQPNPDGSCHTYTVQANDNCWDIAAAYYITQQQ INDFNQNTWGWAGCLSTGSPPMPAPFANAICGPQVPGTLKPANMNDLATLNPCPLNAC CNVWGQCGIDENFCIPSPVPGGGPGTATPGSNGCIASCGMDIVGDTTPPAEFKRIAYW EAWNANRPCLHMPANRIDTNYYTHVHFAFGDITPGFQVDVSSLQGPYNDFKALTGVKR IMSFGGWSFSTSHDTYAIFRSGVTPAQRYAFAQDVVNFIINEGLDGVDFDWEYPSAPD LPDIPPANPTDGQDYLSFLQTVRSLLPSHLSISIAAPSSFWYLKGFPVDEIADVVDYM FVPAPSLFLNPTDNPLLRVYMTYDLHGQWDYNSPWSSSGCPTGNCLRHHTNKTETLTS LAMITKAGVPSSKIIIGMALYGRTVKMSIPGCWGPDCYFTGPASGAEPGRCTAEAGYL SNWEIEEIIKSDSSAFRYSDEHGDILVYGGDQWVSWMSRGSYEARVGWVRGLNMGGTS DWAVDLGEDWGERGLCARRDDHGGGKGVRLDYKG QC762_303190 MQSTSINLEQLLKKFPNSNFPSPYISLGPSSRLSPATTIAENDN ISSATRLKSPPVSHKRRTGRRSLGATTGRPRASSPATVASKDDCLQCPLCSEVGAYTA CRRKNDLKRHMRNYHNTNAQWTCPKPDCGKTYDCLPGMKAHLKDPSHGNLHRSSECIM TTLCPRVVFACGFTNCKHVFETPDAADPAETATDYFHHVANHVEANHPNQTWSHSTCF RNLMRQAGIYDGWKNRHPKGKDLKWQPHTSIVLRKMLETRHVPDSELLVLWAVRLGSK PYSESTSPAPRGLPEALCLPVSELCRCSANSVPPSPSHPNPSPGSPQVPESLADRSAE FDLAAYSGTSAFSQNVNDQGYTQDSTLIGVGRAFDNSPQLEGGYPLGVPALTVNQQPA PEWSGYYYNRNNQDMSDTMIDPALLSVRGQSSWMDYGMEGVDAAREVDDLSSW QC762_303200 MIRQTSRALKALATTRPSQQRIASLTQKASAITGVRNGPSDVRN QSTSAAAKVPAADVRAKPAQSFNAEPNHVQPLANARKNDVDESFIGKTGGEIFHEMML RHGVKHIFGYPGGAILPVFDAIYNSPHFDFVLPRHEQGAGHMAQGYARASGKPGVVLV TSGPGATNVVTPMADALADGTPMIVFTGQVVTTAIGSDAFQEADVVGISRACTKWNVM VKNVAELPQRINEAFEIATSGRPGPVLVDLPKDVTAGILRRAIPTAPAIPSLPSAASR AALEASEKQLRASIKRVAHLVNIAKKPVIYAGQGVISSERGVELLRELANKASIPVTT TLHGLGAFDELDEKSLHMLGMHGSAYANMSMQEADLIIALGGRFDDRVTGSVAKFAPA AKAAAKENRGGIIHFEILPKNINKVVQATEAIEGDLGKNLDLLLPQVESKTMADRKEW FAQINEWKKKWPLSDYERAAREGLIKPQTLIEELSNLTADRKENTYITTGVGQHQMWA AQHFRWRHPRTMITSGGLGTMGYGLPAAIGAKVAKPDSLVIDIDGDASFGMTLTELST AAQFNIGVKIIVLNNEEQGMVTQWQNLFYEDRYSHTHQKNPDFMKLADAMGIQHRRLI KPDETREALQWLIDTDGPALLEVVTDKKVPVLPMVPGGSALHEFITYDGVKDLARREL MRQRTCGLHG QC762_303210 MSDIAKKTLPSRKGKKQQQQQDVSTPQEENVPRSAPSPPAIDLQ KEQNKNREEEEENDEVVTTSGDFEGNDGSEVERESGGYTHLEKPKETEEGIQMEDKEE EKPEKVEPEAMSDKTETEAGEDTKTTTEEKVESSEKPESDKAEEETQTPAADKISEAP SGLTEQAKKAFDQASENQPTDEAREEFLKSAKEDATTTSEKPPASETVDKAASEKPDV EAADNNIDEPEPAASEKPDIDTKTVSDKAESVAKSGSEKPDVEQQTEKAPSTTGTEQQ QPQTEAGEQAQEQFDPHQIQDGQSQVQDFSPSQAESQPEGTIQEDQPQGVEAGEGSTV AEQMDFSILKNGTVNKGGNVVSDGKVVGRVVSGILQYLIGKKVDENGDIWSDNGKIIG KAEPISDSERDDMLKESAPFESFPDAVVDGNGMVVSNGEWVGKIIEGDVKQLRGKSVD ADGDVLDKAGNVIGKAERWEPEEEVEEEVKEVDNSLLAGKRVNKAGYVVDGNGVIYGR VVEGDPKRMVGRMCDRKGNILSESGDVLGKAELVSEGEREGSKEGPFAELEGCTVAKD GTVVTPSGDIVGRLVKGEGKVLFGRAVDEDGDVLDKNGNVIGKAERWEPEEVQKSKNL MSGRKVNKEGNVVDGDGNLIGKLTSGDPQVCSGKEIDDDGDVVDQKGTVIGHCSLLED IPEVKDEETPEQKEKREQAENDKKMAIQMSVCIAQCLDKIRPICKMITEKIDKAERTP EDERDEEQLVKEVRPLIEEGGRILTEAQGIIKGLDPDGRISANAKHKTAAREATPEEY RLAELLKDLTGDVTQCIDNAKRKLEDMPHAKKELNPLWGLLNEPLFQILAAVGLLLSG VLNLVGRLLSGLGLGGLVDGLLGTLGLNRVLAGLGLGSFSDSLKSGKKKKGGLLGGVL GG QC762_303220 MTSKQFTQASQLPRSRRQSQDDIVEVGHEDESDIEVEDITDQPE PETQEKRDLPDRTKSRSPAYVAPIPKIGSIPEVSPGISLSPERPKLPPYVGSILMGKT VDEYGDIVDDQTGQVLARAGGDLPEIIGRKVSNRDGDILGDNGELLGYVADIEIERKP STSPHSPRTESQPRSLLDMMRKANASLMVDHLGNILDASGNVVGRFLDNNNPLHRKEK EQQELRERIQQQAEAGPSSVRKKKQGKKSEKEDKEGGQEDGVADEQEGHPSPPPKSPK REQQKQSQAKEQEQEPDKPRQPRRTEEERRQNAESWRKENPSDSPSDIFLDVKSTKEG IQLTIRIPTVFGSQQIKPNISFS QC762_303230 MSSRSGSETKKPKRQPKPQVYESEGEESEDYAPPPRRRGKRQQQ QGGGGPLDSLALDSVGQTAGGLVNGATGVLGGVAGGAVNQQGGGGGGKSDTLRLRLDL NLDIEITLKAKIHGDLELALLRDISKTRPPMWRTISRLFA QC762_303240 MVVGLLVIAGIPTTIGVCEALSAQKKANEAAKEKAKFRMTVTVS LDEDGPVECWCVLKNGMLWIDHPSFPVPGHKFFGYYFPYPSEEQHLGMVSTIADDPPM LNWIYVDKDTNLVRHGGRQATLGHTIGPWFWTSDEKWLTLEGDAARFVAVQMENKKWA IALDRDGCFSEEDVSESEDEGESEDESETEGDKEELWPEGGVLNRHRSRQWVPVLLRR QLQLGMESRYVKGANG QC762_303250 MPSQRMKNRNKKKQTPKPNEEFPKKEDEIKTGQPEASNLPQTST VGDNKPEDNKPTEAGRAETDKPPGDKPLDNEDYDEENPDEENAGVLGDDYQEDEDQEG EEQYDEEGAEEEEQAYDDEEQDDEDAASEEEEEEEEEEEEEEEVIPPPQPQRQKSNTT KKGKPASVVDDRSSTPSSSQPPTPKDDEKPQPKWMRDQKKKKQRQAESDVDNRQVDRP RRRGKNEPSYREQQQALYRQQQQQQMMMQQQQQQGGGDGGGKNPLRLRLDLNLEIEIE LKAHIHGDLTLALL QC762_0051110 MRIPIVSRQNLHSCQLGAYEVSWLPAAFFAARAAAVECRWRPFG APQPWGGDSFTPLPRPALFCSNSSDHLSAHRQARSVIFIGLHSLLIPEGHGGAPFLRV TFANVAKRPPLLVELRRATATGISNLEPLRASSAPTFSLHAHEELYHSLPI QC762_0051120 MPTSPQADSTEPETTAITDPTEPPSCIACESTTRRVWTYIQCGF NFCDDCWGKERHHKSRVMGIGGRPHEKSVLGVAKGLEYIFRNKEVEDLDGEFAIDAKN KWFGIDRGSDGLTFNYTDRLTDLSILESNDHNAADRFPHLVSFVGKTGTSSLSETVWI ELPTDT QC762_303260 MSGLEVAGVVLGTFPLIIDGGKLVRGYLQMTKFWWKFSSQFPDF ISAVEDELIAFRQNVELLLRPLALDAATESDLLNNAHSRGWHDSKLRSMLKARLGREK LVWFMEKLRRLNQILEEICILLMPIQDGKIHIPRSGTVDFAILRLAVSFTKKRDLMKE VTTINTQISRFLEADLRIAQATLLAPAIMGKEEWKACLAATAPYLDMQSKTAQLYRAF RPESWHCRCQTLHPCGIATVWFDHHAKQPGRGAINLFLGSNEPPNMPVEVGVEVSAGV DSTCDGSGNAEPLFDQVADLTARIRLDAGFEMHVKAGEQQNSTVLALSSFQTAVNPRQ SIIDPIWMPRKTAKLAKPDSAQRHAVPKLPEAASPVPKKLRVGCNLLKNPISVLEQHG DTIHCEDNTITLSAKRQHPSETGSSLKSSIQTLVDFRKSASLLLSERIRIGIKLAYTI LGLGTSAWIPQAWDDRDVQVVRNAPPMVYFHHTSIRSALERQVANAREHAQMTIFTLG TTLLQLLFQERIEDQPYYKAHCNSDGSANDWTHWRAAQEWQEEVEMTHGPELADVTAR CVGVNFVDTPDLGKAEFVQEVLISVIEPLEKYVKHF QC762_303270 MSQISEYVDPELLFDSARQCWANFEHLIQILPRDHQHTITAHDT QGRFSVWRQDLEGLQDELLWNSTDERKQDAHNAIYDTLLNLNSALNDVGSVLSEEQPG SHEDDLMSLLGDEEQADPENAELVAFLSSPTTRVDELFLSIRSHIDRLFKLASLLRRE IRHESSSLATIPVPTPGEDFIYDYHMCRLQRKYPKLSQQPRLCKTLGQALLFRRALIL RKSKTKDRHAPEITPQLEPRSLPTLLSGNESKSKSPAPSMTPKESTAINTSATEKDTT PTYDTDTGTERVVFEEDNGGLEVADLTTFVIDGIPLQFGRPFQCPFCGVIKSIGTRSE WRQHVSEDLKPYVCTSQQCGSGLFASRREWFSHELEFHRSTWKCQPCHVRFLSRSTLM QHLNTKHQSQNLKTSNILAMVQTKRDSSTRSCPLCDEWTTQETERDSTSFCQHLGRHL QQIALEAIPPLKGMEAIPLISPRLLDQSAILRLQPVQKVEADMRLLGIDHPDTLKSMT RLVWELTDSEEYSVAEPLALKTFEMSKQALGEDHRETLYRMYTLAEVYRRTHDNKHES ESLALQGLEAAKRVHGEDDLSVADFLSVLGEIYSDLDRLSLAADAQNKELRIYMENFG EEDPSTMHCMGKLSDTLLDDKRYTEAEHFAFQAFELRKRASGPDHTETLVNMYNLALI WHAMGRYDEAIQLLEDYIQIQRNILGEESTTNIETWQMLLESWKARQVSSQPQNARRG KSRSGGQ QC762_303275 MGQCQSINAQPGEGCFELAQRCRISQYDFDRFNPNVCGNIYVGM RMCCTEPVCCLSPPSSRRRTVPPPPTIELSPPPPAGGSCETYTVKGGDTCEDIASAFG VSEDGLDRINVFTSGWGGCKYIFSADLLTWLFCQALRSLYRMTSRS QC762_303280 MTVSQTLENGQPPCNEQIQAPESCVACQLTNKKVWTCVQCGHYT FCDDYWDKERPHGPGARGFNNRPHEKVDPAFVERLERIFGEGRSKEDQAELFDTDAET MWFGVNKSSDESFLDYSDRLIAIMLESDGFSTSDRYPQLISFVGKTGKGKSTVIGREQ ASIVGTKSFPAPVNGLTDDLISTSGDVHLYVDPATHHEKHPVLLADCEGLGGGQSVPR AKEHQSSRKRKIGWAKDSRTSSRVYAVKCLFPRILYTFSDVIVFVVQEPRRTFQSDVL VNLVEWAYSSIEKAVNQPVLPHLIITLNRTDNAINEEQWDLRTATDMLLAAQQDITQV PELIRIVQGLKLSGHSVKSAKELLECFYQSITVVRRYMQIDEQIGKLYNTIRDRATSS HTEKRSIRMALNAEKLHQFMNAAYDYFSENLDKPFDFVKEALHLDPMPHDFQGHMLHL VLAVRNGMSGMGYPNTERQLLEKVKSLLASYIAGTIKDLLNNTFRKPARMAFEELCDK WLPCGFESNGYTCCNVRFAHVKGHQASNGKIFQKGPYQPSITDDQFEGWFNGIGAELK KMMEELPRAGSEKDNAWGKHLQRLERFYDDNSKLSHSENVSHGTCFCCINNVPEHVLP CGHILCTECVSALGDHKERDIMLVRYCLRRHTHNWDRDPVQIRFKPEGAGKRALSGVR GLVELIMLEELQKLLNNIPVQNFFDLIVGTSTGGIVSLGLGVKDLPVSECIRDFKDLC GKAFTPRRLKKL QC762_303290 MSKLRSGKSHKPILLTNYNVTGPKREKFRPNYEILRLANPKNEI KVWEAALATSAAPPYFKPYVQPTTGKFFVDGGLHYNCPAWVAHHERQILWKDVQYQQP DVLLSPGTGLGTSKDAVTNQVPQPTKRGFHNLVNIILGMAQGQLNSEKAWDDLLRAYR HNRQSRRPGTLYQTQCYV QC762_303300 MPPRKRASDDSGWTPPAKALRLTFSQPSPPSQPSTSSQPSTSSQ PPSYSQPTAAEREAFQNPPLSLPEQAELIALTQADDEPLTQTDDEQLELYGGFASKIV GVRYYNGVVTIGEVVVSKREPSNQYDENAIRIDNVFGMQVGHIPRNVASRLAPYMDAG DIDIEVVVSGPKEYYECPVQINIYGTSHPARRLALEDRLKKDRLLKATQLKTTRKQNE LQRQAAENELRRQYAENGWRPSMGLKSDRSAVGLPVPAPAPASETNLEDLTKASQSVN VRPTGGGFVQTLALSEEQLSKMPLAEQPKSIKAKLLPYQLQGLAWLTAKENPTFPQPG SPDSVQLWKRDAKGNYNNLGTNITVATPPSLLSGGILADDMGLGKTLQFISLIMTGGP GTTLIVAPVSVISNWEQQIQRHVHEKDAPKVLIHHGTTRQTTAKALKEYGVVITSYGT LASEASAKGPLSQIEWRRIVLDEGHTIRNAKTKAALAACQLKAQSRWVLTGTPIINNI RDLHSLLRFLRITGGIEQPEVFNMVIGRPLALKQRRAVSLLQHLMNDICLRRLKDMKF VDLKLPAKTEYIHRITFWEDEKKKYDALLSEAQGALRDFQSRKKGPGAEKNRFQSVLE RLLRLRQTCVFSSVCIVTFALTCHSCNHWTLCKDRITDLLQLLEDNDVVPLNAKNRAL LQQALQLFIESQEECPVCFEAMKSPVITHCKHAFCRPCISKVIEIQGKCPMCRASLSE DNLVEPAPEKGIEEMEVDNLDRETKSSKTEALLKILQATLKKEGSKVIIFSQWTSFLN VIQRQLDEAGYTYTRIDGSMNATKRDVAIKALDEDPKTRIMLASLAVCSVGLNLVSAD TVVLADSWWAPAIEDQAVDRVHRLGQTRETTVWRLVMEGTVEERVLDIQAEKRELVGK AFQEREKKGEKRKETRMADVMKLLS QC762_303310 MRSNLFIASLATTFASLATAHTVLTTVFVNGINQGDGTCIRMSK NPDRATFPIEGINNANMACGLEGATPVAFTCPAPAGSTLTFAFREWADEPTKGAGPID PSHIGSVAIYLKQVSNIATTNPAGGGWFKIYSDGYDSSRKQWSAKKLITDKGLLSFSL PSGLPTGYYLARSEILAIHDISGSGPQFFVNCAQLFVSGSTTGTVSIPSDKSVSIPGH VKYSDPGVTYNVYESNPDTKPYKVVGPAIFRPSGPGPSTNAKQTDGVIPAGCILKNAN WCAKEVPDYSNENECWKASDDCWAQLDKCYTSAPPSGSRGCKVWQEKKCDKIVDSCRS GDWKGPRNKGQKVGSESESSAPVPGRIPGVGVSPGVEEPGVVIPEPEPVEGGDGGYEG GNGEGEGEGEEEEEEEENVPAPTTTGEAVVVTPVPTTLVTRPATTTEAAAPVTTGGGK KGCKKSKHYRRRAGRD QC762_0051200 MRSKEGLLRGQARGSNPACSFPVIYPFLAATAAAHQTKSFSLDQ IVVRTVTFHTSSSQLSRNFSHHLLCEKCAWK QC762_0051210 MTSPKINMWLERARGELTRSELVELLTAIGKHLADHGEQPGIHT LLEGASRPSSSVSPATASSDQRTSPYPKRPLPLPISHHQRGKPRRVSYKRSSRSPASA RTDKDTDLASLLCMLCLEIGKTTPFKYKADLVTHLLNFHLKNHCQWECPQSGCGKPFD STHGMKEHLKEAGHETIHCGKLEDLLEDCKTALCPQVVFACGAKVCREVFIVSDPSEP ARIAATAKDYCKHIANHIVVGHEWSFSNRFRNLMRQDGVYEAFTARGNNVEHFNWQPH TSFVLRKVLETRHFNAQDIELLVLWAEKLGFGSYSLPTSPIPTEPLLGISLPKESESC AWCNRSPTALLPPPPHNGIPFHGFSYSQASETPTGVASLPASSHVEFVAAQNEMGRSL TGIKGNLEGYPLGVSASFISGQTAVPAGHGQLYINYPSTSQQPSTTRPASLMGDMHAP HEPLSGINDHLNGLPLAGTGSLFSAGQTAVAFGMHTNDPSASQQAYTPRPDLVVDEYD APHEVDQEDTPVWTRNTYDR QC762_303330 MALNLPPMGGKDGGAHTQPSLPSLPNHLQSDSHATSHFASRFHV GLPITRLSSHGFIALNTFSTSNKGDGTKDGCAAAAADDLAERTLLRLGYRSENQAVLF LGETGSGKTTVRSHLLTSILNRSSTPLSQKISLAAYVFDTLTTTKTATTPTASKAGLF YELQYNTESTTTPILAGAKLLDHRLERSRIADVPTGERNFHVLYYLLAGTGPAEKTHL GFDVPATHRWRYLGHPTQLKVGINDAEGFQLFKTALRKLEFPRSEIAELCQIMAAILH IGQLEFETSSSTTVTGDESGGFSHEGAQTSTTVKNKDVLGIVAAFLGVSSVELQTTLG YKTKMIHKERVTVMLDPQGARGNANELARTLYSLLVAYIIETINRKLCADEGTFSNTI SIIDFPGFQQQSTTGSTLDHLLTNAANEALYNLTLQNFFDRKGEILETEEVVVPSTSY FDNSDAVKGLLKPGNGLLSILDDQTRRHRTDIQLLESFRKRFEGKNPAIQVGASQAKL PGSNFYTTNDAASFVVKHFAGEVDYPIKGLVEENGEVISGDLMNLIRQSKSEFVARLF GQEALQTVVHPQERQTVMQASVSSKPMRAPSIMSKRGRPGAARQPRVLPVPERGDASD QGSEVGEGKGAGSVMGSEQGASGQFLAALDNVTRAFNAPGTNCYFVFCLKPNDRRIAN QFDTKCVRAQVQTFGISEISQRIRSADFSLFLPFGEFLGLADAQTVLVGSEREKVEMV VEDKRWPSNEVAIGATGVFLSERCWMEIAQLGDGFSQGPYTDGGDGVSNPFLSKERLP LSAGATPGSIPSEKKAGYFGSNDVDARSDAGVSVMGNGDMFQNLETREQMAERGNEKT MEEVEVYKDSPSRKRWVFVVYFLTWFIPDFLIRWLGRMSRKDVRMAWREKLAINMIIW FSCLVAAFFIVGFPMLICPRQHVYSPEELSRYDGKDDNPAYAAIRGQVFDIGAFYPRH YPGRDVLPEKMLKQYGGMDITGLFPVQVSAVCQGQYGEIDPAVQLDYRNTNLTGSANI LNREDTNWKYHDFRHFTNDSRPDWFSQQMRMLRDSGYKVGNIGYSAEYVRTLANKGEA IAILDGRVYDMTRYLKGGRGQRNPLGEPEPTDREASEFMHEQVVGLFSGRSGEDVSAL WEGMDLDAGMKSRMRLCLDNLFYVADVDTRSSAQCKFSEYLVLSISIMLASVIAFKFF AALQFGTKNIPENLDKFVMCQIPAYTEDEESLRRAIDSAARMRYDDKRKLLVIVCDGM IIGQGNDRPTPRIVLDILGVSETVDPEPLSFESLGEGQKQHNMGKVYSGLYEVQGHIV PFLVVSKVGKPSEVSRPGNRGKRDSQMIIMRFLNRVHYNLAMSPLELEMYHQIRNIIG VNPTFYEYLLQIDADTVVAADSATRMVSSFLDDTRLIAVCGETALTNAKSSFVTMIQV YEYYISHNLSKAFESLFGSVTCLPGCFSMYRIRAAETGKPLFVSREIIEGYATIRVDT LHMKNLLHLGEDRYLTTLLLKYHSKYKTKYIFSAHAWTIAPDSWTVFLSQRRRWINST VHNLMELIPLNQLCGFCCFSMRFIVFIDLMSTIVQPVTIAYIVYLIVMVTQKATVIPV TAFVLLGAIYGLQAIIFILRRKWEMIGWMVLYVLAIPVFSFALPLYAFWHMDDFNWGN TRVIAGEDGKKVVISDEGKFDPSSIPRKKWEEYQAELWEAQTSRDDARSEVSGFSYAT KHPVAVSEYGYVPSRPVSTTGYHQNQSRMSLAASEMLMAGNRQSQFGGSQFLGVGAGS QQELEMTNLAGMPSDEALLAEIREILRTADLMTVTKKQIKMELERRFGVPLDGRRAFI NSATEAILSGNL QC762_303340 MANRMSMYSMASEPGLGPRGAGQQPSQVSTTTLLNAVHNIYLSG QSYRLDAGTSLVVNTWLTASLAGPDGRAGGTVDAALAARAWEHARRRAEDGCIILGSL HTSTPSLLRPFLSSLPVLIPPVLLTALSALEPFLRCVTPHNPSTPRQTALGVTLTLNL TGNLTAASIALAQGGIDTEKGLLRIPQEAGHRAFDVFYYLLTSASTPAEREFLGLKAA SHYSLLARSGTYDPPSYLPTADDGAAADDFRSALKEIGIKGSSHRKFISTLAGLLKLG NTIDYNVDEDVLDDICEDVGGLLGLEPEILAKQCTTDDRSIFIGGLYEALVDWVIKKA NETIAAQMGAEAGSRTPSANDDSGDTVCLTVLEVPDPNLGKAVAMRGIFDDTTGINAE MKQDGIEVAPAGSSVLREMQNAVAECGPELGIMVGTQGRERQHALEKREEVLEKIGHS AEDDGFIKQLLFPVPAEGINLGRIGRLDISAILGASRAWYHLSIHPTDESPASLAALP SVNSAWSAGTVSRQLRAWRLPEWANRRNKHLDFTADFDLDEFVQRFRALGCTEGRDGI ESWILERGWSNGEVVVGSERVWMRENAWWEAETMLDIKPMEERLGSMPNMMPPTLGSG YSATGSGFFPPQQPFANSSFNGSHDQLVGVHQRNMSQPSVGGVQAMRAPSIAPTAMTG MQNATPGDYGLGTKGDTYKGQVFYNGVDQFAGDLDPDLAAGKHIEERPIETSRKIWVF VVWALTWWVPSPLLKWVGRMKRPDVRMAWREKLVLCFIIFFINAIIVFWIIFFGKLLC PNFDKAWTRNEVLNHNGEDDFWVSHRGKVYDITKFWKLNHGTSRQPTNREFMQPMGGA DMDPYIEIPLLLACPRLGIEDDRVALRTNDSLIENANAIHKSGFGGTGRMADADWYTK RFLPRMKEFYHGELVWDSNKIKAAGREENKYWFIYEGKVYDLSDYFDTQQYNENIPRY TFLNTQLTNLVKNNPGEDLTEQITNIWAKANTTEGNNIGNTLNCLNNRFYVGTPDFRY SARCQVNNWILLGFSCMICAVILIKFVSALQFGSKRRPSPQDKFVICQVPAYTEGEDS LRKALDSLTALQYDNKRKLICVICDGVIVGEGNDRPTPKIVLDILGVDPKTDPPALPF KSVGAGSEQLNYGKVYSGLYEYEGNVVPYLVVVKVGKPSEQDKTKPGNRGKRDSQILL MSFLNRVHHRAPMSPLELEMFHQINNVIGVDPELYEYLFMVDADTCVREDSLNRLVAA CANDAKIAGICGETSLQNEERSWWTMIQVYEYYISHHLAKAFESLFGSVTCLPGCFTM YRLRTADRGKPLIISDEVIRDYSDCHVDTLHKKNLLSLGEDRYLTTLMTRYFPYMSYK FIPDAYCQTAAPDKWSVLLSQRRRWINSTIHNLVELMFLPEMCGFCFFSMRFVVFIDL TGTIILPATCVYLGWLLYTVISGTGQFPLISIIMLAAVYGLQALIFILKRQWQHVGWM IIYILAFPIYSFILPIYSFWNQDNFTWGNTRIVIGESGKKQVVAVDDEGFDPRSIPLQ RWDDYATMNGLPGRRGYNGEKVMDENMNVFNEQYEMDDMKSVYSSIRQPSVLTGLPGR GAGAYMPPTPSTPFTPGGPMTRTSTFAGATPYSDNPLAHRQSMLSMGTAAEMQRRHSN SPYQDYPGSRPSMTNLRTMTGTPPIGGGGNLAVPGGLAGNRMSTGTMLSGGPSRLGMH SDLGHASTGSFDFQRGLGQGPDEQAIVEAIRSVMREVDLDNVTKKQVRALVEQRLQCE ITGVKRTFMDKEIDNELAAM QC762_303350 MHSTEPSPTERGRARLPSLPQAIGTWDEEVDHHINGHLKGHANS QAAKANGKTAARPNAPKKSHTYDCSRPQLPGTAAPVTAPSFRANSYVAAVQSLDPRPL EQLHNERSYLIYNLQKQGQRATRLFQKYAALEALRSGNQTPAEAKKSKREMSSLKNKI SESTQQEQLILIRLGELHIELQNRDRWMQVHQPLPPQLLPIMQQYPPILAAATAGVHY HPQGQYYEEPPLTATATPFSPGGGEEYFYENEEIYEEDDPGRHPAALDPMSPCFTPAV QFSEDIWSRSLRPTSDNHGLEEIGPKTAIPEPGSSSSATTEESDIPGQSMPIKQEELE SYPGTETDNDAPVPPTAIRVTTTTTTTTRQEEDPESSGEEVVNVNDSTWATEEDDSEP EDVNAWKKKLKRLSHHAVPLALRARDKRMSLPSSKDLWPRSRKNSLAS QC762_303355 MDPATTIDPATGQPLPPDAIVRVLHITPKVHIYTLPPSSPSSST YLASSWTSLPQNPIFTSRLRILETSLSPETTELKVDILLESTTSSSDNNGQPQLFAAA PYTTPAIVTPCSDSSRFFALRVSDPGTGKKATLGVGFEERSDAFDFNLALQECGKSLG FGGQQQPEREKKEKTEEKKDWSLKEGETITINLGGKFGRRNQGQGGEKKEEEEGGGRK SLNSFALPPPPGNGGGGFNLPPPPPSASEARRQKRLSAQQMGFDDGVNGEFA QC762_303360 MAEADGVGIAAIILAAGAFIVSVVFLAAHLFSHPTGFDHWDSGM MGKWADYRKTKTYGLLSFSRGRRTVTEAELPVIFLAPRNNARGPVQGKPIWYIDGSRQ SCEDTRVIYDPDPGPSSFGDKKIHTVHNERASWVRLLETIQGMERDSIAWEMDMWRSV EGVNAGGISRISRNFEFPTLAVGVQSTIRTFDETSVLRRPYATTTITHLIELTATLGL YWKRFDQDEDVYRAEGNGMSIHGYRVREFGLVFTFAKTGITNFRNHRVIPTEEVKELC YGNVPTIYRLRDWEDKTLRNPDATRRQKELKTLQLGSRREIAATLSLIGCDSNTIEHF SKGHSRQNHFFPIIFELLGMLGRTLHQKDRCYTYLPNPTIYAWETRAFSLRLLLTAFS HHLDTIAARDDSKRTSSDLEVLAEQAAILRESLPPTKDEGYDPKQLNVLNDSISAADA ILAAVPKPLVLDVIQRHIHTVHSALNPEHGEKPIRSLVNLLNAPRGEREAEFMAAYFD DVRPIVTDIGLPDPSRFLWARLEEGASFDSNGEKTRQIEAELRQPADDKPAPTPRLAQ DDTEARIDPGRNTVWCTLVFRMICWLLLHNFDQNDVQMPKSELLGSRLPVYII QC762_303370 MEAISLFGTILSIITTFRDAFPSLASDATDWKNYEFFDRARWVK LLKIIKNIEKWQWRWMVYSEEAGQQGSLQSKFWGSSASEITEHVKCIQDEVAKLQKLL NTRRIDRGGGCFGVFRKKGRDVLFRSGGNINRILETLDTLVLGLDTMSDEAYWRLWRR QDFGEKEPRPPETSALHQFGHQHHLVKLAMHTWLTSNQLRDCCLGRGRKLELDIELDL FFRQRPHDGVEPRSQVIAEASQTKSLGYTLLVSQPDYMNRRKRIRLTPDPARVPERCK TLFSEAFDVVSGHAGGGEAGLELVTKGQRVTMKLEELQNVVGNLPEPTSLRSQLSSQS ARESLDDPVRKIDHQIWKMKRAFHISEFCLLFFKSKWMSWVCSCNIQEYDLSSLDTTT NSTFLVDQRYLFAVKGLSSDDKDVPPAACAAAPATPNGSGNGTATLKPCWCQSKLSDD PKDKNVASSLQRYPLFSLGLILVEIGLGRPLQEIQVNKAVVDVNSIFFGYHDSSTSDP IVKKSLEELRPIFNDVLADDDDDEDNKRAKKAGSDFYSAVKFCLKSRDPPGVDKTKLE EFFLEVVWKLHNIQKDLIEQRDELLRNKGQQLLPRKQTQQEA QC762_303380 MATDEETKPVSTDLPVHPKPQNEDFDIVATYRSLLSSDREITMP IAAIESLIEFLSVTPYVTSMELVEKVKHQKALLLASAPNPLPILAGADLFEQFLLRSL RPPPAASSSSNLTEPMSFDQTREHLVANKQLFAQRAQAARDNIAIWGTRYVSDEKIVL TAGGSRTVTKILLRAATDQSKHFKVIYITEPTNTRSQAAVDELRQAGLEVETIVPNKV AYVLANQKKINLVLVGTEAIMQNGGIISGMGTAQLAALVKAIPGGMKRFYVAAETHKI VRKTPIAYPIVKKMGVRQRDITRFENIGVDLNNELVKEQLLQESDEVDYTDPELIDGI ITEQGVKMTWQIWELVDDYI QC762_303390 MAKSSTPIQLIPRMTAKGPFTVEVPGQTPVEGETPVRRQPLAIP EFVERPAPDVTTVYELVRAAVAKYGNAKCVGSRKLVRTHQDKKKIKKIVDGVEAEVEK SWTFFEMSPYEYYSYTEYEQLILQLGSGLRKIGLDKADRVHIYAATSANWLATAHGAA SQSMPIVTAYDTLGEEGLRYSMVATKAKAIFLDPHLLPTLTNVLAVASSVQAVIWNSQ HQVNQDHVDKLKAAYPHINILNFDELVKLGKENPVEPVPPTAEDLCCIMYTSGSTGTP KGVPITHRSVVAAVSGASAIVQPYIGPGDGLLTYLPLAHILEFVFEHAALWWGSTLGY GNPKTLSDASVRNCNGDIREFKPSVLIGVPAVWETVKKGIIGKVNAGSPVVRNLFWGA LGLKEKLMYSGLPGSGLLDAVVFKKIKEATGGRLRLCLSAGGPVSKETQKFISFAIAP MIIGYGLTETTAMGTLHNPFEWSADSIGAMTASVEAKLVDFPDAGYYATNKPNPQGEI WLRGPTVLKEYYENEKETAEAITPDGWFKTGDIGEWDKNGHLKIIDRKKNLVKTLNGE YIALEKLESIYRSVPVVANICVYADAEQAKPIAIIVPAEPALKNLAAQLGVEGDSVET LVHDKKLHSAVLKELQAQGRAGGLSGIEIIDGVVIVEDEWTPQNGLVTAAQKLNRRGI LEKYKKDVAKAYGK QC762_303400 MKLEKLLQALGQQPIESSEEVCQLTRLLCRQLETFELFAQELPS QNLGFVDSKASTVELTIAGRDFTIHQSPGVLSSNRAGGTTGAVVWKVTPLFAEWISSA SSLLFQSEILSSNSLVLELGCGISALVGLCLAPRVKGYVLTDQPYVAKFVEQNVAENA HISASSTGKGKAKGKKTKATAASHSKDNNVVFTPLDWETDEATPSLLTDLPDTAGRKS FDAIIACDCIYNEALIDPLVSTCADICRLRTQESDTEADPTICVVAQQLRDDQVFEGW LARFMESFHTWRAPDELLPNGLKPSSGFVVHIGILKEAYTP QC762_303405 MSAKLAAFLNEAPLVDDQLTFEPILKHGHQDLVQSIAFNEYGDR CATGSVDGKVRVFNRHRDGVWRHCDNWTAHGGEITELQWLPPTIYPNLLASLGVEGRF KLWAEDPGAAPGRKFSNAMGGGGNRGMALWSQPIAVGEKSGGGGKEGSRPGSGGFDGH GPHYHGGVASALSTTSTSATSHPHSAVTSTVGTPSVHSETAPSQQPPQASSSAVPKPV FETRHSRSPYRSFSMKHVDSTRHTYLALVSADGKLTVYENEQPENLTDYTMLDEVAIS RDQPPAKRGDETTFKVQFDPNPEVCYSALKAGVPPDTLSLVTVALDCVRIYRSRDVIS QSLGVQTVTKGFYLAAEVRSDVHRGLVRDVAWAGGNIRGYDVIATACQDGMVRVFRVD TPVERQAWYNKEEEEGKENGARGWSIREMGGYVEKARKQGVEVDEGRSAAVRAAARDV ITVVGGITPVVHGVGTALDERGTGMGQGGMIRAGLATEAGYGGDHHHGYGGANKNPQR KITGLPGQIKHTIEMVSRLEGHRTPVWRVGFDDDGVVLGSVGDEGRLLQWRMMPNGEW AKSSELGIVRVNMATG QC762_303410 MTTSASRSPSRLPPSSSSSSLSRTETLSLFSLTFACVAVLANTF QGDGEPLIASLALSGVAFAASFAMIRWLGPTLMKAGLKGTDMSKHNRREMPECMGGIA AVVYLLVIIVFIPFPFYKDIVAATSGGGNRDVVVSVVEGVERGRLLHKFPHSKLASYL SAIISLQSITLLGIGDDLFDIRWRHKFFIPAFASIPLLVVYFVDFGVTSIVVPIPLQP YLGELVNVGVLYYVYMASVAIFSPNSINILAGINGIEVAQSIVVAVLLAINDCLYLLT PYPHPATDSHLFSLYFLLPFLGVSGALLWHNWYPARVFVGDTYCYFAGMVFVVVSILG HFSKTLILLLVPQIFNFCYSVPQLFGLVPCPRHRLPRFNARTGLLEPSVTPWTRERQP RGVVAWGLKVLGGLRLLGVTVDEEGRFVETTNFTILNLWLVWRGPLREDRLAMEITGM QMVVGLFGLFVRHGLAQLVFKEDNWSIGAAGV QC762_303420 MGNLQSKKLPDDQLKELQKSTNFDKKELQQWYRGFLKDCPSGML SKGEFQKIYAQFFPFGDPSTFADYVFNVFDTDKSGTIDFKEFICALSVTSRGKMEDKL DWAFQLYDIDGDGKISYDEMLKIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKD ENGSLDMNEFKEGSQRDATIVSALSLYDGLV QC762_303430 MYLPRELISKLYLHLQATRHPLSPPVLVLVALEPDALCACRILT RLFKHDYIPHKIQPVAGYADLERIGQELVSPMMETRGGAGGVVVCLGVGGMADLGSAL GLEPEGEENTFGGVEVWVADSHRPWNLSNVFGGFPLEPETEDATTFSARTPRGVKAGQ IEHNYKPGKGGIIVMDDGDIEEHLVKEKGAYLALLDMPEIEDDGEDLGGSSDEESEVG ELPSSAVPRAGQKRKSWDFEDDSDEDDDDRPRQKRRSNSSTPIPDSPRRPAQRGLISL RDDGPVFSSDPADPPTAAQPIKGPSARTLRRRLLRLRRENETILRDYYRLGTAYSEPI SSMMYSLASELGREDNDLLWLTIVGVTSMELYGRSSAGIAAPVRTGEARPASGWLGMR GARIRQLLRDEVRRLNPPEIGRGAVPENSGIIPTTARSPDDTSIRLSPEPKFLLIRHW SLYDSMLHSPYLFSRLKTWSETGLKRLHKLLAKMGVSLVQCKQSYTHMDMTLKRELRS KLLKYASLYNLDELVPTIDTDGKDRGGAKDSWGFVRSWGWRATLSAQDVGVVIGALLE VGQNSPEASSSNNDSPSQDLMEMSTATAPSEEWLPRFWAAYDALEDIESLKAGLPTAQ FLHKAIFTTGTTILKKKQISHLRAFRMCVVKDSLDSQLFNHPGALTKLALWIGEALAE QEKDATGRLALGGRGTPLVVASLDEKRGVYVVVGTGGGGGPDTIFLDKEAQKKKVKER EEKARVREEARKVKERMREEKKAAKRREREQRRKQGGEDEEEDDDGSELDSEEDDEDS EDEDEDDGEDEEDETRERGYGLNRFGTAFQDVVAETNARVRIDSFEHCVVEVKKEDLS GFLESLSMKAVVG QC762_303440 MQAAGTSGTGMEENGVIEPLPDEEFGREVTSDYDPSDTETTFGS LTSSVTGHVWEYGRRYHAFRYGRYPLPNDDEEYKRESLRHAMLKELLRGKLYLAPIGD NPQKIIDLGTGFGEWAMEMGELFTGAKVTGVDLSPIQPLWVPSNVEFIVDDIEDEWVH DQDYDFAHFRFVNTVLKNNELVLHNILQNLRPGGWVEIQDVYPRISSDDNTLPEDYPP AKFYSLLQGVLKDQYGFDLKVLESLPDHLQRLGYVNVQRKVFHMPLGEWPKDRHLRML GGCFQEVFLDFVAAMAARPLVEAGFDKADIEELVVGVKNAVGNRRIHAYVPIHFVWAQ KPPA QC762_303450 MEKEETADPLRKLIDHGNSFISRYYSHYEESAPILEGCGEPLVE LLVRQAQLMSMATPDHDLIKRRLDDILSASYNKFYAYLYKDLPPCWRLLYTEAAILKF WVLVFEWARSRQPELIRKRVWDKMEWEDFELDTPARREEVHEINARFEKQPKGTPPRN MGSAVWHQLTQLSMRTEVGGVHVRRSREASSRVRERLQEWQRRNEQEDGQQGPEQQQQ KREREEELLNDMVKTLDLALILAGGGGKHDEIHGYVALLEKAASLPSNDNSPDKISSK PSESETPRQDPSLGRTRTTSLTQPPTKRARLSLPPPTNPSSTIDGWLPLNASGELVGP VAISDEPPVNTQQQTPIITQKPPNWSSHPSFSPYEPFTPPVTSPIPRLPSPSLTTFQS HLSSPTPQPLILTSLVPSWPALAARPWSKPSYLLSRTFSGRRLIPVEIGRSYVDEGWS QKILPFSEFLSTYITSPSESKGYLAQHQLFAQLPQLRSDITIPDLCYTSPANRPDGTP ELEEPMLNAWFGPPGTITPLHTDPYHNLLVQVVGRKYVRLYPPGAGVKRRGEEAGVDM GNTAAFDVGVLEGWDEATGEGGDEEEKEFKRLEYVDCILEPGETLYIPVGWWHYVRGL SVSFSVSFWWN QC762_303470 MATSLRSIKSLVPLLDRVLVQRVKAEAKTAGGIFLPETAVKELN EAKVLAVGPGGLDKDGKRVPMGCAAGDRVLIPQYGGSPVKVGDEEYHLFRDSEILAKI NEQNNA QC762_303480 MASSAPAPEGQHEILNKEPSKIETSPTKDKPAAESSPAVRFKST VQEITPEDASLLANTDNVSLGQPGRVTSEEIRDLSERLRNCPLQERRMNIFSYEPVSL PVSRTASRDDDSREPSREATRSSASHQGSPHLKASSHTRRSPEMHTPPLTPAGTDNVE AGLKREPAGTQDRLGRMPDIITPQDSLHEPAPVNPARLSVQHHSTTTEKERVSRRPAT SDGRDHSALGDHRKGLFSLGAGSGSNSPASSVPPSRDSSPSRAAAASLFYSRQAPPTG EANDPYAASKRQTPKQIESRFMFTRKKNKNASPSSSTLSLPRISGDKRLNSDDNVIHS RNSSMADLKRFFKLGPGSKVKRTSSPAASVRSGIKTPKGGQIPFDNDHGLTSKYGKLG RVLGAGAGGSVRLMKRAEDSTVFAVKEFRPRHSYETEREYVKKLTAEYCMGSSLHHGN IIETLDIVQEKGKWYEVMEYAPFDLFAIVMTGKMAREEVTCCFLQILSGVTYLHSMGL AHRDLKLDNVVVSERGIMKIIDFGSAHVFKYPFETSTVLASGIVGSDPYLAPEVYDEK KYDPQAVDIWSLAIIYCCMTLRRFPWKLPRLTDSSFKLFAADPTPGHDPKKLILPPSA SMTALNNTPERAFVEGQPAPEKSKSEEKRPSGQDGGEKKEVIRGPWRILRLLPRESRH VIGRMLDLNPKTRAQMSEILEDPWVSDTVICRQVGPGQVVNADDHTHVLEPPTPPAPK QC762_303490 MSKAAAGGAKGLEQVVKIIVGAGQASPSPPVGPALGSKGIKSMD FCKEFNARTTHINVGTPLPVRVTVRPDRTFHFEIRTPQTSWLLLNAADVPIGKKGKRK GAQKPGHETVGSVSLKHVYEIAKIKQSEPRLSGLSLEGICRSIVWQARGMGISVVA QC762_303500 MFKWAQQQLANVAGTKEPIYGPEAIQSVAVEAETTPYTELTRDD LKWQAMTSTSVETQSFYLMADNGQLGFAQVIYSNVAGIHTTCQFNCKLFSLDSSKPHL WCSTQLTNPDFSEDKSSFFADDCAVELSEDGTTYTIKSMNDDRAIVNLTIKRAGPGFQ VGKTGKTLFGTDLKNPWGTMRHAFWPRCTAEGTISTKEGPVDFKGKATYIMALQGMKP HHAAAKWNFVDFQGPTYTAVVMNFTTPPSYGSTEVTIGGIVKDGEIVMANCKSTVTHT KSKSDGENGWPEPETIKYTWTGTTKDGKPVEASLEGALEKRLDRIDVMAEVPGFVKQI VSSAAGTKPYIYQYYPQQQKLTLKIKVDGQEVSEQGTVFAESTFISE QC762_303510 MPPTLPARGGPSSSRAKAVMRSVGGKAPLGVGKGKVGAKRHRRI LKDTIQGITKPAIRRLARRGGVKRISGMIYEEVRKVLKDRLSVILKDITTYTEYRGAK TVTVEDVIFALRRIGRPIYGFDPETYTAPMTGMKRKAIAGASQDMDED QC762_303520 MFDIVPSRGRGEIHRAGPCISKTRLTIFLCFIFPHFRRTTPLHH CRPRPCFSDSMKSSTYGYAYSVYDMDGSPQAVTELDLSACHPNSIVDRINAMPALKTS TAFFTFLRANLVLPHSAMSSNPSTPNLAPSSLRRSPPTPQSHSRPATPIPTTTLDEED EIPPLTLEVLTSKPDKTAALKLIADSIAQQRQTASSHIITHPLPLSSLIAALAITYHF FLSSSDLGTKLMILSSLVTTYLLTVRYLTSPFIRLAESITPSFLGSDSPEDQDTVIAC RYGGEIIGVTVLHISRPAGQSDPNFKRHKQRGSLSSFKGGKGVIRAWTVKSRYRGKGV GGDMLREVVRLTKERCGRDGEVGFARGHANAGLIPVGGKGEDNRQGEREEEMVLPEWL NRGWLRRSERKAAQALERVVSEFGNGKRR QC762_303530 MDLKKPYSLLEDHSLQSSNSNHPTFRSSTPLFRHGRLLTDGGDG ASFTSTSPLSQKLTDFDQDLDLDLNEQPMLSCVSQETSQEDCARHRFIVWAAVKRNES LRKHPEKRTECPLLKCNHKLTDHESMLKHLAGCRYLASGEYWCYNHMRVEHFDDIRCK KCLGHPSRAKKVLTIAKKLFHGLGHKSKKGQQGSTIDEERTHQPPPSYESISRLALGP PLPGNAAELPLTEILEADSNEIELPMAPQQQPPPPPQQQQQQYPTVDPQDLLVPQPII PPSLPELDASTMEWDHTLDMAMPQIPLQMPITLQDDSMQQFGYVRPPHQLATNNPYAR HQAPPRPVSRPTSTAPRSKGLSPSSSVRSTASTDTTTSNVSNDSNVSYDSTFSNLTTD SHGTTNSYDTVYSNANSLVSPISNYSAGAWSTPDGMNTNMTSPIDGAMLENPFGDAGY DYDGCPDFLHNFYSELPADFPVSSMMDGIVPDPILAMNELPMTLDLDLDHAPGPSMAS NVAELPDNDSREMVEVSQPDPCCSEARSMVDSAWEVLQEQVVQSMVKIQDIRGNRLAR QLKSMSIQTIAERGLRTLRLYLDGFQPSTADDALCLAHLVFAFALVAYQEGTHKRVRG LYLQSLPLMGMMPEQDRPDYQQLADFIWKPDGLASSGAQRSPDTVGSMFPDSKGKSPV GHHGMRSQPPDMFRAAAWDFLDELEMKMLFGSDSHLHDLQDPAALLVKHTQDSHQNGA VNPALLQTVKPILEKLTRTYDSPDLREKLRQTFRNLTSGQTSSIRKLEIELLHAGQTT LPSSRYYDFFVPQTRQLIDEVYLVHDPALGTRRRRDYHSLGITYIENLLPDLDNFSSS SSSSSSSSSSSSSSSSSSSPEQQQQSMDDYLNLATSSPQPQPTPQQQPVPDDRIVEAD SKCDECGYRPKGHPRWFKGSMAKHKRLKHSREPPKIYSCKYPGCTSQYKNRPDNLRQH QIEKGHFLEGEEVVVKRGSKRRRVEGGEGLNGGRE QC762_303540 MSSQIPHITILGSLNTDLVAYVPHHPLPGETLTATSFLTSPGGK GSNQAVACAKLSRPRDLSSPSAHVSMVGAVGSDSNGDLLLSNLATHGVDSSLVTKLPN KKTGIAMIVVEAETGQNRIIISGEANHQVGEEYVTQGGWLDKTDLLIMQLEIPMGTVL EAVEEAKRRGVDVLLNPAPAKILPDGVYDGLRHLIVNETEAAILGGVEEKELDTLEGL ERVGKGFVGKGVENFIATLGGRGVFYLTKGGRSGLIEAEKGVKVVDTTGAGDTFVGRY ALEAARARKEGGEFDVGGAVGRANKAAAVTVTREGAARSIPWRDEVE QC762_303550 MADSEQQIKKYRGNCHCGAFVFEFEAPEIKSGVICNCSICYKKG YFAITPGVELKIVKDGGTIKQYQFGEKKWKHQFCSKCGTATYGTSEFFDPPMNMGINA RCIQNLDIWALQERHVDSTAHPPPYTPPTHPGPLPSPQSIPDNQGTLYHGSCHCGAVT AALKVDHPFESQSYKGMLAECNCSHCIRGGYVWAYPTKDQLVISGRENLTWYGFNQKI VRKGSCKHCGVLVLAEPVPIQEGEEVSEEMRKFREGFKDIRPVNLRVVNQDELDVEGL RRGGRVRQVRRAGEGGEYVNP QC762_303570 MWDLAGMTEMGKWMHGTEYGLHLLTKSKMSFSLIPSVYARSALP TISDWEAVWAAWDVVTREMLPHEELLEKPIKLRNACIFYLGHIPTFLDIQLSKTTKEP LTEPETYSLIFERGIDPDVDNPELCHAHSEIPDEWPSVEDVLAYQNRVRARVQSMYAS GAESIPRHVGRAVWVGFEHELMHLETLLYMMLQSDRTLPPSHVPAPDWEKLAARARGE GVVNEWFDIPEQEITIGLDDPEDGTDIDNVYGWDNEKPVRRVKVHSFQAQGRPITNEE YAQYLYNTSSNKLPASWVEIPPSNHTNGTTSPLPESFLHNKAVRTIYGPVPLSLALDW PVFASYDELSSCATYMGGRIPTFFETRSIYQYAEELKKKKDVENQLGKTVPAVNGHLC NNGVEISPPATPTTTTASSDAGGETDLFIDLSDANVGLHNWHPVPVTGKGNKLAGQAE MGGVWEWTSSVLEEWEGFEAMGLYPGYTADFFDGKHNVVLGGSWATHPRIAGRRSL QC762_303580 MGPTARLIRLSTPSRIVPGLVKGRYLARRASSTSQNPNKEAPVA HHHRPPSHHHHHVTYSETDDAVPWLAKQPLHRLSLADLVKHGRPPLSAEALLSSARFT LSLLPIRLAHRIQALRNLPYIVVSNPNIRKIYNNYQHSLSTLLPWQGRTISNLEDEIR FTEVLAELVQTHTDTIPILARGFLECRKYISPGEVTRFLDQHLRARIGTRLVAEQHIA LHYSSSPHFDPPSSPTPCPETPGYIGVIDTALRPASTVDSCGSFVADICELNYGVRPE WFINGSPETTFAFVPTHLEYIITELLKNAFRATVENGQSKSPVEITIAPEPPSSVTTP ITLSPPSVSLGAFNKDHIQPLDDNAPGVTIRIRDRGGGIGPEVLPHIWSYSFTTFSEN EDDPPGAWSDDALSVISAASSGGSSIAGLGYGLPLSRAYAEYFGGGIKVQSLHGWGTD VYLRLKGVGRIE QC762_303590 MFIRRIRTLSPSLRFLSANTQSFSNRPKPTTTTTMPSYIVTCKD DATPEQIEAAKQHAKDQGGTIGHEYTLIKGFSVSFPEDSVQTLSSHEHVKEVEADQEM RTQ QC762_303600 MEQQNKPHRPSKKSKDKKKAQHTGQQNPKAFAFSNPGKLAKQAA RSHDIKEKRLHVPQVDRLPDEPPPRLVTIVGPPGVGKTTLLKSLIRRYAKETMSDPVG PITVVTSKKQRLTFIECPNELEAMVDIAKVADIVLLMIDGNFGFEMETMEFLNVLAAT GMPGNVFGILTHLDLFRKPQALKDAKKRLKHRLWNELYQGAHLFYLSGVLNGRYPDRE IHNLSRFLSVMKNPRPLVWRNSHPYTVIDNYRDITHPTKIEEDENCDRSIELSGYLRG TNFAADGQRIHIAGLGDFTIASMEALPDPCPTPSMEQALAKATGKTGRRRLDEKDKKL WAPMADRSGLKITGDHIVITRENGFAFDKDAEDVERGEGEQLIVDLQGERKLLGSTDK GVKLFASGQELTQVPEEADSGRKTRRKARFAAGDEPGEDEIPDDEGFESGEVDEEGSD VEEDEFDMSKLGKMFKKQQDKDQPEDDLAFADSDSDLGSLSGDEDEELDSDEDDEDVD MEDLGSDEEAGALKWKDSMFERASKLHGNRRPFRAVDLARFMYDTALSPREALKKWRC EEEEEEEENIEKDEDDTFFRKIGDDEQEDLTEDRAIPSFDYEDLAAKWSSEDAVEALR TRFSTANLVDEEGGNGDDDDFSGLDNDDEDDEGDGAFEDLETGEAHGGDGDEDEDEDG DEDESEEPPEASLEAEREKNARRKEELKLRFEEEDREGFKNDKAVARREGGGDDEFGE DDWYDAQKALLQKQLDINKAEFEELDERQRTAVEGFRAGKYGKIVLEGVPAEFVKNFS AKRPIIVGGLSATEDRFGFVQVRIKKHRWHKRILKTGDPLIFSLGWRRFQSLPIYSIS DSRTRNRMLKYTPEHMHCFGTFYGPLIAPNTSFTAFQSFSSSNPGFRIAATGTVLSVD ESTEIVKKLKLTGTPYKIFKNTAFIKDMFNTALEIAKFEGAAIKTVSGVRGQIKRALS KPDGHFRATFEDKILLSDIVFLRAWYPIKPHRFYNPATNLIGWQSMRSTGEIRRAEDL ATPQLKNSQYRKIERQERHFNPLRVPKKLAAELPFKSQIVQTKKQRKETYMQKRAVVV SGEERKARDLMQKLTTIRKEQVAKRKAKKEEKRQEYRKKVADIEERLENREKKEKQAY WEREGKKRRAGDGGGGGGKRRK QC762_303610 MASSPGRQKPPFCFMALPNEVQKEIVRNCSQADLICFALVSRHC RELAAAQLYRNFHIVFPDEDDPEYDSPIDGLAGGLDTFVTSDYNYAQHLRDLSLDTLS AGHKAETAYKAYLANLSCGKFMNTLLLMTLRRARALERFRWNIRVELSRTLYKELHSI KTLAHLHVRLQEGPSIYETPPPLPYNAATSTSASLGVTSVPPPPPMSNLPPPPPPFST LPPPPSGFYVPVTSVTVNVPPPPPPPMPKPHRPKALRKTPLSKEPPTLSGFGNLRSLS VLDIDSLDMVTEIKSCVRNSSATLTKLKLSFSDKLASQARKPAPEADPDDSDVDDDFQ PTPAGSSSNMANDMSGPARAFRAMEEKKAQESVLGRILDVEVYLVKKPPKKPKDKGKE KEKEKEKETKVESNGGNGTHEFAQALKTVMTRIVKELNDNTDPSEVNATQKNILETFQ AAATKYVEDTMNRRAEKSNKQSTNGSSSSSKTDESVAEEPATAESSGAAPVAQASTEP ASLFGDGAADASKDKQKENDVTPEDIDIEAPEETLQLDGADGPTKDTSSKEFGMTPSA STNSLAMPSTSGSVVSADVNKAMANLAAQKANFKTLAEKVDIFETQAKDLTKDIERMR SSDTPVDVSRVAEAEKQMYTISQSIADIHKELTTVEAEINDAEKQIPRPSSSVSAVDS IALKNQQMNDYLRTTRGLALQVLAIYLIPVKASVLYRAIDLRCLRHLTLLNVGPQAPI WAQMAKLNKEAPLPLRHIFTDNVTPAFLTFVHELEAVEELFMLERDFKYKPESFAPRT PTTIEQIRKLVLKKHMPTLKYLMIKNLADPSWDLNEKTVLLLCRKGRVLEELAACMSI RTMHTFMQRLAGLVSLRALHIAQLRNEDTCVWVMRETKKFLIDNLSHHPHLKLEWISI DDDDRVERLIRARDLPKRKKEKNNKVKKMSATTGLGSTSSGLILPSIETDGSGNSAWL DAIGGNGSSDESEDSGDEEDDERFRASKIETVGDMRFYDVYGVKIFEKEVVSGRL QC762_303630 MADVEEPRFNSLAERIAALNAQKNFQAPPSTAGKRPPPPPPPVR AATITTTTTTTPSPSPQQPQNETAPVMPPRPVRASTEKLPPPLPRRTTTDIEKGDRPA PGPGLGRVLPPPLPSRDSSSAKGTPPALPSRRPSSNLTLPTPGGRRNSNSSDISYIST MSSLSLNQDGPTPRRGLPPPLEQAKLPPLPPTRRELEAKAKEEAANTPPLPRRVTEPP PQAMPELPSGRPSLPPRLPSRPAKSPLMNATEAPSPSLPARRLPPPPSSYKNPKSALE LGFNNKPRPADDVPPPIPLASRPSISQIEAVRSASTASPTAAASSSSSSSPPPSCLVC RDFSGPDTVAAQHPYTSLPRQDPISYLAHHLCSPFPSPTDKARAIFTWCHHNIAYDVH GFFNNCIPRGLTPAETIFSGKAVCEGYAKVYEAIARAAGLHCIVVGGHGKGYGFSALK KGERCPPKDPTGHAWNAVMIDNDEWKLIDPCWGAGHLDGGTNGYKKQFSPGQFARSNE FFGRSHYPSDERHFHRRDGRVPSWEEYILGETGGEEPAGWMGDAEREGGLDQSNFEPK QKEICVSGAGEGTVTRFQFGKVCPHWVSEKHGRGRQMLICLVFGEDEKRAGGKEKFVP LETDGFWWWLDVRTRDLGGVGKRVRLVGITTVDGRDARGLTGEEFKGVVGRKAFAMCG MVDWVLV QC762_303640 MSIRSSISPLLRGTAALSHLSKGSFRITHSSSFTSSIKVSTHRY LSTTTNFKMAAQDTPSGKEVQKDDNVITNWVNPSDKSGEFKRQQSTFRSFISSSPGSE FPPEAGRYHLYVSYACPWANRTLIARKLKGLEDIISFSVVHWHMGPKGWRFVTDEEAE QEDVKGEGVVPHEGGLSHLREVYFGVNPEYEGRFTVPVLWDKKGGRIVNNESSEILRM LNSEFNGLIDEPFKSVDLYPEDLRREIDETHEWQYDLINNGVYKSGFATTQEAYERNV KALFEALDRAEKHLADGTEGPYWFGERLTEVDIRLFVTIVRFDPVYVQHFKCNIRDIR SGYPAIHKWMRNLYWNIPAFKDTTNFNHIKFHYTKSHTNINPLSITPLGPVPDILPRW EEVPAVSFRG QC762_303650 MPVAERTPQTLYDKVFQAHIVDEKLDGTILLYIDRHLVHEVTSP QAFEGLEKAGRKVRRPDCTLATTDHNVPTTSRKSLRDIASFIQEDDSRTQCVTLEENV KKFGVTYFGLSDKRQGIVHVIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSE VEHVLATQTLITKRSKNMRILVDGELSPGVSSKDVVLHIIGKIGTAGGTGSVIEFAGS VIRSLSMEARMSICNMAIEGGARAGMVAPDEITFEYLKGRPLAPKCGSDEWNKAVAYW KSLQSDPDAKYDIDVHIDGKDIIPTVTWGTSPEDVVPITGSVPDPETFPTEAKKAAGR RMLEYMGLVAGTPMEDIVVDKVFIGSCTNSRIEDLRAAASVVKGKKIASNIKRALIVP GSGLVKEQAEAEGLDKIFTDAGFEWREAGCSMCLGMNPDILSPKERCASTSNRNFEGR QGAQGRTHLMSPVMAAAAAIVGKLADVRKLAEYKGSPHIEAAVAPETTSGKAHADERI ETDDHEKEALTDQPEDSSPQVNTSVPKAGSTGLPKFTVLKGIAAPMEKANIDTDAIIP KQFLKTIKRTGLGKALFYEWRYLDANTENPDFVLNQEPYRQAKIIVCTGPNFGCGSSR EHAPWALNDFGVKSVIAPSFADIFFNNSFKNGMLPIAIKNKDDLEKVHAEAAAGREIE IDLPNQLIKDQDGNTICSFEVEEFRKHCLVNGLDDIGLTMQLEDKISEFEKKMTLETP WLDGRAYLKRKGQGGKLAAKAVPVPKTNRGETKTEPLEW QC762_303660 MSAPSRQGRPQAPSPSPFRILDDRNNRQDVKPLPPRRSNGSPPP GSRRNITTSMSSFFNKLRPSKRPERGGTIRHKRNSSSSADGQKGSSIPVPAASSAPSG VTGPRDSDIIPASRWNMPIPIPRRTQSTRAALGKQPASSLPRLTINTSALKQDKPHPV EVDRRDWAPRGNATAKKSNIPTPQPTMSTGDTREIYLAKQELRQQRRTLKQSGDFLGV TSINPHTGVMDVITPTTSSEDATVSSPTDSHLAALAHTAQDAREAYVAAKTEAQIRKE HQKAERRKEAARTVLNQHGGNVVWRKEGEAWACVAEPGLSPIPQSQRSVMSPDTSDSE ATTVHRTPVSAGSPRGPFLGKATAVTASQANHPALRAGDTSLPTVSTRHQHHHQTRNR VDGNAETAKLKSHTLPRPKSLGFSLPPTVSRRVASGHPETNKSGDGQSEEQPDRGSFR QRWLRYSLPGNKIPPRITSSKPLELENVKPADLWAARLLDDLSRLDESNQAHTTAMRL WGTSSTNNDREPRFAYTPITTTTGSERGQPPPLVDGRPCVEPVDEWRDGPRSPMTMIM EGSSPASLGSLTRTPSSVCSEVPAFPLGTQPNNSPSDGESETLTTVATSTVITELEVA VLPSARDLLPTPEEPKPETAFTSLATEEPGPETPEEKTAKPDGDGDGTEESEPREMRK TMRKEKHGEGGGTANTQSRSPSWPKSTSTLRPRPHHQSPAQEADEELDHAIARGAARA ALTHLVHDPKTESQALPIKEPTSCDGTRTVPVPVSASAWFKGRTTMSDRHRRLPRGAE AKAKAAAEPEGDRQDDQGQDLAPLETTTLAGDRNTPTVTKGVRRFEGSLKVGDVCREV VSWMVVVLNLVVGLVEAHWGMVKPVFDGESELRRRFELAQSTWEDVWVGVGAVVFLFG VLCVGMWLVRGVLTVLGVVKAVGRGLSVVAGF QC762_303670 MAEGLQRLPPPLHIQTTGGGNTKSSNPSPSISATNSPTASSVIT TASTTTASGHIKKRTRASRPKVRTGCITWIDSKIRRVKCGEEKPACLRCTSTGRTCDG YDKGSSSAYARYRSSPADPTRTAELAKVEFVKACQWSEALRSMRRIAPADIDGTDTEK RFFGRFRSNSSTWFDPSTPQHLSHYTVFWNKVISPSTNCCQDEAVKHAVVALGAAHTL VQFPDQKVLDGFTRDALEVFVIQQYNKSIAKLQRHVGSTGPDSVRITLICCLAFIFLE TVRSKHSVAVTHLVNGLRILQSMPFNVFDCLSDTSIFVWPPLGTSHQRDGLEMPDIIR LFARLEVTSCFFTTAIHPVVSEKSYVSRVYDDGASLQTAEGPTVILDTKEARKLMATF QHDTMAFLHKLSASTIRPEGRQSACLRARAKRLGPIISEFFARFGSIAPNTPDLYILL LDLLYFKTALFLVSRIPSLPPKRPPMFPPSLALNPFLISYTPPTPLPAAPSPRTSHPN LSLSSLQQGIIEADDEEGDVEQEMEWESLLHDMLSLARSLTTSPIGQKMRPWSRSTTV TMTSKHQSPSTHSSSFSSSSSSSSQVKISVPVPGAPIPAPAPAPAPAPVITTIKKEPS PPAAVLSSIVPTTTAAQDSTNNSNSNNNNNNNNNNNNNNNNDNNNPKPTTDTLLSGPL YTIALHTTNHITKNKAVDLLVENINPCSLTSQPTMGTSPTPNKSGEGMGMGMGMKEVV KGVIEQERQVIEEGGWMGLINSRGGQGGKWYDAPRELSGGVGGLPRVWDALVGGGDGH GGGGDGEDNEGIGEGGFNEVIEGRGRSWSGSQGGGW QC762_303680 MAVSLTRYHQTTIMQAYTLPTRSYRRDDNTTASEPPLDLQTPSS DSYYSNRRSTTPLPFIRHHRNRRRLNQTIRNAGSSQENRPDNKENNPSNLPSYYSTYS LPRKPSPSPPPTERVRSPYRHRTFSFESPPASPELVVYANQGGLDLSCASPRDDLARH GIIHAQIRSASSTYPSDERAQSPVSPPLTLGRKILSSLAGYGFSEADFDADASSEGGD DDGVEEGLYPGEKRLSREEGEIARVISAAKSSPPGVQSQVWGGAVFNFPAPPGEGEKE EDEGFALPAPESPLLVGLAVEDRMEQEGADEDASTRCLPRVSTAFSGFEGEHSSFVPP LQEEEQEHVSFGMQLRERISGHFRRGNGNHHHHHHRHHRHHHHHYKSGGSRAGGGGCG KGLDRFKEESSKTNVGTDVKRWFVRGLKAGRKGVRRVKRGLNHHGDGDKGREGKMKRE MRRVDEKLERERGKLRKKPRREGKGNKMEGLRGRIWGLLV QC762_303690 MTDKKLPEEVDLVTRMQVDESVVGTTEIDESLYSRQLYVLGHEA MKRMGASNVLIVGQKGLGVEIAKNIALAGVKSVSLFDPAPVAIADLSSNFFLHPEDVG KPRDQVVAPRVAELNAYTPVHIHQSDSLGENLSQFDKYQVVVLTNTPQHLKVLVGDYC HEKGIYFIAAETAGLFANIFCDFGNNFTVLDSSGENPVSGIVAGIDEEGLVSALDETR HGLEDGDYVTFTEVEGMEGLNGAEPRKVTVRGPYTFSIGDVSGLGQYKRGGLYQQVKM PKFISFKSISAALKEPEFVISDFAKFDRPQQLHIGFQAVHAFAQTHGRLPRPMNDEDA LVVISSAKQFAQAEGIEVEWDEKLLKELSYQATGDLNPMAAFFGGLAAQEVLKAVSGK FNPVQQFMYFDSLESLPTSVARTEELCQPTGARYDGQIAVFGREFQDKVANVRQFLVG AGAIGCEMLKNWAMIGLGTGPRGKITVTDMDSIEKSNLNRQFLFRPKDVGQMKSDCAA RAVQAMNPELVGHIVTLKDRVSPETEHIFNEDFWNDLDGVTNALDNVEARTYVDRRCV FFHKPLLESGTLGTKGNTQVVLPKITESYSSSQDPPEQSFPMCTLRSFPNKIEHTIAW ARELFESSFVKPAETANLYLTQPNYLETTLKQGGNEKATLEMLLDYLKNDRALTFEDC VQWGRMLFEKQYNNAIQQLLYNFPKDSVSSTGTPFWSGPKRAPDPLKFDVNNPTHYSF IVAATNLHAFNYNINVKDKTRQDYIQALESMIVPDFSPDSNVKIQADEKEPDPNAGAA FDDEAELSNLIKQLPDPKSLAGFKLTPVEFEKDDDTNHHIDFITAASNLRADNYKIEQ ADRHKTKFIAGKIIPAIATTTALVTGLVILELFKIIDGKDDIEQYKNGFINLALPFFG FSEPIASPKVEYTGPDGKVTFDKIWDRFEFNDVTLQELIDDFKSRGLEISMVSSGVSL LYASFFPPAKRKDKYPMKLSELVETVSKKKIPEHQKELIFDVVTEDADGEDVEVPYIK VKIR QC762_303700 MPHSCPSFSELVTTTFDSNTLHPPTTPTTPQINSIMGVTRITHV HGTGPSPAPGQTVVIEYTGWLKDASQPENKGQEFDSSVGRGDFSTQIGIGKLIRGWDE AVLDMRVGERATLDITSDYGYGEKGFRGHIPPNADLIFDVYLKAVQ QC762_303710 MRTASVLVAALVAASSAAAEVATKPRIYFPRHVKRQFGNSTSSS TLDPELSGSTALTESVRTTVVITSVPVATVTITPTSTTTPDPLDDEEEEEEEEEPIVI VPSGIVTSSTSTSVSASESSSESVTESATDSATVASSTPVDEETTTSTPTEEEPTVTP TASATSSQTDPEETETASEEPEETPTPTPTPSVGPTAPFSSSSSSVDPEPTASSTDEP EPPVTTSSEPERPVTTSSTEPEPPVTTSTEPEPPVTTSTEPEPPVTSSTEPEPPVTTS STEPEPPITTTEPEPPVTTSTDPELPIITTNSTLPEPPITTSTLPEPPISTDVDLPII TTNSTLPEPPTTTTGLDPGPTDPVTDDPTTSVTDPVTDGPSSVTTTDGPVITPDPVTD NSTTTEPPITDPPPSDTTTAPTTIPTDDPGSISTPGFGNTTSSITEPTGGSSEPVTEP TTVIDTTSVPTGIVSTPGASNITSIPITSEPASEPATPGPTTVPSTSERVTSIGVIGS TTQSAPWLPTTIIVAPTPSTTASGAIPTTASGIPTTFPKAIQPENGNDVVPQDTDLIQ IGFREGYNYPFLVSENKAAAQIFKYLPKALAEAGQFDMSKVQVKRLVPLDTQSSLGYI TACAIVTYPRSMIDALSADIKSPNAPLYRNPDILVYNLTMQINPAIPIEYGSVYEGEG IGGVPGGGVPGGGGSGGDPFGTGGDTNNPTGSQRGTTAAIAGGAVAVAAAYGVVMFVI ARRYKRKKQLHRRASSISNPSDMRETPRGGSPALMGGALLSRDFTGYGAVVAGGSGSG STGQPGGRDSHGSGRSGAGNSGRFISAPVAAENSLGWN QC762_303720 MTMFSNYTFDSGNKEQPTNNNGAITSSNSSTSATTGGENKRKMI ITPPSTSTASWESSSSDSLEKGGGEEEYDDDDPFERGRGKYNRSRRQDVDDGEEQSPM TVKRKPNPRKELSFTAEEERAVVRKFDKKVVVLMAVLYMLAFLDRSNIGNARIANMDS DLQSNPPNPQFYSHALSSFYLAYLLFEWMAILWRLIPAHIYVSVLVASWGVVACLQGI ATSYPVLIVLRFLLGIGEAGFTGVPFYLSFFFKREELALRTAVFISAAPLATSFSSTL AFAITSLSSLIPLAPWRLLFLLEGLPCILIAPLAYSLLPSTPSTAPFLTTRQKKIARF RLPPPSPPSTSSSLTALRDPIAWTTSLIILLTNLAYSSLPAFLPTILTSMGHTPLSSQ ALSAPPYLLSFLAVLITAYLSDLYRTRGPFLVFHAFFSCAGYLLLSLSQSLSLPPGSW VRYCAVFPAAVGFFNVVVLTIAWNVNNQHGDPKAKSVGFALMQIVGQLGPLAATRLYP DRDGPYYTFGMGVCAAAMGMVVVLAVGLRVYMRRENQKWEREQGERGGEEGVMLMDRG GGGDQERGGGEEERGFRYML QC762_303740 MTSTSSTASHLPTCRPTTSSSSSSSTTTTDNEMVGILSLPPEIF HGILSLLDPKDLGNLPRVCKGFRDFVEGNNPLCRDVYCRILDKPASATGIDFVQEVRD LLHLEQVVSAGWLIPDDGDFTKTNELAMRELPFVHRTITRLLSHIPSLEQGLEEGPSR LVNAETYHRSATCEYLARVLEEAPRSAFDENWNGVLQGFFYQSTIFKRIPKHPQRPFP VISPKTVRRSLGEVVDEEERERRRMSAHLHCLLGIQDLLRDVTSGSSLKKWSLPERAY ATACAKVYDIREYKREETGWGPFYTPEGEEGKGLRVDWEKAEAIMIVLGTNLRAKGLA FFDAVEHVWGKRFGGVWEGSYIPWVPENALASLEDKKVEGEEEEEEEEEQEERERRLK LEEIAKRDPYGVKGTWLRVVCFLDYTDFFHFNFHNHEGEGQAAEAPREPLNTGEAVRL ILMKVHATKIEPADPEAGDHEDWPVVHFEGQSRALDWSWDGNADSELRGTVRMTREGE VRWTTYSIYDGEERWKSEGFQLGGRRSARGVVGNWFDKDYSEHGPCGPTAFWKVADHE YDSNSDETGEMLNNLLPLRTPFFSPMELALVLTVVVDNDDDDDDSDDPDAEENEDSDE HVSESDEVDEEEEEEEEGEDEDEDLDHELEEELETEAMGVFGGGNATGSGSPPGQGLR GGGGENDGGNDDRENRDRDGHSDVAGREHAGIEPPSDAESNVESEDEGWPYEDGYDPY EEEEAYMQEMAQLPRYELNADYRRFNDMMVARFQIGMPEPEDDEYLEP QC762_303750 MASVLASQPSEIKPPAPPARTMQSMPPQQELTPPENIKQEHEDH ERGRTRSRSDDKDVDGLDLDDAEDGGDGAHSSNSEGPARKRRRSRKGQEKKFECPEKG CGKSYSRAEHLYRHQLNHNPKQVYKCGIGDCQRTFVRLDLCNRHKDRHTAKGSALNRK DSLMSQASPITDNRSPFMTAGSASPEVNRPGTAYNKGRALTMQFQSPKNGMPYSPMGN TPPAGYPNGGSNGPVDYMHHDHNNYGHMSQRQPLHSPGGPQRPSIQTSVGPYGVLSPV SNQPGYHSQPSGTPQSAGGMSYVPPQNFPPFSLPPSEFPTSSAGGVDREEQQQAYAPP TSTEYNDQHQQPGGEMMLLDQMAMPQTMPVFGSDSMLNKSPYVGMPEDFMAYLFNTAH TDGSPMAVPIQGGGYNYGEFSSQFSVPYYGNDPSQMGYFPPSTAPQQIMSVTNLLDQN LPESVISDEKSAEIFEFIKERFHENGQAPVERQREGILEGDRNDDSHMLSRKMMQAYI GSYWVHFSDQVPILHKPTFSPEKTPNLLLIAMMTIGAACLDKTHGQKVTKAGAQLSNF LAWHLRWEMFQDPNCRPPAKLWVFQTLLLLELYEKMYSTRELHERAHIHHATTITLMR RGRALIGKSALDSPPNPRDDKTNGSRHSSTSGMAHTPSEWWNHWIAGESTRRAAFAAF VIDSIHATMFGHSTVMVAHEMRLPLPCDDKLWKATSGAEVGRIESQLLAVGNKPVAFL EGLKRTLSGHEVQTNSFGRTILMAGLLSVSWHMNQRDLQVNSLGGPVGQALGGRDKWR ATLTRAFDSWKGDFDKTLFKRGEASADPYFHTPDHSEANVVFESRIVLHHLAHMAMHV DIVDCQMHARAKRLLGRAIGQQDVSSASKRMREWAPTAKARDATYYALKFLNSVLQPD RVATPSSNGYGRYDEIYSARDDVLLNRPWVLYFAALVVWCYGFALEGPSPETPVPTTQ QDKVRQMREYLAKYGNPASPEELKTMKGISHNTSMLMILKDTFEVTRWQLLHEGATLL SNCINLNMGQMH QC762_303760 MAPAKAARSGQDDSKTDTPNTKEKNGGHSHQSNGKMRRVASNTG SSLKEVTNVSATSAPAMATAAGSATTTGAGAQGLNVPGLQWPAFDREVLHAYRRAYRL RTPTTFASEHHQWVLTQPGSLGLYSPTIARRKELRRQTTDQLSTTVRKHFNGQGAQEN DIIVEFLHKIKTRRPQRPVRKPREYIHLPPELDK QC762_303765 MSSSILLPKAAAKTAVIVAPVRGAVPICRRQQRIRLPSAGYSTA ASTRARLPKTRGGGVQYVVVQPRSFHTTRPQLATPKDPYGTLGVSKSASQSDIKKAYY GLAKKFHPDTNKDPTAKDKFAEIQSAYEILSDPKKREQFDQFGAAGFDPSGQPGPGGA GGHPFGQGHPFGGGGFGGQGGFGSNINFEDLFSSFMGGGGGPFGGGRGGRGGGGPFQQ QEIIQGDDIEVQVNVSFMEAAKGASKTITILPLTSCRTCSGSGLKEGTQKATCKACGG SGTRVHFMSGGFQMASTCGSCGGSGTAIPKGAECRSCSGEGVTRERKSISVDIPGGIE DGMRLRVSGEGDAPAMGRASTSDARGTQGDLYVLVRVAKDPKFTRQGSDILYTASIPL TTALLGGEVTIPTLEGDAKVRIGTGTNTGDKMTLAGKGMPRLGGRRGGMGDLKVEFRV TMPKYLSANQRTLVEMLADEMGDKTAKRIMNLHKT QC762_303770 MPSISNLALAGLLAAGQGASASLVGAIQLEKFIKQETPIALQAV LDNIGPDGIKVPGAGRGLVIASPSTSDPDYFFTWTRDAALTFRTLIDDFVFGNKALEP LINDYIYGQARLQTVSNPSGTLLPNGAGLGEPKYMKDGSRYNGNWGRPQRDGPPLRAI SLIQYSNYLVSKGQKARVKDEIWPIIANDLAYVGQYWNSTGFDLWEEVNGASFFTTQA QYRSLVEGEALAKVLGLPCTACAEAPQVLCFLQSYWNGKFITANFIQGNHNRGGVDAN TVLGPLVAFDPYAPCDSPSLQPCHPRMLANFKNFVDTFRDPALYPINKGIPQNKGIAL GRYPEDIYYNGNPWYLITLGSAEYLYAAIASWEKSGGITITPTSLPFFKDLYPLARVG TFGKLHPAYYLIKGLVKTYADSFVAVSQKYTPKDGMMAEQFLKVEPFTPISARNLTWS FAAFVGMNHRRQGHLPPSWVPKNVKVPDVCVGTSVKGTYAPATQAGAPNVTIPCISNV LFQLNASTYYGENLYVVGNSPTLGSWDLETAYPLMSSRYTDERPLWFATIPLEMEEGV STLRYKYARQQDCGQEWIVEEEERVLEVPACVKDGSEEVLAERDEAFNGPAGSPGGC QC762_303780 MSKDKSGAWEHDQDYLNLKGEARVRALIETFSQKAINKEDEEWT NSFFPNLKLVSASDEQPHPKVLFSFTVEPQHCNRLNNLHGGCTATLFDFCTSTATALV SKPGFWQYLGVSRTLNTTYLRPAPVGTEVLIECDILQIGAKMATLRGVMKRKDNGAVV AVCEHGKVNIDPAPKL QC762_303790 MLNRTNPCRSHLHITYAPTITTPLTIFNTVQFIPENRPKMEFVT ALRGSFDPGKPSLFELLSEQQLSSLLPPTLRYLLTLLTHRYPRHLLRALNSFDELYAL LSLLIERHYLLTRQGSFTENFYGLKRERALTSEIPRASTHAPQIVREALALRTKDVYK NLFVIVLIPYLKRKLDEAHEVDAPRALLGAAYNAPPSPSAPLKEKLGYYYKIFLRKIY PTINMTYHLSILAFSLGYLFDNTKYSSPFLWLIGTRIRRMGPADYKAIEEWEKVLPAD GTRSRSIFQRLLSSLSLVLPTSIFALKFLEWWYSSDFAKQLSRKAAESLQLPPPGMTT TLKSVSPKKQPPPSLSEPSDTPPAEEELLEQLASSAPVASSSLLPIFTVAAILREEDD DGEEDKKRQEEDSSLCPICQEEITTPTACQTGIVYCYGCIHKWISGVNPHQERFMERV EKALGGSSRKWESGEGRCAVTGRRVLGGVEGLRRVMV QC762_303800 MATEKRSLTSLGSGSGQSGQVTQTDTSTPQMSDVEKIAPASGPP GAAVGGDEDLYKPKSVKFWVTILCNFLALFLVALDRTIIATAVPRITDEFNSLGDIGW YGSSYMLTTACAQLVFGRIYKFYDKKWTFFTSILVFEIGSAICGSATNSIVFILGRAI AGLGGAGIFSGTLLVLIDMVPLHKRPQFQGLFGMVFGLASVMGPLVGGGFTGGATWRW CFYINLPIGAVASVFLWWWWTPKTEDHPPAPFSQHVKRLDPIGILFLFPGIVCLFIAL QWGGSTYDWNDWRIIVLFVFFGLCTIAYTTVQIKLPETATIPPRVITQRSVFFGTLYT FFLSGSMLMLVYYVPIWFQTVKQVDPIKSGIYTVPLVLSLVFSSIGSGFATQAIGYYV PSMIVAPILMSIGEGLLSTLTEDSPSSHWIAYQFLAGFGVGFGMQTSGLAVQAVLSKQ DMPAGIAINFFVQQLGGAVFTSVGQTILSNILVSQLQDIPGIGGSRIIVTEGATHLID RVGPEYRSQVVDAYEFACRHIFLAALGVVLVALLMAFGMEWVSIKKKQGPGGPGGPGQ AAGPPAQGANEGPVLSATATEKGTDALKRHSKSSSSGRNSISQQKPDEPKSEKDTAVI EGAVPTTKSTL QC762_303810 MATEQHLEQPPSPSRLPITGSEPSVMKLTRGHSCVLCQQRKVRC DKQKPCANCLKAQVECRVVPPQPPRRRKKKPHERDLIERLRKYEHLMSQHGLSFEPIA QELRPSDNGDDVADLEQDLSGLKTSPSSTADHVSPDQANDKSKWYPNNSYYKDQYRNA DDDSSDEDYEGPTLHHAYDAMFDNNDGFPFVVGGATQSVTSHHPPAIQMFQLWQIYIS NVNPLLKINHTPTLQAQIISASTAINKISRPLEALMFAIYFAAITSMNDDEVQSTFGE DKAVLLGKYHHATQQALVNAGFMRSTDLAVLQALFLYLLCVRQYVDPRSLFCLIGMAV RIATRLGIHRDGAQFGLTPFETEQRRRLWWQLVIFDKRVAEITGSSITALSTCASDCN FPSNVNDTDLSVHAKDHLPPHHGPTEMVFCLTRIELTVASSQTGNMRPGPSTPGGRPG VNNKAQKVQYSPSPSSPDLVTHVANQTLPHDLASFHLYIENRYLKHCDQRIPLHSFTL LMTRQALSKLRVIDFLTRSSGIESVNPAEREELFIEAIRTVELDNMIQRAPELQGFRW YTYQHFPFPAYIFLISELRVRTTGSLCERAWDAMIENHDRRGLLRRNLRSPLHIAFGH FFVKAWDAREQAELQLGRVLPTPQIVTLLRNTVSKMKRPTGPPLDGGDHQASVPGPPV ALPPPIVGGNDPPPGLYPGKGMPLTPETTGPVPGAGPQVGPPGGPGPGMMAMGDAPVM FNGYEGLNPLFNSASGPGPGGGQVGGPGGGGGPGGMEEMDFGQMDWNYLVQYSSFGAF NPAYYHQGGAGPAGSHP QC762_303820 MTDKLPPLQTPFAPPNQTSPASFLPPAAAAVRDDLPRPEPVEEE PYTIKCVCNYPDDDGNTIFCESCETWQHIECYYPDKVEDVSAADFPHSCVDCDPRSID SQQAHERQRARIAGPLAAEPSDKKPKRPPTKSHKKKPKPTDLQINGHGHHSIEHATKH PAPHDNRQPNKKTKGSHKSSQSISALGPKRSPSYGNAKAAHSHPLSPATTPPDLPDDF EIHTYSQTFQLLCNEQPSVRNVKVNSFAGLSVSNTMTSWLRNPEKMKKETGLSGKDVF SKLPDNASSLRGKVEVQQTRKNVAPGTVLQWHDLRATSTIEKDSLLMEVNGHIGFQTE YCAELENRWDDLTTPLPFVLFHPLLPLYIDTRREGSEARFVRRSCRPNAALDTFLSDE GEYHFWLVSERDIAPREQITIAWDFRFPTAQKARMLRILGLGDEVTGAQSEVAADEEE YLKLANWVSIVLSEFGGCACDLGPECAFALFHRKHLNKTQPKRTKKRKPKAQHAISPS STGHATNSRAPSEGHLDDAPEHEHRSISGSSRSKPPSRDMTPTARQGSFDTLGILTEP TDRDKRKVAMVEDSFRRMEQQQPPRKKKRVSDGTTAPHSRASKAKLTAQTSNVSNGLS DRLYADAGTGTSVRSKSGSPTSPHTIPPHGRHTKKTASRKGSVAAAPPRPVPTADPPV YVDAAVQTDPERETATPQRRVGCALRKRALDNRRQLRAEEEERRKRRAIDHAGSISGA DASPGQGLSLSSPVSSKGPDSSPATVVSSKDVAMTDAPSTTKPVVSPLSMASMKHKSP DLRVQMPPVPAFGSPVSGSLSAGTPLSAGGSVMQSPFSVNGFPSPFGPPSVNGIAPAP SPVKKKMSLSDYKRRINEGRPSAATSLRPPSTTTEEPKSAPAEGSTPPTSAVTGSATT STTAPKTGGNDNQSTDSVAPSSTAKD QC762_303830 MRFSTTLLALATAVSANPLNTFRSEKQSLVRRDDLQIPGDNPLK YCDADRGDDIITIEKVDLSPNPPEAGTTLIIEASGTVKETILEGAYVNLQVKYGYIRL INTQADLCKEIKNVDLDCPIEKGKISITKSVDLPKEIPPGKYTVEADVYTVDDEHITC LTATVVFGKKSFGSILGDL QC762_303840 MASQADYKDRQFLAVIGDEDSVTGLLLAGIGHVTSPPDNQKNFL VVDAKTETSAIESAFEKFTTERKDIGIVLINQHIADRIRYRIDTYTQAFPTVLEIPSK DHPYDPEKDSVLRRVRRLFGE QC762_303850 MPPTRLVRRQPLSERIKARLNPGDFYLWLSEEIQTFDWDSTAFG TRFGLAANFLFLIARANIVSRPDVDDVFGNAPASGPLTFLARFSMWALTAISCLNAFY TLTRSRTYRLFEANVEQNAPSTPSAHRVRVDSSPASSSPLGVIQSLLSSETAEQRAHP DKTRDVWEMKVWDPYPATLRLFCLFSPGHVLIHWLFLPLVAMDPRPSVTVFKCMALQA ILSAQMWLMHSKFTRQGKDNAIIQKEVMHEYDVKYVHPRLHPVYREVGIQVSINDDKI EQEYVAVGTPSSVIRRSFETHPNPNYAKIIDPDGLQAIKPRNTFAGTPRGRVSTNPFT PLAAKTARPSTPGTISTPSAQLLGYPDRGDHHHLPASVTVNRRSTAAPAVSSTGSFTK SFTSSFENEASTPVPQPPSMNRAVSPFKAGTPMRSSSGTNPLGVPPSYGGSLGLHQHK DSPLKKAMSMEGIGRSPRNNREMAALEQRQLNERFRERSSPVKQRLQGTLGIEQEEEG EEEEEGNNNASIGGGSSLAHSPEKLANLRASRWTQERFPTRRL QC762_303860 MATESTAPAAAAAPTGPIRRPDEALFKEQEAKLDKEVRALQDKI KAVNNQIDLAAPRKDQESQNPTQIRRQELIKRLNEIKEQQGGGKKDRASKFDQIKRHE ETVKRLINESKADRAKLPVKNLEELEEKIARLERDVNSGMMKLVDEKKALNEISNLRK QKKLFGGFEQQQKLIDEHKAKIKEIKDSLDTPEAKKLSEEYATLQAELDVIKAEQNTA RDNLGKLRDERTKLKIERDAKYAELRALRDEYFTQKKAAAAYEREQKAKRAEREAAER EKYAKEKRMERAKAMLAEASEPAFLEEIRRANSLLHFFDPSHQTVEKAPLVANKGLGA TDIRKVEADGLKGVRLVRKEDRDEDYLPAAKKGKKGKKNKAAEGGVAASGKFSLPPAV MDDCKTLGINLPSGAADVPAAIEAIKAKLANWKANQEAETKKNVEKAKKEIERLEADE RGEVVSNGEAKEDKAVAETTKAVEDVSLEEKKADEPAKAEEVETAA QC762_303870 MSDDFGSGNIHDKEVSRLWRAWRTIHEMVADRGYELAEEEIKMP LDEFKRKFTNGDGSPNRSIMSFSARPSASMIKKFTPPPTASNPDPAPECGTIWVEFCP EKTSIGISVMKKFVEHCANNSYKAGILVTAVALSAQARKVMTVTSQYTLIECFLEEDL LVNITHHELVPTHILLSREEKMALLKRYRLKETQLPRILQKDPIARYLGLKRGQVVKI VRISETAGRYASYRLCV QC762_303880 MAATPSSNSASSAHDSHDFPPLTRDLAFPKSDIRVHLKDHYNTK VYTSGSPVKGEVTIVTKRDVHFDSIQIVLIGNTKASFDGMSFPQEITHTFLKMVMPVP ESTYPLPKVLETGGTYTIPFNFVIPSQLTINACNHKRLSDQLQDHHVLLPPSMGGGWE KDDMAPRMTRVEYSIKARVLRETVSSTGPRPENTAIKKTRIMEGTRNIQVLPSTPEEP PLNITPKDRLYSMTKSKTLRKSFILSTKLGKITAVAHQPSAAVISSDGNSLVSRPTMR VSLTFDPENPSHIASPPQITGVSGKVTAHTFFSSGTISDFPNLGEQWNTPYVTDRRGQ FFTSVSLPPISAVPEVSWTQKMRNQRRDSGYGTESSSSPVVGKDKQKSPVYLTSTVDI PISLPTDKKTFVPTFHGCIASRVYTLSLSLNMAMALEKSKKRSSGGTRVNLTVPLQVA VEQPGAAVAGGLGQELPSFEEAQADEHLRPRVIQVMSEELREEVQRGRDSWMGGGGGG VSSQNTGSSSSNASSGTDGLPGYGDGVVAGQDNEQGQEQEEDRLPGYGEVGGSGRWRR VVVEAPC QC762_303890 MGLRILRSRALLVLCAVALVLFAGSAWGHAGGKCGAGGHGRRMV VPRTAEEVADVSILSLGEVGEELQKCEIVQKLSNLKKADADAAPSSLTTKIFGYLFPG SPAVNALLATLYISGPPNFLLALCPTDINPDSLQVMVAFAVGGLLGDTLFHLLPEIFV GEGEEDRARFVMVEPNRNLLLGVGILVGFMVFVGMDKGLRIATGGEGHSHDHSHGHKH GEDDTKGVSSAVEEEEEKKKKKGELKRRKGGKDDEEGEKEEEEKKEVNPSVKLGGLLN MIADFTHNITDGLAMSASFYASPTIGATTTVAVFFHEIPHEVGDFALLVQSGFTKKQA MGAQFVTAIGALLGTLIGIAIQEFGGNGASGADAVAMGMKEGLWGTSLTWGDMLLPFT AGTFLYVGTVAVIPELLETGKNKAVELRKMLVQFAAIAAGAGIMLYISWHD QC762_303900 MRKALGLAALAASLTPVLGAVFGYNSGAQKPGGVKNQADYENEF RAAKALQGAPAGGFISVRLFTMLQDENAGNNPIEAIPAAIATNMRLLLGMWASAGSAK FDRELEALVTAVNRYGDAFVRLVDGISVGSEDLYRNSASVEEGSNPGANPQVIVGYIQ KVRQRLSSTKLKAPIGHVDTWTAWVNGSNAAVVAACDWVGMDAYPYWQSTALPNNAIE QSPRLFQEALDKTRAASQGKPVWITETGHPVSGRTWGQSVASVENAKRYWNEVGCPRF GKEPIWWYKFQGDQTGAEPNFGITPAGQLTTKPLFDISCKPPGSSSPVPMPMTTGHAG AGGPPTESSSSAATSSGVGNSPASDVSSPPLSTASALPILSSAATSSGVRNSPRSGIS GSTILSSAGTSTGVRNSPRSGVFSTTSTSTILLNSTVSSTSSSPATNTNGADVTTGSF GGVFAALLAVVFAV QC762_303910 MVLYTDPPPLRPFSNDKPTLLVCWWITMFCAVIILLRVCGRLVR TERLFREDKMAALALVPLFLRMGCVHVILLFGTNNVQLDNVRLSDEGLRRREIASGLV LLSRVMYAATLWVLKNAILEFFRRLNVTWERSYELSLRFIRAVLVATFIAVVISDLTE CQPFSHYWQVLPDPGGRCRQGYAQLLTMAVCNVFTDLLLIICPVPIIVRSTMSTKRKA QLVMLFSLSLAPIGVTIYRVPHIINEQGSQQSRSLYASIELLFATAAANALVLGSFVR DRGVKKRKYKYDSVAVASTIDRSSASESRRPTVLKHWGSDEDLVRDMGYAVKPELRES QPTGNENPVFTPAPIATTKLHEDMTSWQFPGTNRASAAQSDDPLISSDPVPSVRSNST ATRRVSFFDYGGLLDDVGPTSRRGSYLSSKDIPVGLTTTHPSPAVQASGNGLRRGSAA LLQDLGGFLSPLTSIQPKPKPKPPSLEPIEQSTQEGSNAAPGYSMPSPEKAGPELLDP GGLLNGNGACTEDLSRGFVREL QC762_303920 MSTPFLGRLSTISTHLSSNPHLATGKMSSSAGPAPWREPFSHHL THLRPPIFTLATLHPVPSHTSITVLPRARTCVFRGFWGTLPPNERNPAVLNPPLFRSD LLTFTTDARMSKTSDILDTAGPDSQTVSGGSSGGGGPVEAVFWVDETKTQWRVRGKAW VLGPGIDGEGEGERKVREVVGERMRRVKEWEGDDDWSWEREVEGHFGNLSPIMRGSFK GPEPGAEVDYHQGKRLGERVDDLRDEEARGNFRRDVMEGKGF QC762_303930 MPSGDGDNPQSSGALKRKRGRPPKAVAEEATPSPSPSPSSSPPP GSDLNDTKPPPPPSSSPSPPPKRKRQLKSKRSSPPEEADRPLPSYFQHLAKLHSALSL VSTFLSTHSQHLPPTLANLSKALAAKGLVPSVEDIASLKVVTPGSIRFEYVDYTSLLL EARGFEKEDLFRSSSGVASLPGEDLAEGDERGGEVLVFEFVDGGLKGRGRAREVGGGE REVVMPRYTAKQLMGVVERRGEKFKRAMQEFLRGCEEAGVDPVLRVEGERVLPERSAE RERKRETTLPETIPKERKPIPEIVEELKGLGWYTGQIVPDGHRVFEAQEGVCGELEFL LSQDLVNALYNARGITGFYSHQAEAINALNAGYDVVVSTSTSSGKSLIYQLPVLHALE RDPLTRAMYIFPTKALAQDQRRSLQELMGYMPTLQGMMVETFDGDTPFEMRNRIQEEA RVVFTNPDMLHLAILPREEKWRSFLQNLRFVVVDELHVYNGLMGSHVAYVMRRLRRIC AALGNRHELRFVSCSATVANPGGHFGTIFGIGEGKVRVVGQDGSPSGRKEFLCWNTPY KDPGDPASGRGNAALECAKLFCQMILRGVRVIAFCRVRAQCEVLIGTVRQELERLGRG EIANRVMGYRGGYTAQDRRKIESEMFEGKLLGIVATTALELGVDIGTLDCVITWGFPY TISNLRQQSGRAGRRNKDSLSILVGDSFATDQHYMNNPDELFTKPNAALQVDLDNMLV KEGHIQCAAYELPIRPDVDSVYFGPDLAEICSTRLLHDPLTNFYHTADRFRPQPSKFV SIRDTEEDHFAIVDTTHNRNIVLEELEASRATFTLYDGAIFLHQGLTYLVRDFNPSTK IARVERVKVSWTTKQRDYTDIDPIETEALKPLSPAAQAFYGSIRITQTVFGFFKVDSK TNKILDAVAVDNPPVVRFTKGMWIDIPPLALSILTSRQLHVAGAIHAAQHALMSLIPN FVVSLPGDVRTECKNPLKELVSKRETKRKRPARLTFYDAKGGEGGSGINTKAFEHVEM LVRQALQRVERCECTRVQGCPECVASEYCKEGNEVVSKVGCGVVLKCLLGEEVDVEGL PMGPEEGVVAGIETVVRAEPVRLKRRGELNKGRGEVVVDGGSGDSRGMACGDGG QC762_303940 MADDPHNTSDISDQVEAGAAKEDAETTATRRELKQTSISEKAGQ LSTSQDEKSASDDDDAPKDKTTTAGARKVTPPVALGVPSDETLAEQISSPKKKRAHAE LDENKDVAEAPLEGEGSAATDSKNNTAVTLNRTNRSEPEKKRPRDRQASASAVKSGQE EVEPLSASASPRSSMEELAKSRPANTATVRSPIDKPQTTSTSAFASSGFAKLGASSAS PFAAASGASPFASVGAGKPSVFGSAGTAASFGSVLGGSTPAAPAKLNFSSTSTASPFA SALNGQTGGGSVFKSSPFGSAFGGASALSGGGARLTNFGKPGEALKSGKPAKPFGAPE SDAEESEKDEDGEEENGEGAGADGEEENKDDEKEESERKRLKLHKIVVDDGESSEVTL FSQRAKMYVMEKGVGWKERGAGMLKVNVPRATVEFENDGSPDATSFDASVLEDKDYSG PKNVRLIMRQDHTLRVILNTIVLPAMQFKIEKKLKAATVLFTAFENGEAQLVQMKLSN ANADLFSDLVEMLKKGLADV QC762_303950 MRPRHLLLLAASSGLAICAPNPVPVPVPVPEAEPNVESNGNQIT LSSEEYYSSGSGAESDFASSATSSATSSSSFSVKATTSKDDGKRLKYLDRDALDKEYD ALERLKVQLKELDETVKQREGWFKSVVCEGEDGAKVECVSPVCAFGKVFSGVAGGLRK RSEKCWEGMGREGFPLPPWRGGGERGEREGKYRPPGWIKVLNSGVLEVLVIVFGVGLG VLSWGLMRDGKGVGDGERLDGRRRGCCGWRWRGGEENVEEREKLVGFGEHADGESNEE AVPPWEEPRFEIGSDSESEDGATGTTVYDIPRPRYQQQEEAERRVQTWVPEPGYESES EGMVADGIYTVPRPTAGQEDRERQLRDQDLAARQRYAPSLNESDTLAGTRSPSEVDSL EGVSMSQELAAFRDAFGLVEDLVASVEQRRRQI QC762_303960 MASFGMGPPRRHLDANAGREVVYCHSCAHEWYSDEQPPRLEPEC PRCHSEIVEIVEPGESDPRIEAGGLGLGGGGSGSYFRRNAAGEDSDPEEDDIENHLPG GPARSPFGRGLFPPSPGAPDGDNNRPGDEVFNRFFELIMHDLGGGRHVRESQGANNNP GAGAAPSPPQPGRHVHSATFTFVSGPGVRPDQPPPILPLFGPYARSHPRHPYHRHHHS GPHRTVTFVTGGNVADGDPLNRMLAHVMGVPDVGGPQEQGQPGNRAAGPPPMGGVFGL QQLLSTIMNPAAAVHGDAVFTQEALDRIITQLMENSPQTNAAPPASETAIASLERKKV DAELLGPEGKAECTICIDEFKMGDEVTVLPCSHWYHGECVVLWLKEHNTCPICRKPIE NREENNAGDNSSSGQRSPGADQAASSSSHAQQPRQSSNEGARVFATFSPRVTAPRPEE EGASGSSTGYQFPTLFSSYTSRVRTPQENQERLERMAGGGGGQRRSSASPPGAWPEDD TAEPRSSRQRSPSRPRDENWGGNNSGSGTPGESNRRSYFSSFTSAGRDQQQQQQQQQQ REGSSSSNNNNNGGNGGSSGGGGGGGGIVSWIRDHWTRDRGNGNGNGNGNGGRR QC762_303970 MTQLPYAIDAETPLSSSELGVLRAQYEKEGEMVGVQTKFNYAWG LVKSNVRSEQHLGVMLLSEIFRTSPERRRECLYYLALGNYKLGNYGEARRYNDLLIEK EPANLQASNLRTLIDDKVAKEGLMGVAIVSGVAVAAGIIGGVLLRNLGRKR QC762_303980 MLLEEDPSLLIRHTITNFNTAPDRLAISRISESLSTLAQARDLR IREAESSLKKLSRQLSTLSNQHRELTSTHSSAAHASEISRLDTQKFRIAKSASDLEME TERLQGQLDELNARLQELEMQGVDGGDGVEGVRGGDGGVEDEVLLRLKVYRSLGMELE KSDDGSGKKDGGGEFNRVVLRNDKRGDVHVVKIDGGFSRFFYANYFWQNL QC762_303990 MALINPVHGLVVPFLFMFTLPLAIFAGVTSALAFSVLMFRAAIV YLDIALAFVPQYFLRGKSKSSFLSSADSQRRYSRGDGWRTPASPLSSARSSSSSHSPP SPFPQTTGHPNSGYISPRRKSSYGFRKHSRRSSSQVSISSPGTITPIHENQVLNDITT ITPATFADASLPPATFADAVLTPSVGLDRDYEGIGGWRLDNNGSDSDWTSINSRLELA REGRAPFTRGHSRSHSAGPMPSPSGSYLTPRSGSRRGTIMNDINHDWLASTGGFGART AGPTPNASTVRLNQTFPIPPPAFTTLEMESRISHDLLSPRSVRKTPAA QC762_0051920 MQVLDKGRQRIIRPDGHQRLKLPLDLRCEAAQTLSPVSGHVKAK PWEAWRGIPSSARNPWRSSREDRGLAGSVLASSINEGNGIKKTANGSQQQP QC762_304000 MCGPSEGQSRSHERRSGTDDDGDFPWDLGVCDAHCHPTDTMTSI ESISSMRARVLTAMSTRSQDQDLVASVAAEHGIRDRSALVSDCQEAPRKIVPAFGWHP WFSHQLFDDTAGNGGNSTYDPSSSSLAEQKAKHYEAVLSSFPDAEFVASLPDPKPLSG FLAETRQRLEENPLALIGEVGLDKAFRLPSAWKEDEQQERDEGLTPGGREGRLLSPYH VKMPHQTQILTAQLRLAGELGRAASVHGVQAHGVLFDAISALWKGHEKEVVSRRKQKM VAKGAEDFSSSSEEEEDEDDIWAEINGQAPAAKPRQKKYKPKPFPPRICLHSFSGSAQ VMKQYLHPAVPATMYFSFSTVINLATAGGKDKFPELVKTCPDDRILIESDLHTAGEDM DYYLKDICRKICEIKKWTLQEGIEKLRKNYEELNPQPRCRDAELLLSVDWPDDKIP QC762_304010 MDHDAASRLRRPRQPPQTQQQSLVWQAGVSESSLTPSPAESGTI YASSTGVHNGNGNSNGAGTSYRRRRRSTLVDAEDSIAVRGDGYSNGAGDVKHARSASA EDGDSDDASPTTAEHQRKKQKRNKPTLSCFECVGRKTKCDRGRPHCLACIKRQTKCEY AHVANVLEETTRSAANERRMTKGPKKKGDALKPTIPNAADRGFNVNRLKALGSVSTST GLLSNVPYSAPGSSNVFGIGSEHPFANYWTCDGGLPEVISVLPEKVQSDILLTRYFEC VDPVYPMLHRQTFYADYEHFWSLSRPEKDQADGAFVALIFVMLALGTQFVTSTSPRER KQTAEFYASASNQALRVSSYLSIASIRSIQAMVLITYFLINDNHASDGWAFGGILMRQ AYAMGLHRDPNIVVPDASPFEKQQRRKVWQAVLLQDTFLTVLLSLPPSATHTDVSVDD LKQDDASIATDDPTDTDYIKSSWTLANLVQETICSPRSLDVPICTTVRQKSKLVQDFR TVYRTFPTVFRYWDPQVLTEMAQSNKRVVRQTLFLCSNYFHNLMLVHASESADVPVNV RGTLEAAHDAITAFFILFQLFEAEARVWWVFNHRAFLEALCIGNVLREVARGEEGGIM GEDPLFIRARSDIARMIEIMEIMSQGEQASETARTRVQVLSELLLPGGRGGEGDGVYT IGMGV QC762_304020 MSAPSPPPRGPPGSDTPELAPTQVTSLLLEQYLSASSQPGQQHP PHQPPPPQEITNPNNDMKPSPSHTITQEKPTLSTSTSRQPSSRTATAQGEVLPDNGAL PLHNNTNNLGLKLSRTRTAAQVVKRSLQIRHTGESHRSGIHPFHFLRIVYQSSSRASR MVNFLWPVVPVAIAVRYALTPSPTANLVIFILAYLAMVPCANLIGFAGQELSRKFAHV WGVLVETTLGSLVEIIMFIVLITREQKEGGIDYVQVIKAAILGSVLATMLLCLGLCFF AGGLRREETGFSEAVSEAGSGLLLTAGFGLAIPTVFHHSLINSGKLPEEELISKTIEI SRSMAVLLLIAYLIYVFFQAHTHHGIYDAIFVADEARDEDRHNPKYSHHSNRLTLTEC CLALAVSIALVTVIAITLVDQIHNLVTERHVSDAFVGLILVPLVEKAAEHLTAVDEAY DNQMNFALSHVLGATLQTVMFNAPLVVIVGWGLGKPMGLNFEVFDLAVLLLAILTVGN FLRDQKSNYLEGALCVIVYVGIAVAALYYPNPHHGSSEGVGHH QC762_304030 MGRGNRGKRGGGRGGRGGRGGSRGGGRDGHRPYQTYPEVVKENK KLETYYNTLLKDLPEDEQTAFWAAYRRELPNSFRFAGSKGHALAVKRLLQTRYIPEIT SITHDGVIVEAPKVVPWYPDDLAWMMTTPKNVVRKFPPFAKFQRFLVSETSVGNISRQ EVVSMIPPLLMDLKPGMTVLDLCAAPGSKAAQLLEMIHRGEESRIRQVISGFSGDANG AVKSEDKQEDEAARLEADPSDDGRATGMLIANDADYKRSHMLIHQLKRLSSPNMIVTN HDATMYPALRIPNPENPTKPNYLKFDRILADVPCSGDGTLRKNVNLWKDWTPGSALGL HLTQVRILVRALQMLKPGGRVVYSTCSMNPVENESVVAAAIERCGGPDKIDILDCSNE LPGLQRKPGMRKWQIMDKSERLWNTWQEVEEYTKSTEDGVTPSRLVESMFPPAEGSDC ADLPLDRCMRVYPHQQDTGGFFITALHKKAEFKAKPEENRKQPPVARTNGQSSGATKR PLEEENEEKDDSSVKKLKVEEETVQDEITPVEELPVPVPEPVPEVAAEAVAAEELKEA EPEVTKTEEAPEDEVKAEEQPSESTTPAVATPATTTEAVPDRKVRQGMGPYEEPFKYL SPDHEVIKDVTKFYKISDRFPTDRYMVRNAMGEPAKAIYYTSALVRDILSLNEGRQVK FVHGGVKMFVKQDAPSAEVCRWRIQSEGMPILHGYIGEERVVVLKKKETLKKLLIEMF PKIAGDEYKKLEEIGERVRDIGLGCCVLRVEPEDPTDEDFNEHMALPLWKSFHSLNLM LPKEDRSAMLLRIYNDTTPIINMGIKKQLPEEDLKERKGEVVDEEMKDAEEVKQEGEE GEEVKAEDVEVPDAPAAEEVKEEVKEATA QC762_0051970 MACRGSRGPRVFLPFLYPSIFGPNGSRIPRFNLAVHGRRHASTD PSAPAQDDIEYATTRLNPSPDDYSMPNFADKAKLTLHAGPGGHGCISFLREAYMADGP PNGGDGGHGGSIYIQAVHSETSLHKLARRKFARAGRGKSGQGSAKGGQRGEDVILSVP VGTVVREISRDDPEAVEEYLTKKRRKRRREPVAVEMDEDGEPIEDPDRKKWILYPGMS SSERKRVELPDLPIRDRLLKQPKAPVYLDLSRPTPRPILLAAGGLGGLGNPHFVSKNL RKPMFATRGENAMTMEIEMELKLLADVGLVGLPNAGKSTLLRAVTNSRARVGNWAFTT LQPNIGTVVLDNNKGRPVVKSFKVTAEDVSDDPWAEPAEPELQQRTKFTIADIPGLIE GAHLDRGLGIAFLRHVERAGVLAFVIDLGNGNAVEALKALWLEVGLYAQMREEEEQQR EREAAIDWSASAGETMQSDFWTSSGVAKTTTAGAGLHIAGKPWFVVATKGDLPGAQEN FAELRDYLAAVTRGDEPHPSGVEGAWIKDCAAIPVSAINGHGVDRVIHWTVGLLDG QC762_0051980 MDNPVYTVAVDGADGNGSAVLDPRSLDATGVRLIAASDSGKIVS QFGKIFLRTWQVAFGGHHKPWLACDVKTSAGSGCLGDTRTCPEVALHSLSGRRAPVNG GLALPLLFLFLPHLPIPHARATVGDGAQESALSGVWQSYQADICQ QC762_304050 MTSTYTLSSKRDALKALVPSPRRVPVCHAGARLWRHAGLGFHLL DPSLHCCICVDGWPSPLAASNMRSASETGHSGSDLSIFPRPGSTTSASPLSSSPRPLV RSLAVVHLVTPSFAPSSVFCCYHSSHSASLTILRLGIVINKREQVSHATLCWSRFFAI IACRDWAEQALGICKSCRRLAAIRPHSSHDTDSRLNVVKPALPSPNSIFSSCCNLGHN PPKRASPLCLPFSQTRTTWFCPSLSTQERSPRIDYNYNNNNNNTSPNTKSSRKGVIRM DPDCAICHAPASHACECEAKGLEVAVRQAEARMMQSIYNDIRSWVRAHAQDYILEYFR LLTERRKATHAQHLERITAHAYHYYHAPPHPNEIAAAQQALKRGIDEDWQASVQRYPE VLEYFYSLVELTLPDDNEPAVKDPPLSALQGSRKAARRNTGPGTAVSGPSLAAPPPHL HEREPLPLPRGRTPPPLEPLRERRTPAPPGGGRRQSYRGPPPGPPPPPASAYFPPQYG PM QC762_304060 MAAPNRSHYQSTPQIDLENNDEDIHDLIDPDDADLNSFDDPLAP SSSTSRPLPQGSITSASQTATALSSRWGLSGEDRAAPLNTIDEPVSATLLRDLSAIWS KLKEVLYPKYLFGGSMSSISDVRNLRLAQAREEIVGLASRAMDADSLLSNNHMSSGLR DWDLWGPLVFCLLLSTLLSLKSREQQREVVFSGVFAMVWVGMGVVTVQIRLLGGNISF AQSVCIIGYTLFPLVIAALLSAVSLIWIARIPVYLVLVGWSLAAGVSILGGSGVVKNR VGLAVYPLAVFYLGLGCLCFIS QC762_304070 MDPRDQTFMTIHNLTPDANILFASDSILDILGYHPDEVKGKSCF EYFHPDEVPFARSIHSRGVLMDKAAVLHYARILSSKGEYVNCECCFTVVHTVLVASIS IYSRGDKSERRAKEAPQIRRKFSCSPLDPRYHMLEHLSPKFKMPAMEREPRAALILNR FSLELNIMYATPSVAQIVGLSAEELMEKSFYDCIQQDCMERASRCLEGAKENESIAYL RFWYKDPRANSEHEADESEDEQDEDEDLDDNSSRANSDSNDMDIDDDSVIVIKDEDDD RICLDGREGSASSATTASSNTLPVQSPRTFELEAVVSCTSDGLVVVLRRARPPIPDLQ PVVPSAFNYQNGLFAAPWAQQPIEPYIPPDLLYTFRAPFLPQYMPLRESVKAAGGPPM DHTTGYYRGEPETDYQQT QC762_304080 MPASKQPTSSNMPPISDEWELPRLRSSFQLHEDAVRDEDLAEFF DVKFYPYNPPGAPPVFAATSKKHVVVVRMVPTTDKDQNPCKVIQMIRDADSGANNYTC CWSKDPDTEDPWLCVAGKDAKIKVYDIRRGKLVKTLVGHGGDISDLATSPACPTTIAS ASDDTTIKLWSLAKEHDKQPCICILGGEGHQYNLLTVTFHNNGRYVLSAGHDQIINLW ALPEFPKEHINVPIVLHYPHFSSSEVHNNLVDCVAFYGDLILSRACHEDTIVLWRIEG FSSSDPIPGPLDAPTPTDMAKQTRSYFNPIPSKARPAMFTRLAQFHTPDCGVQFYMRF RMFHAEGRHPILAFANAKSKTMFWDFSRFGAYRDFMEELEEARKSGSGQVVQKPSWLL IKRAKKGTAGPAHQDAAASLRAAAGDKESMVSASPDPEGGGSAMATLGNYNQDTLNHW KEMYDLSNPAGLIRPHKVQGVDGSFVGRQVGWSPGGEWCVVVGNKNRALIYQRGAREK GVATPVT QC762_304090 MSSTRKRKRTQPSLAKSSADQVINPRSHTPSTLTQFTLAGLPHD SPLLSDLYPGFPHRPPRPARKRYQYTSQSYDPSHNNLTDKSGDEGGDELPDFTTDDDG PIGARTTAGEETDFSSASSSNTSGKSRSKKKELEKDRKAAAHTNKVGVLINTVKRALK EGDIPLAKRSFGLLARAKVNGRRVDLRYERLWELGAEIILREGETTTTTTEGELKGAF QIEPERQAENEDDDSAERESRQKDRLFARQQANLANLKAFYQHLIQNHPFSKQHPNST GRPLLEFNIALFSAEMEGVYALHRRGMERIEERDGRGEFYDVEDEMDIIDVEPDDEMD VDGEEEHKAPPTPPREKRKVARVREEKDELRREVLRQMRGVAERMDALLETTPFSRDG EFARLRAMVGLYIADLCVPFGEEEKRAGYEVRDKERQKARKLLAGVRDMGKGVLKKDD EELLKMLGNDDEDDDDDEEEEEEGEEEEDKDEEEEDEDNDEDDEESDEEGPQLQFFSS MPA QC762_304100 MRATFRLLASVRPTARYLEPGQPTGITGLPTHNAPRSMLLYLYN ATLEKLKAVPEHSVYRQSVEAVTKQRLAHVESVVPPGYKEWAAKAKEILKQEPEKFRT TNTATNEMLGAAKVERDGQVFVVRQLPSEVDMRYQQWDGEVNDGPELEGSRTQEEMEW HVKTQFERAEALEQKEVEWEPEPQLTAEQIAELENKIGAGLIEEVIQVAEGELKLTDT MIESKVWEPLEEPAAEGQWVAFERTA QC762_304110 MVSKMTNLLLTLHLNLLTLLSNLFSHLTSLFFSLLSLTHALLIV LGFTRPNQWEPPTFLDSLLYSPLLHLTTNLYQTLLFLRGHPFHPPPHHSRIRVVCLSD THSLRPPSIPRGDLLIHAGDLSATGTFDDLQDQISWLSSLPFRHKVVVGGNHDCFLDR ASSIHRTRGQKEKRKLDWKGVVWLQDELTTLEFEDREVGGKRKLNIFGSGWVRRCGGD DFAFQYDDERPPWEGRIPVETDVLVTHCPPKGHRDLLLGCPSLLAELWKVKPKLHVFG HVHHGEGVESVFFDECQAAYEGLVLRTAAVEMSMLKRWFDFQGLKYALSVLRYGLQSV LWKWIMAGPGSNNGGVLVNAGVMKGNTGRLRKGRGTVKVVDL QC762_304120 MNKITAMRHWPTSNFISRNNMAGMPAINTRRDVTTQGPPPIPTP QLPIGEPTIHYAFNVPFASDLAGPDTEDILHATTDAVLRWTHPVEAPDDVPVHALHVH VMNLEGLRQLCHSITTNPLPIEAHVLSGTPKNARGLITTVCLSGPADLVYQTREAILN KTPISLRCTTVDVDGNLVANLAEGVLKKSVTDFLDETSRFCGVDIFLLGPKLAPVVDG LNGDVELRRDQRWRVAIYGDNMSAEHAKTRVLIHIDQLLGRVADSINVDYSVQQILIG RNRKNIKLIESSTNTAIYFPPPFLSCYSYCPPGATRRGESDVFITGENTQAIEQAKYK IHEYLTRVRLYVKDVQITPAKLDSVLLTRMDKVRKIAENNNTHILPPSLGSMKNVARF QALENLPLERSVRELMALVGQFYTATWWISHPDNRQPMPTPNDIRTMLSDICANSEAD VKFDNRCFTISGSDEAVKTALAVINDIKWVNQSPQSQIRVKIELANEHKEFVSGKKNG KINKIMGQSNVQIIFDTFGEYNFNIEVVTHSYEAVKHGLTLVEQEMPASISFHVPDQY HKRIIGIGGQHIQRIMKKHSVFVKFSNAMDRGGLTREDDDSRVDNVICRTPARNAQNL ELVKSEILEMVSRADSEFMNQTVKIDRLYHRELLSRLPEIEEIEKMWNCKIVFPSTEQ ATDELTVSGPQWLVPKCIDSFLGMVPDKHEVVMERTPTLIRFLESPEFVQNIVPKLKT QYEVDVTVHENSEERAANGNPSVTLLWQFTRNNAGGVSDAMDFLQGEFATAGVEPVFI RGALSRPKPDTFEEALPYFDSKLLQHAPAPVATDSPTKPSFGEETARERSSLLERLRR PGSGMSSISSFLDRRKNSSHSATSFFKGSSNVSKSSLVSIESTRSFNADRNPWNDSGV NLADEDSGSPWPSAVLVGNGIGNNLAVPHHGDMTPRHAARRSDDSGRPSTSHSTNSGY PGPLVPFR QC762_0052080 MEMEMEGEMEEVVEREVKAGGEKNKEIKAAGFDERALRNIKAKL EAGLLTRQEAASKKQTILEAAARRKMNTAKKELWTLDPAAERKNRQEWRAAKQRELEV AWRQAKLNELDEQKLGEIKAQLEAGELDEPSARGKETWVHKQAFRCQEMEARQAKERK LRGEVREREVASMKEEARLKGETFDALSAEQRGKARLAEIEAFREAGFVRKKGARNLV KEVHRVTAKRKEKERAGGMKRGGK QC762_304130 MAQGSVGAAMSAERGGVQEEVAGKKTLLIASLSPDALHEVQQYE KLLKFRDEVLSGAHPRIKPPQLGKVAQAASSKSTAPASSSTTSATKSSSNAAVNGSRP LINNLPSFRANQQVPSVYMATNLPGLGMLSQKKPSGSGKPEIDPVLLEKSDDLVKAEI QLRRQRIERALKDEVEQRRVTSKPHEQMADLDVNDILAKAMTLSEDAPPQPTDDAAAN TSASSDSFDNNTFYSSQHGTPDSVMAARIPNESEDEEMREESPYEPEFDPEPDLPTAI AHAQSLLDTSQPMSIPGVSTSQHQPQPARTNPTLPVPAPSFSIPGIGAANTYGSGLAG RPGPSGSAGSGPGNQHLLSQTRGNQNQTSPVVRGHDLSPLAPQPERVSPLASLAITRQ PHLVDSDSSGRRATPAQVAALRKQTSNASSPESSPQAGKAADKKKNKKKNKRKADRLA VETAVSPVIKPEPRSPSPLTAAPYARPSKRQRQGRQQAVDSPYEEHRYQEPIRVETGY QECYQPNGSFRQERVVAYGRADGAYRPRYDDEPILVTSPRYERVERVYYDEPRQAVSA RPIRPDSPGAQGAQYASREVRTARPVAYEEGGAVYRDVRAASRMSMRPVAYGDRSQSP IMYERPPAAMPPPRAPVRRILVDAHGREYLEPIRSTTVVREEVISDPRGERLYSAREM SRRPEVMDDEVIYQGAQPVYGAPRRVVTQPEYGAYRESANPMAPPPMNEYAPSRAEPP REYMARPASVRPGMETVRYEPSTSYERVPFEDRGRDYYGAGAAGTVRSASVRPGAESI RYEVPVAYERRVGSQVEEYVPLRSASVRPGQEPARYDPYGGGGQRVEYAAPPPVPAYG MQPPPPRSYSVLPAERVGERGYSIQPPPPPPSQQGGQAQGQGPPSGGGYYARPPPAGR EDDEVVYLDRPPQREVYRDMR QC762_304140 MAKLIDKYFATHLSLPYEDAVRLHKEYYQNYGLAIEGLVRHHQI DPLEYNAKVDDALPLDDIIKPREDLKKLLRDIDTSKVRLWLFTNAYVNHAKRVVKLLE IEDFFEGVTYCDYAAPRLMCKPHEEAYEKAMREAGVERREDCYFVDDSYQNCKKAQEI GWNVAHLVEEGAKSPRTQACKFQISHLDELRTCFPEVFKKPETS QC762_304150 MGDKLTQLQESMDQLLTQFIAALYYNERHHDLQKFSPNDKIPEL KQDQPPEIDTLDPEQFKAGQLELARDLITKEQQIEYLISTLPGLDNSERDQLQMIREL EEELGAAEQQRQEALRERDEVLKRLDGLVRLIRRH QC762_304155 MRRLLLSQPSSLSLLTTGPRRAFCASAPLSTTTTPSLELRTAQP PAEEPSPSQPEQPKEDSEQQPPEPNLPSPYTFPLPLAPTTNHSSLPTFLTYASHTSLD PTSTVYIGTHYEYTAILSLTRLAFTLHRVGGRSDYGIDLLGFWSPIPNSPDPPLRVLA QCKVTKTAKPVYIRELEGAFIGAPPGWRSKGVIGALVAEKTATKGVRDAIGRSKWPMV YIVCSREGLVSQMLWNQRAVEEGGLEGLGVGSKYRVAEDGEQVEEVMLTWRGREVKAL KEKKTREKKAE QC762_304160 MTSNTTPDDLITSVTKLSLKTSSLDKPPKPAPSKKKAAAAAPVA DSWEDEEDNDDASEPEETPLGSTQTGTNAPPPTPMSPIAKKQPFSPSALNAPGTFGFT SFDGPGADSSPRSAGAAPDRRPEKTDAVARRMIAAGLGLRAPRATEEQKAYDRAVKEQ EKKRREEERERQRKKEEEAQKAKAAIWDD QC762_304170 MLPRQRVLRMPSPRHSILLSTASRRTSICSVRASLRQGQHITPR LQGFSRDSFSSSLSPFSTHAALGKQQKGSGFFDGIEPLTEEEKKANQENQEKEAAAEE KEEKDVEKAKPSSSSEAKTKEGGGQGTPESPENKGSAAAGSAASGSSGEGSGGDGGKR GRKPGDKALAKPVVPEIYPQVMAIPIAKRPLFPGFYKAITIKDPNVAAAITEMIKRGQ PYVGAFLFKDENADDDVIRSADEVHDVGVFAQITSAFPMTGQGGEGTSLTAILYPHRR IKLSELIPPGAADAAGKTPAATEPTPEPIPKATDESAQKGDVVASFEESAVVPPPKSD VTQKQYEPTSFLKKYPVSLVNVENLTEEPYDPKSQVIRAVTNEIVNVFKEVASMNSLF RDQISTFSMSQSTGNVMSEPAKLADFAAAVSAGDPNELQEVLSSLNVEDRMHKALLVL KKEHVNAQLQSKITKDVENKITKRQREYWLTEQMKGIKRELGLESDGKDKLVEKFKEK ADKLAMPEAVRKVFDDELNKLAHLEPAASEFNVTRNYLDWLTQIPWGLRSAENFGIQH AMTVLDEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCFVGPPGVGKTSIGKSIARAL NRQYYRFSVGGLADVAEIKGHRRTYVGALPGRVIQALKKCKTENPLILIDEIDKIGRG YQGDPSSALLELLDPEQNSSFLDHYLDVPVDLSRVLFVCTANMTDTIPRPLLDRMEVI RLSGYVSDEKMAIAERYLAPQAQELAGLKGVDVELTKDAIEELIKSYCREAGVRNLKK QIEKVYRKSALKIVQELGEEVLPEEEALTDEGKVAKEESAKEETKQSEEPATANTEAT EKETTEVPRVALKVPESVHVTIDKENLKDYVGPPVFTSDRLYDITPPGVTMGLAWTQL GGAAMYVEAILQSALKPASRPSLEITGNLKTVMKESSAIAYSFAKSYMANNFPKNDFL DHAKIHVHVPEGAVQKDGPSAGITMATSLLSLALDRQVDPAVAMTGELTLTGKVLRIG GLREKTVAARRAGCKMVLFPRDNESDWLELPENIKEGIEGRPVSWYSEVFDLIFPDLD KEKANKSRVVVAENYEKKEEEKKDGEESD QC762_304180 MDLPNIETRSSGADNNNPASGDDDQQPHNNNNNNNNNNNSNNQT SRLWRRLNLFGHHRDNNAGGNGSIQGGGGLEDDITQNGSGKRQSRKVVPGLPRAPTFK RQQSEKRHRLEPIRPTAAERRAVSMDRRVVVQGSSNGGGDPRTSAPDVLLLAHEGGYS SQSQSVPPPSFPIDTDGEGYFGGRYTAATQGFDDHSNDADDDGEAMSDDGGRHSVTTS QWEARIHDELEQIWILNLSMHFRDKSKREKFFVTYRQQEHLWRRVTVSLDYRNAPEGS LEMELAGTRFQREKSAKIYEAIRESLMDIQFYDTVTNLKLQTTDGRLHVHVVEDVNEI ISYPSVRLIQHTRCRRVKEREMEFDSHMSGFVYKMRVNGQILIKKEIPGPDTVDEFLY EINALNSLRSARNVIQFYGVVVDDREEHVTGILISYAEQGALIDILYDHAHEHPPLPW SIREKWARQIVAGLSEIHEAGFVQGDFTLSNIVIDHNSDAKIIDINRRGCPVGWEPPE ATPMIECNQRISMYIGIKSDLYQLGMVLWSLATQDDEPEAQGRPLQIADDVDVPAWFA RIVYICLSDNPRNRLQALQLLSLFPQPEEPLTAVPHHHHIHEDSYLPQEYMSDIFSIT SQPPNVMNGNMGNDWQYIGPNSPTNPYGGGTFAEDPYFYPPPRGRSPPSPQPSNHEDE GCEPTGRFGARRFNTWSVGEGWKPMVPSVSDLPPRSVLGEESDVGGFRRGRQRVSPRH GKIAVSDYGGIDGTVASQTLEIPKQGVGVGVGEKEFKTPESRADSGKDMTELGSTPRD GGVLGLGGGKEGVEVLRETEKLFPSTNKDLEQPLALEQQEEYTAVMGTSLGRLGRPDD LNNVGGAFSFDQRSRGMQRKGSTSSGSGGIEGEIEEEDEMGDEEEDELDMELDGELPG DLEKTIRLQTQLLSVP QC762_304190 MASSSSEVPASAVLQAESFPDGTKDYVAIRKKNWDIKKPHITDQ PITAKNWYKHVNWLNTTFIIFIPLTGLISSYWVPLQMKTAVFTVLYYFFAGLGITAGY HRLWAHTSYKATLPLKIFLAAGGAAAVEGSARWWSSLHRSHHRYTDTEKDPYSVRKGL LYSHIGWMVMKQNPRRIGRTDITDLNDDAVVVWQHRNYIKSVITMALIVPTLVCGLGW NDWTGGFVYAGILRIFFIQQATFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGY HNFHHEFPSDFRNAIEWWQYDPTKWFISIMKFLGLAYNLKTFPQNEIEKGRLQQLQKK LDQKRSTLDWGIPLENLPVVSWDDFVAESKNGKAWIAVAGIIHDVGKFIADHPGGKTL INSAIGKDATAVFNGGVYNHSNGAHNLLSTMRVGVLRGGCEVEIWKRAQSENKDVQTV TDSSGQRIVRAGNQATKIPQPVSTADAA QC762_304200 MSSGELTNILVSTDVTRYLEFKQVAGSYVQQGAGSKATVAKVPS DAGEALKSPLMGIFEKRRMKSFIEWVGQFDLKDSATHKGLNMTTCTMKEVFDKFGLEA TTKDFIGHAMALYQTDSYLDKPGVAPEAIERIRLYGNSVARYGKSPYIYPLYGLGELP QGFARLSAIYGGTYMLNTNVDEVVYEGDKAVGIKATMTNIEPEMKFETKAKIIIGDPS YFPNKVKVVGHVLRAICILKHPLANTSDADSAQLIIPQSQVGRKNDIYIAVVSSAHNV CPKGYWIAIVSTIAETSANHHLELAPGIERLGKIEEQFMGPPIPLYEPLEDGTKDNIF ISKSYDASSHFETTTDDVRDIYRRLAGEELVVEGLREGIQIEGGDQ QC762_304210 MSRDEGPRPPVLSASNQKTPWCLISSKETPECGAATRRPSSPPR GERQQATSPSP QC762_304220 MATAATSAVRPSLGAAFRLTPSPSSVRISHQLLSLTRPMSTTAP LLKRHTYPGARSNKDMSKSRGQSALRRTGLRWRLSMSDDPLPKPRSREELPPVEVDPD HGLWDFFPNRETVAASPEDDMKHGRGWMVEELRGKSWEDLHRLWWVCVKERNRIATGA WERERGKMGFGKSEAQGRDREVRVTMRGIKHVLTERFYAWEDAVKLAESDPEVDLSGK GRAFTPREFLEEEEAAEAKVVDYLVEEEVAEVKAEEKAQVPPPETNVQGEAARL QC762_304230 MTLLQTYQGLSPRARLGVGFGLLAWGLIGLKLSDKAEEKLGYTP TEQDKAELDKMIPKIHSVPREKPSSSTSPTQ QC762_304240 MEGLPNGSANVINTSTASYSSQPAVSQHPIQQQHQQQHHSTGLP PQTLPPLQPSHPAMQQPPYGNYPHGSRTPSAPNTPVTNNMTSYPPPPNQSAGRGAGNY PMMNNSYPTQGYPASTSTMMPNTTAAAAHPQPIAPAPSPAGARAPPVLRPMPASGMPQ AGISSPYVQSPMMGQNGMLPEGGDQPTHVVGSQGRRGILPSAPGRPAAPAPGTTAKNP IPQKDADGKFPCPHCTKTYLHAKHLKRHLLRHTGDRPYMCVLCRDTFSRSDILKRHFI KCSVRRGNPTGASHLSHPQAHVKKNAAAQQKAIEGEVNHMNGMPSIPGDGMVQHPFGL ISAPEAMTNMANDQNQLSRSSSINRIEDANRDRRSMTGSVMGASTRPGSFDQTYTGGD VSNNMTANINPQLANYSMPQNGTGMPFLGGQGGDQWAQMFSQEKATAAKPDPNSGSAR AVGIIPGDTATDSSTFPSWGIPPSYPNAYHHLSRRIIEFLCPTSDPTNPTAQLVNHHF QPDNIRHFLEQYTNYHVHFATVHIPTFRALDAYVGLLAAMCIVGACYSDRIPPDNIRE IMEVLRTSFESSSLLFRASLAQDEGFAQTYDWASNSALEEFQAIAHVQVLFTWHGTAA QRQKARRIWPLIANLARKAGMLHLSQGESSFSPLHQSSFASQNFPVSQFDWQRWVGQE ARVRTMYLIFIYDAALGLYFNCGPEFTPFDLRLPLPADDAAWEASNAVECAEALGLCG PAAAERRNPDGTRRTTQPEMRMVLKALLDNSYRVLPGSTNLYGKFILIHALLDIMRQV QLEGRNAISRSSTPLPTNAWFVDAQGSSVPHGSGRTTPTDIAANLVDPQTLKTLITAL DKFKANWDHDMAVQFPPSAAAYLGRYGFCRDGIHFYWLATHLLRTTRHVDLVMNPDQR FARVIHMLKSVKNWVLTDGAARGEDMGSVGDIDEAYGAVDTTLDMTQLFRRLPYTTR QC762_304250 MDRARRRELRELNQRVWNGEKDLFPVGKSLDSTMKKNTAFIKRL RTAISPATMNTFLQEIKTVSLSKYLSEIVSACHEGLCKLKSPGEIEAGVEIVSALHQR FGPDEFTMYLGWYLGKAMATPDKSFLKTLSAEAKEKEERDRLTRQRVLLRVVTELWLV GILKTLDDIKRPDDAANGTTGKTTEVKSRAAAKGAAAEPFPLEVLKDLLGHDREHANL PLLVIFVKAFSWDILGVKSDSRKTVGEDGTTKEDETNEEQDGTTEEDAPFTSPELQER FRNVLRRYFEDVKGHLVRDQKAIFSQSRKNAEAYVKSGEVFEDRQANFEKQVKAQERL VANAHVIAEIIGAEMPELKDSDDANANANGSIGIVKAGEYLRGQGDGSGIWEDEEERR FYENLVDLKGKVPGMLLEEVKKKKAEDEQVGKKIDPAEVEAKQAEAAVDEQSMAIANK TIGAQVDALLARLPDLTSKDAIDQTAIDFCFLNSKASRNRLIKALTDVPKGRGDLLPS WSRLVATLGQYMPDIPKGLVDYLDAEFRSLQRRKEKEFLGQVRLGNIRYLAELTKFGI VPEHVVFHCLKVSLDDFSRMNIEIICNLLENCGRYLLRNPETSPRMASFLETLQRKKS VQHIGQAERMLVENAVYYVDPPQRPAIQQKERTPIELFVRKLVYTDLTKRNYSKVVRQ IRRLHWEEKEVVTILHKVLSKPGKVKYSNIHLLVVILSAIHRYHNEFVISVIDTVIES VVFGLEQNNFEFNQRRIAEVKYLAEMYNYRMLDHPVIFEIMYKIMTFGHGGPPIPGRL VSFDMPDDFFRIRLIATILETCGVFFNKGAAGKKLDYFLSFFQYYIYTKDPLPMDIEF LVHDIFSLTRPQWKLASNLEEASEVFRLAVIQDHKTSGMDRIAEQDDRTSAMSSDDED GNDLQAGEQDDDDDEDAASDEGEAEESEHHGSYANSEYEEEEEIVVTREEEEVDPEYE EEFEREYAKMMAESFGDARKFERKPQFDIPLPVRPKAREATGGEPAEAATTNPGGTMA FSLLTKKGNRQQTRTVELPSDSNFAVALINQRQAAKEEQQRIKNLVLNYDLRENEEND GTTTLRDPLQLYSNIHNRAGNEKTNSYHHHNRIDNRAAKERGGQRVRKLQLSDVDWT QC762_304260 MEVTSALAASQAFTKSPLSFQQQKPTEDGIPRSFYDLEKPLITN LDDIDSQSSTSQSSSEQDQDQQSSSSQSSPPTEDQPPLSDFEKALLHPQPTSAPYPLP PAPTPISVLPLDLKTPDSFVPRDPALIRLTGVHPFNVEAPLTPLYDQGFLTTLNLHYV RNHGPVPQVHDADALSWSFTIEGLCSSPITLTLADLISSRFEDEVVSYPVTLVCAGNR RKEQNQVRKSKGFSWGAAGLSTSLWTGLPLGHLLSLAGVNTRKGKFVHFEGADALPNG CYGTSVKLSHAMDPERGMMISWLMNGLPLHPDHGKPLRVIIPGMIGGRSVKWLKKMII SDKPSENWYHIYDNRVLPTTISPEESGNGTEEMVQIWKDERYAIYDLNTNSAVVYPQH EETVQIQDGATYELKGYAYAGGGKRVTRMEISLDQGKTWLLGNISYPEDLYRTETESR IYGGRLDLSQNGRDASFCWCFWSLALPVGTLAGASDIVVRAMDEAMMVQPRDMYWSVL GMMNNPWFRVVIHHDTTSNTLRFEHPTQPALQPGGWMERVKRSGGDLTNGFWGERQAG GETLTNPITSPPEKEISLTNPAISREVSLEELRSHDSEASPWFVLNGEVYDGTAFLEG HPGGAASIINAAAQDISDEFLAIHSENAKAMMPRYHIGTLPPPPSPSSPSPPHPPCPP PPAPLSPNTKIFTFTLQHATQTLGLPVGQHLLVRLSSPETIIRAYTPISPGSLPTGTL SLLIKIYPPSPDSPSSGGKMTLALDALPLRSPVEFKGPVGKFLYLSPGLCSVNSKQRN VTKLVMICAGSGITPIFQVLRAILSSPPSLDPTKCLVLDGNRVEEDILCRDELDAMAR GNKERMELVYSLSRPQASWQGRKGRMDRPFFESAVGKPDPEGKTLVLVCGPEGLERAI RETFIGRGGLGWDEGDVVFF QC762_304270 MTWKDIAPVPTAQEFIDIILSRTQRRLPTQISRIRAFYTRKVKF TQETCSEKFGAIVSSFPILTDQHPFHRDLMNILYDADHFKVALGQVSTAKHLIETISR DYVRLLKYSQSLYQCKQLKRAALGRMATLIKRLKDPLAYLDQVRQHLARLPDINPTTR TLLVAGFPNVGKSSFVSSVTRADTPVEPYAFTTKSLFVGHLDYKYLRYQVIDTPGILD HPLEEMNTIEMQSVTALAHLRAAICFFIDISEQCGYSLKAQINLFKSIKPLFANKMVF VVLNKMDVKKFEELEPEMQAELNDLVKSGDVELLRASCATQEGVQDVKNHVCERLLAE RVSQKLKAGTGSSGNMGSRLTEVMARIHVAQPMGGVTRETFVPEAIKNMKKYDKNDPE RRRLARDEEFENGGAGVFNVDLKRDYLLANPEWKYDKIPEIYDGKNVYDYVDPDIDAK LAALEEEEERLEKEGFYKSDSDVGDESEEEILQKAELIREKHKLIRNEAKMRKSLKNR AMIPRKSLKKSFSQLEDHLDQLGVDTQEIDLRGRAAVRETRGRSVGARSRTGTVDPDA MDVDNAPSARDRLRSQSRPRDRSVMATNRREDGVTDELVRTKVERQAKLAQRKMNRMA RQGEADRHIGATMPKHLFSGKRTIGKTSSR QC762_304280 MSELPQYKKDFLKSAIDGNILKFGSFLLKSGRTSPYFFNAGDFY RADLLNSISTAYALTIDSLPIQYDIIFGPAYKGIPLATAATIKLGQINPDKYASVEYS FDRKEAKDHGEGGNIVGAPLKGKRVLIVDDVITAGTAKREAIAKIEKEGGIVAGIVVA LDRMEKLPAKDGDDSKPGPSALGELKKEYNLPIYAILTLDDIIEGIKGLVGEEDIKRT EEYREKYKATD QC762_304290 MVSQIEQQPAREDVNPPTTASPGPVDGDQAIDESSDADGDFAQL QKTLSQKRRAEKDSPESRLQKALPFPFLPNIRPLTVSDAPSCVQLENAAFPNPDHRAS PEKFEYRLTTCPELSLGVFCTVIPSQLPSSCKLPTLSTARPVETDRADGAVSVLLAHI VSTRGTGKVVSDADMDYPKDWRTRQGKSAEVGHQETGGLVALHSLAVLPGLQGCGIGK MIMKAYLQQVKGMGLGEGVGLICQDYLVGYYERFGYKNLGPSKAQFGGGGWNDMVFDL SGKPE QC762_304300 MRITLTITNAETQADDQDLLSLDVYPEMTIETLRSSIQVETGHP STSQHLYHNGKLINDNSKTLAELNVDDGDMMALHVRDIRGSTGIPTGQGEAGPSRQQA QAPSGAQDPETVRLQILGNPALRREVENSSPQWAGALDDPVRFAQIFNSQYDVERRER AERHRMIARLNEDPFDVEAQRKIEEMIRQERVMENLQNAIEHNPEVFGYVHMLYLDVE VNGHKVKALVDSGAQATIMSPSCAEACGIMRLVDKRFAGIAKGVGTANILGRVHSAQI KIGPLFLPCSFTVMEGKTVELLLGLDMLKRYQACIDLAKNALVIQGEVVPFLGEADIP RDVEEQVQKEPTAPGPAGTTIGQRTGAVTAPGAPAPGSPVPQAEAPPAAPAAAPVQPS RPQPQQQQPPPQQQQQQQQTSRFPREHIEQLKALGASEQQAIQALEATGGNVEYAASL IFEA QC762_304310 MFPVKGLSISAEKLKIETENGAVPVNAAPAPASKPARKRKRPNQ QNVTADNFADLWDQVIEHKEAPKPATKPRSKQEAKRQKTDHQPAPKHTAQAEEKSEDN TPKKAKKQKQKKKSVAPSENAEDDFNGFDDEEDKTPKPTPAAAQDTATGKKNKKDKKQ QPPKVDNDAQTRVTAPPPKPAASTPAPGPKLTPLQASMREKLISARFRHLNETLYTRP SKESFSLFSTSPEMFSEYHEGFRRQVEVWPENPVDIYISDIKTRAPLRQPPKSHPALP TAIPLPRDFSTKICTIADLGCGDAKLAATLQPLLKKSKLQIHSFDLQTGGNPLVTKAD IANLPLEPGTVDVVVFCLALMGTNWTDFIEEAYRILRWKGELWVAEIKSRFSSPGGSG TTQPAKVVSHSVGNRRKNNKPNAKQLAEEEAADLAELAEHVDGDVPPVQKSSSTDISA FVAALQGRGFLLNRDMGEHAVDMSNKMFVRMSFVKAAPALVGKCSDPKALEREARFKE QREVEKKLGPNASRLGKDRGFGALGGFKDRKKEKETRAEVVENRKKFVGTGREQREEE ERKRREMAILKPCVYKLR QC762_304320 MPTAFTSGPLPVTMPPTSLTRTSRRQPTHPSTTPKPPPSSGTLS TSQAKACLSTSATPLTPPPCSKDSPSPRSGNTSGPVSFTTTFFAHLCLPTGHPLLISP SPDTFPIMAFNHPALMQAMLALGSLQMAKLQGHPPTAAMKHYHLSLRRIAKNYQSPTR RIQPATLAATLLLGFYEVWNSDHDKWCKHMWGARAILKELPLRQMTRDILTLKRRQRE QARRNHQCDELCFDSRHHEQKDDWPEPDTEFIESLTGLKVDYGGGEGYVVVGGEERVR RLTERDVEKYEQIRDLYWWYCKMDIYQSFLGGTRPFMNYEAWTQCIPRGPFGKIDSIY GTFDHLMLLLGRLASFASKDLTRKRKARKYGPPPGAPGGPGGPPGSGPPGGPPGGFEG GPPERFPGGGPPGAGPPGGFPGGPPGTFPGGPPGPGQGRGASPPPFTGLMPSSGTFDI PKGFSPPRESTPPPDSSSSSEEPEDFSTSTAKALQEWESIRAAFELFRSKLGPDFEPM GPDFAPPDMTPFGPALIYRTYSIAGIWMNYYMGLIILHRSHPSMPPIAIYAAGMAAQQ TGRWANEIARIAAGLHEDTTHVSAVSTLVGAAFIESCFCLFVAGVQFQSLPQRHFTIR RLRDIARLTGWQSARQIADGCESGWNKAASLGRGPPYHSPPELGPLFPDNIWNRPRRI DRRIREIEASEEAPDGKLVLAKTEQAHYALGLLSVERDLDELVIVEEEDEKRRRGGN QC762_304340 MFMARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGISTST GVILGVEKRVTSSLLETSSVEKIVEIDRHIGCAMSGLQADARSMVEHARVECQSHAFN YNENLRVESCTQAICDLALRFGESADGEESIMSRPFGVALLIAGYDEDGPSLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHKSLTIEDAETLVLKTLKQVMEEKLDSKNVQL ASVTKERGFRIYTDEEMAAVVERLPAN QC762_304350 MSSGTTRPPTFQDKRSRPDQVSFSQSHSQHQHSNAESRKRSTSV NPRSTSRHVEERRTEKVQVTTRETLMTRTRSPDRREGAKQRAAEPRSRDMRQDAPPQE KKKRKTRPPWEPEATLLPHTTAPLASRISIPPLASTAPQTLQPKPFHDLSLEAQEAVI IEDLLFVFMGYEGQYIRFGKGYNPNEERDRLSGPTWRILPGLDPSLQDLTQSMLRMAA HYTALETFVDVQSREEFGSVNHALCASIRKLLQEYLVMVAQLETQFLTDDSFTLHVLN IHVLPTSHMMHQVYGLAHELLKRNALLDDESDESSDGGDDYDHILEQLREGGELVPGN MTGKKITKGGVVLGLITKRLESMSGDPAARALLTTLLRDASKPYMAMLNEWLHHGGIN DPHSEFLIKEQRSIRRERLEQDYTDEYWERRYTIRDHDVPPQLEGVKDKVLLAGKYLN VVRECGGVDVSLQVKHVPTSFDDNRFLDNVNNAYAHANESLMQLLLTTHQLPARLRSL KHYFFLDPSDYFSYFLELGASELRKPVKSVNTGKLQSLLDLVLRQPGSIVALDPFKED VKVEMNEINLTKSLHRVVNITGIEQGEALQPLTSNQPVESDKAANGFTSLQIDYSVPF PVSLVVSRKTVWRYQALFRYLLSLRYLESQLSTTWQTHTSGFVWCHRSSSRMLEIWKR RVWTLRARMLVFVQQLLYFCTAEVIEPNWQALMARVREREKPPQQEQQQQAGAGEQNG TTLPTPTTSPNLHHYPLKSTHIRPGLTRTVDELMQDHVDFLDTCLKECMLTNSKLLRI HSKLTQTCTIFATYTNWLSRELEKTDPDLSGHHRPPNMSEEQWRVFQSVRSQKPTDAS FMEGQQQQQQQQGVDKMASLFDLIRKWEDNFSKHLQILLDALNHYAATETVVLLSLCA RLSTANAGSGYTGRGEYGQGQVDGVGVQNGGGGMEGGDVRMGGV QC762_304360 MDMMLGPEPGTNTADFDEKPIKMEDPIDEKPPDPKDEAAEQKKK DILKACKTRDFAALRTLAASPGGFLTDTIRQQAWPLLLGIPPPSDTKPEEEEEEEEEE EEEEEKEVWKSLPPHKDESQVQLDVNRAFVYYPNDQNDTQLSHSKTLLSTLIIRTLRH HPYLSYFQGYHDICQVLLLTLPPALQPAALAHLSLLRIRDFMLPNLHPAIAQLRLLPD ILRSSDPELWQHLSSTEPFFALSGTLTMYAHDITSLGEITRLFDVLLARDPVFSLYLF AAIVRSRRGELLHIPEDEPEMLHSVLSKLPQPLDLEGLISQAVELEREYPPEGLKGWG RGISRWSVLKTGRGRGFIGKGWRRGGRRLRSRLGSSSGGRG QC762_304370 MAFQTNVLRDGQWVTETINVQSIVKSQQVDAAPQQQALKPPRCG LLTRTIVESPFINSILPVRIRSPLHNDVAFIGDHFVQIRELRRDGRLKDIVRKVNFGS RIRNACVVGSFDIKTEEEQDALSSQPPIKLEDSQFGLPPRLPPQMLMVALESGDSVFM FVRSDSNGKPEFVTTRFVSPKQRLAHPGFHVVVDPSSRYMALAYAEDFFVVYELESRE RLEEKYANGEPLQSPVRSFRLRSVNGVIHKITFLYPRPGDQNHVILLLIVVRHRKSRM VIYEWKLGDDLKMVFAEDKQGHRMPVENQMPLLLIPLTVQSAFIVISPHQIDVCTECL HGPPSFETIEMSSPPPTPTHHGARLPLWTAWTRPFRLASYFETRDCIFLAREDGVVVY FEADKDSTVERVTPMDTFNCNISTAFACVYDRYADVLILGSDSGPGGVHKICPRVPIE FLEALPNWSPAVDFASTAQVTEWHRDGDKAQKAALPKGPLPRPDRLFATCEGGRKGSI TEYRYGLKANIAFDLAFEPGIKQAWLIPTGDGYQIIISMPDATSVLQLPSDFSSADLV AAGQTPYNLSGSTLAFSHSGPFTVQVTTQGAVLTLPRDHRSYRFDEFSGLEGCSVSDA CILDGCIAVSAHDKGSNTFQVHVFKMEWSHLGLGHVRTVEVGGEVNSLALTEDFTLLV GTLNESGPALVRCSLQQATNNLEVISVPEILAEHTNIEDPSSVEGIGSIVSLGSTIFL GTRSGEVISLTTSADSCAVNCEKFGIASAKLNCAHITGQSNPVLLLTCDNNLLYLGLN PASRYHDRENRFNKKIRIFPVDPSHLDALAPPVQFASAVDVPSEDGITQLLLISNETI LLTELHPTPGCVPRSIPLDGTPNKLIWSSKLGCLITAVNYSAKPSLAFINPNTGEDIG VPTDRNDNPTDFIHGLGKQGDVILAVTEWRYKTYFYLLVGTRKGRLLVVSVKRDKETG RIRYWMRWKKEFEQPVYSVLGHGEGVVMCVGQSVRWEVLDEGEKRLRHERSFELESAG VGLRVENGRLVVLTGRDSVVVLEDGGGGGGKGMCNADPMRRNGVSMIEVAGTEGVDDK EGGITLVSDRECGVGGLWVPWRQVDRECEVVFEAELTASVRKFQRGRTRPVWEQGVGR RFGRLLGSFDDAETLGTSLNGALHHFTTLDLKTWRFLRFVQNLALLDGEVTPFMSRYS QQGGVWNNNPEPRVDNGLEMQVDGDLLMRILEGEGEGELERVVRNYERRFAELLGEVE GVGEVNDDVGKAFGKAYEVLEYFLRPVL QC762_304380 MPPKKKGNKKANDDWEAEAFGETPAAAAAGDAPAGADAPAAEDE APAGGLMARMKKMKEKRKQKGMDEDWLNEVDTAPEGAASPAAATDEHPAAAPAAPVEA SMEDEFALPAKKGGKQQKGVTQVSKAKQAAMAAEKKEEEELAEGGRVLTKAEKEKLKK EREKQRKKEQAAKKKATAPAKAEPAKAEEKKPDSPAPAAAAVAPPPAEPAGGKKKKIP AALAALQKQQEALRRAQEENERIAAEEKARIEEEERREAEEAKRREEEKARKKQKEKE RIEQLKKEGKYMTKAQKEEKARNERKLQQMLASGIKVGALAGEEGEEKKEKKPKAEKR RGGAMQKKLDEEKALAEAAERARLQAEAAAKEQAEKERIAREKAEKEAAEKAAAAKAA AEDSVDEDWEAAAASDKEEVQDSWDADSDEEGEKKTLPSRPKKDEDESESEEEDSDEE SSEDEETTAAKLAEEKRKREAAERREKAHQAALAARSADNLRSPICCILGHVDTGKTK LLDKIRQTNVQEGEAGGITQQIGATYFPADAIRQKTAVVNRDGKFELKVPGLLIIDTP GHESFSNLRSRGSSLCNIAILVVDIMHGLEPQTIESMNLLKARKTPFVVALNKIDRLY GWKKIDNNGFQDSLALQSKAVQNEFKNRLDQTKLAFAEQGFNSEVFYENKNMSKYVSL IPTSAHTGEGIPDLLKLIAQLTQERMVGSLMYLSEVEATVLEVKAIEGFGMTIDVILS NGILREGDRIMLCGVEGVIKTNIRALLTPAPLKELRVKSQYVHNKEVKAALGVKISAP GLEGAIAGSRLVVVGPDDDEDDLEEEVEADLASLFSRVEKTGRGVSVQASTLGSLEAL LDFLKDCKIPVANVGIGPVYKRDVMQCGVMLEKSPDLAVMLCFDVKVDKEAQQYADEQ GIKIFTADIIYHLFDNFTKHQAEQLEKKKEESKMLAVFPCVLSPVAVFNKTNPIVVGV DVVEGALRINTPIAVIKQNPNTGLKEVINIGRVTSIEREHKQIPICKKGQPSVAVKIE MGSHQPTYGRQLEEKDMLYSAISRASINCLKEFYRADMTNDDWQLIIKLKPMFDIA QC762_304390 MAGLHDVNTDTSSFFSDARLKIPPLKKKYADKDDEDDLSNLFAI PDFWQPSNWLAKSIEEINKQNPLFSWGAEGTQDGLISSQLQGHPTPELGQENDDAFFK LPPILRDLAAQHAKAPGADTAQEEDQPELDHELSSEEEDFWLLPDDVASAKPQYKTWE AFEKTKEGPTSFITEAGPAAFDSIIAASNNDTENKPPDILDNSIYSACLLTLSLGRSS LLFTWDSTQNSFTKTAPLLRTSGITLDLIKAIDNLCLDCGNCTRHLQYYSETAYSSPS SSPTRIAFAGVIARLITTVGTELSTRSRNVRSILQLQSLVQPVQTVLSYFKNLVQKVA TAKTDEAMLSALFQAVQAAEYKDDLLRAATNEVLRIVSRPWTDFVEEWIGTKVEEGIP VSKTGGLGKGFVKVADKMWIDDQGCDLDEPEYFLDESKMPGFVPGDMAESIFETGRNL RFLREHHPEHPLARVDVVELTKPPRLEWEFEWEAIARLEGRVEEYRGAVEKVLLAAGV GGEQTGDSKTEESGAVEFAVFWKSEAEVATNILESMKQMDQPLREPPPADDLAQLLKS HLYSPLSHKTALSPHTSLLPLLSFSPLLTYQSALLSQLTTTLLFTSHSLRSHLSLLNQ SFLLSNGLLVARLSSALFDPDSSTTERQKGVALGGSSSMGLRLGSNRRTWPPASSELR LALMGVLSDSLGGGGEKGGRLPGDLSFSIRHDLTPEEIDKCMNVDGLGALDFLRLAYT TPPPLRAVITPQVLAKYDRISRLLIRVLRVLHAASSFSTSGLPPDSEDVTLRFAWEAR GFAFAVANYFFDIGIAKVWRRFETWLDTIEATLPDPADSARAGISPEILRQKQEATLD SIMSCLLLRKRQQPVMALLEEVLSLILSFARLVRIKAADAHLELHGQQHHDEERDLYK RFHRKVEVFVTVLRGLSEKTPTAEGRRGGKDQENTIEMLLTRLDLEGWYAKKR QC762_304400 MPPKKKGGRASTQAAATPDDAMDIDTPAASETPAPPKEVTDPWT DDQVASLFKGVIRWKPAGMHKHFRILAISEHLRNHGIDPDVWPHTRIPGIWAKLREFY NLEAIDERENSMDPPEEKGQPRRYLDFRLPFKDYVDLMEERARADPSEAPSSPPQWDP NDPTAGGDGKKRKRAAATSAASTDGNTKTRSSTVEATDNETPAASPSRKTARTGRNAK RAASRGRKAKEESPPPSEEESEEEEGSEEDGEEEDDEEETGTPASTKGGRSTARGRTA TRARGRGRGRGRGR QC762_304410 MSRPGSTVPARPLTLTEELEKLEQSITLTLQEIDHNFSRAHRIV TGSILPLVEQYGEHSRNVWEATKFWKQFFEASANVSLSGYEELANNEPEESTEIEQTV DESTAQYSTPRNQQQHHNEGVSHLEANQSSVVYPSGSRQQQQQQQQQQQQHQQHQQQH QQDESMFDNSDEDVSGSTPRPTIGKTIPSRSQMATLSSPYENLRRDYSQKPNTPGYEP TPKQEEEDNTSLLIQEFTTRLPDMSMHPRHSPPEQSHTATQFLDSDDDLAFLKPSNKK NTDPVLHHLKDKNFRVMATPHKGHTGVTPLKWKITSNQPLFTTPGKGKSGADPTTTSR PIWADSPGDSPEIALPQLRSAAFMSPTKAAYRSAALKQSVNAPRTPGVSVQTPAAKGG KTRDVYNEDKYANATGDELGWSEDDDEDERELSEMGMSPPKTIQFALPPGRLMQTPAR EASRRIVEGLLMRAGEGDMTLDFTGVVPGFGGEQQEQQGGMGAEGDGV QC762_0052400 MPYLVLGKLVLSVVYIRSGLDAPFLSSGCHQAENHTDTHTHTHT YTCTTIIAPLKTAITIYTVTTTINITITTTSTTAILTTNTIPNFTSTITAMSSNTFNS PLYPRNYATQIMADQKAAHAQAKQQQSQSQQSSSSDDTASIASTTTDISYLKSQAKSS KSSSSGGKPASKSQVMKNQINMGF QC762_304420 MATNATSFTSPLATIKYGAVPVPPQLEYVFEIVANASVWQILVT LLALAVVYDQIRYILNKGTIVGPAMKVPFIGPFLESVNPKFEEYHAKWLSGPLSCVSV FHKFVVIASTRDMARKVFNSPAFVKPCVVDVAHKLLGADNWVFLDGKAHVDFRKGLNG LFTRRALEIYLPGQEEVYNRYFKSFIDISKAANFKPVPWMTQFREIITAVSCRTFVGH YLGDEAVKKIADDYYLITEALELVNFPIIIPFTKTWYGKKAADMVLAEFARCAAKSKI RMAAGGEPNCIMDAWVVEMIASKKWRDAEEAGNTEGLTKPTHVLRDFTDYEIAQTVFT FLFASQDATSSAATWLFQIMAQRPDVLDRVRAENLATRNGNPNAPVNLEQLESMTYTR AVVRELLRYRPPVLMVPYVAKKPFPITDTYTAPKGSMVIPSTYLALRDPEVYQNPDVF DPERYYTGDAEVKGAKNYLVFGTGPHYCIGQHYAQMNLCLFLGKASLQLEWEHHATPL SEEIQVFATIFPKDHCPLVFKERRW QC762_304430 MASRRLLTLLPRAAALPSPATRPIIATTRLINSRQYHDSQTQTL QGPLYKHLTTTSKPSPTLQKRAYSSDPPADDNHPSKIYDFSSLQKLISNPSPKVTIID SREPGELQQTGHIPSAINIPVTTSPDSFFISPEEFEDRFGFPRPAKDQELIFYCKAGV RSRAAAQLAKQAGWEKVGEYPGSWLDWFEKGGAVERS QC762_304435 MSRSGYDAVVDVDDEGDLGHTDLREDLEFHQSNFSSTTNLNKPS GLPLPATASSSAGGQSGGKKLIWTLGFYSQFFDVDTTSVLQRCWAALFPRANFLDVLE GNPDLYGPFWIATTVVLILFLGGTISDYLASTGRGNFAYDFRLLSGAAGLVYGYTTFI PVVLYLALRYFGSESANLLECWALYGYSNLIWIPVALVSWSPIKILNWVFVGVGFGVS VAFLLRNLYPVLSATDRQTSKVLLVAVVALHFGLAIAIKVLFFAHGSPLPGGKEETGP GTGGGDGDGGDKQAMFF QC762_0052440 MVPPRKHHRFPSGQTCSECPAKKWYLDNGMRFCQNGHRVEGYVQ FDVDQEGDGFGSMGKTLTVKKEKREKPKQQLSGNEGRKLYLECLQLILRKQLRWLIKE KRLNGELEGVVRDLWLLRVGGFKGIEKKREEAGEGEAGERRKKRRRGVSADEGEEEEG GLMMFSSQTEGEGEKGNRRRDWGSELWDLPGVMDTLGLVYLGCVMRGEPVRVGDVWRW ARNGWMPYLSAIDYIPKEWKDRLPIWAHQSLLTRYVKFKGGELHKRVMGLLIGYKENH GLIFPAVAAPPLLFLSIKDLALPADVHPYAQNICELLQLRYSFPTRETNHERHTLLDI PDVLLTAALVVATKYLYPLDSIDRHPRDHNDVLSMKMNWKVWEQEFANHEDKKPPMLE FEGMNPQKIWTMDKKDITELLNWFQDTQIEKNPTNETEIDRLFPLERIPPVPRVQGPT EDETEARLQRVGKAMQLIKPQLDAMTRTGGGGVIKTKRVGSDYREYRFVEELEGHAKR FYEVVADISGMGLEELIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKALASKFNCDKMICRKCYAR LPPRATNCRKRKCGHTNQLRPKKKLK QC762_304460 MAEHQDADFEMEAGGDAGASTTYPMQCSALRKNGFVVIKNRPCK IVDMSTSKTGKHGHAKVHLVALDIFTGKKLEDLSPSTHNMDVPVVRRQEYTLIDISDD GFLSLMTADGDLKDDVKLPDGEVGEKINKLFKEEEKETLVTVQTAMGEEAAIDAKEGT K QC762_304470 MWLDRFAAQQQPGRNNSPPPSNRSSPLPRRTSRSGGISPYTTSQ QQRPGISPRGSSLSLVSNDSSSSLLASSRRANGSALRQSTTVDDAPDPEEVLARILGP LSVDVSPAQHSSSTGITEEDLELEFDFGGLSLRELAESDDADQLETVDTYRPQTVEDF ERDKAKFEELHRSIRACDDILNSVETNLTSFRNDLAAVSADIESLQARSTALNVRLEN RKAVEKALGPIVEELSVSPMVVSKIAEGHIDEAWIKTLAEVDKRAMAHKKNSQQQTQN KALADTGPLLEKLVLKAIERIRDFLVAQIKALRSPNINAQIIQQQNFIKFKDLFGFLN RHQPVLAGEICQAYLNTMRWYYLNQFTRYEKALLRLKLHVLDKNDVLGHDDSPTTRRT TLLSSSKLAPGAPPHDAFNLGRRIDVLKNNNNLAISSYLAEEDTSTHYLETVFRNFNL ALIDNATAEYTFLAGFFSPALSYGTISRHFTQIFEPTFSLGQQLTRQLVSETYDGLGV LLCLRLNQKYAFELQRRRIPSVDSYINGTGMELWPRLQSIMDAHRESVKTFTNGLGTK QPTAAVAKAASAAPHVVTQRFGQLLHGILALSTEAGDDEPVVASLRRLGGEVERFLEG WSRTRFGEVEGRKGRRFLYNNWSLVLTILGDVALEGEGKMGREFVGWVEGLKGKYQED G QC762_304480 MAAGITESWPINEIVYTAIVGLIMVAAFLEWFLWIAAFMYCLWK VFVKAEHWTVRFLAVLIGTAFLLLRFIFLPIMVVTLPLPSAVARIWPTAMVSFLQWFA FYSFAGLLTLPWLFCVYQIVTHQLGRKKRIKQVLDEVSAPKVVIVMPCYKEEPDVLVT AVNSVVDCDYPPSCIHVFLSFDGDQEDELYLNTIEKLGVPLTLESYPNSIDVAYRAAR ITVSRFPHGGKRHCQKMTFKLIDKVYHEYLKRNDNLFILFIDSDCILDRVCLQNFVYD MELSPGNSRDMLAMTGVITSTTRKHSLITLLQDMEYIHGQLFERTVESGCGAVSCLPG ALTMLRFSAFRRMAKYYFADKAEQCEDLFDFAKSHLGEDRWLTHLFMIGAKKRYQIQM CTSAFCKTEAVQTMRSLIKQRRRWFLGFITNEVCMLTDWRLWKKYPILCLVRFMQNTI RTTALLFFIMVLALMTTSKRINDLPVGFIAISLGLNWLMMVYFGAKLRRFKIWLYPLM FVLNPFFNWYYMVYGIFTAGQRTWGGPRADAAAADSTTTAQEAIEQAEKAGDDLNIVP ESFIPAAQERKGVEKVNASAIRRSKSVLQPPNQVIGKFAAPERTPSGWYQHPDESMAS VGVFAGSSSRLERKADVPERDSIDSSSSAHTGSYSVYMPRRVESIMGEEDRRKYDLAH ASQVNQFASASRIFQGPPTGQVYEYPESELQRAGFRDPGVPNSNRPGTHRRLGSNGSA GSQSVGSSLQDPHGPESSVESFQTSRQSPVPRPVSAAGRSGRTPLGRASWMHTSSTEQ VETQIDESQSRLGAALQPRQGPPRGDHRR QC762_304490 MSVVGVDFGTLNTVVAVARNRGVDVITNEVSNRATPSLVGFGPK SRYLGEPAKTQEISNLKNTVGSLKRLLGRTLNDPDVQTEQAFISAPLVEIDGQVGAEV SYLGEKTKFSATQLTAMFLGKIKQTAAAELKLPVSDLVLSVPAWFTDIQRRALIDAAE IAGLRPLRLINDTTAAALGYGITKLDLPGPDEKPRRVAFVDVGYSSYTCSIVEFKKGE LSVKGTAFDRHFGGRNFDKAIVDHLAKEFHGKYKIDINSNPKALCRVYAAAEKLKKVL SANQQAPLNIESLMNDVDVRAMITRQEFEAMVEPLLNKVHVVLEQALADSRLTKEDID IVEVVGGGSRVPSIKERVQNFFNKNLSFTLNQDEAIARGCAFSCAILSPVFKVRDFAV QDIISYPIEFAWEKDADIPDEDTSLTVFNKGNVLPSTKILTFYRKQAFDLEARYAQPE GLPGKVPPFIGRFSVKGVKATGGPEDFMICKLKARVNIHGVLNVESGYYVEDQEVEEE IKEEGDKKEGDAMDTDEKPKTRKVKKQVRKGDLPIVSATLSLDQGAKAQLFEKESAMA MEDKLVADTEEKKNELETYIYDLRNKLDDQYSEFASDEEKEKIKAKLEATEDWLYDEG DDTTKAVYVAKIDEIRALAGPIVQRHFEKVEADRQALQARLDAERAAKKAEEEARKAA ESKSDSKDEEMTDADAPKAEVEEAGDP QC762_0052490 MSSTSWNPADLLNPKAAAGSSATPQPGEGASSSTPGPSQPSEQL TFEFTGPDQTPMELSAPTGLASNRTMPIFKNGLGAQQSIERLHNVQERIMVPPPKRRK TANENDPSLPANSIFFSPSSGILAQHIKDRSDGPSQSSVAPVPDATIDLTDDTDIEII PRSPQQEEVCFGMVEGAFIKGHMIPAPKPNMISMGGPAFWPQVKILLKRTPGDPTTKI YAHDWQKRCIGLVDPKTASVLAPLMDMAGTFGTRTDCRIPVRRKNPGEEVGQQVSTQF KLELMIYGLRSFADRVGKLLQRKNINLLSPPRVESGVKVFNPLAKENRPQLPSLARVN NVVQYQAPPMIKSVEEIRSEVLGVFDSLPKSDELPELDPPPSVLTPLLKHQKQALFFM SSRESEQLPDADSKAPVTSTWKRRTNQFGTTVYYNVVTNQEVMEPPPSTLGGILADMM GLGKTLSILSLLAKTLDEAQAWSQREPLQPVVQNQRPQKSHEAPRAQVLPLSQIRRNA KATLLVCPLSTITNWEEQIKQHIEPGKLNYYIYHGANRIKDSAQLARYDLVITTYGSV TSELNARLKKKPGLYPLEEIAWFRIVLDEAHTIREQNTLSFKSICRLQANRRWAVTGT PVQNKLEDLASLLAFLRLKPFDDRSKFIQYIIAPFKAADPDIVPKLRVLIDTITIRRL KDKIELPERTDEVIRLEFSSEERKVYDLFKKMAEERVQVLTGQGTGQTRIMGGKTMIH VLRSILQLRLICAHGKDLLNDDDFQEIQGLSADAPIDLDSEDDDGKPALTEKKAYEMY YLMQEGSSDFCIKCNNKLGAIEVDDPESDQNNDVLGYMAQCFHVYCPTCIRFVHQHGN GDMHQGCPTCAFAQKAHCVELRRSKADVEHETRQTKTRAGKIIPDDRYTGPHTKTRAL VEELLANKARSEANPDEPPYKSVVFSGWTSHLDLIEIALDDAGITHSRLDGKMTRNAR NQAMEAFRDDPNVQVILVSIMAGGMGLNLTAGNSVFVMEPQFNPAAEAQAVDRVHRLG QKRPVRTVRYIMSGSFEEKMIALQEKKKQLASLSMDRAEAEGVRSQGDAARQRLQDLR SLFK QC762_0052500 MPTTTTMTTQLSTLPLFGDKTVATTTTTSRSQKSTMTTTTTTTT TTTTTIPLDISKPYPPTPCPSPDLNGIGLTYQVNLGHVDVTDLDEERGRKRMRYCEEP TPNDDQASKRTNTPDSLRGRCRYRSSSVLNRAWPREERRGGTGRKRHERSQSPSRSGS PMGEEGRRRMRTRSRGREHTR QC762_304510 MATRLPSSLLRSAVCRPSPSLRQSPTWLSSASLRASYSTEAPPS PLYAKIREDLKGAMRAKDTNRLTVLRAVMAATLNASKTDKPIKTDVQLVDLLRKMARK SQDAVAEFKAAGREDLIEKEEIQQNIIEEYTAQSSVKEVGKEEIEQLITKVAQELIAA GTTDAKALRGAVTARVMGKELKDAAVAVEKKEITQMIGEVSQKLAESS QC762_304520 MDESDLQTVPVDNTYREVITLNGRDFQRYAVENSIYFAPVDDDE IERLHYQHELFNMVFENRLIFPPVPRPRRILECGSGSGAWAIEVAEQYPECETTASAP AGESMARLQTDFGQQVIGLDIYPYPVPEDIPDNVDFQVDDLNSP QC762_304527 MGNQASTLARPLDAADSIRAHLSAGTSRPQGTAGTRAQDTVGAP PHDGTRHPSPQETASSYRPGPQAIALPAALQPPSGPPQSQQHSRSCSPPRSDAIAVPS SSDLISASPTNQSDHETNPSLRSFWRSLPPNHLTRRIFPKPEPERPPDTDEFDPSHPP SPKPFNPDCLGHQVGIYSAQTRALGDALDLIYEDLDAHGETVDTLAKNLGEYQRALAT LLATPVTAESSPRARARASKAQRCGRKCFETIIAGIQERLSRLPPLGEIIREELNSTL RESLEQDSDAAGRYRRAHLQRRKEEADREGEKLAKERLFTPMMAMDLSSRSRWKSTKL PDQNEELQDKMMAQQTEFLRWKKDNPGVDLPEDYLMSPPPRWWGAFLKRGAWNKGGEL LREELRFEMFGREIKKMQERVELDELLTRETRGVAKRRGEVWRLRGEVRRAMEDAGYG RDKFDWGTILGLEKGEEEVVDDGERKSWRSLLGSWERLCLIREGRGE QC762_304530 MPRQYFCWKVCLTFGCGCKEDTATHHVCDSFRTNCSSWVTYKTT NKSCSYHRRANGLDLKQQDDKEEDWSVVSEGPETPGSEEETQCQVGYQGTWEGQYLKR RAA QC762_304540 MPRTRPATTGYERLAQADQFGDDSDDEDPLAHSYASLQPAQAPR YAPITQPRPHSGMSTPKRRRSSSSANLRGRGRRARSNSGVDLKAINARLERWADEIAS KFKRGKNKKTGEEERLEIHHSVFQAPEGVRPVTAEQLAVPEPGYMTRAEFEVIVDSVR EAIRKGVQPLMISQGSSGSYFARNPDGKVVGVFKPKDEEPYAAGNPKWNKWIHRNLFP CCFGRACLIPNLSYVSEAAAYVLDAQLRTHMVPYTDVVYLSSKSFHYPFWDRYNFSRK KKTLPAKPGSFQVFLKGFKDANVFLREHPWPDQYLSGFRTNDPHRKKKKRWVDNCRPR GVMQGDGDSDEEGQGSPASATPSPGNFVWTPSLKQSFREELEKLVILDYIMRNTDRGL DNWMIKVDWEAQKASIVSDPVQLNTNVEEPEEPEEGPRPVDLSTREPPKTRASCPYRA ERPMNASAPVSSTPDPKISIGAIDNSLSWPWKHPDAWRSFPFGWLFLPVDLIGRPFSQ KTRDHFLPLLTSTTWWSQTQLALRRVFQMDPDFQEKMFSRQIAVMKGQAWNVVETLKT PDHGPLELTRRAKVCVWDDLVDVPVAVPMRVASAEMRRRAVEESEQAASAAAGLTRSN SDVIAEAEEEEMDIGAFTADSDAASAPAATGVAEVVDLLGMASPVGDLPNPGRFELAM GEEPLTPGLTPGRFETPVLGGSSGSSNGPVQVTRPALKHASYSQPQRSLNMYSPDRGS SSMAVHHQRRFSFATAAGRRESNSIAAQLYGTGRLSWEGGRQGFVGEWEEEEEEDALE GGDLGFAAAQGMEGNQRKVIVERLEAVKTRNPVFTCW QC762_304550 MKRLIKTALRLKESPKDPTTSFQLWPSRPFTTTTTRLSQESPPT PIQLRAYQEECIQAVLTSITQGHKRLGISLATGAGKTVIFTHLIDRVTPPNPQATRTL ILAHRRELVEQAARHCQSAYPNKTVEVELGNLQATGFADITVASMQSILSKDRLLKFD PSNYKLVLVDEAHHIVAPGYLKILEHMNLRQKQPDSPTLVGVSATFSRSDGLKLGAAI DEIVYHKDYIDMITDKWLSEVVFTTVESRADLSGVRAKGAGGTGEFETASLSRAVNSP ELNDIVVRAWFAKAAPPKRQSTLVFCVDLSHVAALTERFRHHGIDARYVFGDTPAKER AETLEKFKKKEFPVLLNCGVFTEGTDIPNIDCVVLARPTRSRNLLIQMIGRGMRLHEG KENCHVIDMVASLDVGIITTPTLFGLDPDELVAEKTGGELLALAREGGEKKETAEGRE KRMSKAAKKACPTGESYKVAFTEYDSVFDLISDAAGEKHIRAISQNAWVQVNPNKYVL STDRDVMRLEKEVAEPPRGKDGEEEGERKTMWKGYVMRALSNGKSPWAAPREILRTMD FRDAVHGCDRFVAEFYAPFYISQRMPWRKAPASEGQLRFLNKLRSKLVPLEPGDITRG KAADMITKIKHGARGRFAELEAERKRRERISMYAEMEMQRREMEKVSVGPVAS QC762_304560 MRFEFRPSFPHQYINKLMFSGDLIDFFCIKLIEELKSLLITQNE RSPQVNMDIDDRSDGQSPNTGPRSRRISMPIRSSGVDPALIDPEILGSDCEREEPELN EFDDAEEREYAPSDASSEYTARPGSDDDNDIDSPPEYDLPAEQLSQFNPKKRSLSTTS PRPLKRRKPPPPFRPAYLDLLNEDIVSASTKFLPPPPSFPPLSDSQHGLAYWTETEKI LLFESLSRLGPSNPLAISQRLKTKSELEVSAYITLLKSSAGGSDPIPVSDIPSAVELS PALCLALEDASDAVATKQLTHERTLESNKWGPAHWLITPQNIEEIERDPDPKMLFHPL FRLRTWLKLSERVFMNSRVEDYNYHTFLPEKGQKPGIRATALEDFYSLVVSLTRRLVA TSIFMAEERIDQKRKSAYPEISRRVWKGDVKAALLAVNMPSPARREREKFWGEAARRL RLNVVDDSAQDGKVRMGWDQVEEVLGVRTTGGGGPGTAASAPEGEDDDEGSGYEEAEQ YENESEYSFVIDGLAEKLPVLEEVDEAVRFSALEYPDNKQARKTLRDRIRNEKEREGY ADKLDMSASRVEEKRLWELLGQKPPEGMAPLEEPVRPAASKGKIKTVAELIGGFDRGL KTEGEVPSNWEMEYVLLKQAEREKEKAGRERLRELNKQGDDDE QC762_304570 MATDEPSFDSSFNSYDTALAILPYESQWPTLNRIRSLYDAASHK WPPHVNLIYPFCPPSSLPAASHIISSILSTRKTTPDPFTIALTQPGHFPRRNESVCFL TSPYQDQREQLRDLVHDLVSGFKKRGVEGKNDRPFKMHLTMGQAVGEVGGKEWENLMG KLGLMSGCYFRVEELVILRRENGKMRLWGTVGVHDGKVTVLEETKGFDQGFLGETGEE KGYRDELMSGRTVYWDEEMRIWGVAQEGDYDRDDSDEGQGRKTLTVASYNVLAEFEYP QKKDRYPLLVNNILDDKADADALVLQEVTDGFLSFLLSDERVSDRYPYCSHGPPDQPG MGPLPNFLNMVVLSKVAFDWESVSFQRKHKGALVARFRHVGKWQGDEFKPVVLAVVHL SHGLTDGAVASRKGDIKRLIGYLEENYGGCPWVLAGDWNIATSKEAIQEALEKKNVSD LTVEQWKGLDTIFKDAGLVDAWEVTAEDKDGGATWDPPVNGVAVTLSGGNIWPQRYDR ILVRGEDFLDVKEFNMFGQEKGHVGDAVEESFASDHWGIRAVLNIGGFGDDEQEEMEQ EQEPENPLVVPAHLEKAPKALSGAGGIKACLSELNVTPGEEEAAQRREAFELLKSLLL DATTKTPAAARLQSSVVVVPVGSYALGVWTTSSDIDVCCIGPFSSNTFFSVASSCLRK AATQGVRILRRVKANTGTMLELKVQGVRMDLQYCPAASVAQQWPNVLRLPAKDPVWSL APQTLNKLKAIRDIDYLRRSLPDLVSFRLAHRFIKTWARSRGVYSARFGYLSGIQVAI LLVRVQKLLAKSKPSVEDLITSFFTHYSAFDFATNLVFDPSFHTSVPQYTRSYREPLA ILGYFPPGLNTASAATLPSVLTLQREFSLAASALSSSPSWSSFLSAPATTFLTQFKTY IKLDLSYWGLSPTKGASFLGWFESRCALLLVDIGRKCPSLHARIWPARFVEAADLTED GGSYRGCYLIGLDKASEGLGKSELLNALGQLRGVLPRFEGQIRGDEKYFNPQNRWMSA SLVNQTSLPELVLDAREWGEGNAGEEETEEEEDGEEELEEGGDDGEEDYEIEKKRRKK KGKKKEYVIVTREGKLRSAIDVMNRLRWDPGMESGDFVVGYEDRFLGAQEKELDDWKT EQTDEEFIPQHRILYFRRKSDDKVVWDRKRRIDEVFGGGE QC762_0052590 MTPERFNIPRAFNWILPAQVLLAAVAIYSSTYVFNLATTPTILT IIATSIHLLLALCLCLTHSIPTRNTHQIFTTPILLLLLLLLLGTGLWAASLCYHAKQV DLLLTHPLLSQTTTQPLTPTWSKIGLATTALNLFLDLALLLLLTLSIIIDQNPSPPPP PLPTTISYPQQQSHYTSHHHTYYFPAIPESRI QC762_304575 MCCCALPAPPEPATPKAESGGVEETELDKLTPEGKRALKGKDKE VDLEAEVDLKAETVPEAEVAPKAEVDPKAETVPEAEVAPKAEVIPEAEVVPKAEVVSK AEVVAKTEVVPKADVRRIRLRDPNTEIRGIRARDPKALRKRIRYDIGEGPQRAAAQRV PGGPQRGESSTKAAQRAQNDNPSEEDSFEEDEELREVPLPPEWVCRRKDSGKVFINLK TGKETYDDPRGTQEQLENVWRHPGRRDFPPDWDVFCDSAGRHYYADFSRSAPRRTRLD PRGDRIPRGAGGEILTPEHCHGWVKLRTRDHIPYYINKITGERSWEDPLEREGGRGTP PVPPEDLRNLLSLKRVGNAPRDVNTSTGGEETPEDPRAAWRAPARFVEALQMIPDHCP GWVKIWTAGNVPKYINTGTGEPDELPGWVAVQMPENVLHYINIRTGELAEEDPRDLLA RRACSDPLRPWVWPSSTRFRRCDGTLAGAGAGEGSSARGGEGSSSGASAKASAEITPM EVLSSNMMFRTRDGTLVPSGGARADVASGSGGGAGAGVPEARELVRELVWLRVPRWMR DLVLEGVRDLVLEGVRDLVLEGVRDLVLEGVRDPVLEGVRDLVLEGVRDPVLEQMLER VREQHQCSRRCCPAQ QC762_304580 MAPPKNERAFEANSSIVLVGCRGAGKRTLGFMGALHLRRRLVTE EHYFEKTTGLSRGEYLARHGREAFARQDIEVFKRMLDSNRTGCIIECGMSSLTGEAQD ALRQYSSTNPVVYIHREKEQIARHLDAADLQQLLKADGRHRSCSNFEYYNLYDPPNKS GGHISGTSSGASTPLNGRQSGPSKLLSAREDFTRFLDIVTGRGATKAWLESPFSVSSV PPEFRSYSYALRLRLSYLMDMDLEWEDFEARGDCVEFIIDHWPDDLMNVIARQIALIR RKLGIPIIYHVEENPRGERRRTPEEKDAMDADLLELGLRLGVDYISLDLQRHDEFVNR VLRHRGRSKIIGNFWYMGFGALAWQDDRQMENYKRAQGLGCEVVRMVRFCTNDSPAEL LEGFKKRLQQEVPDPKPPLVAYDFSVLGVRTPLQSRILNPVKHPDMENERDHLATVST YYHSYELLFRQFLLDPLQFYVLGSHVSYSLSPAMHSAAYDFCGMPHTFQDVTCSTIDR LNQICLSDSFGGASLTAPFKVAIMPHLKVKSHHATAIGAVNVLLPLRGKTSAILDHAN SRNKAGPAREFFGDNTDWSSILTCLRRAISPRNYVQPSKTTGLVVGAGGMARAAIYAL IQLGCRNIFIYNRTTPNANQVAQHFNDWAATQGIVGTKGGSHEICRVLPSLSEPWPRA YQPPTMVISCVPATSANGSAPADFEMPLDWLRSPTGGVVVELAYEPLVTPLVAQMHAV RDHMSPSWVVVDGLEVVAEMAIEAFELMTGRMAPKRLMKEVCRKTWEQQQQQQRQGSE MAMSSY QC762_304590 MEFSVEERAPLATNTNPVSGGATASGPPPPPASDTGADAGSEAP ANPRKRKKASRACDFCHVNHQPCDNGKPKCSVCTKHNKPCLYLRPTKRRGPQKGYRTA LNTYKESAAAWGAVLDAIPGLDALIEGHLRGAAGKSMITAIKDSNQQEALISKWQQSS VFKAFFGHNGPAPTPPTENNNNNNNNNNNNQTTLDTKNNILPSQEVDEEDAPEEPPAR PPPAKRATLQPPAPRSQSASSYVVPEQPRTPIQFPQLGSPFQPKDSASLSDIVAKDAA QAAMRTSQTLASLGFAPDETIADFYSMGSNPEPIADSNDPDFDPSLGSESEQRAYYEL LMGRMFPG QC762_304600 MAQPPPPSGQPGQGLEDRFGNMTIASQGPSTAGSQQQGVIAETQ VVVDASGQKRQKRLNQSQRRELAAQLTIPIDPRPLVLPPPVSQPQILPQPVSRHQNQH QHQHQRQNSQHYQNQQHFNQQQRGGHGHQSRGSGGRGGGHWQGQRANHRPQGNGRGVL QEEAPAYRPHSATFLPPNQRQGGGSGGGQPHGWPQARGPQGNGGLLQGEAPAYRPHSA TFLPPDPGQGGVPFTQPRHQVSRSYQGPKQMNPPAEQYPVDVITPALPSPVRPDLQSP RFRNQPPHPHRHQTVGSGSAFSITREVLAQAQHLENICNEVVANAEINPAEIQEKEAF RVRIEQVVRDVITNFENEKAGEPWFPRESVQLKCFGSLMSGFATKDADMDLGLFAPLL DPQPEASGSPIPRLIEKAFLDMGLGARLLTRTRVPIIKICEKPPEELRVALLKEREGW EKGAVEAEVDVEDAHDEVEPIRGAEEAQPPPAPGSESQQPARVVEESEAVSTPEQLLE SLKQDGRSLTNYYNAAKKVLRKLGGYDITHTNISSMTPEWIEPLNRVCLAFARGVANE KVREALLNSRNLNEHELLTLKLPRTLLGVLFQIEGEMLAQSWEDRPVQEKDDNLERRS FATLARWRDLMHRSNVGQDPLTYQKEVQNYVETLKRIPSLALLHLAQMPHEPVVAFHK RANQLLVELGGCDESSTGDTILPIVIRHYINGIWNSEVREQVDEFSKFPYASTLGAVA KRHKSLQLALDYERCLQKGQYQEPAASLVRCYVALLRGPMTKNDKTGELAVPLPDESD ELMATIKQLGDPAIEPPNQPRDLHRDRLEFPKSNIGVQCDINFSAHLAVENTTLLRCY SLCDPRVRPLILFVKHWAKVRQINSPYRGTLGSYGYAIMMLHYLINVARPFVVPNLQL LGPSGQPPQMCKGYPIHFWRDEAQIERLAKGNELTMNRESLGMLLRGFFEYYAHNNHR TGKGFDWGRDVICLRRQGGFMSKIEKGWTGAKTVVESPAGGVLSPGSVGGVLSPGGGG SGVGTPGGGGGGEVKEVRLRFLFAVEDPFETDHNVARTVTHQGIVKIRDEFRRAWGII RGKEEGELLEDVGEVERKRARKEFEGLLGELHGGLL QC762_304610 MRVVLVSGASSSGLLLKTAGLKVTAIKIDPYLNVDAGTLGPLEH GECFVLADGGESDLDLGNYERYLDIQLTRDNNITTGKVYQAVIEKERRGDYLGRTVQV VPHIVQQINDTIERVAKIPVDDSGATPDVCIIELGGTIGDLESGPFVEALVQLRHKLV RDPESSFFNIHVSFVPLIHGEEKTKPTQHAIRQLRSSGLVPDLVACRCDASLDDATIK KIAFSCQVDLDQVIGVHDMETIYQVPVLLEEQGLLTLLHKGLQLDKIPLDASRKEEGA KLWDLWKKTIDVPKDLQPVQLALVGKYTSHMDSYLSVVKALEHASMHLKRKLNLINVD SEHLEEAMLKKDPSKYKDAWAVLESVQGIIVPGGFGNRGIEGMIATAKFARQKKIPYL GICLGLQVAVIEAVRDLLSRPNATSEEFDNNAEHKAVIFMPEGSKEKMGGTMRLGTRP TLFQAGTEWSKLRGLYGGVEVTHERHRHRYEVNPDMVDELESKGFHFVARDDVGNRME AFELKDHPYFVGLQAHPEYRSKVTRPSPPFLGFVASSAGLLDKVLKEIAEEKVVVNGH HF QC762_304620 MSAPQQQPPGPPRPPGAAPTFIRRKPNTDPLRARVKPRAKPLHA LPPKGKEAKRLVDSSGELDKEIEREYASKVEQLRQQRARHGGWSDQPAGSVQEFPLVL TKKAFREGIRHHVMRLHKQMGGAEVDIDLLNQDQFPRPVTLHRRDPRLPPAYRMAMKQ DDVPMDPEQQAEMDRIQKMKADKEAQRALDQAQMAPVIKDNNPKPKTNNKKEKASAFY GKHSDAHKKQSGLRYEETLPWHLEDAEGKAGVWVGSYVAGLSDSNVAFVIDGARFRMI PLERWYKFDEKPRFDTLSLDDAEKLMYEVKEVKRWVMKDKEREERLREKLETRMFLNG PTRVKTESATSRAARGSERQDDYELDISGDEFQDDDETPGFEADDEDAKESKERVRKE QLASNLFGEGEEDKVEKEERERQLEKLKRKMIGKQTIKGLVKLEKAMDYDDMSESDSN NPFTDSSDSDDSDEEEKKEEEKKPEPVTSGSNTKGNTTPSKSAIANKKGKLKRAGSPE MSESSDVETARKKMKTGKGSSVPSRMGTPIPGRPKVMPGATSDGEATAGEGSDGGAML KKKFKMKAQRPGGTPSGSRAGSPAPAGQASKTGTATPSGSPPPSSKPVAKITAQECYE ALARHTNGIALVPFMSQFKHRIDKPGSTTRQEWIHMVKSFSDFHHETKLLKAKPGWRP S QC762_304630 MANLTIESRQFERDLRHSAKERKRVKDHNTKWQHGSRFLSGVVT EGFWDQVKPVKYSNDAHIAKMKPDKRYVDRYHFARKPTVGQNIVKGRSNWYTPDSVIK NNLAKNINAADLNDVNASTIPTLAPQDDVLYSFDRHDSPDRPVTLEVFVKARNTQKET EKLVEKEYEVVDGNGEAVKGRKAKKVLRSGTSERREENEPVVVEGGFELV QC762_304640 MSTDKATYLATHYLTADPPKSTTTSSSSTSKKRKRSSKTAAAPV AQINNLLIHDSDDESTWANPNPNPDSDSDGDTPMTIAGHTSEFRKSKKSAWSTVGTAV LPATVKKRDDSDNIADAVLAAAKNDAAALGHDSDDSSEEVDDDPRQVKMSNGMRPGLQ SAKSITEQLARKAEEEKRELERLTKAMEEAKKAKEGKEEEDEVVLRDATGRRIDVSLR RAQARREMLERERAEQEKEALLKGEVQAREAEMRRERLEGAKLMTLARGKDDEEINRE QREEGRWNDPMALFLEGDKGGKGRKKRGKGRPVYQGPAEPNRYGIRPGYRWDGVDRGN GWEKERFRILNRKEMVKGLEYAWQMDE QC762_304645 MPWGAEIDASESVSDSNDEGGGLDHSDEGEGHQDNNNTQHLAKR RRVGDNHLHPSMEGNGNVAPVAGMGGGGVVDPSLGGGGGGGGGGGGNDGRVPYFKPGL PDPDDKGENDCFFEDCQTRAGGNQYFIKHLMDKHGLIRGDQGRQEGGRRKAPKYLAVC PTNWPFNYGTSSSCGPCSDITTLLNVVGEHNHESPAICSFCWTYFGDRAGLAAHINQG PCRSNEGFSRKLTLIRHMFATALRIPDGPELAKQSEGQRRAHAEAERAARAEKYAAEQ LAEQEWRNQQQAQRRQRQQRGGSPPMVAAPAPAVQAVVVGNNRRRTTGAVANGALQGQ QQQVVQQPGFHGSPMGQQFAISAGGGIDGLPGLAQQAAGVSPGAAPDYVVPAATAEAM ARSIERLSGIIGDLTATNSQLLQELRSRDQHISNRDIQIRSREERINALSAENKKLSA EVARLTAIASGQLGIDGAGGVVMGGDSGLDQGEPMEDAEEV QC762_304650 MPVIPEMEEYVNWDMAALPTTGEGLDFTSSSANMATVPALGAAG ATEHLQDLDLALENAEGDDFSFWALEHFENNISPTLDGTLDANTCIGLKGLDRTGPFE EDLDLPDVPCTNCQLGGYQCKRIPEGQYKGYCTSCIALCSECSFAETTGAGRAVGFPS NPWPIMGDHPMGIPQEEAHAGAALSGSQPAAATENTTSEPARATSKTRTGVRFSREEL KILKNWLSTHSRHPYPTEEEKEMLQKQTGLSKTQITNWLANTRRRNKNAVAQRSTSPG VRTWTKPIDIAGRRGAASFELMNPLQRWQVSPPENEPASVTAIQRAISTSSTLQSGLS SPYSSVHFTDDGSGRSICDSAISSANTSHSSGSFASAYSYGSRGSLGSGGSSMHRGRR RRRRKAAAPAAANMTNSTPLRQPLKTFQCTFCTETFRTKHDWQRHEKSLHLSLERWVC SPDGPVTFNAESDQMQCVFCGHANPDEAHIDSHNHSACQERALAERTFYRKDHLRQHL KLVHDAAYRSQSMDGWKVTTPEIRSRCGFCGIVMDTWSFRTDHLAEHFKRGKSMADWK GDWGFEDKVLDMVENSIPPFLIHDERNSPDPFEASHPPFAAKNAYELIKAELRAYIDD RPQGQEAPSDGELLSVVRALLEKTRTISRPCVGSTGSWLQDLLLAAPSDRPTRPSYKS SLQQLKITGKGDIFELDPLELELEVYVKARRLLGLTAMDRELQSEAVNIIRRMDESSS DPSSDIVQFFTRLIYASTSWLTCFRARAHLPRSEDVVDVPQRSKDPKKIDAGIHNPSR LEFELAEYVREQRSLFGEGFVPSDDDLQKRARVIIFEYDDGWNQTAADDAVWLAAFKN RHVFGNGTNDSSAYVPLDLSHESMFPNPLQLQLDVSDPAGRTRIPSISNSSSSRSGSG SGSGSGSGSGPGSTSTPSPPAGFRANLLGDVNCYQRLARELKKYVASAMSPNNPNCHV PTDEELQHQARWIIYEDDDPWNTTCAENAEWLRRFKRDSGILTDPSLPGLPLSTLSWN ISQGGSGFAPPYTIPPPPLPSTSSTSDILVRLREGSKPFPAQTKTVDRFVKNIKGRWE EPAKVFCSRELEKGLADWVMGLGYVPSDGEMSQKAKEVMGTEKTAADDAVLVGKFRDM MVARLQQSNQTQYHQGLDLGMMDMSSTNTAGLTSGELDDLLLQDMDFDFTDLGGGGGF DGNLVGFEGIDLLMPQRSSGGQQQQQQMF QC762_304660 MAPAEAELTQFSNGETSVRILTSVREKDVFVVQSGSPKINDTIM ELLIMISACKGGSASKVTAVLPYFPYSRQSKKKSHRGAITARMLANLLGVAGVKHIVT VDLHASQMQGFFKCPVDNLHAEPLIARWIRHNVPDWKEAVVVSKNAGGTKRVTSLADA LKLNFGMVKEEILKPKVEHMITLVGDVKGRSVFIVDDMIDKPGSWIAAAETVRKRGKA EKVYCIATHGVFGGDCLEQMQACDCIDTIVVTNSYPIPEEKARKASKLVVLDLSFLLA EAIRRNHYGESMSPLFQHVLDS QC762_304670 MGIPHLKRNLEPYAERGAIAPCNVVVDGPALAYHVLSLASRTTI KTSPFEQPPYELLGRTAIQWLEKMEECGLTIAHIYFDGYLPTSKRPERMQRLIRSTKE LFKYHSTTVTGVSRERSRRKGEKKVDLFPASIGGETRSKPPPPAFLVPAVLDALRGSK YGPITEVMGGEADGYCAVHVRKSGGLVLTSDSDLLVHDLGENGGVIFFTDIDLDSENS KLVAPQFRHAEICRKLSIKPDVGFSYMAFEISADPHLTLEQAAERSRRGEAVMYSREE YDSFIKTYLLPETAPKTVATCGLQLDPRISELVLRYLQITTTASKEKDASLEMFLPFL LDCPSRTSAWEVSKPIRKLAYSLLQSGQKTSLKTVSEMRRLQTLSSGSQVDILPSSKV EEECSHLLSNISKIKAHITDPELLWVVLSIYLDIDRTVERAKGHPLSLEILTQEARGK LNEYSWDVLQVFAQVQATFYSLRMLEQILNLQERKGNAVDVITALSDLPSLDKFLSLA DFTETLQRLREAGGLGCLIDLCSDMEDMIPHIEAIGKPPKSKKDRKRKAQSGAVEGHE VRARPSNPFELLNSRDD QC762_304680 MSDTLSSIASLRSSLTAESTPLPVRFRALFSLKHLAVTAPSPTS PEALAAIEAIAAAFTSPSALLKHELAYCLGQTHNLAAVPYLTKVLEDLAEDPMCRHEA AEALGALGDTGSLEILKKYKHRQGEDVSVRETCEIAIERIEWESSEERKREKLRQSDF ASVDPAPPMPQGEETPSVEELRKTLMDTTKPLFLRYRAMFALRDLASPPDLPTAVPAV LALAEGFADNSALFRHEIAFVFGQLSHPASIPALTGALSNTEEASMVRHEAAEALGSL GEEPGVEETLKKFLHDKEKVVRESCIVALDMAEYEKSNEAEYALIPEVTA QC762_304690 MSAIGSLVFCTDCGDLLPASQGSVKNILICKCCGAEHRDHAWKA VTTKTKPSDFPSALRQKLSIVQTVKRHEVQTERVDPNMDCHKCGRRGIRYSEVQQRSA DEGSTIIYNCECGEKWSTNN QC762_304700 MANSWSGAHNGPPNNNNWDGAPQANPPPPPVSQPAGFARPFTLA EVLPYTPFSAIAPFDSSVLPSPSIGSASPAPPVTDLIPSLDFESLNQEASTNTASRLL QQTVGQVQRLLERGNIPEYKFKTGPRATTSPSPAKHSSLAAGLSPFSKMVHDSTSIPF RYPTPDTPTPAANNHPNVITTPVPTKQKISAKPVIKKEPGQGKKLSSIPANTPGPSAS PATSANQQAHAANKARFEIVLPTKKELEQQAGLANIKPHPSSNVTPRAPPAPVAPPTP AYHPQYQPQVQHSPQVQRQAQVQHQPQVHQQHQVPQQHQVQQQRSQQHPPQPALPPLS SAVATAASRTATPPERPGSQSISSSQKPVIAIELPKTKTFDKNEFTVVADEPEEPANL PLKKRKHGDIDGDDIYGESLDLRQRADAALHDLRVFLHNAFQAENAVLARRQGNDMVV LVSENEATLTATAQSKAQALLGKTITLNCFKTAPLEELLHLIRLSEGALKLAETLDIK VDETWVAADVEQWLSQLPWLEMAIRAGRTSLRIMCGGRQEKQLYSQDTIEHCLDLFKR IIDGIVIPIAELRATPGANELFKTLAQNKKKIVALFNDCQKLFSIMATLISSIDTSDA VTNTLEFTASRLIFMETAHAEKDSVIDTQKFDGLRLVAMDMLSQIFLLNPEQRKGIFN EILSSLEKLPLGKRARTFKLVDGTSIQPVSALIMRLVQTSAGKVGDAGRGKGNTMPVE DEAAEGPRRLPQSFSIQDEEHGASQHRIAIQELDDVSEALIKTATNSASDVVQFIVSR ALKSTKSGDTPYRNLLDMFVEDFALCLDNPDWPAAELLLRIFMHLMFQLIENDKQPVT AKNMALELLGSMGAAVSKLRGHVRKGVSSLDTQDSDGLGLFLSDLAAAALELKSRPEQ MVAWTGPYRATLEHLESRFSEDPHLASAISFLVSDWASKTCKTYDDYEDDVAERDHEL GRLAYRLREMIHDRQWLSREYSFKDISQSYARLSYSITLLRSPLCEAFNTILNILLNS MASDQPTVRSKSLKSVNQVLETDPSILDGDSVVVQLILRCSNDSSTQVRDSALGLIGK CISMRPALEEQITPTVVERFNDAGHGVRKRAMKLAKDIYLRNSNRTLRSTIANGLLHR IQDPEESVRELAKQVIEEIWFAPFHSGQTSAASKISLADHVSLMVQTVNRGNVVSVLD KVLQALLAPSNKTAQASLEVCTKLVESMFDLVDSSDPEDTTKPSGRDVLQILMIFAKA EASLFTFEQLRLLRPYISSIRSNEDPAVSKAVVVIYRRVLPQLSSAHSQFLTEVRSEL MPTMTTVSRPLMNEVMACLWIISGLLDTSEHMARLAASSLRNIQALHAKSKTQPLDTR TMRQFERYSLIVGMAGKHFNLDSHLDFFNKMLKTNGSSVSKLMVDLVVPFAAPSYHMD MRKAALDSVGLVCQAWPRNYVSANVYTTFQHVFDEQVPVLEAMVLRSFKEFLLTEEKR SEEAAEAPTGMNGGAKQEKKRELTVIGGTNYDDVASATTHRFLKEIIRIATATQDNHA FLAVEVLASINRQGLVHPKETGVTFITLETSSNPRISELAFLEHKALHAKHETVVERE YVKAVQSAFAYQRDIVKDSRGAIATGNGVFTPKLHLLMEVLKISKSKNRQKFLEKLCG QLDFDVGKLDMGERVPSHVVYARFVTECLAYFEYLTVGEVGCVVGALERLVTGTGAGV AQAIEMEVLGFRVDVLEEEEQQQQQVLGENRQAAGAAAGSLSSSSVNQLQEAPRVELE KLRRLTAGAVVLLGVWEARTYLRRLYGLGIGGRRENKVKMLGKDLSKAPVKAQGVTGE KLWEEMGVLGERLGSREGMMGVCRGFVELMNVDKEFLVGDEDEEGLMEEGGASPMSGG EDDELVGERKRGRKRKSDAGSQGTPGKKKRGRSGSMGGQPRKRGRPKKVAREEEEGEG EEGDWF QC762_304710 MPPPHLHPRSRSTSTLFATTLLASFLVVALPHILPCPAPRRTLA DGELDPNATKRRKKQIVGEDGVARFNNAIATTPEELQKLRMERQRERKAERECPVPKP GGILGEWLGFHKEEEKTVEKAEPRREGR QC762_304720 MSDLDRAIAQLRACRPIPENDVRELCHKARELLIEEGNVVTVNA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRMTLIRGNHESRQITMVYGFYDECLRKYGSANVWRYCCDVFDYLALGAIVLGASNTL SEGGGGGGGGTAPVAEPDVEIEVCNADQQIISRFLRKGSSSASSSREGSQAGESQVGE GGSSPERGRTEGSPNGAAGGQPTTNGFGDGGGPPAPTNTGPPGSGASGASGGSIGNPA GAVLCVHGGLSPLIDNVDKIRLLDRKQEVPHEGAMCDLLWSDPDEIDGWGLSPRGAGF LFGADIVKVFNHRNDLSLIARAHQLVMEGFKEMFDASIVTVWSAPNYCYRCGNVAALL ELSEDDSGLGVLARSNGDVNRSDGMGGQGSRGVLMENDLLGYNTAGPARRYRVFQAAP QDSRGMPAKKPVADYFL QC762_304730 MKMSSTDSKKQANLSRIRTNQRLSRARRKEYISSLESRIREHEE KGVQATLEIQLAARKVAEENQRLRELLGKVGVSEAGIREYLQQPLSQTPCRREEQQKD AEPNECSMAADLISLITGANTSQVRVTLGCAPGRNCDVDEEAIEKTITRLKGSTSN QC762_304735 MSGFWNSIDLQSEQSDGSSVASNPPSNTPSPSRSLLYEPDIAEY DLDLPDYESESSEDTIRIINNVSDLDEAETREWRSLRDDLEEVEIRERSTLHREDTGL EEEEAEHQPQDMGTYVPDPKFTFLFDPGRHHPHHQHTCSICMISPLRILPATQRQSIY NQNNDSVPCVLPCGHIFGQACIRQWMQDHDQCPVCRTPMVHELCKHKIRLRPLWKETI WLVPRTIPDGGKIAAFCSTCEAVERRAVINGLMETLGRLYYDAKLRWKRTGREKDRVQ MVKYRVRMDDDLKRLVTRETVGEWY QC762_304740 MGGGPKIPYPKHVWSPAGGWYAQPANWKANTAILGVCVFGITAM VWNLSAEREFRHKMPEPGRFYPSRYWSKQIIEHERAQKEKAEAEKKSS QC762_304750 MMKRLRIERATGQLLAARPGCPSTPGAALRSSYKCATQVAPVRY SSSSSAVETEPVYEEDGTDHSRFPPLEKLPPNTSTLPSPLPYRALESAKLSALHARLS LSPKIPLQTLARTLVDASADPNPLFNNSSLAFLGATIINYHASEWFMVHYPRLPMDVL FAAMAGLAGPAPLNRIAKSWGIEVAAAPGGEVDPGLLQFSLENPGESIAGFGYTRTVV DKINKNNWKRSVASKVIYDDDFGQLIMPRKTKESEDKAVEEDQGQGVYHEEAANPTPK FSPTSYGSSTTRELSEKAHANFARAVVGAVYTHCGRAAAKSFVKAHVLCRELDLERLF AFKHPTLELAMLCAREEFEPPVARLLSETGRLSRTPVFVVGVYSGNDKLGEGQGATLE QARLKAAMHALKAWYLYSPGEGAKVPSDVLEMETGGGGGGEQQGQGQGQQGKNKGWEP AYIDIGELISR QC762_304760 MIPPRSVLHKCLTEITRRRPLFSVTTTTRSPRRAPFNGRHGFFT SSRQGAEQKGSSKPLGLVADERRTSPDGVEIKVIKSTEKGRQKEKREEESVWAGIAKS LEGFPSIPGPPENITDMVVDFILPEWTKSLPGLMRKLQRELEMAPGSLAAEIWDEARD PFTHPEIEWEAKVRVSNALCEEEKTFLERRKKVIVPALAKYLGLKEEDIHPDDVPTVA ICGSGGGLRALVAGTGSFLASTEDGLFDCVTYASGVSGSCWLQSLYYSSVTGNSFQRA IDHLKARLGTHIADPPVAFNSLTSAPTNKYLLSGIVEKFKGDPAASFSLVDVYGILLA ARLLVPKGELEVNEKDFKLSSQREYVRYGQNPLPIYTAVRHEIPEMDEQDADGNPPVS EEAKERAKKEAWFQWFEITPYELFCEEFSAGIPTWALGRKFKNGSDTGLRLPEVRMPL LLGIWGSAFCATLSHYYREIRPIIRSIVGFGPIDGLIWNNLNEDLSKVHPIDPASMPN FVYGMHGKLPSTVPETVYDNENIQLMDAGMSNNLPIYPLLRPGRDVDIIISFDASADI KTDNWLSVVEGYAMQRGIKGWPLGIGWPKPEASAKETAKQLDKAESASSSSESQDRVR DAKMEQAARQQQMQEDDSSKKTEAEKHQQASDELGYCTVWVGTTQERSSAPPPPPKPI DDESSWRLMEPDAGIAVVYMPFLANEKKVPGVDPAGSEYMSTWNFVYSPEDVEGVVRL ARANYEEGRGQVKATVRAVYERKKRRREEHARRVKEEAWRRVIRGGRAGKVGVEGDQF S QC762_304770 MASNGIPNSPPPPVRASPVVPTGKPSTPGSQDSREGPSDSFAAA PSALSDDRNIVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGESGLGKSTLVNTL FNTSLYPPKERKGPSLEIVPKTVSIQSISADIEEAGVRLRLTVVDTPGFGDFVNNDES WRPIVDNIEQRFDAYLDAENKVNRMNIVDNRIHACVFFIQPTGHSLKPLDIEVMKRLH TKVNLIPVIAKSDTLTDEEVVAFKARILADIKYHKVQIFEGPRYELDDEETIAENNEI MSKVPFAVVGANTEVTNADGRKVRGRAYPWGVIEVDNEEHCDFVKLRQMLIRTHMEEL KENTNNTLYENYRTDKLIAMGVSQDPSVFKEVNPAVKQEEERALHEQKLAKMEAEMKM VFQQKVAEKESKLKQSEEELYARHREMKEQLERQRLELEEKKSRVESGRPLEKEPKRK GFSLR QC762_304775 MRHSLLVAKPRRSPGLRCCLSSPHDHDHRTRTTTRRQFIKPTRP ASLTDPIRTGLHRKYEDPFSVLKTAPRDNPAVWGYYGGVRKLDLKLGVIGPLRRKGEE VLRGEKELKGGDEEHEIWRRGLEGLLVGGGGRWRITEDGTGLERYFEFRSFAKAWGFM GAVVGECKARRHHPEWSNTFRTVFIRWRTHEPENHISMLDIELAKFCDEQARFFGEVM PGGGGGEGNRKPEENTGTASASPIPDGKIVSGSGASSTAATPEVTKAVAISTELGTTI NNTTTEKQSHEGQQIAPVEATEQPPPETSNDAENIPNRIDHQPEVPTTPDEQVVSDPP EEKSSPEPPPSQQPNPSQQPPSDEQAAINPPKEDSFPQPLPSEQSDHPRQPDHHPPKE NTSTARPLEEILKLDWQCLEETVARIRGKPCILEEVREAVRVFNELAARHQSGEYRFT RELSQERVKIEEEITDRLKFFRRANHEWRQAKKMERRLVEERGNGLQKPPVLSNGTTG EAVQSQLAEARAEEGEKKKPSSELREPRKAEAELKSQAAEAEEPEKEVDRLVLKSQNL RKSEAKPEQESDDVAVVDKKKPPDRIPWFQTILRQYRQP QC762_304780 MADSPRDSSPEGLVSSNSNSKYPNFEVDYVISYSLPPPSPPSQQ LAEAEASFSQLIHLLSKTGFAVEVRPGRPPSTPTSPSSLLIFLRIASHSLLEKQIYRY RVQDWLYGVRTAAPGPPNSLSEQEPITDAERLRLAYLLITKPVNEGGAGITPGVGQWR FVKSVFPLHDRKFNKEWIKDWSTKYILDDEDLLKIRNQFGEKVAFYFAFLQSYFQFLL FPALFGSAAWLVLGGFSWVYAVVNCLWGVIFFEHWKVKEVDLAVQWGVRGVGKIQLPR PQFKFEREGVDAVTGEIVKVYSPYKRLARQALQVPFAGACVVVLGGLILGCFSIEIFI TEVYMGPFKQYLTFLPTVLLTIFMPTFTTLLTKLAERLTELENYETVDAHQASFVQKI FVLNFITSYLGIFLTAFVYVPFGKILVPYLDVFQLTAQKFTVEGKPLPTKEWVINPDR LRKQVIYFTVTAQIVNFATEVIVPYAKRRIFKTVEKVQMEVKGEKGAGVEWPRDADEE HRFLKRVREEAELEQYDVTIDYREMVIQFGYLSLFSVVWPLTGCSFLVNNWIEARSDA MKIAANSQRPIPWRADSIGPWLNALGFLSWLGSITSAALVYLFNKSGGGQLGVGSVWD IPGWALLLAILCSEHVYLGVQFVVRGVIKRLDSPGLQKERAERFAMRKELLERMVEEE VVSAQAEKGVGVRDGEKITREVLEEEARQHSGLGPEKVFWLRQRGVGESVEVGRGLIQ LVSLANNNTAKRE QC762_304790 MIPAGVPPPPEQVAVMSGPTAVIAPTAGMMDNGETADGRKKREL SQSKRAAQNRAAQRAFRQRKEGYIKKLEQQVAEFNQMEESVKAMQAENFQLREYIIHL QSRLLESQGNFPPPPENISLAHPSSVQGRAPEGGAAGAVQPPPGAAQGQQQPVPAPNP LEVAAQAVAGLSRTSEQGHRGGQQVNDPYAGLRAVAAMRGEGEDVSTTEEITRQLQGE GGQEGNLPGAAM QC762_304800 MLKAARRRATSTAQDAGVEVDGRETHGPTAPSTVQGPGSVSDPR DTTSTSSRQPSRPVVQNADIPPIAFPGGRNPYLDRPLPIPPRPKTPSNKTSTAVAAAV AVNTVPPVMPARPSTSSGPSSKAAAGSRPNFDKRQSRDDMALNMGSKGKGLQPYIIGV KGSGPFTPESSPGRMRAPSPAPNFSVPSRVMTPESFHSGEIPIGMALGSPTQVVGYAG SWNGSTQNIGYQAQVEANVQRSPSPPPVQKTSEPTVQRTKTQKRRLFGSLFGRKHAEP AKTMETAEANQSTVSITVTGPAEDNVPTRSKTVSGKKAPKHKPIVVRSNTLKETSSQQ DLRRTEEQLNSLQPLPGSSPSKAPMLLDLEIPDVRLERYSIMFSGVLNPSGTTSPQSK SSLLERRQATLEKLKTIKDQEEEERIRPRRATSPQPMKSPGFTLFPQAMSSTNSLAPP PRKLQRSNTSPSVLPSPARANFFSTQEGSPEAAKKERKTVRIVSPRAMDEINRAAQVE KLREQQQAQLNPPRQPPPTTNPFYFGPNNSALILDSPASFATTSSLADEDYEPLSARA AVTAIPLRPAVPEPTWTMISPPSSTASSSDSSKQSAASSVTTSPSLDTLRPLEHKPKV PPVEEDDVALKAAVEISIARQISISRQQRNMLRPLGQGATMSSSAKSGAIRGRSATVG GGRVQVEILKRGTKLGVPMVVNGGENRRSELVEVVDP QC762_304810 MSWQAYVDSSLVGSGHIDKAAIVSAAGDSTWAATPGFTVSPSEI TSIISILNETDKSNGPAATKAFAEGIHVGGERYVATRNEDRHVYGRQGRTGICIVKTK QAILVGHYGENAQAGNATQTVEALADYLIGLGY QC762_304830 MWLSDSQKVGVAFCSGGGFFLIGGVLLFFDRAMLAMGNILFLIG LTIIIGPAKTAVFFARRQKIQGTLAFFSGLTLIFLRWPLIGFLIELYGILILFGDFLG TILGFARNIPVVGPYVGMLVDRTGLGARRNAELPV QC762_304840 MASQNPEVLAAQAAHTALLEQLDIHSIHKTFRNPHWRPNQRRNK NIKTILGDAQKKEASVIATPQDASGAATPKDQNDDGLSTSGTSTPASSGGNSLQPNLA QASRSLSKLVLEKSLNNNNNKQGNGNGNGATSSAPIPTYTNIEGAPSLAPQKRYCDVT GLPAPYIDPKTRLKYHNREVFGMIRSLPNGVGEQFLEARGAQTILK QC762_304850 MESPHEHQQNLLLSRIITNVEKLNESILVMNKTLQDINIQNMNI ELVAQMFKNYQSNVLFHLEATDNLKDPA QC762_304860 MTFCKCLQQPHGASPNSNCISTVRRASLTAPGSGKLEADGGVAH WTYNFHLALWQSNNISFISNQFSKYVIIRSRIIPKSPREFLPNSSTSSPETLRCALPY TCHLRLAAMAPSAMEGVVSTRDAWMTLPPPTLHPVKEARFEKYLEPQTDGRKRALALP QGQAAIVIDNGSYNVRAGWSFEDKPRLSIPPIMSKYRDRKLAKTFSFAGQDCYADTTA RGHIRNAFEAGTGIVSNWDVMEHVLDHIFIKLGMNGVEGGIDMPIVMTEAVANFPYSR KSMAEIIFECYQAPSLVTGIDSLFSYRHNKGDTGLVVSSSYSSTHLIPIYNQKPMLAQ ATRLNWGGWHEAEYLLKLIKLKYHTGFPGKLNASQAEQMVRDFCYISLDYDKELSGYL EWTGLEDRERIVQYPYTEEVIIQKSEEELARIAERKKESGRRLQEQAAKMRLERLMKK EEELEYYKDVQRRLVDQTKKETKRILDDAEVKDEAQLEKIIKELEKTIKKQRTKDLGE PEEEQEAPDFSLLDVPDDQLDEAGIKQKRQQRLLKSNHDARARARAEKEAEKARIAEE ARLDEERRTNDLEAWLEDKRQARLAKLAQIKERDRLKADLGNRKSLASQIRMKNIANL ASDTPTGGSRKRRRGGDDDDFGADDADWGVYRSVAIGANKGDSDDDEEGEEDLEAAVR ALEADLLEYDKDFTYENTLEAQNDWSKSLLHAFRYGPRPFDPSNPAETHRLHLNVERI RVPEVIFQPSAIAGVDQAGIVEIAGDILNQRLMGVGGEEYRDEFLRDVFFTGGNTLFE NFDERLRRGLMGLLPAGSELRVRRAGDAVLDAWRGAAGWAGTGECRRARVTRQEYEEK GGEYMKEHDLGNAFAG QC762_304870 MLRQTLARSAWRTRARASLVANRTFSTTTVRPAEVELTIDGKKV SIEAGSALIQACEKAGVTVPRYCYHEKLMIAGNCRMCLVEVQNAPKPVASCAWPVQPG MVVKTNSPLTHKAREGVMEFLLANHPLDCPVCDQGGECDLQDQSMRYGADRGRFHEIG GKRAVEDKNIGPLIKTSMNRCIHCTRCIRFSNDIAGAPEMGSTGRGNDIQIGTYLEQN LDSEMSGNVIDLCPVGALTSKPYAFRARPWELKKTESIDVLDGLGSNIRVDSRGLEVM RILPRLNDDVNEEWINDKTRFACDGLKTQRLTIPLVRREGKFEPATWEQALTEISHAY QTLAPKENEFKVIAGALTEVESLVAMKDLANKLGSDNLALDIPGGSQPIAHGVDVRSN YLFNSKIWGIESADCVLLVGTNPRHEAAVLNARIRKQWLRSDLEIGVVGETWKSTFEF DHLGRDLEALKTALSGEFGKKLASAKRPMIVVGSGVTEHPDAKAFYEVVGSFVDKNAG NFQTEEWSGYNVLQRQASRAGAFEVGFVVPNEEVANTKPKFVWLLGADEFDAADVPKD AFIVYQGHHGDKGAEIADVVLPGAAYTEKAGTYVNTEGRTQMTRAATGLPGASRTDWK IIRAVSEFLGAPLPYDDVAQLRDRMVEISPALAAYDVVEPVALRELSKVQLVEQNKGA KVSGEPLRKVVENFYFTDVISRSSPTMARCSAAKETGDPRTNFMAPGMEEDRPMGQVE YGV QC762_304890 MEGSFDLGDLMDLDRSFKPPVKEEKEKIPPLRNVAGHMFVPVSF DLTTATPLQGRMQRLEHILKSIEFHRQGSRENLYYMFDREKQRLINEAEEAENQLGQD YRPALTPQEEDSFLASVSAPADPNKDYNVKSEAVLPLKQVIRPEPADKPLPVREKTVR DLMFLIESSISELDSFENAMKGIKDKYAVYKATGSTVDGVRVSGDAVGSDKRHRGSGD HSLDVSGTPLASGEEKDLDLAKTFSELAKQESSATRQQGLITPRQVLLRSKHVPSSSP LSKIISLTEDETSLSSSTATPSAAQASLMPEQISHLSKKASPSAAQTSLTQKQTSVRS KKTLPLTTPGPLMTKVASMPSKKGTSSTTQSPLPAKTPSSPFETATASPRSTTPAPRR TIQNHTNITPQSGNSGLPRKQTYMLPTESSTKKKRSIKSSSLKPVAISPTPAVPSPSS LSTPTMVATRPNLPISSSSTGLDKLGSLSISNDPPQKRLADFLSPQHSGDDEAVGKRP PVKKSRPSIETASGAETETPPPGTGSSSTKRVVKKRVVKKKGMPPNSNCLATIFANAS NVVGEEREGEKKKGYETLSPRARRAAQERQWREVKEEREREREEEEERKREVEGKRGF GREGGCVEVDERLCS QC762_304900 MASLVIPSCASAATLTTRGQQRNTGHRTVEERKRKKRSWKISAW LHLNTGKFLVECGPCTHANLPALLFVWLILSIRLHFRACQPHHVQLGSSPCLANQGWS SILSTHHHFAPLTTKMDAASIEEINKVRRAMGMKPLPLPGAAPQEAAKEPSPDPETGE KASTLEIREAEGFENYRKAQEAEEAKRKRAEKLAAIKKARELAQRNAVLQGKGLADDD DELDTKSWLKSQKKRQKKIEAEEKARAEKEAAERKAAEHTAADLAGVKVAHDMASFLD GDDQVLTLKDTGVLEEEEGDELENSELREREKLQERLDLKKKRPVYDPNDIDETGQIG ILSHYDEEIHGKKKKAFTLDAVGTSSDLADILAQAPVQKRRQQVGDLDTLEDAPPPVS DYLDASEIKVKKPKKKSKSSRQKKDDDDVLFPGDTTNLDNDMDIDSGAITFTKKRKVI TDDFADDEDLQTSLAQQRRDALKKRKKTRPEDIARQLRETTNDPDDALPSTEKAVVID EISEFVDTLRANRDQEEQRRKAPKPKLENGPAAVTAMEDESSDEEMADAHDPRNEYEP SSTRRATTPLADLPLGVEEEKTVAQGMGATLSLLRDRHLLEEGQGAEAAEKFRQRQRF LAELNRRMALFDEETRLQREKDRESERFKRMSTKEKEMWQQQQNSIREMHQSRVIDAL YREGYKPDVQLRYIDEDGRSLGQKEAFKELSHQFHGKGSGKGKTDKKLKKLAEEKRRM EQSILDAGQIVGLGSAARDQGKRRREAGVRLA QC762_0052970 MLPTLHTLRCNAVNLPSRDPGPTPASRPCLSALAIVAPSTRNTE KPSCSHLEVGPSLRSPTVPTAWTSVDALDVEAVLGLTPARARQPPGTTR QC762_304910 MALFAVPNIIQDLIPAAASESINPWTWNLNLNASGLYEYLPTMH ALSKFALLGACAVQSVMGLPEPVERHEANLLKRNVDDWINRETPIAWEKLLCNIGPDG CAVRNQGVPAGVVVASPSRSDPDYFYTWTRDAALVYKGLADAFRRNYTEGLHTQLKNF VSSQAKLQGVGNPAGGLNDGQGLGEPKFMVDLKEFTGEWGRPQRDGPPLRAIALIRYA KWLVENGHKAVWPTIENDLKYSAQYWNQTGFDLWEEVPGSSFFTIASTHRALVEGAQL GAVLGKPTRAYTAVAPQVLCFQQSFWNAREGFVVSNINGGEWRRGRDANSILASIHNF DPSAGCDANTFQPCSDRALSNHKVVVDSFRSIYNINRGIAQNKAVAVGRYSEDVFYNG NPWFLATFAAAEQLYDALLVWKAQGSIAITQTSLPFFRDHVSGATVGTHAAGSATYNQ IVSAITAYADGFIEVASKYAHSNGAMNEQIDRNTGQPIAAPDLTWSYSAFLTATARRD GYIPTGWGAGQATALPAGQCQKFEVAGNYNLPPTPVFPSNLTPAANAPIEQITAVPTG CTNPEKVFVTFNERASTSWGQVIKVVGNVPELGSWDVNKAVPLSASKYTSGDPLWSIT LPIQAGSSVQYKYIRITNGVAGVSWEGGDNRAFSVPGATCDVQNRWDNWR QC762_304920 MNSIEASHAPDASGATPKQLDHIPNLRLSDGNEIPFLGYGLGTA NFKRGDKSKFNEDVVAATVTALKAGYTHLDGAEAYSNEKELGTAIQTSGIPRSTLFIT TKTSCRQGETIQQAFDRSLSNLSLDYVDLYLIHSPFFGSPLSSPEALQSAWAEMEAIK DSGRAKSIGVSNFLPHHLESILSTAKHPPVINQVEYHPYLQHEDDFVDFHRRHNIALA AYAPLTPITKARGGPVDQVYERLANKYGVGEGEVGLRWVLDQGIVALTTSKREERLKG WLSKLPKFKLTPREVKEISEAGKGRHYRGFWNNRFEEGDRR QC762_304930 MRFPAPASRCLALASHLRYPTFNQLPFSISRNFSVLPSNMGSSS QPGGLPLKSLPKSWTLPSLLPPDPLYPTPLSSHNTPRDEITPRQVRNGLFTYVRPEHQ STYQLLAISPAAFKTLNLSLSEATTPEFAETVVGNKLWDFDETDESNRNYPWSQNYGG FQFGSWAGQLGDGRVISLFETTSELTGKRYEVQLKGAGMTPYSRFADGKAVLRSSIRE FIVSEALHGLGIPTTRALALTLLPEERVRRERMEPGAIVVRFAETWIRLGNFDLLRAR GERGNMRVLADVVAQHVYSGWENLPARLEEGQTEPKTGVKKETVEGPKGEEQNRYSRL YRAIVRRNAATVARWQAYGFMNGVLNTDNTSIFGLSMDFGPYAFMDVFDPSYTPNHDD HMLRYSYRNQPTIIWWNLVRLGEALGEMMGIGERVDDEEYVEKGVVGEEMEKEMVGRA EKVIEQAGEEYKQVFLGEYKRLMSERLGLRGVKEDDFDGLFSPLLDAMEASELDFNLF FRRLSSVKVADLESEEGRTEQAKVFFYGDGLSETSSDDLEKVADWLAFWKERVVEDWG EGKDEERIRAMKAVNPNFTPRGWIMDELIRRVEKEGERDVLKRAIHMATYPFEDSWHG KEFDGVVYEGDADEEKRWTGDVPRGGRAMQCSCSS QC762_304940 MPLPPAQKSLLVSLSGPSSSGKTTLARLLRDLLPNTFILHEDDF YKPESLLPFRSGHRDWDCPEAIDLPALTNALTYIKSTGKFPPFINSKEDQNTLGACPA SPSHINLAKAIIASSSLHSLLKHAKICILDGFLLYSQAEEFKPILELIDVKLFLLASE EKAVARRKARDGYVTLEGFWKDPEGYVEEVVWPNYVEQHGYLFVDGDVKRGRLDRKVL EREGILAMGDGHEDGHGDGDGEGFGEVLVWAVGEVVNRLEGVLGGEGGRGENEGE QC762_304950 MNVIRTATILRVPTTRPLPFSPLPRSHIPPISPRRSISSITSIL ATMPAEDPTLLSSTTVLTTSIITFISGFLLGVYSLTGHLIPPSLRHEREAQWKDPVES EESDIDEEDTILDHAPNWSNGFEADKKQGLRASTSQPITEECKLVLVVRTDLGMTKGK IAAQAGHATLACYKTLSKAASKDPNGKAAQILKAWERRGQAKIAVQVKSEEELLLLQG TARSLGITAEVIADAGRTQIESGSLTVLGVGPAPKSEVDGVTGGLKLL QC762_304960 MEVDTVDAPLTRVSQPSNGQLAVRKPRTELKSKSEIAKARRQRD AQKAYGRGRGIDVKTVRDKKLRRNLSTLENKYKEAAYKAKEAEILLENTAGFIEPETE LERTYKVRQDDIQKNVAIEVAQKGFELKLNELGPYVCEYSRNGRDLILAGRKGHVATM DWRDGKLGCELQLMETVRDARFLHNNQFFAVAQKKYVYIYDSQGVELHCLKKHVEVSH MEFLPYHFLLATLGINGSLKYQDTSTGQIVSEISTRQGTPVSLTHNPYNAILHVGQQN GTVTLWSPNSSEPLVKLLAHRGPVRSVAVDREGRYMVSAGQDNRMCIWDVRNFKESVS SYFTRSPATSVAISDTGLTAVGWNTHTTIWRGLFDKNKPVQEKVQSPYMTWGGEGHKV ERVRWCPFEDVLGVGHTEGFSSLIVPGAGEPNYDALEVNPFETKKQRQEGEVKALLNK LKPEMIALDPNFIGKLDLRSEEQRKADRDLDAAPVDVVEEMKNRARGKNTALKKYLRK QKKKNIIDDKRLKVEEAIKEMQERKDEKFKDRQEQLGPSLARFARKD QC762_304970 MPGAVATGHSHRPTTKQRNKGFKSRKATKGQLRDTAKGRIEPGQ RKTIHQQAMSKLDRKNRAKQRQQEKAREHARETSVFAGKDGAPRNVAVIPLCVDGDAV AAIKALNESVEAEAEIKEGCFRVPVTRFKQKLQYFPVTRDLTACLDAARVADFVVLIL SAEHEVDPLGELIIRSVESQGMSTLFTVIQGLNKIEAAKQRLSVISSLKSYITHFHPE QEKLCSLDNRQECANLMRSLCNTTPKGVRWRDERSWMLVEDVNFGGESTVVTGVVRGK GLKADRLVQVGDWGTFQIERITAAPLAAREPEGMAVGGAEGDALDAPTEDQDELAELA PEEVNMEDDDMDAASAVPEQKKGVLLDEHHYFSDDDQVIALQMPKKVPKGTSKYQAAW FLDGGEESEDGSDLEDFEMEDALEEEPARPEDGIEGAAGDVAMTEGAPTEYAKSVAFV EPDEDEDAMGLEAYRKQKRSEAEDDLEFPDEIELHPNVLARERLAKYRGLKSLRTSPW VEEEDRAHEPEEWRRLLQIQDYQAARIRSAREALVGGVAPGTRVHVHLRGVPSEVRAS HSSGRPLALVSLLRHEHKRTAMNILINLPADATAPIKSKEELVVQYGPRRFVIKPLFS QGGATPNDVHKYCRYIHPGQSAVATFMGPVAWGSMPALFYKRIVPGEETPHDDDESDL PLKLVATGTTMPPSTSRVIAKRAILTGHPYHIHKKIVTVRYMFFNREDVEWFKALPMW TRRGRTGFIKEPLGTHGYFKATFDGRINPQDSVGVSLYKRVWPRPAEPLRGLLLDPEQ VPDLVEDDAMDADAE QC762_304980 MSHQHTHDGVHFHASHDHAVSFSAADHGHSHEILDGPGSYHGRE MPITEGRNWADRAFTIGIGGPVGSGKTALMLSLSRHLRSSYSLAAVTNDIFTREDAEF LTRNKALPAPRIRAIETGGCPHAAVREDISANLAALEDLHAQFDTDLLLIESGGDNLA ANYSRELADYIIYVIDVSGGDKIPRKGGPGITQSDLLVVNKTDLAEIVGADLDVMDRD ARKMREGGPTVFAQVKKGVGVEHIVDLILSAWRASGAEEQRRSVGGPRPTPGLEELE QC762_0053060 MKPNSSLIGLLTTLLLLTSSVLAAPQHGKAKGKGKLPPIPIIPP SEEPPTHYGILLIRAFQPLDIYGPLDTLQLLAHNRHLTLTLLSRTLLPVTSEPASAMM NTHRSTFWPTISPTHTLTSPPSNLQVLIIPGGPGARSPDLGPEIAFIRSVFPKLQYLI TICTGSGIAAQSGVLDGRRATTNKAAWNSMTAMGPKVKWVAPARWVEDGKVWSSSGVT AGIDLIYEFIKQKYPNGTALTKRFGEVTEYEPETDWRADPWSERFNVTVPVPEPLV QC762_0053070 MSSYSYIHARPLAFLLQLVNFRLEPNSALYTRGSGTGTVTLNLS LQGSALQSVSGSYSVTSPNLFVSAVPLGYFCLMNS QC762_305000 MEKLYAKISEQQSAILQHQSDMRKASDEDAVHTRALDHQSSCSS LPITPATDGFPSSQTAPTTRPASAAQNETQASTEEVLRLKLELAQAHNHISRLESQNR YGLESGRVTPALGVIESDFASSITGAVSPIARALSGGPTCGSSAKLPYLREPGWLVPD DARAEIPEPMSTGGMSRARGIWNKQPSYPSQFPQTTAVTGAPQATPWTDPRAPTAGYE TSYNHTGLEVYRQDRAPPDQEMMRPMGRRTNRYDSRYAPSSNYSGGFNMNAGPYDSTA LSYPYGNQAPMAGGMGLGMYPPYPQQQVGSPLSPHATEFTSSSQGPWGKTESISSEGQ TYVSATTEPLNYRRLLDRNVTCDWKYIVDKIVCNNDQQASIFLQQKLKVGTPDQKYDI VEAIVAQAYPLMVNRFGNFLVQRCFEHGTPEQVVKIAEAIRGNTLNLSMDPFGCHVVQ KAFDSVPEEYKAIMVHELLRRIPETVIHRYACHVWQKLFELRWTESPPQIMKYVNESL RGMWHEVALGETGSLVVQNIFENCLEEDKRPCIEEVLANIDIVAHGQFGNWCIQHICE HGAPADRSRAIDHVIRYAAEYSMDQFASKVVEKCLKIGGPEFLGRYLDRVCEGRHERP RIPLIDIASDQYGNYLIQYILTHANPQHREIVAAHIRKHMVSLRGSKFGSRVGMLCTN HAVATRPGPGVGPSSMAAIRPSRPYGGGGGGGGGGGGGGAAYR QC762_305010 MSVPRARLLQLMEARCKLFETTFNPDGIRAGNKILRQRLKGPAL AGYYPRRIWTMQEFQAEFRDLHLLVDDEKELDRFEHVSLLKARGKGAPKKKNSAPDHK KKK QC762_305020 MSKRKAPAASSPPTIQDTSARPPKRQKPSSSSSAPTPSTSAPEF SPITLCTKWTTPTLPSHLPPLPPILSPTLETAALTHSGQKKSPSDLSYERLEWIGDVY LELIASELIFATFPSIPEGEMSRRRELLIRNSTLSAFSVRYGLDKRANFPSEFNLTGR PNGSTAHAKKKEKALADIFEAYVGGVIRSDLVNGYKNAVVWLKALWGPLLKAEIKVEE GGGRMIDKEQNPKVRLEQLIGASCVRIEYRDLPGTGERFVDKQPQFGIGVYFTGWGEE NLLLGEAWDFGKKSAGHRAAEKACGHPMVVGRLVERKRAYMAKRAIERTTEEEEGKGE E QC762_305030 MSDSVDRVFVHALATVKKIPKTGASRPPPTDRMRLYGLYKQAME GDVDGVMERPTSSSFPNPEELSREQDKWDAWKSQTGLSRTEAKRRYVEALIETMHRYA NTPNALELVSELEFVWNQVRSNEPSSEEGGSSMGEGGNGGQQQGKGRGGLAGFTQVIR RFGRGRVEEQPMRVISPMSEPDESEARMVELAGEDGEENEGGFRGRSDKRSKRMERAI VRLSAEIAALREQIATGREWRTKKDRGLGAWVSWGFWGAVKHFTVDLLLLVLLLVWMR KRKDRRFEDHVRGLFRLGREYARKILPSR QC762_305040 MFKNILVESWPSRLDDDPAESDDDAISLTSTIPPPDDEEYVVES ILAERPNQNGIMYYLVQWDATRFDPFWDSTWEPAEIFGDDMIAQWAETKAKQEAGEEK PFDVDRYFAVQQQKEREKRERHNLRNAKRARLGLPLTEPLPASSSKSSAIPEDDSSSD EASEDGDVVEVSAPRPKPPKARKPSSTSIYKKGVARPIKTTAPKSPTKSKPLKSSTLS KPNKDRPASLPGKLAKPAATSDPLASSSTKKRQATAPSTTGYEGTARKASKDDVSGPS KSKTKESNLGSKGAASSIRAPSGQKTTARKSTQKTVQSKTGNIFTGGKVRKPRPVIEE VMSNPAKDPKPFSNMHLGRLAELRSRAREDIAPDPSKVALFPLTEGPAAARKMSKETA QPTDLPEDDSLFVGDYSMELESNPEDITAPISSSWTGLAPISTGLVPATSAGLTATSG GISPKTIDPAPASAGLPPPSACLPSSPQAHTAPISRPPLKKRKSVRWDDDVNSVIEFR EPDPMDLDDNTLVQKDQVEATPRPAASLAARPPTPPPPLSAPEPTVTIIPQRNTCSEK RVRFGKPQPGSKCIQTTFNGLPNGTRDELYARFLATESLEFDHSCLATSVATALHSMV KTGLAFGGLSSNTDQQRLHNVASSLRAGIMGLLCAQPDYSILIYPSKCEEWNAVQLRG LPASGPSEFELLYYIFTPREPLLALLPPPRDVPPKPPGAGKESSNRQLIMEKFFGFDY QRLLPTSLKPASTHNFFLAFPDSKMEVRLLLFHWLRACEPNCCIFTSDHPGSWYAFQS KLSSEETAGVVIVHELMAWTLHRLPNLRHLLLGRDDQFWCFTEPMSKLPMYPSTTSLL EESSVVPPGQLQLTRLFPLGAAILLTPSFLVAEPTRALEIIDWFLMYFAKSTTCRLVT AWDFAAYLRDLAEEKEHDRAKLLASPAAMESTANLTILENLKGLSKEDCESRFTAAIK AYELDDLRRRKLPPVGDNEESATLVYAIDKIDPNDEQSLVNWFGYWSTLRLDQFRHFY VLGTDDSITARRSERGEREIAVPTYTSRTINDSDYVLKGTLEHYRVRNTQAEQSGQAH AVAESAGIAPAATSSAAPASVYQAFAMHEVSSECFSRISQHSLAEMLKDVGPSEWNSS LWILFGFPVSWSDSDMGDHYEDFTLHWNTISSWFKWGFPWGGRHGITRFNTYVGFFYT IPDEWDPDNKPDDRTPKRHPWLVFYRPRDAFKRPWTDAELIIWDPAAPRRFGDRQPTE GELTFMQRQLIKHVREHTGERNPGTRLTDVWLGGYMVPEECQSPHDIDVVALFLQALG TEFQFKKLIPAPRTSMLRPNKGFKRVKLATDPVTDEDDVEMGIRDSETSDEEDARIIF HPPRATGRPLSDDGPPSKCTNRLFEEARLWRNRYGRRSQYMKYRFRPTTEWYKDQEEE GRGFSHITDLGPPTPRWPAWTEAGGGVGGSAAKGDGSAQPQPSTYGQSPITVSRTAAS SSYHQSSVPPPMLQEPPTVTLTTLTEASTTPALTPLGMPVPMRLINRLSTPLKSILKN SGTPQPTAAMGEVQLLDYNAENTPSSAAFSRMRISSPPPASRALKKTVPSEEPAPKSK AITVGSVAGRSKRPRIPDSASGDSIVVSGEDDTPSTIPAPEFHRLKKRRTSETASMAI RGEETVSSASKPSTSTMIKVLPVLTKTAADSRPKAQSKASALPFRSSTSKPPKTSATP IPVPKVPGVRPAMTTPTSQPRTPISQHNTPGTRPPTATPTAGSVPRLFNTAVPPHRKV GGTVTNQAGEESTTIPPLRPSDTKRRKTLKPPSSTSTSGSAPAPKTTTKTGKRAGTNK ARPS QC762_305050 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDNKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKNITYENVTR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTDDAKNFAAENHLSFIETSALDATNVE LAFQNILTEIYNIVSTKSFAEEDGKKFDPREGGTNITLSQEGPKGESKGCC QC762_305060 MAAVYKSLAKTSSKADKMDIDEDSKSSGNGVRKNKQRVLILSSR GVTHRHRHLLNDLAAMLPHGRKDVKFDSKSNLYQLNELAELYNCNNVMFFEARKGKDL YMWFSKVPNGPTIKFHAQNLHTMEELHFQGNCLKGSRPILSFDATFETEPHLQVIKEV FTHMFGVPEGARKSKPFVDHVMGFSVADGKIWIRNYEIREVAKTKGDDGDEEEEGATS KKSKKGGLDSKETDISLIEIGPRFVLTPIIIQEGAFGGPIIYENKRFISPNHVRAELR KSKASRHVARQEQTRDNLARKRKLGLENGEAFKDKSGLDTRELFA QC762_305070 MKLFSQIVSQPTPMAAPVVETGQQRLKGRLVLDELPVEIVVEIA TNLDFEGFGSMLAVSSFMRCILQKYWRYILSSIIEREFTPVGLFFQAFETGEVTGVGN RSAREWLGRLTGVGGGRDGRDREMDRIMNFCRGVKLWEAEFQRFRFYDCDVGEMRLMN GRERERFRRGLFIWDWFARVHHAGRQTRRTAEPVAFMRRFSTTELHELNDVWETVWAA VGREVCPSVTAVMEVIGDRSLAERIGWGDGEENRQILGTVMKLGPGDLLRLLVGGGGG IGGEGGWIEDTTEALSMAVLSVRHEREKMMGMERSPFPVEGFPGRYGGVLDHEMEESE ELRVVHGADGGREGPYSGRYIRGSGIFLIGGVRVGRLVAGTD QC762_305080 MIGRLLQVPASSRLISDQSLTISSHAFEIGHVAGHCAYHSPISL LLCLAHILKPSALFALGTYLFHLPRHYLCLSSRLVANKSQTNLPSLQHLRFASSICWP GPCGIMDVDQDVVMDGTADAPSIQSANGYSEPTSKSGGSSLETPNGHSADSSAEGTSS KSGEPGYPERFRRKGILPTGCCYDDRMKLHANADFGPNPHHPEDPSRIEVIMRIFKKH GLLFTGTDAELIDVINNRPTSYMWRIPAREATEEEIRTVHRVEHFNWVKALSTKTTHE LRDISAMMDQGRESLYVGSMTYEASLISAGGAIETCKAVVTGTVKNAFAVIRPPGHHA EYDQPMGFCLFNNVPIAAKVCQKEYPDICRRVMILDWDVHHGNGIQNMFYEDPNILYI SLHVYKNGDFYPGKPDNPMIPDGGMEQCGAGNGLGKNINIAWHDQGMGDGEYMAAFQK IVMPIGHEFNPDLVIISAGFDAAAGDELGACFVSPACYAHMTHMLMSLAGGKVAVCLE GGYNLIAISKSALAVAQTLMGEPPPQMQIPRISKEASKVLAKVQAYQAPYWECMRPGI IDVTEMTKNDSSRLHDVIRSSQKEKLSKYGMLPLYIQRDIIFRSFENQVLVTKGVQSA KKLLLIVHDPPELHGQPDPLDNSLEPHNSWVSDGVAKYIDWACNNDFGVIDINVPHYI TRTDDTEHFTPRMDEKTLQMHLQELMCYIWDNYLQLYDGADEIYLMGVGNAYLGIKVL LINRNVRNRIAGIVNFVDGSLRPVKSDVDEDLSSWYKDNSQVYVANDHACWSDPDLTR KVMKRRFGNAIRSEVNGLAPMMNRHFKDVLRFIAEQARKLHGGETTEDEGNRRG QC762_0053170 MPAHTAVASTSNDAPSAATVAAQSRLEMWLKFCSDGTFEKIAGA PRAFFRDNRSMTDSQLAKEVARMELPVAYEKPTVTGVSLPPDETTSTTEETMAVDVGA SALPIKSMNTESFKAATAGTKLPGSVDKNVGSKPTNTNIAPAHIGNPAAMDETLNQFL LAADRPISVASGALTKMRKFSSTSSREVKSFIVR QC762_0053180 MTGEMTGATSTEETIAEMTGDLTTEEMIAETTEEMIEMSALVLL SRVVTWTSAGPRGGGSYRPRSRSPERRDPRDDRYPPAASTFRRQSPPPRDSVNTSVIN SRSGSGRSSPRPPSRRGRDDRSRPQSPAPRSPPRPPSMRAPTPVQTSAPPPPRETPRQ APPQPSPQQPVQLTMQPAPRPAATEINSTPMRSPPRAPAALRAPPTGPAASRNFTSPV APIPQHSTPQIPPSGPARDTTSPSIPPSGPRNYIAPSPRGNFTPRNSIGGGGRGINPS SSWGPPSSVSRNHNHPSHLPPRQTSSPTIPTPTGPSSIPTGPRSAGGSISGPSHNGPP STGVASPSPSTPSISLPPPTGPSAGVNTNRPFNPPTGPALSSPQPPRATLAQNMMSTL PPLLPPGSGGKLDLSMATIDEKDPHYRKMKEDEERLREELKVRQEKLRKSMRVWDRME RESKGCELKSELSERSLRGLSGEGQGGAF QC762_305110 MTVKVGINGFGRIGRIVFRNAVEHPDVEIVAVNDPFIEPKYAEY MLKYDSTHGVFKGTIEVSGSDLIVNGKTVKFYTERDPSAIPWKDTGAEYIVESTGVFT TTEKASAHLKGGAKRVIISAPSADAPMYVMGVNEKTYDGKAAVISNASCTTNCLAPLA KVVNDKFGIVEGLMTTVHSYTATQKTVDGPSAKDWRGGRGAAQNIIPSSTGAAKAVGK VIPELNGKLTGMAFRVPTSNVSVVDLTCRLEKPASYETIKAALKEASEGELKGILGYT EDEIVSSDLNGNANSSIFDAKAGISLNDNFVKLVSWYDNEWGYSRRVLDLLSYVAKYD ASH QC762_305113 MTGDSPGATCAKRRSRKVPYTTLCHLSTIAMAQSRPIMRFGKSR NEFCKILFIVDMNSAVDDVANQIVRLYQELGRKKKMTSQRWRDIDSCCPRSPRCRRNS MS QC762_305115 MRLRQRNMETVQYLESQAEEITPGVRVHNGATVDELNAVIVRLK KPLDNAMASARAAEALFDETFRMVEGGIAMHEQMNKNQHEANAAADEGHNEHDAPQQR REVQPRSTGVYTRKNELLVLLEGMHIPGRIQGLIDALGPEPPACSEISFEGMGDVEAA TKQAYPERKSYTDIILHIKKRDGLSLW QC762_0053220 MPSVNSEQINEALERAFAQPNEWPYYDAARDKAWVARKLWTDKV ITADATWEQGLSDMMFMDNV QC762_305120 MPSLLRAFQLGCESYISSASFRKPTALLASPSFGRWNHGHMTVR HRTSHTAGMPQDGDGPFAPAEREKIRRLLQQGYGLFDVFRLEDHISRRPRMVFDAMVE EDKELASLVRKRKRGKWSDEEKEHLIKLTNNRRRIDIESIARQIGRGPGAVRYQLRLM AKEKSAELGNDAVSFSAALLDKGLGKEKQSILEARMCQIIDRLLEEGDATTQWRTILS AKSAEAWVATILALIPTPVKHILAAPRPPTAADWRSLAWQDTSLFGVYAWVLKRGRGS GLNPLRVEDYVYIGSATNRITGHFATLLVMEPASSEIGDITKAQELVVFTEAIFTIWF GALTETKSAGVTDRADQHRRLHSLSPWVHTQRFNYRGLCSHNPLGLDLEPRGGIRSSC PMENTDPRQSGPVVDYRATES QC762_305124 MSRPPQRIYHRQPQQTPSALTYSTMPQQNQQKTLIKTEVPDQDT PKDFSYSRFVTNEWWSVKSGCRTHNEAHTRAGGFLAGNKEDMHKLTDLVHKFKKVKSA EVPDNFVWCPWFCDDQNIKFAEEARGGCWPRVDGTPVLRRVTSIEMHGLGLPISILLS PEADMVPRDQQQLILPQQVQNPAVATPQSDLTAVSEPLHREHSAVQDTVAQNERKTPP TDGNQQAKPVHSNNINQPVISGPGCSGAGARVGQNLMKEAAFRGAEAAAMLVTTEALP QNTAFGKQLTPVAMGRELAQARCRFLARLIAERILREPEFNEPDHAFQRGSIKTLHYL LYTEAWRTGRVLGLRFVGGPLGNRDAATISQIEHLMHEIHWRVARTFSRTQGA QC762_305130 MASKDDLTTSKRFRFRTRILTATATRVNHVHSNVFRLRLQASFL QSILLTALGYLPCTIQAWFESLFPEWTLPSQLILKKQKKNWEEEFEAEKAAYAKLRPL QRIVVPRLFGELQYDNTPALLMSDIGGACLAAPEGGMLELDEFRRLVSQALTALSRFR LLQDDAKLDNFHLTDGKVMVVDLEMMTNEVQEPLTDEQLKFGVECEVDDLAKGSEDTQ YCFWEDGILSVGGE QC762_305140 MAAEQARNSRPKATKIDSSRMSLEELKEAKEVKEVLAAKEKEMI AQEEEMDARRREQTVSVSDFLKEFTRWKAEKAEEEAAGGSEEGGHEQRAGQDLIDEPE MPREGHAALERNLRGEEGVGHAVRRIDPDEEAEAVVRRLDLTRWYSSRVYV QC762_305145 MDEIFKNINMDEILKGIPGIHDQVQGQIAQADNNVEGEQEAGGL NGSDVLPWNLGIDDPLNMDDMLKDISGTPEQAQGQMEAERWKPRIQPGDKRREAEWPI WIPSLRTQILTTW QC762_305150 MSSRPRRSAAQRATVKITDLADRDNKDNERTMSSRSSNRRSGNG IASVSRRPGSSPTGPADADQHIHLTVKTSSSKLRQATSGTSSNNNNNNNNSKRKAIPS TSTTRPGSSGGKRTRGGGNRYVIESSEGDDVDEEEEDEDEEEEEPKQEIEVKGNSNRS GLRNDLEDDDDEEDEEEEEEEEDEDEDMDDGDDMDIDAEGEEDDDEDVDMSIAPPPPA IKVTKPQRGVAVPASKAKTTPVKAKPAIHYADDDDDEELSELESEPEDITMGVDAEEE EEEEEEEEEDAEGEEEDIDAEGEEEIVVDDEDAEGGEDDDLDSELGSRGGTPDLSKLT ARQRAKLGEASHEYLKLSDEVQAKKVFTAEELSMRRAEMARRRRNLSEKRNEEVKMET INKLLKKQAPKTNRKNALLAAGGYDTPDGTAEAAPRADPMFVRWVNNKDGSNVSVPDE MLAGPAGRVFIKGGLASGRMVEEVS QC762_305160 MTDADKKPDPEVPAATEPAVLETPPTNIEPSTNTEAESSTAPAP EKTREATLEQARIFLKDTETQKATAAQKTEFLKSKGLSDSDIQDLLKEVTQDAPHLDQ QPRPTTSTSVLPKEDRPPIVTYPEFLTTPSRPPPLITPNIFLNTVYAFTGLSTLIYGT SKFVLEPMVTSLTASRIELATAANDNLSKLVTKLEETVSQIPTYPSHDQDHSPRQSLD MQSQYDDPTELFHRDIGIQTEDTPRSSLNLSTPLFPGNAKETATNYQARRLSGLVKSL RQVNEGLASQSEGYADVKTVLEVMRDDLEGLARETSGEVYGGYNMYGARQERDDEIRR AKENIRRVKGVLLSSRSFPGDRSGVVTAGQGRRGGFGIGGR QC762_305170 MHSDEGGPEAPTDTDTTPINKDPATATAILDERDTAHDASQDGT GAATATAVRLTSPDLGGPGLLGSGIIPLSAPVTPHSQHPPGQRQLPPAPPIYYKVTPA PSPSREANGGDHDLSVNYPPNNNHTPKAMIFSDLYKSPRSPFSKLRNSLPHHPPPPSD IDADLVSKDKAKQKEAVKRYLAERVKNDWEFTWPPVTPSSPPPPVIPVNSPPTPAESE QSTVVNGDAPLPPATGPTSITSAEHVLPPSTGEAISAPKTGTENDDEDDANRDSGEEA DSESENESVYSTISEDLAHFQPRAEWTSDLSDDDGLQPVPSPFRFNSPDDVGTAVRTS MGSKRTRRRRAVREEASWNPGLACFEARRDAWTGARTVRVKPKPAPPTSPSTGRRLSF WRLHRTESSSSQHSTPGSAPPQASPINPTETRTSHQTDISAITPPLSESDSTKEQPIQ QTTSHESNTVYPVETLIPVPAPLLPPQNPMRASITPSMYSSLYDKLVVQGLQPACPVN LSDMIRACVVGWKRDGEWPPRSNYTAPFPVPVAATTAELVAMRQRKAQQQQKINAARK AAASTSPPVSSSPGSTVRRMSFGFLGKTTTHEEHKDNSHSDETGSGKALFRRSLQRVL SLGQHGHGHPVGSPPQREVMGAALGAAMT QC762_305180 MAPSETKFKVAAVHASPIFMNKSATLAKVISLIEQAASEQVRFL AFPETFVPGYPYFIECYPPITQAPALARYAEESVVVSSDLAAVAQACKEKNVGISLGV SERMEGGYTLFNSQVMMDSDGEIVSVHRKLQPTYVERMVWAQGGGATLDVKPLAAVGG FNVGGLACWENTMNGARQALIAQNQHIHIAAWPALSTLSGFESTANAQIEALAKTHAL TAQVFVLVASNYVDQTCLDWMAANLGPQSFVKPGGGWSSIIHPFCSLLAAPVEGGKSG DVLVKAEIDLSDLKTVKVWIDSNGHYARPEVVQFSLDKTPLWGDEKRGEGWWRKEGST SANRVRVGNGGKEEGGGERGGGDGSV QC762_305190 MFQQVIGCRKPFQDSRPTGWWTAPRVGGDSPERWTTGGPRNDGD GCIHPTQWVYLCAQFLFPCCRKLPFFCFAQHAVKAKSLKITPPSAFQEVPSSLYAPQE ARRPHKTSDLLVRGGSSSSSTVGEEYELAEYKAGDKQREREREYNEKTRDRQRRYNVR RAEKRRWLEHNDEMKFSHSIQFNAVPDWSNHYIAYSTLKKLIYSLEKSIHLASGGDGE SRPLIQHDDPETIFTRALDVELEKITSFYVIKEKELTDEVDALLKEVAAFEEGADEHT RPATRSSERPQLRYRSQSARSRHSTEDDGQEDSDDDDGDGEATGLTKRRRGSFGRRRT IPNAMLASTTDMTASTELTRSLRRLSVTYDDYAEQAALFSNGIMLKKKIIDVYVRLCE LKSYSQLNRTGFNKILKKFDKICDRRLRSKYMSSFVDSAYPFKPETTKSLEQHIQRIV QAYAEIVTDGDEAAATKDLRSHLREHVVWERNTVWRELIGLERRAEAASLGHTLLGRD ADPQATRLQGDDDLVLPTKAISTPLGRFSLPTWLVSSTMFALVVIFAVFVAMLLVPIM EKPEQQNCLAILVFVSLLWATEAIPLFVTSLTIPFLCVVLQVFLDKDEPHKRLGAKDA TAAVFAAMWTPVIMLLLGGFTLAAALSKCYIDKRIATFVLSKAGTKPKTVLIANMAVA AVASMLISNVAAPVLCFGIIEPMLRNLPSGSDMSKAVIIGIALASNIGGMLSPIASPQ NVVAIGIMEPAPTWGQWFFIVIPVGIISLVLIWILLLVTFKPGRGTTIVPTRPIKDPF TGIQWFVTIVTVATIGLWCASHTLENVFGDMGVIAIIPIVLFFGVGILTKEDFNNFPW TIIILAAGGLSLGKAVNSSGLLHTVTREITAQVEDFSLYGILVVFSTLILVIATFISH TVAALIILPLVYNVGKGLEEPHPNLLVMTGVLMCSAAMGLPTSGFPNMTAIMKEDPAG QRYLQVKHFISRGVPSSVLTLVVVVTVGYGAMRVSGM QC762_0053330 MLSAFTARPIIELKQRDKSKIESILAYGDRVLVGLNTGSLRIYR VNDIPPPSDPPNHPPSQTSTSQPSHDEPPPTPPPPQKPTDLLREVEKFSPRAIEQLAI IKGANTLVSLSNYTVSLHDLQTFSPIEAPLSKTKNASTFAVTSNVVQDPSTGVPEIIS RLAVAVKRRLLLWSWQESELSPDVTEILLSESIRSLTWANATKIVCGMNGGFVIVDVE TGSIEDIVGPGAIGTTGGGGGTGRFGSVSATGMSYMGLGSYIPKPLSTKLAEGGLLLA KDINTLFIDDSGRALEKHQIPWQSAPESIGYSYPYILALQPPVKGTLEVRNPDTLSLL QTISLPGAAALHFPPPTVSVQHTGKGFHVLSDRAVWKMEATDYDSQIDELVKNGRLDE AISVLGMLEDALLKNKTETMREVKMLKAEVLFKQKKYRESMDLFSEDEVDAPPERVLK LFPRIIAGDLSGVEEQEEQEEQQQQEEEEEEEKRKKKDDSDHEGSTVGKHSEPEVVAS PPKAGGGGYLMGHRKQQLNPETASVASSRRTTQDDDAASVRGRSSEDHHAQEEKEKDL KNAVLELNSYLAGARARLQRVIDPATGKLKPRRARSEMLSASQTAAQEDLTLSSRQVD ESELQLAAELQHTFKIVDTTLFRAFMYSRPQLASSLFRIPNFCDPDVVNERLVEHNRF NELVDFFYGKKLHREALNLLRKFGSCPEPDEAAPGLHGPQRTVGYLQGLPPEMIDVIL EFSEWTLRKDPGLGMEVFLADTENAETLPRERVVEFLRGVDVALETRYLEHVVGELGD GTPEFHNRLVELFVVQLKEGKKKDGEWEGLMGRLVRFLRESRQYSLGRALSWIPKEGE QC762_0053340 MLMMATDPSFYEAHAVVLSNMGQHRQALVIYVFKMKDYAKAEEY CNHIHKTQDLPPSSSPDQNDTDNTDQPSIYHTLLSLYLRPPRPHKPNLGPALDLLSKH GSRLPATSTLSLVPDDLPVAQLESYFRGRMRSANSMVNETRVVAGLRRTSHLAAQALL YLGDGIPGGQAGRNRRVVVGEERMCGGCHKRPMSPGSVRSGDGGGLKNWGRV QC762_305225 MSNPAAITATYTSETNAPFTLSLPQTTPTSTGAVKAKQQSLSQL RDSVHSLQATINKELTQRMEEDNARAGTAAVDKKEEENYGEEVVEED QC762_305230 MQPSRSTGTPGSFSPLPGGSSPDLPRPRPPPPSRRQESATSTST ADDLNIPKARAAAAPSPTPIYTSFTNNSSTTSLQNFSRPTLSTAAAAAAAARSYSPAT AETISRNGPSPLTLPPSSSATSPAASSTFSSRVVSHARKNSQNAGMFEPTLPSTSTSN LSQVVVGLHHQPSPKISPTPPHREMSASQIAAQAAVMSHQQNQQQQQQQQQQQRNQSP HNRQRSSTLPMPNSSETEPPPPPAKRVSGGPTTMNPPPPPPVLSLTEASGPRENAFGG QTYHNGLLGNHTLAATAAANLVFPRSAQTSPGLQQSSMPPPPPPASEKPPKPEKSKVP KLFSRPVKIGSSKSSSDVKEKPLPSPGKGGLPHPFAGLQRGNFSTTSLESMTTTMTTT TTGGQQQGGGGLYSLSNSSVATIRPAGEEGSKEKEKEKRHHFLSRQKNKLKDEYHLPL SSAMSNSRPTDPSAPSSLYNFNIPQSPMAGSVGGFKSGLDLRHGGRALREKKNKTAAS EEKGDDASSSGVGSEWPGGGGSVISGGGGSGGGGGGSSSVTAPSLYLNEPFDSHKYGL NNMTHDDAWPFLKAKLLVVFEAEDLRLPVEDINRVVTMHIQWCLLRRSPNLIVDDLRE LLTTGFSSLDQTLRKTPEDKLIPLLVELWIFTFTVILPYMQAVFLPLDLEFAGNGPLM TAEQARDFWGGVPTSSTTKSSPPGSEPAVSPASSVLEVRRLVLLAFRDIVILPRYDTL KSMFSRLSLEFLPQILASNALASPPLPIPSPGFHNQGIAFQLSGSPGGGGGSDAYLVS SSSLPTALKGSAPLDPATASYNSTTTTLFGEGSIAGNRSRAISNVSYGSDGAVTNQFS RPFTPSSLNALGVAGGVGAGVGGASVLSSGLAAMTHAPPPPSGVHHSLRDQNVEDSKQ VTELGGRMLQCMSVLASIGVGGGIGEGQQEEEEGNRKVEELGKLLKLNWLGRGRTGRN RRGLVGGRVKRGGMGLGLGIEGGIREEGVVGRG QC762_305240 MMAFQAHPGGMQQHPGAPPGHPMAPGMAHNPSQPGATQAGIPHN MMGHMGVSGPGPQMNAAALMGGGMPPGAGHPGPHGMPHLNPAQAQLYQQQQMSAGIYA HNPALQQLQQQQRLAELQLQQRQRAAMMQQAGQYNNLGQVPIGIPLGQMNQMNPAHIA AMRRMPVPLPAHLQQTQLAQHQQAQSMNHVNMAQQIALQQQHQQQLNQMQNNPNHGQM NPQAIISQQAQMAAMQQAQQAQQQAAQQVQQQAAQQQAAQQGQQQPQQPQQQQPQQQQ PPQQPGQPQRQATPSQAGPNGQAPTPAPTQGPTPQPNPQQQPHAPPQTPQTTQGQPIQ QVQLVAQAQHAAQAQVQAQAAQVQVAQAQAQAVHNQQQAAGLALMQQQQQQQQQKRNG MELKGQCLLKLMQFSEHLSGFPGPKGQDDLSYWEDFVKMFFSQKGVFKHTLLERTAEG PVEKPYEIQYPALPRYFHSHFDSGVKTMQLIMAKGTTDRALPNDCHFIENTKASLIYR FDQNCHVVADGILRASFDSEQKFELFEFITTDFEEFVPRSMVIQAARPAHNWVKEWHA LNSPDNKQSPEMNKKNKTKQLKTPAGPPPDLELPDSYVSPGRAVPGHVYQFLEMSEIM GQMTPLFDFFHAHPGIAPYAAMEQYVSRINSGAHQGMNGQPMPQGGPRTPSFGQFPMG ASPAMANIGLPGSPHVVNSPAPGQLAAPVMQHQMSQPGTSSSGPSANTSPAQGNKRRR STVKEEDTPQSAPTPGAMGTPQMNGVGIPGKGKQPPTPRMQKRMKGNPA QC762_305250 MRSKFKDEHPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGGFETA QC762_305260 MASRRHAARFLSQFKLRPQLASRRSLSTLPSSTSSSSTFVPWAR YAGLAAFGLIASVPLTYAMAVTEPLSMDSLSLAERDQQQKNEGVSETSPMRLRMEKFI KEQQEQIVKALEEVDGTKFRKDEWQRKEGGGGITCCLQDGKVFEKAGVGVSVVYGTLP KPAIMKMSANHKNIAPDGEVPESLEFFAAGLSLIVHPKNPMAPTVHLNYRYFETAKPD GSSGAWWFGGGSDLTPSYLFDEDAIQFHRDLKEVCDKHNKDYYPKFKKWCDEYFYNKH RGEARGIGGIFFDDLDETVSDKENTFAFIQDSLKSFIPTYVPIVLKRKDMPFTEAEKD WQQIRRGKYVEFNLVHDRGTSFGLNTPGARVESILMSMPLTASWRYMHEPEPGSREAR LVEILQNPKEWV QC762_305270 MTLPTPRHFLTNLINRLSTIPLEPPQPPSINRTPNSNALSRIPV SHRHLIITLHVLFPNLVLPSLDLLDRGLVQKLALSGKIKSEEPEDDQAEDVRGAIYVV YSTTAVPSRRRKSVKPDPDDDRVGNRENSQKYVVHLQAWNCTCAAFAFSIVQSLLDEQ PPQIPPELHLAEITTDDDKKEWEFGGMSTDGKAPNGGQIPTCKHLLACLLAERWGNAL GGYVTSKQVGKGEMAGIVADV QC762_305280 MPTTTQETLSLVSRSVSVAPLVLLSVVDHYNRTDANTSKSKRVV GVLLGQNDGKNVRVSNSFAVPFEEDEKDPTVWFIDHNYIENMNDMFKKVNAREKLIGW YHSGPKLRASDLEINELFKRYTPNPLLVIIDVQPKESGVPTDAYFATEEIKDDGTTAS KTFVHIPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRVTNQLQSLQGLHFRLRDIQI YLQKVLDGELPVNHTILGNLQDIFNLLPNLSTPKSGGKADSDLQHAMSIKTNDQLMAI YLSSLIRAITAFHDLIENKNQNRQQAEEKEAAKKEEAANGKDGEKKEGGPAANGDARE GDKEKEGKEKKK QC762_305290 MGLASSFEHPLWCWFGRHCMTGASAMAWQGPGLIIGPYQETGPS ILSSLGTISPPTYCQRRRKHHLLYRQQIFAREYPVLLSCPENYPTNTDTMRVFSFLIA ALTFFAGVIAVDIQKSVLISYPPETPDSVVDNAKKAIKDAGGVITHEYTLIKGFAAKV GEKVLETVTAWGEEYKVSVEEDEEVHIMGGSHIGI QC762_305300 MAQHSPHTQTALLKWVNTFDTRRKAGSLQDLRDGIIMGQILEKM LAPEFQSSSLIQAPRSESDKKQNLETVYRGLARFLRTDNPLLAPSPSEFRAIAENPDD NALCEFLSAFLTAACLGSLSRTYVPKVLTLDKASQGEIAKIISQKSQLKEERESKRGE GDSGEEEDLDIQDARDPELMQEELDQMRDKVEILKKQNADLQTRLDKLLDTREAVLHD LRVSQDELQTLKRTRGQDASVAIRDMRNEVREKMDEIDRLEVLLDKETARAARLEKEN ETLRAKAERIVELQDKVTLLEHETKQQQQMIKGLENYKKKAQDLTAIQQRNRILDEQV QQYEAEMKLFEEVKEQNRRLQKEVDEKVRVLSSNEQEIIYTLQSKNVLQDTNEELKRK VEYLESKRQLDEATIRELQEQLQLGDIMQPSGSESPGAGATKFSLEHELEGTSDPTVA LRLEVQKLKAENNLLRNNMAVASENERLRNELDLAGQRVDHYRETATDEMEKHAVAQE QIEALIANAIIHEEDAAFVNMRQQLLETTKECEAARKRIVELEQLTNDREREIIQVKA ELEAVGQEQSEALEVLKSSDELISASLRTELEATRKQLKHKVFELEQMKDQLMGVLVS KDKTQKRLDDALAAAAPNGQQQADEATPAKSKKEDAEKIEKLKTALKQKLEQLEKSEQ DKYELQRKLKVMENGGAYAAQKAANDQIIKTLQKENAMITTAWYDLSSRLQSNHVVLA RRQDAPKSWINKQRQMVNATPRR QC762_0053440 MSRMSGLAVQNSVDALPQEEELPREEEPKEVSLEGLSLAEIPSD WNGPEEDIFDQIDKEDDSGQGDDSTQEDDCTQEDDSTQEDDSTQEVELTLDEIHPHKQ YNPPSDTDNCR QC762_305310 MPRPAANFFDPSWFAGRIVTIYLGPDLQARNVHEKLLTQNSPFF TRLLSGGTRATLTSGEGLAGPASPASYQDLGQTQASVQQPHGFGQAQGLSPASSPVNT PASQNEEPIRLPDVDPKLFNIFLRWGYGNAFALSGNTGSFRLPAPYEDSEGESATIRD YLGVYVLGYKFECVGLRNACVDVLYDYLGPASADHVCLSMQDVAFVFENTPKESPMRR FLVAHLLFYIFCLNRRGTPLPEEWGTVLEKGDFGISWTLIRMLGDWNWAIGDNVPVMI IKPRSEFYEKTPAQMQRLHYLASVGRISSVPENSESSTNAVMIKREDGAVESVGQSGL RQAATTVQGLSGEAAQAPMTPSRGNVLPGSRVGPVRTNRRFGRGGPGGDHPAEPYHID G QC762_305320 MSSYYQLHQQHPTPATAPAVSHTHHGGRNRRAPRLSVSQHPQKQ FRGVRSMKELTESVNLSSFRSRFEACRSFDLEDDMEFCPGLLTETDLVSINSSSSERS SLASNSPQGSPTQQPIQVAHYPVQTGSPVYAPPYQSHSSNLKIHQPAATRARNAIPIV NPATGITMSSPPPSVSPARMQPQNIGRRW QC762_305330 MLSLRRITTSPTITSLPRRALSTTPLRSLKESNSADPSPQNFDH HKQDSLSKQKSGKGHWKPELASNSEEAVKADRSSGSSSIKDLQEKTKKAAEENAKAGT N QC762_305340 MPTAQHPQAKFDPIPPDLDLPTLVDRTPNFQWVARITAAQIQNI GPQEFEKLVVLHVVLGGKPLVIEKWNRRLPKDLFGPKWLEEMYNKKQENVRDIVGQCD IPMTTGHYLRSMKQLTNQWTPENFRDERRQRLYLKDIDCPPEWHEYLQKKVIPPNLFY MNENVDERSPMGQSDDDFDMFNEGTKSIAPAGDLMSSLPEPMRAQNLMCYIGHEGTYT PAHREMCASLGQNIMVDASGDENGEKPGSSIWFMTESKDREVVREYFLSMLGHDIEIE KHFAQINAWKKATFPVYIVEQKAGDFVLVPPLAPHQVWNRGTRTIKVAWNRTTVETLK MALEEALPKARLVCRDEQYKNKAIIYYTLEKYSKLLTDMERTSETGLLGFGQDLIKNS SRTKQLTGDFKALFELFTRVLVDEIFGTKEKEVEYIEFDSCVTCSYCRANIFNRFLTC KHCVRQLVGGDEDTYDICMECYVMGRSCLCISNLTWCEQWHWQDLVEKYEDWRALIIK HDGYVDINTSPLPLELARKRYGKKTVAQLCQEQLRRRPWKDISKVDKPKEPAEDSEVE VDENGRPKKKRRGGRNAKKGDVYRCHVCQHKDYTYKLAFCSNQGCNDAYCYGVLYRAF DQMPQEVMQNERWQCPKCLGICNCGACRKAKNGVPYIPKKTLLGHDTRPIADDRSVEL LIDFKIHNLSWLKNAGDETRALSSKRMQRLREAADAEKAKSSQLENDVLQLPDGALAD SLEEAALQQVLVNGGYGEQPAVLGVSGEHPPHQNGHTGPAEVADMSIVEDQSAYPDPS GLGPERGLGMGYYEQDDSEDKILFDPYQQPSVESLMALDDEPEPAEYLKKQLRVAKRR ARLEEDDDPDFRGPRSHSKKKAKTTNTRDGQADALNNMDPALFGDVTMVGAPADGTPT EGEAPAVQAPPNADVPDGPAQEGEEPRAYSPNRPALRHARPKISYLLDENGEEEFNEI LIPRSQRPQPLKDYNPADATKDPLDLASAAILAIVGGAAGASKSATRSPAPPGSGNAA VTGTGKRRGRPPGPRKSMPAGGSAEQTPSGHPKPTRKPPRDRTLPVQVSDDSDSDVDA QLAGALDGFDKDGEPIEPRPQSRGGFTPVNAPKRRGRPPKNAQRGPSAGASGPAAGSP FMSMADRMKAKGKKFKIASRKSKAAQDSTPVGQAASRSVSRDVDTPTTTMADKEPQRP TRATRGRSKATPAQDSDIDMADADFDPNAAEEAVSEASSPRASPSPSMQDYMEPMDTF MPSPSPVRDVPREPTVILKTQTPEPKREPTPAHDVSPSPSPSPAREASLTPAHSPPHE PSPSPYHSPSPPPSPPKPTGPTIVRLVSSDEEGSEFDDHDHDDGNESQSESRSASESE SELESEEQPGRGRDPLDPSDSDDSDSDDEDIPAVKQVVSTATRGGRRGGMVGLAGRGA GTAGSAGRGSATAGRGRGRGRGRPRGRGHYKWRGGGGR QC762_305350 MHVLFEERKLEAIYEKYLLLVAWYSKVYYKRKAPAHRSNRSPNI ISLTMSACHRLLRLPASRRALSTTKTTSKPWLPSQSYTRLSPTPRPLSLQLSTPRSLI AYHTTSKLLTQTTTTPAPSPPPQIYTPPSTGLLSLLPPSWVPYAELIRLDKPAGTYYL YLPCLFSTLLAAPLSHSPPSTVLTYSLLFLSGAIIMRGAGCTINDLWDRNLDPHVTRT RLRPIARRAITPLNALLFTGAQLLTGLAILLQFPTSCFYYATPSLLLVTLYPLAKRVT YYPQFVLGLTFSWGAILGFPALGVDLLSNTAALTAAGLLYGSNVAWTVLYDMIYAHQD IKDDAKAGIKSIALKHDKETKQVLTGLAVVQVALLAGAGVATGAGPAFFMGGCGGAAA TLGFMIKRVNLKSVKDCWWWFVNGCWITGGAISLGLGADYLVRYYSEDEKAVEREEQ QC762_305360 MSGGKPRGLNAARKLRNNRREQRWADLHYKKRALGTAFKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLLALWKEKKEKPRS QC762_305370 MSQPNRTPLKLRDPPPSLFLHPSAAPSHASLTNTQPQPSSSHPP PPPGSSRPGSSLLRTPPEPFNSPGVTSIASLTSPATINTTAAAPGLGGLASPLQPGRN AGGLGITNLPLLPRQESTRATDRTDALWAEMQATLEEVELSASGGTRVFGPDHERKLD ELRMAQIALAQAWARSEADEAIELAKPGETTAAATSAGGPGTQGEGANTEGGKSTVGT GSVPPGARPGSRRGGGMEEETDVDILLARKRREANDRYFQRVNQGVLDVVAKLEEVAT AMRAVELESKEIWGDGEGESVAGSSKS QC762_305380 MGYIDDEVKRLQSVIANLEGRVQALETKQFGPSSQKKTVEEVRA ILIGPPGAGKGTQAPRLKEKFNCCHLATGDMLRSQVAKKTPLGQAAKKIMDAGGLVSD EIVIGMIKEELDNNKECKGGFILDGFPRTVPQAEGLDKMLCERNQTLQHAVELKIDDE LLVARITGRLVHPASGRSYHVKFNPPKKEMTDDITGEPLIQRSDDNADALKKRLETYH KQTTPVVNYYQKTGIWKAIDASQEPGQVWKSLLAIFDGDKSKASKAGSTILSKLTHSS QC762_305390 MAANYWESTQRRYWQFTKEELAAMRQKLEDEDPNLVHMYPLPQL RHINIYLNQQFNRLAKRLGVRQQALATAQVYLKRFYTRVEIRRTNPYLLVATALYLAC KMEECPQHIRLIVQEARGLWPETFHGQDTSKLGECEFFLISEMSSQLIVHQPYRTLTQ LQGEFNLTPEESQAAWQAINDHYMTDLPLLYPPHIIGLTAILLAITCYNRQNAAGGAN AAGNSGGLVMAANALAHAQAQSQARAAAMGGNSGPGTPNLTPQGSFAGGNFSSQGGRE LGDGSNPTDPKIAKMQRFTNWLAESNIDIEGMIDCTQEIISFYDCHEQYEDLVVRDNI KRFIKARGLDK QC762_305400 MKVSDVVSKLEVEMAESQNQRDKRVEDLWQKLDPAGHGELDYKG LQKGLQRIDHPMKNAEHMLKEIIKAVDSNGDGKIQYEEFRTFVETAEKQLSLLFKAID RDQDGRLDKKELQTAFRRAGLSVPSRRLANFFDEIDMNNDGFISFDEWRDFLLFMPTH HHNSPLEAVLSFYSSIVTVNAEGDSLVSDDTLEGLGTTGFLFQALFGSLLRIVNPEGT AIKYTQRPSPETTVVAEPEVPASPHAPQHYHKPQPRSQLESQLHHQKQHLTPDHENEP GSDMALSSAAVGVRYGGAASSAQQMIMPSTTNQPMPYYESYEDGPEDISVMAEEVSEE VQTKLTDLLPEPGYFLAGAVSGGVSRTATAPLDRLKVYLLVNTKNVDNPVLTAAKSGR PFAALRNAGGPIIDAMVTLWKTGGFRTFFAGNGLNVVKIMPESAIRFGSYEASKRFLA AYEGHDDPTQISTVSKFVAGGIGGMTAQFCVYPVDTLKFRLQCETVQGGLQGNALLFK TAKTMWADGGLRAAYRGLGLGLIGMFPYSAIDIGTFEFLKKKYIKTMAKYYGIHEEDA KIGNVATAVLGASSGALGATMVYPLNVLRTRLQTQGTAMHPPTYTGIVDVATRTVKNE GVRGLYKGLTPNILKVAPALSITWVCYENMKKLLKLN QC762_305420 MPFSDNLYSAVDDESDFEPIDDILSQQGHELSQSAHRPPQFGHA RASTDDDDEGLDADALSPVDGYFGSSNDTSSDTSAVATSSNVPHVPNVWVEDPSLTTA ASKAREAELERRVSGVAREDYSVGGLTSSGYNSSAYTGSSPAHGYSGSFSRPGTYAQS SSPSSSSAGPSVLSTRSYTPYPHRAAYQGESSRLVPQDAPPAYTPSPTSPQSLQGGHD ASRNYSTFSAPTGTMGRLGETQGLLGHEPESMRDSGNGGSGEDSSRWRERIENRISSF DRSYCKFAFLVVGLVFLSTGFLTTLINSVRDERPHLHPPPKMTYPDLDNKLPWQDGSS CGTDRITRDPESFDVLFSSDKPLTIIQNNSHNNDHHSYKPIQIHGSVIFRQTDADHPD PKLVVETTVNNDLIAAAITWEETSQKLVVTIPNVLLSDDTNDQTTPWPCIHLTATVWT PPSSSLLTLSVKTTVLSILLVDNLSLSIAESTSLSSTVGSIVSDTAGSGSQSLTLSPS FKFISPLIETTTTSAPISGSWPLYNYLSFESTAGNIKVTILPQPDLDPSHPKPAILNV KSSSGTVELTEPIDAAKEAYELAQVLAAEGAGYLLAGKAEEVIPVRDYRVDVHTTSGK IKAKLAVTDGARFRSTSGGMDIDVLLVLDGGVYNLEGRKVDLETSSTSGNVGVRVGEV MWVGRDKENKLDVVRGRHGSTSGNVRVNYPKSWEGEVSLGTLSGKLKVGGEGVRVVRG GEGGWPGVRKNLVARKGEGEGGSKVEGRSTSGDVWVWIGDL QC762_305424 MHLPTHLPLHSSSTLDTALQLRRSRIDILPRPFSNCAAVVSSDM RACQRILKTQHMYCFSRIQCLLYSWGATITPRNFD QC762_305428 MYSLFPTKSNRFAVTMMASSTSSPSQGKALSSSPSLGSQPTENL APPGRPFLEERSLSAASVASAAPSSASVSAGEESLSRPGHNWAKPNRRAARGSVLGGA VSGVVVGSRPRPSLSGGVLAVPYLPFSLPPVLPGPVSPPAPTRPAPPVPPSRPPPAVP SSPAIPARNPARLGPASPAPSGSARDDKKTHGVKKEEK QC762_305430 MSKKARQRISYVLEHPNSSPGGHRLGVNGLAIDQTNSILYSGGR DGVLCAWDLNRDLVAPQTSHSAMIDETMSASTSKRATTFRAQTQAHTHWVNDITLAEK HTAVVSGSSDLFVKLWRPLAETPADPVTIGQHADYVKCVATPTADTNWVASGGLDRKI NFWDLAGGGNILEIDARGEEVAEKGSIYAMGVTHNVVANGGPESTVRLWDPKTGKRIT KFVGHTDMIRSILISENGDLIMSASSDQTVKVWSVTAGRCMHTLTMHDSSVWALFSDD PSLHTFYSADRSGLVVKTDVRGTNGEFDNGLSLAVAQENDGVTRVVAWGDSIWTSTSR ASINRWKNVDSSDHTQLPEAFRAHRASLATIRSRDGSLSSTTVPPASGAESPYKPRHK RNISEKSILRISNTAPLPFTLGSGNVDENSVSPGSQVPEPAFNMVELPEPIQHEPEET IAGQFGLVKHRLLNDRRRVLTLDTAGEVLLWDLIQCRPIQEFGKHHLETIVPQVNTKE AVAPWCSIDTSSGNLAVVLEPYNCFDAEMYADELGSVENTEFREDQRINLGKWVLRYL FANLIDEEIRRDEAFRHKLNEEVLKKTAGGGRMLPPLAISIPGPAGWQFSEPSSSATP KATGMNYPPMTPGMAIGLATPGSPMAPFLDGGFTTPLSPLEKRTSQVSRPSQEREDYF ADAIQTPGLDQSNRLAQTPATEVPPTPGPEQPAKTPGATETNGKEKEKDAKDKEKDGG KTPSTPFGGMKKFRMGGFSMKLGRSASTPQAEKPAALDEKAEESESNGSQHEREFDDN LGGVVQKIKAEYEEELVDNPGQIVETKITPSLPNDTPVLKLPPGTKVIIQEETSGGSA EVYRGTVGSVGTDADEIEQKGPKWLGECLLQNVLPVKDAVKVSFVLFPYKGELPELVA APDGNNRLNANRMLRVKKILGYVAERIDREWKEEVERVEREGGEVGEGVMRPEEYLEL WCNEQMLPNKMTLATLRTHVWRGGNDIVLHYRANGRKEIKLTEQVEEVVKEEEQAGKK SEEVGTPGV QC762_305440 MSEPPPPPFNTALLSGALAGTTVDLLLFPLDTLKTRLQSPTGFF SSGGFRGIYRGIGSCLVGSAPGAAFFFSTYEHTKSFLSHNFPPLPTSPNQTTTPAYHH MLSASLGEIAACAVRVPTEVVKQRAQAGHHNGSSAQAFRHIIAQYSTIGLSGVWKELY RGWTITIIREVPFTVLQFPLWEGLKSWGRARKQRTGRGLFESALYGSVAGGFAAAVTT PLDVLKTRVMLSTEKQSMFKVMTDILRENGIRPFFAGIGPRVMWISIGGAIFLGSYQW AVNTLSVGGEKKRGKESVL QC762_305450 MGTLPPVVHSTARAIAPREFLDALHATCNPRFPYDRGRSHKPIA LAISGGVDSMALAYLSTKIRTTDHWFKVADHPVSNPVAFVVNHDLRKGMVEEVDQVIK ALRGLKIFAYVAKIDWAQVLGKGVDPNTVPNIETLARQQRYRKLGTFARNCKTMSLLT AHHEDDQYETILMRLLSGHGYRGLRGMRPATDIPECYDMHGIYQSGFIDDQRSKHPVW NIYPNRAERTKILRALRDDFHFDLATFAEKAEPMMWRTDLKDAFSADQDYDEWIARSN KPAPPLPTMDFEDGGVMVYRPLLHFSKDRLIATCLENNVPWFEDHTNKDPTLTMRNAV RQMWKNHRLPEALQKPAILRLAERCRKRVAFEEAEADRLLQSALVPHFEPSTGTLVVQ LPKFRLPRVSRLSSKSPEGRQKRLDHHRYIAALLLRKLISMVTPERELNQAGQLDHLA SMLFPSLAQDGVEPPPPKPYVICGVHFVPMMGPNSQPFRWLLTRAPYASNVPRPRTGF WAPNIRGRWGKKPHQWKTTGWSGFKLYDGRYWIRLLSRLPGNLHVMPFEAEHQKPFKD ALDEQSKNDLAAMLKRYAPGKIRYTLPGIYSRVDVTGVIQRDEYWPDIEELDKYGALR KRNADGQWEIREEAVENEESKDVEKKSSDTVEQELLHSSASKRARLLQAKAWEDDITE RRTDNPPQLLALPTLGIHIPGLEDWLRWEIRYRKLDPDMLLTVKRRKFMPKRRKVRSQ IRISYRHILLSGLIKLRYSRPVRRREVLKSKSK QC762_0053610 MDVTQHQPGRRRHVFYGFWGFSTLFLVLGLSTVLIIGLLVQLLN QDLISTTDMMQSTLSSAASLTAILLARLGLSHASNAPESLESEDFEIDLSWYPPKPSS ITNLTTVFNSTGVWGFIFNTSHTPDSQYGTYNWCNMPHVRAKEYPRPPSEYELLYVEV IHRHHLRTPYSSNSFPVEPHPWNCNNIAIYSYSSPLTPGSPPSIPGYHSPFTSPLNPF PPSPLGLQGTCNFPQITTQGLSDSYQHGLDLLSVYSPLISPTSAEFRITNNPITSQVA GALISALLPPKTTTTTPLLIQNKEIDSLEPKYPCPLSHHLFSQIQSTPQWKSHLQLSK EILTQLDIISGVPPSDTSFHISFDHYFDNLSSKQCHSRPLPCSVHSPHNNKCIPQHLA DTVYRLGQWEYHHTYRSSKQSLQASVASYGVWIAELLSHISSVTKEETKVRYRHNIAH DGSISRLLGVLQVDDMHWPGMGSEIVFEVYKQQPKHFVRVLYGGQVLKSSSPMLKGNT KGMIPLQQIMGYLGGLIGLREKDGKMMHDIKEMCNTPITYNK QC762_0053620 MADQGGSQHGIARTTRTRTPAQKQQDLERIQKYRDLESHLRQLV SSSDYSRRETFDLTTTLLKLNPEYYTVWNVRRRTLTSGLFSRRSDGCSCSRACSSSSR SDTTTTCSDESSCSYSTRTPHSQACRRIGRSGIIADQGSDDTAVAQEPTEREDGEAQK KDLDIITSELSFTFGLLLKSPKCYWIWSYRLWTLDQSILLLPVEKAKKIWQDELGLAS KMLSMDRRNFHAWGYRRHVVSQLESRELGGDSLVESEFAYTDRMIRADLSNFSAWHSR STLIPRLLDERGAGEDERRAFLDAELTQIREALNVGPDDQSLWYYHQFLVDNLVSPVR RPTIVPTLTVDQRVDYLLKEITEIKDLLEDYEDVKLIHEALLEYTLGLCQLEKRKPLE HERHDLISWVKKLKELDPMRMGRWVDLERDYGLTELLPGGGGYEYIIILMSLIHLPTV QHPDEMFWLLLVNLKDDLGAHPGPVHIVYLQHAQQSGN QC762_305480 MGAIRNTNRGYATRQSKTMNHVVPYSQGSRRKRSASSPSNTRDS GYGSLESCRESHGSPEEPDEAASPIELRELPSNIKKTGRPFANLCMNYDGNSSEDDDQ PKDDGEQTPITGSSPETITRPPTRLHRNTVALTVNYPRPRPALSTSLSFDASPALPRR TTNGSPGASFSWSRLPDRFIPARPRERETQTERYRTRKPANQLTRAEKLLRHKGAAED AFCSPRRVPSAPIFGDLRDRGEPVHHDGIRYVPPPTVLGPRDLNGTHNGDRQISYGAV WGVGGLGPGNTAVDNGRGQLVTSSTNARHFQSNFPTLQPRPDEQREKYGARLAVALGL DRAEKVLRVSLPRQVDANNLSSHGLTKWNGVQWVKDHPTIAAASGKPSKKRKLPFAPF KVLDAPSLRDDFYCSVLAFSYINSTLAIGLGDMVYGWSEHGGVQLLNGSARPSQYEYL DGPSHITSVAFSSTEGEAAILAVGRSSGMLSLMSLKDKPDRGERHGAGRRPRFELSME SPVSCLSWRPCLNKERADKCMPPEDRESFAYRASWTHEDLLVGTDEGRVLLYAVDWPS PEEKELWGLDGRVTLLLNIQVHSQQICGLAWCPKGNYFATGANDNLCCLFDYEELCDN MNNAADESGLHNESTVEAVETDIPQQEPPSDDTTGSRQMPESQIRYIKSDGVKHKWRH GAAVKAIAFCPWQDGLVATGGGSNDKCIHFFHTKSGSALATISVSAQVTSLIWSTTRR EIAATFGYASPEHPVRIAVFSWPDCRQVAAVPWPSEHRALYAIPYPSGPEEEKRTEKG YLDELNPKRRYKMEGCIMVAASDNSVRFHEVWGRDDKVATMGGVGMLGGSDILEGLEG IDKEGDVIR QC762_305490 MSNPNALLLLADHIKLSLLERQRAKNLNLPNDTQDGHISRSLDQ LRDGIEALEKEQQRLQEAGEEAKSTALLTTLTSLNKQQKDLTTQFHGFPTAATTSTLT HPNDPSLAEDFAHAQSAPAPPTTTTSQKKNVRFTDDNNDTDLEAQRSSLFSSQQPYRD EVDDDSAGYRNEAEGLSNTQIHAYHRQILEEQDAQLDALGLSISRQRELSMQIGDELD SQVLMLDESERVADRHASTLNRARRQLGRVARGAAESGEGRQMTAIVVLIIVLVLLIV ILK QC762_305500 MDHDGQLMAVNPDTPFQQETPTPQPDQNQDGADATANTTTTTTT PNPDVSTPELPAAFPKRRRGRPPGRPNMSTKEEEFKDHPLVKNWNAACANPKNPILTV AVAIRDALSDTALKHENQRKIFRLPSHEYIHFVQGWITARHIAAQRPSYVNGLLIHSR GQDAPVSCTTCAERRSKHSLGPFLECRVLPEFFHGSCSNCKWFDNTSNCSLYKGPKPN RKRKAKEDQAALDAIEDGEAGPSDANQMVVTQQHQQPAAAGQTGDHIHPQLMGTGPNM HTGYPIHGLQTGQHMTDAQFALSEGGQGSPGSGDGETEGEGSGGGDGDSDDVDMQVSR NLGAFVQ QC762_305503 MGSENPEETTIGIAALLFAVVQTIAALAQYISVSSRCSRRVSGV FDLTAGFWFHLSSLSWNPQYRMSVLTMPGLRSEPVVTMERDPSNAEFRPGKNYDKKRN QC762_0053680 MGHQVQVRHGSSPGLEAAAWSQFLVNYQAIWWGHANIRWEWRLA TMIPSDIHGTTIETTMADVKLLAALSGMSFSSSPGVIARMKCGEMLTKSQHMVLGAVV YYRSGRENIAPKITVKVLCKSSTWLHCLLEMQNHLTAARPFPSTPTDDSKQHKITTLL SRPRTEYGAQPPFSLGNLSFFSTTLFTHPWKHNLSSGPPPALSLQAELKLTPLSFLVL NQDMKC QC762_0053690 MAVAAVNNDFLGRVGKGVLGTTARELAGWVCKGDQNDRGLRREV TGCIQRALEAGGEEGVVGEGSLELVKVIMANTEVVLRGVRRGLGVGDIWVGDHGEGEV WEDDFGGVVLGA QC762_305510 MTGYKTAASLSQHSPPLALFTSTSIIMKLLALISAFLLTTTTLA LPNSRGKLSKGTWQTLPSISDLPRQEHVTLALSPSSLAIFGGILPTNDTSSPLPYSTT NILQIYSIPNKTWTLAAPAPLALNHPNAAVVDGKIYLLGGLTEVDNWAWRPSPLSFVY DPQTNQWADLPPLPDSHTPRGSAAMGVHNGVVYLAGGLTILPLIPELGPQQTTDVVSA FDTKTNTWVTLPPKARRLPEGRDHAGAAVYKDKFYVLGGRRDGQDNVKDTVYELDLKR LGKGWVVEKGRMPTARGGVAAARVGGRVLSWGGK QC762_305520 MMSSDLSSSHDAWASTPPKVTRPNPCCEPPNLLGECMFLGFVAI LGITCVLLCKLILWLFHVEIDFGQPAWLQWLQRPEKQDVNTWLNGQKSIINFSFAGVS KPQDTLHLRAAANENIALAFNITNSLTTASTSTHKNFLKMASGTINRPGRDWIKLFEK ATSTLTAELPRDTSQPLHLAEATRITCLKVVLIDNFQISSDSLPRDSLVIITDEINNQ WLKAKSSSEKPVISSLLIHHLTALQRRDPRCLCWPFDQLKPEEILSMIMPQYETLWRV VMVTYILAFHLYPSPTLPSRISSVPECLGTNSLEEREALKLAKEGLRLTPSNKRIYRH TPSSSFKIKADLELMHRHPSIWGPTALEFSPSRFDNLSPLQTEAYLPYSLRPHRCPAF GGFGDRMVTCLVVAMGRVLDTKAGKVFNTEPTKRAGVVDTGRDGLEGWRYQRG QC762_305530 MLRLTAALSLAGVVSASFENNLAYLSPSRRHASLAVPLAHVQKR QSGSLYTAAEVNFTHGIASGDPYAHSVILWTRLSPTTDNVASDIVPEGVVPIYGDEGA PPSSRAACVEYRIGTDEALTNVVNSGRAYTSSEVDWTVKFEATNLQPFTTYFYRFNVC DSSKSSVVGRTKTIPTKDQTVPRNIKLAVYSCSNYPEGYFNAYGAVNAKDSADYILHL GDYIYEYKAGLTDLRKPLPDRETYSLYDYRKRTASYRLDPDLALSHQKFPWIPVWDDH EVADNSYKDGSKNSDGEEFRLRKQAAVRSYFEWMPLRQVTMDDSLRIWRDFSIGNLFD LIMLDTRQYNRDVTVLGPLGGIIGGNKNEVEEQADWVNRTIMGFNQEAWFYTKLQESS DRQARWRLVGNQVIFSRVTIGVFNDEPFNLDQWDGYIANRDRVYKTLVDNKINNTVML SGDSHAAWVSDMVWKAEEGYDENTGAGAVGVELAGSAVSSSSPLTGIVPRLITDPISK LLIKNNPTLQWQDLYYRGYFEMDIGYDAIEAQFFGIPNLKKRSTEEIKIAKFLIRDGE NKLARNPTVGGGVAYAGALKNGKVEKAP QC762_305540 MTRTTTTTMALSFSLLLSIWPLLVGVCAIYCLSIAVYRRYFSPL ASIPGPFFNAISYLPILYQQGILEGQLLHALEIWHAQYGPIIRISPNEIHLSSPEHYE TIYSNSLRTGFYKDPAFYGPMEGPIKTPVMLTMIPNEEHRVRRMGMNPFFSRRSVLGL EQIVRDKTEKLVKMAEQFLSQKGGEFDVHRATRALTVDIITEYAYAKSWNYMDLADWQ GYQEAIRAVQTFFPWFQTFPSLVPVFGLVPDWVMVKLYPHFGKWFDSLEVVQQSVAEV KRDIALGIKPPRRTIFHELIDPELSETTKDLPSRQKLPDAVVFADAVNVTGAGVETTG ATICRALYEVLDSPEIARKLREELKTALPDPFVVQNMSLIELEKVPYLTGVIKETLRL SPGVPGHLPRVVPSSGATFDGYTLPPGTVVSMSAWSMHHNTEIFPDPDKFDPTRWTDP DVDAVHAREKCLVSFGRGTRNCTGQNLAMCELYYSVASMIRRWDDLKVHPDFGREDMK LVEFLLSYHPKKARKLKLVRG QC762_305550 MAQYSTPNVSIPDLCEYCSRIPFDLLHADSPIFLGNNSTWDIGT ASRIRTSTCPVCRLVSELLYRHAKRPVYFNFNPDSTLLSIKWDYGLDPAFPSRRCFLV DRADSSRPGHAPIGLCFLAANHRDHGRSNYLHYELSKTVDIERTARWIDECSRKHQCV VAPAGESFEQAYPGLQFLRCIDVKLNCVVELNHIVPYVALSYVWGSVPNFRITKANRK ELKYRDSINKAWNLIPETIRDAITLTRKLGLRYLWVDSLCLVQNDPSDLEPGIELMDQ VYERAWVTVVAASGFDANAGLPGIETGSREVGCLAHEIKPGIFLGKITSIDLSMHESP HMTRAWTLQERILSRRNLYFFRDQVVFRCNRVEYHERLNDNLAQFHQVVPRAMDHLGE SAFTALRVYGSLIRQYSGRSLGNDADVLRAMGGIMRRVSIKVGYPLVQGLPVAHLDIF LLFKGNNVTRRPGFPSYSWAGWRGEVRMIFLEEFESQLKIDEWLESHRTWIVWYTTDS SGRTTSVIDQINRTHHRTLQGTTDWRASWLYPNEPRVSFQQFQSGLSLETLPRPIHNP KPYPILRFWTWVLTLTIQMTDVFTSDARLLGANGEDCGWMSLDDLGGSESDIIFHDSE PIEVVLLSEGCPQKTFRNDEKYVLDTWRPTEVEICDGLHYNVILVKWDGGIAERRGYG WIRKKALHLGFGQGPHWKEINLG QC762_305560 MPARKNHAPNSNTPLFIVNSSAKIDPASRKQIRSHVMRGKNRKR ASQKDKPLIRSWINDCQVADYSPNSIPIPPRVGNDLSHIAFITPLAPYMQELMFQFFT IIKQSAYPVETCVQPDSRQWVEYLTYDQAYLHSALFSTNAFFDWRRSAKFGNVTLQHL TTCISRLRQNLLDACLATSDSTITTVNTLAMMADLFGDYDNARKHLQGLSKIVEVRGG IKALQYNPQLQSKILRADLGLALSTGVKPLFFSEGFSWEPYLSSQPQSTSSKPTGAPA WDMSFVTDPRLLNVYLDLREFSRAANLAKQIGSKIGAAEYLETLISVQYRLLALRHED GLSMTAEERLLSVGMLAFTTTTFLHVKGLPFKFPHLQEQARECLQNLDTKKQSGNLRL WFLFVVYISALGSHGTAEDGGLLVEKGREIVEVMKGEKSELGWTDVRDILMEVMWIDW VHSEDGTEFLDKIATVRKD QC762_305570 MTPSSTSSASLDLGRPTQSATSIFISSQFRTKPQRLTLTKSTSL AGKTALITGATTGLGYHAAHDLLSLSLSHLIIAVRNTEKGEEVASGFRRKFPSATITF MHLEMSSYPSIQSFTSALSDKFSNTDITKPRLDIAILNAGVVSANFSLDPVTGNDRVI QVNYLGTFLLAILLIPIMRSVSGGNPGRLTIVSSGGVYNSKLSPPSPGVPFLSSFNNL TTSPSSNGKGAYQAMNHYFVSKLLGQMFFAELIRRGYLPPSDQLITNLVDPGFCKGTE LQREASGILLVGAIRLVKAITARDIKDGAWTYVDAVVTKGAESNGCFVMDWEVSPFGY QVYEEGHMSIMKQLFEETIHELELAGIKTVLEELRAARKGW QC762_305580 MVDSGQPPAPSQSTSSRSSSEKLPIPDQPRDLEKTSESTPPTLT DLYGPAPDGGARAWFVALGAACIFFSCLGIVNSFGIFLQYYGTHQLSSHTPDQISWIG SVTACMQFLFGAISGPLFDRYGTWVIRIGAILYVLAIMMTSLCQEYYQFMLAQGVLTG LASAMIQVPAFAVVSQYFDKKRAAALGLVVSGSSIGGIVFPIALGKMLNDTSLGFGWS VRVIGFIVAPMMVFCCFSLRARLPPRETKFFLWSAFTELRFVGLVAAGFFVLLGMFTP LFFLPSYAVSKGMDKILASYLLAIVNGASTFGRILPGILADKYGKLNIYGFGSLSTGI VVLCLTKATDTAGLVVYAVFIGLASGTIVSANSAAFSTCTTNPQNLGTYIGMGLAVGS IAILVGPPVNGVLLDKYGGFLEASLFSGIMCLAGGVVILATKLTTPEGLFGNV QC762_0053800 MEHTGQGGAVRRRRRPAVSCITCRKRKIRCDRKAPCGNCLKSKG SSCSYRDPPLSPPKATTPRSATSLPNDCVDDENDELDVASCFSITTPPTPPSLVNTTN LGIAGTFHIHHESRQGQPNVGAFSIAHKTRYFGQSHWVNSITLVRDLFAILEPHFRNN SSPIVGCMLECKGLAKTLKSRVLPCWPYEPLFDVPPDHDDLLRNYISTSESIFRVMHI PSFTRDFHALCTSPTPPTNAAFIVQAKLVCAIGATHTDTVLRQEATQWVQDAQIWLSK PDFKHQLSLQHLQSHMLLLLARKAVGVAEDMIWISVGSLLRTAMYMGLHRDGVIGTSP NTNLFTSEMRRRIWNTILELSVQSSLNSGGPPLISLQDFDTKPPSNLNDEDLHSDQAI PKADGVFTSTAISLALRKTLPVRLAIVKFLNDWSSLGDYPTALKLDFDFRAAYTLLAK FLSHVSHSSNAPLTNVNREAVVNHINLLLRRHLLALHLPFFLPSLSRPEFAFSRVVVV DTAHRIWRGVFSTSDIGASNVAKSSACFRTVSMQVIIVLSTHLRTQASDPISGGMVRE DLVAILEEAKQWTWRCIEGRETNVKGYLFACLVKANVDAMREGLTEPAVVKRSLEAAE EAIRKAREVLKERLRALTNMEPSCGAATVLGNQGETVDICDGNNEWEQLPQTFDFFGG SDDLQGGTGMMLADGDNWFLEFGNGLLV QC762_305610 MLLNPIHLNHFHQPSPPPPPRQHVEEVDPFEALYNSLSNLTLTP TTLIPRAPAAVGKPIAPAPVPQPQPAIVDKQQDEQRIPVNLIFPPGDNVIFAHSSYFT RPKYAFQPDLPSVPQVRGQAILSHGSLPLPSPYYLPAPQAGLLVKYGADTAVTSTEAK TLMTLKRYLSDKVPVPEVFGWRRDIGTGERVLYLSLPSEEVTLEQQWPYLSDAQKEHV CLQIKDMVKLWRHLQPGGVEVVASIDNTPLKDEIFQFPSSPGLKIPAPGPFPNVSNFH SYFVATAVALSQTKQKDDTPAKINYQPHHLFPDNVPIVFTHGALHPRNIIISMNEQNQ PTVTSVIGWEQAGWYPAYWELCKARYECSKPKEENMSLTDWESKYLPAIVNLDGFGME EQGWNGRALCQYWDYFVGLMHRWQ QC762_305620 MDEGRPNNRYATHSHYWGDLKVLALTTDTFDSFRQQAPPEALSK TYRDAIEITHYFGIEYLWVDSLCIFQDSTSDWTRESALMTEVFERSPRWRCQTMSSDG RNLLNICRVEKFGQHRRHIRNKLAGRAWVVQERYLSSRTLHFTNDGVYWEYDQGPASE VSQAKPKFRQGSTHRYLRASETYRQYYK QC762_305630 MLSLPALAAVTAVAPPSTSAPSTTRSPSAATPPPSSASRSATLA ASSASLAVPAPVLSSAATLTLLPLAPSPSTSSPAASCKCGHGIICCSQIWTPEGNNSN GFWRTVFGMANLEGLLVLGCMKYFLFFISLFLSLFRPGKGREGWKHVRSFFAFERSDV SSLASGFCSFSFVF QC762_305640 MSSPTSGNSPVRSGMETPPTPHPISDEVLRAVYASDMEMYPAGL SYDRLCSWVAACPEMSICWSSPKTGILGVVIVLPMLREYWEDLLVGKLKEPTVDAHTM FPHDNKREQKPQAEHVEVAGARQIGNGKAPNGRIFAVQENEEQQEVGLHVYHIERLAV EPPTSKQKRFSEIAIEEVTRRAAEKKGWKVVGLSALVATDGGKRTFQRMGFKNTGYRE LFVTKVIRRPSYAAIGIGNSPSIPEDAVDEPEELDMLYIYPEDGAGGKSVSEIIGYGR TVVAMSEMTVKRVSQEEGELPSLLMSSNGNGCALELSSSI QC762_305650 MKFTATTALLTLLTTASALPWSFRSAGNGKHSDEITLHLTLDKG TTTAHHRPPKSKSEEMKIIMGMSDVCLRVCWPESPKCPEEWSPKNMGSEEDPCWTCCR KMEHDL QC762_305660 MVSHAMRVHQRCHDRSTVVWIPSPFTHCSLGTEGKMAPKTPMRC LRVEGTDIVDADGKQVILKGCATGGHTNMENFITGYPGHENEMRKAMLEVLGQEKYDF FFDKVCSVAPSSTTGHGLTPVKFLEYFFTPSDARFLASLGLNCIRIPINYHHFLDDHN PGIIKQEGFKLIDRIVDACAAEGLYTIIDMHTFPGGQNQGWHSDAGIHKALFWDFKVF QNSMTDLWVEIAKHYKNNTWVAGYNPMNEPADPDHTALQAWYKRVEKAIREVDPDHIL FLDGNTYAMDFTGFKEVLPNCVYAIHDYASFGFPAGEPYVGSPEQKESLQKTYERKVQ FMKEKGVPIWNGEFGPVYASPGDENYEKVNQQRYDLLGQQLKIYKKDEISWSIWLYKD IGFQGMVHASADSAYIKLGRDDSAVKHIYEPVIAHFKEAILPEHINKRYPQVWKIEGH IHRVLRETLMSEILCWEYASYFEGKTLEELDELAGSFKLENCVKREGLNEILRRDAEG RTA QC762_305665 MASARLAYMRDSLIISAFGIATDNWRLGIYAGVLSGCLQHKALR GASIIQRRSQYQPWTRDPYTHPIPWRAGKEYENDDESDRKPTFGFMPNDGIVVPHPPV ARALEIVKQALTNADFEGPIARSDGCPDVWEAIQQSGEPIFPEIVNVSPAASADLLFH SQSRPVDVAIAPAGPHSAIPPGKFIHSAYTSALNVLNFCIAVIPITVADKNLDTLDPN FQPLTDKDRRNMASCKYNNHQTNGQYADSQTDDPELFDGTPAAIQLFGRRLGEERVLS VAQIVIDAVEEWKRKHHRE QC762_0053940 MKPSTLITFLAHLVATEACLTEQERHGGHIIDLKAHLARGTINR RQANLGTVPVAVGDRFQNGTTAPIGLGYNANATFDTILNSEEIKSALFGLAKLYGKDK VQYFEMPYKTYENRAMYGARVGGSGKKGNNGYKVLLESGIHSRERGGPDHLIYFISDL LRAAKDKKGLVYGGMSYSAAQVQSALSLGIVIIPVVNPDGLAFDQATDSCWRKNRNLD RAIDGEPVSVGVDLNRNFAPVWNFTEAFAPGVDPSSDDPNSEIYHGTGPLSEPETKNV DWTLDQFPDLGWFLDLHSPATLVLYGWCHDSNQAVDRAQNWQNKTFDEKRGVVPDRSG FEYKEFLEQKDWDALTLAAARVAGGMTDSTGRFYRSLQAPHLYPSSGCSADQGLVRAA LDPKKKKVYGIGVEFGEWNYQAECLFYPTQEQHRLNMIESSVAFMELLLAAVRLG QC762_305670 MSNVDNSLDFFPHPALARCASHRKPSPLTSTAMASLPPYQYQPL DLDADSIRLVRLCKGLSNEQIHCELLETYVHASKGVPYEALSYTWGTIDREESIIVGR NSTLQVTENLYTALVNLRHPHTDRLLWIDAICIDQNNDKERGHQVGQMRKIYQNAEEV LVWLGPGRTAEAQLAMKFLEEVNEQLSATDQLWSWKLRRENWRSELNWRKRKKFLERL PDTTLAEYRGALEGLLASPWFRRVWIIQEVACSKKARIMCGRKSIPSRTFAIAPEFLN SAVDDHVQAILDVMPGFRRSESWWSHTRTLGILLRKFRDSQATDIRDKVFALLGMAPD AEAEITPDYETDTRQIVQKTASFLVFGKVVDKKTYEFPLWSLRELPEKTDEMVEEALH RMMSGGGILSTDVWIHVPVSLDPADLLNGWNTRGEYGQYSTGFVRWNDQQILMKLARF VFREALDTLALLGPEPNLGQKAFRPSVSLAVCLAAQYQQWKVVKLLIGYPTAVRKSDM DSLESPGLTRAIWMAAWKRHLKMIRLIKYGVDLDAKWEHGDLERADAIDLFNALVVVD GASDSALPEFDDSGTNLIGWWHIYVREVKIMELLAARGVPLDQILAFPSRKNWVSNAP RIVETFIMHGMEIGPVCKALCKASGVGVVDGYED QC762_305685 MWQLFASGSLSGSLFFALFSLGPLAEAAQCYGINGQKTSGTQCS RDATGTGSSHSSCCDESRQEACLSSGLCFATQRGDNHTFWSQGCTDPTGMDPACPSYC GRSSQYISMPIQPSYTMLHCGSGAWCCCYDNLGMACNQAQCCARNFTLSRGLGTVTRQ FDNNGDSVSISSNGGANPENTIDNPMPGLPANMPPWMRLVPVIAAGLLASLLLASIVA LGFSCTQNRRLRRQVESLQNLNTSLTTEKLRSYSISRSSPTPSARPSVSAPSAPPLLI TTAAANDDLVSSEPKTSVEDYLPPISARSPLTANTPSRSPHDGPSRTAMERRPSSAWV LENSYANEEPIRTPRTPITAAYHHHLSMVNQALGPSSARSMYGVGSSDPFPQTHPQHH HYQHPQEGQWHGPPGYPIAMPSPVRAAAHEEQRPSWPIREGQNARYTTYTQSGYFPDQ SESYNSSTPPEYQYEVGGNSISELPGSAERGKR QC762_305690 MSSSEEKGPLPVTPEKEVPPPQFTHGGDAINQHRDQEDFWTRNG LNLKSFQRRGDGAAIDSLDRTMKGRHLHMIAIGGSIGAGFFVGSGKALATGGPATLLI DFSIIGIMMFNTVYALGELAVMFPVSGGFYTYSTRFIDPSWGFAMGWNYVMQWSIVLP LELTVCGITIEYWTKDVNVAVWITVFMFAIIFVNVFGTLGYAEEEFWSSVLKLGATVV FMIIAVVLVCGGGPKDSAYDEYSGGKLWQDPGAFANGFRGFCSVFVTAAFSFSGTELV GLAAAEARNPVKSLPGAIKQVFWRITLFYILGLTFIGLLIRHDDDRLLGGDNPYINVD ASPFVLVGLDAKLYGYDSFMNVIILVSVLSIGVSSVYGGSRTLTALSQQGYAPKIFSY IDRSGRPLISVLFVCAFGPLAYVNLSTSGVVVFDWLLALSGLAALFTWGSICLAHIRF RKAWALQGHTLDEIPFKAAGGVYGSYLGLLLVILVLIAQLFVAICPVGGGFNDVEGFF KSYLALPVVIVFWIGGFIWKRTGWLRTDQIDVDTGRRELPWDEINEYRERLAQMPAWK RVLHTVFV QC762_305700 MAKSRKTKRQGKTTRQIGASKRSCSICQKIILAFTPSRSKKRLE EVLGTWKEVMVDSKCQQHKDILLFQNGRALRFRFPVPIPADTLVRLVRYHWDDNRECR IEATLGQTGNLVDGTLRSYSFVLLPSHPSDNLDRYGRLIHAHWIDSSLFRRWKTDCDQ HHQHCKPSSVILPALASIRPAWLVDIVRQCLVPAQLTDSYVCLSYVWGGAKQFTTAMD NLERLQQPNALSFVPLAKTITHAMAVVEMAGEKFLWVDALCIVQDDDKQKHQDVQNMS GIYANATFTIIARSATNADSGLPGLFGISQQRKVQQHTWRLGPSSTVINIQHRDWKDD QNRGAWFTRGWTFQEELFSRRQLVLREHGPAHWTCMSAQWREDIRLPDITIQMPSPKR YPYQRSIYPEIHNVFPNIPKLAGIIENYNRRSFTLPEDSLRAFSGIATALLSSFQGGL ISGLPADFFYAGLLWFPFSKDIVLRWPGGIPKASCVPSWSWAAWKGSLDLKVWRVADT WRPVGTNLRSWRGEQCFHERIFPIVEWSYHTTSDGPGIEIKDRFYALKEKYFDSDKTR CPPGWTRRPWTSSRGPNWVYNYHDESRSLRCLFHPIHLQGKGDSEVPGMTIAPWISCY TRSATLLAAEELIDSEPEYLAQCISLRDSIGTWAGFLTLNPDPLSPSETSDTVNLGLT GKSLTLVEVARGEIWEPTEVPFFHYFKDANHPERPKGAPWYQFYWVMWVEWRGDIAYR RGLGRVVKSIWEAQDRKKMHLMLG QC762_305705 MHEALQDSTIQSSLQIGQMVRDFVYESLNFESGPDVGVILAIIS SAFGTIGGLASPIRGVAGLFGTFGLTVTNMVGATRLEDEEPDTESVTVGVAIRLAQVF GFFTEAIEDMRLTIFNGEEKPNGKSLTFHDIGPIASFGENENTNGIGIDLDANPDVLP PPRWRSSLGVGGGCWMRRLGRRSLTSGFGRFLKKSLISGLLEDIRAFIMRDSSSEGRD RWCNKAGALDIDGECWFILRVDPKDLYLTREIEDTAMNSFANYDINIEHVYRNAIACQ DKLGDGQFDEWNSVFNNMGTDFLQAPPMDENGYPE QC762_305710 MPTVLGLTNDQKEKPKIGAAQAPKGYHDGSLVLSENKNQRLDTI SKRNYAAAHEMETLLWRAICDDPEQAKEYIADDCVMVNPIFHPDHSSKPVNKESEPSI SDLLENAGKFTGFRFHDGGPLVVEAGLMAVSTVYKLSLYKQSRKGGIREISASGSSSW RQTAGADWVLVAWHVAYAEDEDDEDDEE QC762_305720 MVSRKPLPPNATFDPAVPSHARSPEPKPDAQQEFVLPPFESTGG FWDDTSNDINQNQNTDAAQDMLNSHQPGQAAGNYAGLEEENVWANNNSSSNLDRVPTV LRPGSSHRMDNTGSLGKVAERTDAGLDVTRVPTILRPAGGPSSRETNPFKRKMHNQDG SANPASTSSTTPLPESLTGAFSDLAVGDTTKNPWQPALSDNSALGQRPSYQLPEPSPG MEDGWKGSETPRPSTSTPPRLLSLPSEEGSAGWENERDKPPNIQLGFTAEEDEVLGDS HAWDDLGTVNKGNGTAAVPAVPDKGGSDDEWNLIDVDPPRSSQPNVQQSPSNSKPSPP RRRDTWEDFDEEKDTPQPSTSQQVAAPVTKDQPPPQGKAPELPARTELPPRTELPRRT SSEQPPPQPPRPVDKNETYHIKNINWFDITAAKNPRSTPILVQNANGPCPLVALVNAL TLTTPADKNTALVDTLKTREQVSLGLLLDAVFDELMSERRLDPNVPLPDITQLYSFLQ GLHTGMNVNPRFIPTESILQSFKRTSLTHIHPSQRGEMSIPGTFEHTKEMTLYSTFSI PLIHGWLPRPDDIFYQSFARQATSYEDVQNLLFREEELDDKLSSSHHHEGLTEEEQQL YQDILSIKSFLHSSATQLTNFGLEVIKKSMKPGSVAILFRNDHFATLYRHPQTLELLT LVTDAGYAGHAEVVWESLVDTTGEKAEFFSGDFRVVGGASHTSSTPAARTQGSGSWAD VASSSGNRRSREQGRGGRSSSSEAPTSPTTEQEDRDFAMALQLQEEEDNRHRQEEDRR RREARLSEQYIEQQGRAAQAHNSRGGAGSGRGGSHAASRSTSSLGSSGTANTGRRAPS GVRVTSSTPTVASSNNSATPNRPRPSTQTVRSLIPPVQPAAAANRDPEAGLDDAPPSY EQASQQAAYEPPTGHPAHPASSPTAATSQPASTPGGTQRPSTGASQPSPRVNNAPRTG GSAGGRAPSGSHGYPGAGGAGPGRQGLRQGVPVVPANGRPGVVEEREKCVVM QC762_305730 MATSTLGLIPNWYPPTRENYDLILSLWKWFPAFASLQWATTWYG MGKTSLPSPLNLPGRLAWLTMESPGFLTLLYTFFRLPPQLSVTDLPWQNSVLAGLFVI HYSYRAILFPFLQPSMAPMHLSIWASAFSFQVINGLMLGSWLGGYGPTSQEEWDNLLP FGTLQFSVGIAIFYVGLAANYYHDDELREIRRRENHRQDRLVKEGRVKRGDVSRHYEV PKAGLFKYMLYPHYFVEWVEWFGYWTAAGYGCVPARCFLFNEVASMLPRAVRGKAWYV EKFGEEKVGKKWAVIPGFW QC762_305740 MAVDLSRDGRLTASGHENGGVYVFNNDAGRMVYSLSGLAKPVRT VAFSPGCKRLAAAGNAGIIALYDMEHGEHVANLSAPGNRPSWITSLDWNDTGDYLLSG QLDGKVKVWDVARGACVATHSETDKALWCVRWLPPTERALGPGMGKGERFCAAGASRS LSFYREATGI QC762_305750 MAMAAPAMRMASSASFLPRLLPPTAFAASRVQVLVRQLSLPLFP NLNIAIPVGISLGLPSLPEVLEGIWEGILKAVPKKKTSHMKKRHRQMAGKAIKDVTAL CVCPACGGMKRMHYVCPTCASRLRGFLHQEAKAKEEAQAEEEVKAKTK QC762_305760 MAAMPAATIYPQSHVGFDSITSQIERKLLKRGFQFNVICVGQTG LGKSTLINTIFASHLIESKGRLLPDETIRSTTEIQSVSHIIEENGVRLRLNIVDTPGY GDLINNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSGHSLKP IDIVVLKKLSDVVNVVPVIAKSDSLTLEERMAFKERIKEEFAFHNLKMYPYDNEEFDD EERAVNSQIKSLIPFAVVGSEKSIIVNNKQVRGRQNRWGVINVEDETHCEFVYLRNFL LRTHLQDLIETTSQIHYETFRAKQLLALKDPSAQGHGSRPISPAADREMSRSSQRMTM NGY QC762_305770 MPPPKKVDKGIVSLDRETPIQEEVKPLIEDILRTKYCVQGSVFL VEGIDTVRVVGGAGKMVRLLLGDGKLVIQGFVKGVMHWVVEGGKVFEGGYVRLDKFEV VEIEGERVLVIGDLRIVGWDEGYLGVLREEGREVKDVGGLREGGTGGERLFGLERRVR EMEVRRERERETEEEERRREEGSREELEAEVVEQEKQAVEREWEEEAKKEEEDEGCTS ESDYDDDGFEQMVISTERATQRRVMATAYTGTSVNNTTTPQRPPVFKQQSIQHPQVQL PPPSRQILTPRTPQPRPPIKPQENTTPKPLPWLASDPTQPLKLTPLSQIPYLPYKQNW MINVLVVVTQLGETESCPYPPFVQRQASVIDQSTPHRHIHLTVFLEPAGFEPKLGEVY LLLGVKNHKFDGGSLKKYASDKPKGGGRWWVEGRGLGWCKGMVKELEIWWAQQQGGVV GEEG QC762_305790 MTGWEGAFPSPSSTEPPVSCLKSCKAANSTQTTKTHKITPTFSL KTTVTGISRFCDHSDNQNTYLLRLLSPNSPKTSLPLTSLTDLFSLTLTQPHHTYLLSF LTTLSSPQIKTATMPPRRSTRATTKAASQSKLNFSNKITKPLPSRSNQKDKAVKLEEA ITRTATPSPPPSSEPAPEQELSPAEVNAAKVSQAAINRYWKGIEDSRLAKEVHKKHGT GLGTGEKVLRYFDVSSQFGPCVGITRLERWQRAERLGLNPPIEVLAVIVKEGRGEEKA HLDQLLSSTAIGERE QC762_305800 MSDSEPDLELLELLRQHIAGKPQVAAEPDTGVLESAEYVYNNSI DVALDMRSCKNAARAIYSQMQTKSYSPATWAAHELHPHPSKGDKPEDVVAFIFTMDLL NFCFWSERGEEERFSIEYKGRRWTGYWSLVAALQRALEEGIPITDPHFWQNEEELTLS TLKHVFRSATSEEMPLLAARLSCLREAGQILYEKFSCHPLHLVTSSNNSAARLVNTLA SNFSCFNDTHSPPSFPRKKPIRLLKRAQILVADLWACFEGQGPYGKFYDIDKITMFAD YRIPQMLNQLGCIQYSPPLETAVQLKRDIPSGSNWEIQLRACSIWCVELIRREILREN PGARVNAILIDFFLYDTIKEMENEGRERAPHHRTRSIWY QC762_305810 MSSDPEFDGVSPLTEAKKSRVLMVGAGGIGCELLKNLVLTGFGE THIVDLDTIDLSNLNRQFLFRQEHIKKSKALVATEAAQKFNPNVKIVPYHANIKDPQF NIEWFSSFRIVFNALDNLDARRHVNKMCLAADVPLIESGTTGFNGQVQVIKKGVTACY DCTAKETPKSFPVCTIRSTPSQPIHCIVWGKSYLLNEIFGTSEDESAFDHTTDADNAK EIEELKRESEALRSIRQSVGTPEFSEALFQKVFNTDIVRLRSMEDMWKSRKPPEPLDY KALMEKASTLDKEAVVEDQQKVWTLEENLIVFNDSLDRLSKRVMESKAAGQDAVITFD KDDEDTLDFVAASANIRSTLFGIDRKSKFDIKQMAGNIIPAIATTNAIVAGLCVLEAF KVLKGQYSQAKEIFLTPFATQRLLGSDKSREPNPACPVCSSFQIRSLVDFSKATLNDF VEDFVKEELGYGEKEFAISTEVGIIYDPDETDNLEKKLSELGIKSDSFLTITDEDDEL VNVVVALSESKEPLEDKPVKGVFSSEKKIEIPAKPKQPATETNGAASDSPPLVVSLKK PTDGVTPAKRSHPDNEEGIAKKVKTIAAGADEEGDIVIVDDAPLDAGGSSSGGAIVID D QC762_305815 MSVQYPLKGKIALVTGASSGIGHAIAKRFAQEGAKVIMASRKAG VKPKFDRRLVVGFNNPTLHVPYHMNITVKEHWETLLKRHPKIDMLINSAGISQTKLLL HTGKFEIDELLSTNLLGTILGCKYIGKSMIAHTTAARRSAKAAAAAHWESKLSSPSTP SSSEPVQEIDITTEQAELPQSQKLTPEEEEDEETTPITPEPPQLHPGSYRTGSQGVII NIASLLATKAITGSAVYAATKAGVLGLTNTLAMEYGQSGVRVNAIVPGYIHTPMTKDM TNIDKLQNLIPLQRFGTPDEVADAASFLAKNQYANNCILNLDGGLSA QC762_305820 MCKPANGSGSGSELPVAPLPVSSDEKAKDVVVDAGLKSLDHYRR ALPKWRYSLRQCLLPLVRWETPYLAAFQNAVRTPALDSYFAITANLGTHTFFMIGLPI LFWCGFRGFGKGLVHILAEGVFFTGFLKDMCSLPRPLSPPLQRITMSGSAALEYGFPS THSANAVSVAVYAILMLRSDHNIYSPTTTIALEALAYFYALSIVIGRLYCGMHGFIDV IIGSIMGTAISLVEFYYAPAVEEWMYSSNYAAPLIVALIILVLVRVHPEPADDCPCFD DSVAFAGVMIGLECGMWRFARYSPYATIYNGSDATFSLAAMGWPLSIGRVVFGVLMIF AWREAMKPTLLKFLPHLYRLLERVGMSLPRRFFVPASEYKDVPLHVRDDNLIPNVSDF PKVMRSIRGPGRGRSVSIGPQSAADAYETLAYRERRRRESLEGDNGGVKSKGSLASLR ESAAKLSGVDVFEDGGKSSGVQQQNGRVAEFEKMMGTGTVVVANEEEMVLGVEDELGE KEVFSRLVKPRVRYDVEVVTKLVVYTGIAWLAVDLILVTFEMIGLGAGHLVAAAP QC762_305830 MSLEAIGAPASEPTIFMDINPPVVVVAAADSSKPLGLNSPPDSN NAMTLDGSDSELSDIDEVADKLDGKLELNDAIQDEIRLETEPAPAPANETQQNEPEAQ PGPAVEEAEDIGEVLPDHWSGTVPVFKPTMKQFQDFKVFMTKVDKYGMKSGIIKIIPP QEWKDSLPPYDDMVKQVRVREPIKQEIMGSNGTYRQVNILHQRSYNLPQWRQLCEQSE HQPPARRGERRANAEKKPSTRSRAAANSGAPRPAAAPAKKRGRGGRATRASARTAKNE PAEEEERPMTPVSPVPEKEEVVDSVEQDPGVKEEEQCDEDDGAPAPRRMGFSRQGKPK MQSVSARRKYVRREGSAMIDEAAFKDWDYRMDVSDFTPERCEELERIYWKTLTYASPL YGADLLGTLFSEDVKLWNLNKLPNLLDVLGTKVPGVNTAYLYLGMWKATFAWHLEDVD LYSINYLHFGAPKQWYSISQADARRFEAAMKNIWPTEAKSCDQFLRHKGFLISPAHLK QHYNITVNKCVSYPGEFVVTYPYGYHSGYNLGYNCAEAVNFALDSWLPMGKIAKRCQC AQAQDSVWVDVYEIERKLRGEETEYEETEDEDEDEDDDDGDIELNGGLPSPPHSHGVR VRAPGRKRKRGANDKDGSERRAKKVRVRVKPHIEPPCCLCPNDIPGAEIMSTDDGRKA HRMCALYLPETYIEEVDGQEIIANIGGINKDRLELKCLYCRSKKGACFQCSQKKCHRS YHATCAAAAGVFVEESEVPVFGEDGTEYKEQAFEFSCRFHRTKRDRKAQGGVLEDDPR VREVAAALKQNDICQLQYFRGEIFAGVVVENRADEEMLLLDVIPNGDRVEVEWKWLLL PDPADYRLPKASANAIPMPTCRKAKQEINAKRAVDEVPRKNDEFGTGLVWAEFHTSDP IRNRQQVKIDFAKENQVWHYLGKTSTEAKAQYTENIARPQHNLKSNFLDSIPKPVSAV PVSLLPQQVRSRTFTAAYPGQVPFAGGFSAISHHGTPLGPLAPKQPKPYMYKPKNSIE PLSQPVQFMPHKFALNPAPPAAPFLQMQHHQQQQQHQYIQPHQMHYQQPIQPAPAPVQ RHPMPPPPQPHPQHTFQQQVFQLQPAPSPPAQQQPQPPPRLQVKHYQPPLKESRKKSS STSYSSERFTPVGGSNGFPQLPGLTWPPAHMKSGQYNQPPRDWPQSAADRPPMTLAMK PKGPGEGQPQIHAYQKYAFFQVHHNRDPVRYQTPYGPGGGFVNGYERNHRAFLMQAQQ ALNGGKKKAPMRTLPPLQQTKSIQPQATPSPGPGGRPQGGYSSPYAQILPRPDTASSQ QSPFTFDNLRTGFSPSPVSPYQQHQGFSVPTTPTSSSTYEMKQPVSAPLHPAINPQYG TSFQQQPPSGGNDQTNQQTWSASSQVSTPVPIPQQRPINSAPPPPKPAFTKQSHSPIP LPPYVRQMTNGQKSSPLSKPPEQAAPQQTMPMPQPQYLSHTPSGMSTMQSYSYPEPQS GPALENGWRPGSDPMVMATTPSPTTQASTHYQQMSTPEQHQQQSADMTYPPPPTPDGD PQKELTKRIMLSLRRASQQMGPLNL QC762_305840 MDDLFDVFEQQPRAPKKRKAPADQSQDVEMTDGTDHAASQADQS IKTEDASPAEEHHPQEMSHGDMKRQKLEGEPEPAAPVMADSFQTAQSREVNAAAGFAP GEEGESIVLSHNIQHQVALPPDLDYEYIPLSEHKAPEEPARVYPFKLDPFQALSVASI ERGESVLVSAHTSAGKTVVAEYAIAQCLKRNQRVIYTSPIKALSNQKYRDFQAEFGDV GLMTGDVTINPTASCLVMTTEILRSMLYRGSEIMREVAWVVFDEIHYMRDKTRGVVWE ETIILLPDKVRYVFLSATIPNAFQFAEWIAKIHRQACHVVYTDFRPTPLQNYFFPAGG KGIFLIVDEKGNFKENNFQHAMNLIEANKGSDPADWSAKRKGKGKDKKTNKGGDAPNE TADIAKIIRMIVKKKFQPVIVFNFSKRDCEQMALKSSHMKFNAPDEELMVDKVFENAL QQLSDEDKNLAQITNILPLLRKGIGVHHSGLLPILKETIEILFQEGLIKVLFATETFS IGLNMPARTVVFTQVTKWDGVARRPLTSSEYIQMAGRAGRRGLDDRGIVIMMVDDKLD PDTAKSVVVGHQDRLNSAFHLGYNMILNLLRIEAISPEFMLERCFFQFQNAASVPQLE KELTALQEEKDAMLLPDESTVKDYYHLREQLKELTKDMTSVIHHPANCMEFMQPGRVI QIETPDGVNFGWGVLFDTVARKAPKHGESDYPPQEQYFCDVLLKLSKESKSFNPAVRE TSKKGGKFIMPEGQIPEQADEDGEWEVVPCLLSCVKSLSQLRVFLPKDVRSREEKENV GKSLLEIQRRFADGIPIMDPIENMNIRDDSFRKLLRKIEVLESRLLANPLHNSPLLPR LYEEFEAKTKLTEQIKEKRKAIGKAHTIAQLDELKSRKRVLRRLGFIDEKEVVQMKAR VACEISSTEGHELLLAELLFNRFFNELTPEVTAAILSVFIFDEKVETDALKEELAKPF REVQAQAKIIAKVSAESKLDVNEEEYVNSLKWQLMETVMAWANGRPFAEISKMTNAYE GSLIRLFRRLEELLRQMAEAAKVMGSDELKEKFEAALGKIRRDIVSFNSLYL QC762_305850 MAAELSLKLTNRVPKKPIKKLAPSVELPRDATVEDAKKIVARAS GFSDFNRIGLFNPADGKILKDRKALIRNEEGVIKAGELVVKDLGPQVAWRTVFVIEYF GPILFHAFIPLIRPYLYSIFPGQFKYISESATPITKVQWLLFALFHIHFLKREYETLF VHKFSANTMPARNIVRNSAFYWIMAGLLCALDIYAPGNLSARDELVPLDYFGLALFTF GEVCNWIVHQHLASLRKPGGTEKGIPNCIGSNLVTSPNYMFEVTAWVGVILISRSWAV VVFICTGIIYMRDWSRGKEKALRKEFGDRYKNKRYTMLPGLI QC762_305860 MSTSKPSPPTRMLFRGSSSTLSAPEVSSVAVTAITFQPQDGTSS PASRSRTESVTSTPSSSFLSKGKSPLSLLSGPSTSGPSTSTTVIGSNHTSPPLSLPQP PVFPSSSQPQSQPHLPPPPSHHHPPPSVNNSSLQHPSRSSSHPFPVTAPPDPKAIDQA RTALLATLSNYFDREITPRAQFLHQNNAAIEKQQSDLIKATQSLKKENDKLAKMADTY GKKVKEVGNVQNWAEMLEREFLILEDTLRRAKEGNTSDEEEEGSWTGSESCWSGSEDE GEGDWDRGEGEGARPEEVPLPEPEPGEGGL QC762_305870 MDFPRGLPDNPAHARRAVEAAARANPVPPPPVPSIKDVPSNTNL SASGPISSSQVLALAREAMRAAHENEAKAAAASGVSNTLPKPGLTIDLSRKKIAKLPE EIVDIIKDELERLALSHNYLETIPSRLPECTSLRYLNVRQNQIKEFPLALCDLKSLEI LDLGRNQLQTLPPEIIKLSSLKVFSIHKNQITKLPLCLAEMPSLSVIKLEGNPLEFPP REVWDSGGDNAGAAKESDMTEVALTTRIKKFLKLTASSMNGRGDSDSVGDDAEGTETP RPTIKRVFSGRFPVRVNGSDMPDLRSPALTRPPPIPSRSHYRGLSQQNGAQRRPGVMP LTIGNPNERVRSNSETIVQTSSRERSESRSRRMGIVSKRSELSTLEEIEGTNRFSHYR GLSHGSAMQGNGTVMQVQSPNVTSPAEPALQRPVYVRRLSILPERRRESKVFDPVLEA SKGILYSIFQIHPMIQMLIGLTNDGTSRRSNSLEIVFYNTNAHVEQLELEIQKHDQAM DAGGSRENENVQRACITLINAYTHVCSLLMSNVDLFLDNGDPRYIRTLLTQLYNSIME LRVTCSQVAPRSLPPHMRTDPGETLRPHSRENSFVPPTADRPAMINNRSRNGTFVHHP SSLRVTTDVPLGPFVNGSSRTAIMSAATPRSGESFASNSTSGVRNLSADFTEEDRVFE RIFLSLTKTADLVMRILPQLSQQLSSSMRLAMAQRAPEHVVQPWKMLIHRCTVSIQQT ESLKQKLSTIKLKEPGIRTQAPFWGLCSSFIDSWYMLVTKIKQLQSEVQLPIDTRSRL RPVHQSMKETCDLIHSSPWAYFTRQGHHHGHHGHHGNHGHGPNHGSENLSPYNLQPLP MTPQSAALGPAVQATVPSTPQSASFAAAFQGNVFERADTLLSMGGLGMSRHGTMNSTS TTASLTTAGSMHSVNSSQDIPTPSSALSPMPWPGHGHSQGSLSVLPLRLPAGGSNGKM NGF QC762_305880 MPLVRTDSMQDIDFTLRRQFGKKSFRPQQREIINATLEGKDVFV QAATSFGKSLCFQLPAVIDHGITIVISPLLSLMMDQVKALRNSNIDARTLNSNTPFAE RDHIMKDLGTGHPLTRLLYVTPELCSGPYFRERLELVHRQKELARIAVDEAHCISEWG HDFRKDFKRLSWFRERFPDVPIMCLTATANEQVRNDILTTLGINGPNLKAFTMSAFRP NLHLEVRFTSDQTDDRYDDFVSWLKGVYERRGKPDRKPELDKMGERVENVSGIIYTTS RDECESLAASLRSHNIAARPFHAKLANQDKERTLQKWIRNEVGYDIIVATTAFGMGID KDDVRFVVHWRLPKSFEGYYQEAGRAGRDGKASYCFLYYSREDRDRVCGMIVKDNTSG DRRDNGGEANKRARMESHEALVRYCEDTGGCRHAAITKYFGEKEVPKCDFACDWHKDR EGLKRRWREGLASEEWVYTQREEGAFEDYYYSE QC762_305890 MSSSSSSSRKSRPKRDTSVGSSSSSSSNEHPTAPLLHPSSAPTR ARSKSPLPPPPTQQPLHITIRFSTSLPDLELDIPSPSTTTVIALKHLIRQHLTPTTGA TSNLRFIHNGRILPDTSPLSTVFKSLPPPPPSQSLPDPKGKRKDAPVPERRVFINCSI GHVLSPEDLETESKAATAPAAAAAPTPAVPEDGGGNRTGREPRGFDRLAGSLTREEIV NLRLTFRGWHAARYTPDDMPSAERMLELEDAWLDDGGGFTGQDAGEGGARQEEEGEGW AGNVDLLVKGMAIGFIFPMGVVGWLLREEGLWSKRMGVFVSLGVLLGVVVGFVREFPG H QC762_305895 MRLAMASASNRPASMRIPMAGGRALPGSSMRGRRRALSASRAES SLPPLSASHLEAHEFHYTLAPEDQGVDLHLDADILPPPPPRCLRSTEPHRSLIPTPGW SNRSLLDPDLLGLSPHPVTGFGFRLNEPRARNATIYLAAEPNSPLAATMNAPRDEHLE ALASLNRAARLGAQSAQLQHSRSIILGPAEEFFDSASAQAGRLYGQAFLNQGEVTYLA SRRLEDWLEGISLSESPFGPQRVALPEVSSSNGGQAGSLATVMEDDGVKMGESTTENR QTGTKRQGANLEAGPSKRVKIAAPFRRALSRVSGGAVTRSSVDDHGSTMARAGTAMSR HVGESGRSTPFSMMSRVFVPAPVITTRNFKICVLGHPGTGKTTFLNRLVMGRYIPGAP STSTEIRTISTMSTGDATRRTLAQVELWDFPGMIAGRHDTQLQSTFFNAAIICYDLED SRNLDGLSTKPMLAASLHGDVPLIVIGLKVDRRPTFPNLGLRFLVPPEPATDIQGETA AAAINAAGFAECSALTSENCQETWQSIVDYLVDIQEKHEKAIEEARAGKGKEKMLEKA KKVWRGFKKEMDVKLKK QC762_305900 MSSSLLSPLFRRQLFTSLHKVPTRRAFQSTPSIMSEKLKPAARV AGRRQDVWSIINEAASASPIQPIVNMGQGFFGYNPPDFILSAAKSALDRVECNQYSPT KGRPRLLKALADAYSPFWGRQLDPNTEITVTTGANEGMLSAFMAFIEPGDEVIVFEPF FDQYISNIEMPGGKIVYVPMHPPKEGAVKTLSAGEWTVDFDELEKAITPRTKMIVLNT PHNPVGKVFSRSELEKIAELCLKNQIIILSDEVYDRLYYTDFTRIATLSPEVEKITLT VGSAGKNFYATGWRVGWLIGPPELIQYVSAAHTRICYSSVSPLQEACAIGFEEANGHG FWEQCIADMTAKMERFTAVFDELGIPYSKPEGGYFVMANFNKVQLPEGYEFPKHVEER PRDFKLAWFLIQELGVAAIPPSEFYTDENAGIVEDYLRFAVCKPDNVLEDAKERLRGL KKYLKK QC762_305910 MEALLEGGGPVLPRTPSVTSSTVLDPTVQPKSVKRPRPVKSCVE CRKRKLKCDRVAPCSQCQKSRRPCRYTDQENGGGSDGSDGESAERPVKRPQHLASIND GDYPRPFSQSNGMEHYHKPQVGLDEMAARLERLERIITEKSSPTFPYRQSMAIQSRPF NPGFRFPSPQGLDSTQVLLNIFDEADDLLRTMARTDHLRGPFARLQNACRVLKKEHHK ALEPITVYVDSMMPIQKRMNDVLPPRSVCDRLVNQYFVVSEGLYRMVHVSSFHQEYAQ YWDKRGCSESFLPRLLCMISIAARFGTDSRGLGQDRFTSVNIPTACILVRHWLDDLRR KQITDLTTLQTELLLLHAERTICPHQRSSWTQLGYIIRMAMTMDLHRDPSELPPSSPF IGEMRRRLWYTLLEMDLHISLMCALPFAIRAGEYSCKPPSNLDDGSLSPTTPSLPPSL PLDHSTSNRLQAYTSRTLPARIEAAALISHLPTLDSYQPILSAGQNLENLLSDIAALF PRHASLNPENIHKEWRHRALLDMHVRRPLLALYRPFALGLLSDPSSCPPNIELSYLKS AMAILTYADEIDPRSPGYEEVVAMYLFVMKKDIVEAGLGVCAYIKRAQQHQQQQRNNS QISQNSDGEPWHHPQVAYPRQYQHRHSQSNSHNPYDEDLLSVVGTGARGPSYPWSAVT MAQTVERTLDRLVTLIPDASSDVNEIVALGVVLSSVWPGTMDEKMQKMQRMVGRVQDI VGGALAKMGISASAVQHQPAPPPGYAKLAAGVGGPPVTNGYVNDGMNHDDGVLNWEHF LERWDTLAGSPLIPPPGPQLQAQHQQQHREYTAASGLRN QC762_305920 MADVATAATPDVPNGQEHKYHFNIVMSCGGCSGAVDRVLKKLEG VKSYTVSLETQTATVIAEDSLPYEKVLKTIAKTGKKVTKGEADGEERSVEVPKDE QC762_0054240 MADCIRGNSVSVVKAALAALVDDPEQLDRTRKRFMASPPSDALQ SHDSTRSESPDAPNEEQQRRDKRQLQLLERTASLPDKQLSDQVFEEEKRIIETVEHQK LHAPVSTSHYKLTKEAVRKRWVKQGIWNNKWNDMAQGRWKHEEPLDLSIEPEARAEEQ AKT QC762_0054250 MIEASLDLASASKALCRSLLEKTQPVPGDTLFRNDIFKTICENI HNKNEARVILDIHRLIVPSAEILAAFGAKHLNILTESVNEGWNSSISFTNTRPQPDYS VGFKRNAFTKDQLAKLSPFISNFIAGDQSFFMATYLITGEDISYYRHLICVFSFIELD GKDKWTAYQFTRNLYDIWVPKHFENICSAIDQLPSNLDFDVPTTF QC762_0054260 MDDFLQSLNGYITQSGLKWLEPRYYMGIANYCSLKRTVSMMNAL LGFYKNYSRIEESALPRTEFQRPLPEDYAMRGLTWADRVSPNTFFSNEKIDDDEKYVD FPFTAEERKERVLWLGHKIASSRKWLRYNSSIHEFSVDDDEGDEGDEGDEGDEGGQGG AVEKERWRGKGSWEEG QC762_305940 MIAIHHAIFIPESKVSASGVSGYGAVVEHTDIQADSIWVDLCRN CRVARDACKAFLENYVIRSIINAPTLDSAEEEKEKQYIITAHMISTSCLHQRIYQHSY TIILDIMPLIDTDSNESTVSPTQQAPSASAPSLSSRFGFVVPEIPPASTPTSVLRSSN KRCRPPSELPTPEKCKWSTEEDNKIINLRGQGNEWDDISKLLPGRSSVSCRLHYQNYL EQPRWDEERKNSFARKYERLKSEMWTIRAKEMQVPWRAAEAMNWKLGKIEMVRRAGVV LSSRYHRSLAVRESLPSRSSSTEPLDNGYGPPPLPTTSAQVTETRRELLVPVPSTALP SDLGFRHLGTYHLSVNGERE QC762_305950 MASRQLRKLRKQQELLNSQNEGPETKEETSGEEEEEVVAKPRGN MFSFAALGDMGNGNDDDDDDDEDEESEPSKPVEKEVASPQAEAAKKKSKKKKKKKGKQ PSETPAAERPKAREDELEKALKELNIKAKKQRAGAASAASSSKQNPDDQLNDLLKIDS RHLKAANEMRRLFGKAMDLAQVEERQETRQRLLPENLDLETYLSAVATDPRRSGPTKP GMFETLLRTNPFIEGKKSWPRGAAQGLIMKRLSEGTPDVVEFTFAHEKAYDDLEGSFF QLVQMGDPMQIIHFLHRNPYHVSSLIQGSKVARQDQNSALAADLIERALFTFGRVTLS DFRRKLEQGKARINFARPENRQFYLAGYNLIQKLILKGTYRTALEWSKLYLSLNHDDP YALLNWVPVLALRSREAEWFVKFCDALASRWPDAVLYPLHTLPLAYLQLDDYDTAHET LVKNIETLPWLYCALFSALSLDTPQSIWGHTPRNDDDKLYIELYLHISKDLWNNPNAI SLLKSAADKAKKLLQKDVYRCPQSPEPTLAVARFIYLDSTPSLMALVPRKLLHDASPN FEFDPLPPAAVDNIFSSEIQKLPWKPDSIATRGLFSTQMDPRREAGMRAAAERLLAEM PQEELEAMLEVDDGGGGGIMGFFNALMGRVRPRAAGDGGAAGQGQQPRGPFQATVEDG EEDDDDDDDDDDSDDEDTTEFGGNWDGRTRHDLAFGVDEEDDEEAEWTDREDFDGEGA FGEGPSIAEVMEYMRMRVGYPPVQMPGAWMSDEEDEEESEEDTDEEMPALEDPEMVDR GQGQGQAQGQAQGQ QC762_305960 MSAQKYDDSRFTRRACVTGLVLCWFVAVGSIIGGGLCLYKEIRD DDSIRIDLSNRWRELLPLGLNIFITLLNDSMGYIHAVALRWSLIREGSLDFNSNLRLL TFSKKSPPNGYIPNFLYLFGIILAYGATSVIFLSLNPELARLLGKSYEITDTRGVHLN GIALIILGCGFLLQAAVTNWALAGTKIPTWSSNPLIIANTCMNHDTEHYQVMPRQGRC MMGVHLAGNDIKAIRPTRKQRPMITAHPHVRRVLYLLWALPILSGVWGGGVYGYLLRG SKNGIFGRSWSLLPEFPPHIDENCHTKQCTDGTSVLNLGWSTSGGAAGTTGGVFLIIA IQSVVTLSLHCAELIVNLSRDEGIYRKLIGPRGTNGHFNSVVEAFTSWQTIFLFTLKS GVHWMFGLAINLQFQLGVNMYPSQIFYFGGLTLVAALFGLLLSLQRPSGYLPPTYGHI QTIADIIDEWADSGCMFWGEKGPHYTGTSTKRLKQPDPNVEYGGMKKGDGQGSHSDDI TEIPLETFSPASLVASPPIDQSFGYVSPVVQTPPSANAAWGQPWQQQQGQFTYAQWTN QDPRHQYQHIQQHQTSYDSLNSRFSGQTGYSGYSNQSTQPFLHNCRSY QC762_305970 MSDYIGSRISLISKSDIRYVGTLASINSDDSTVSLENVRTFGTE GRKGKIEEEVPPSDQVYEYIVFRGTDVKDLRIEEGPAAKENKPPAVPNDPAIVGARPR PGNVAPGPPGGPQGPPQGPGPIGHPGPHGAPNQPQPPPGAPGYGYFPHMGGWGGRPGP GGPGGPSPGPVGPGGPGPFGMPYPQPGWFPPGQEFPPVGPGQWNPYPQFPPGPGGPGG PGAPGGPPGFPAAPGAPGQGRQSANQTPSNQGPGQKPAPIGPSGEKKAPTPGHNAQPS ESKPMIPPPQQSAGGPAPPPPVASKPTAEEVKATAANLAQPTAPASSQGIPTGPKSDR PVQILPAIPLPVGLTSRVAQPSPSATRSEQNNAGATPAALRDATQAAKEAVANAMAQM ENNAYAQIASQGAAVDNLTKKVNEMRVNAARGGHAPRGGGGRGRGGARPAAKVEVPDS DYDFATANAKFNKQDLVREAIAGGSPIVEAPTPEAVAPEAPVESTEAVEPAYNKQRSF FDNISSDLKDRENASQKPGGREWRGEEQRKNIETFGQGSVDGGYRGYRGGRGRGRGGR GRGFRGGRGGNNGGYRPQQQQTQAPQ QC762_0054310 MKTFSWISSLAVVLVSVLFAYFYTTNLAFELEHIKNIDIPVSLD ILRDNIKNYLNLTSATPSSDISSPPPTTTTVITPAQLATMSRITLPRRILKTLLAKQQ SEGAGATVRRSIGTPSLRSLNPFLMLDHFSVSPGAGFPDHPHRGQETITYLLTGGMDH EDFAGNRGTLNPGDLQFMTAGKGIMHAEMPRQNPDGSPNIGLQLWVDLPKELKYCEPR YRDLKAEEIPTVITEDGKVKIKVISGKSYGVESLKDLAYTPVVFYDVEVQPGGKIEQE VPQGWQGFAYILEGADVTFSDGQQEEKKVSQWHNVVFGNGKEEDGVVARVEETETKPG RFVLVAGQMMDQPVVQYGPFVLNSQEEVYQAFVDYQSHTNGFERAKGWRSEIGKSMVH QC762_0054320 MTFLWEVNPELQADVGGAVGVLAGHLGVHDAFAGGHELEVARVE GATVPGEVFVVHAAGEEVIVSWPRWGWSGKPAPGETEKWSSMRKGLRERREGVPMERR TVAPAPSDCCFARRVLRMRRGRVMRDIVASWAGVMTVVVVGGGEDMSDEGVAEVRFK QC762_305990 MDPSLLPEPTQDIGQLGAPYNDLQIGTMVAFGITYFMATFFLAC RYFQAIKIVKNVEIDLIILTLCYGLALAYFITMVKLMDYGWARHMTEIFASNWMNLLE FNNKLLPNTLIYLITPAVTKMAMLTVLFKINPSVTYRICVVVIGVCIFAYTLVLTYLT GGPCTPLKGDETIKCLMNVAISQSVLNIASDIAVILLPIPTIISLQLSFKQKLSVGGI LALGSAVVICSIARLPYSISLGSSDDLSWEEGILGIWSIVEVNIGIVCGCAMRLKKLV TAYLPRMGWNSSQKKSTPYGSSWGGTTAAGSKGGNKTFDEANGLKGDYQLHSVQKGGL EAGSTDTILK QC762_306000 MNKLFGSGKPPVPTVDTDRVVPLHFFESGPLVQGNNMAVSLVFD DVLEPEKLKQALEGLVKREGWQRLGGRLRKNPTTGAIEWHIPTVFSADRPIINYAHVD HGVPAAQHPAASRIPQPSTRPAVVADPDDLADLAFEPGYRPGGISDYLTSDMPVLGLR VNSFTDKTIAVLQWQHVAFDALGMQYVIEGWSNMLWGKEHEIPTPCGLDSDPFEALAK GTRQPTEPHLLMDKKVGIGGMLKWGLGYGFDMLARAKENRMVCIPQSYWKTQMEKAIE ELRAEATEKGEDPSKVFLTEGDILTAWTMKSVVGPQDMDPNRTVAGSIAMSLRKAFEG DLIPEASKSPYVGNAFGWGNVLVTAGDVNTKPLSWLARQVRRAINEQGTRAQHEAYYA MVREGPGLPIVIFGDGGMAQIGFSNWSKAGLFNLDFAPARKVQKPGVPCRPSYVQENH GPIKPVDGFFAFGKDEKGNYWTSAYKVKGQWDKLDEYLVKEHEKGA QC762_306010 MADSSLLTDLCTICHAEPPKYTCPRCKAQTCSLACSKKHKTRAS CDGVRNPREYMPIHELRTPRGIDHDFNFLSSIERERLRAEQDIVEVRRLMDAKELHPP TPAEEQKLFRKVWDGDRLSFEPVENNTQHNVIVAQLRRRLRNLDIEVVYMPKGMSRQR ENKTSWNKRTNAINFQVEWLIYDSSSQQKPLKVLYKALENIPLYSALTNTVIWHNGQL DRLVREADPEYDERNPLKKPKAEYIPVTIQGQSTPAWSSAPYCFQNPLDSTWFSFSSA PSVESTPEEQYHKYSFYLKKATKEAPNSRTLIPLSPDSNLKDALSGRTVVEFPTIVAI QPGCPLPPLHEIGDWMPRPPPPPPAPKPVNEKKRTLEKGPRGRGGRGGGRGGKRVKFE KGQDTRGVEEEESSSDEEGQIDEDEGVEMEDRGQGIRIDKDENGVLKVDLGTGGMVNM DDVARAMAMDQERWEEEKEKERKTVKLPGGGCLVDYGSDDD QC762_306020 MAPSLTAHTSFTRPRTSDRDHRDGRPVTRDQQDANLVIPSRTSS LHSRITQPIPGQLSAKPQQRTPKTLTHAYMVCGVGREPSQWVKAPTPQQGKIGHMKGA VPQFWLPEILGSSPRLEQDNEIARALHSAMRACFPHDVEICTGRSQPHCVHHAFVLQQ DSSHTLYGICLRVWSRADDRRAETIRDLRKRTEPDFYDNPDEQYWIPYCLSFLSRYPL YNLLGDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSS PTGFQNFAMWPLFCCLSVPNIVGVIEAAISPTRRIIFVSHYPAMLTMAAETVRFCVRV YEWSGLYVPVVHARHAKDLVEEPGPYILGITAECRTLFTAPTDALVVDLDRNFVLTSN PPSALQPNQRTKFITRLTQALNGDVTPSGVPQHLRSAYGGGKLVPAGQIIVMRGQVES IQDPEWWNQDAVMSVMDHVCEKMGRNTGLKAVFGGSVKKPLMTKVSMRHLNEIVRERN QYSRDALEAWQDFINLKGRMDTELGKVNKRNNYLMEECDNWKQQFQRFQAFADTLTKE TQDLKVKIETHKRENRRLGSLIDQQKDDAARLANRLAGTEKQRDDALEALVLQQEIAE ELERERKRNRKELSTLQTTNTAISRQRDEARRVVLHLRSLISGQHHHMEHLVKTLTSP EELAAEIEAGFAAQDAVDAVEAQAAGESSNDERFLKNLNAASRRISTQAFIDVADRHL KDKTDAIAHIIRNISEQCQAAVEGLQLAHDAEHGRSDSSASRSSRLSKSRRGSNISIA RSDDDRSSAATSDVSDDHTLLRPNSGRLSSIPPTPDLVPNRSSTSMSFASTATTPERG SQHYLHGHHDIPTKIVEDDEDDIDGSQSDVHSVPQEAGVVGKHSESLIHRPSGARISA LGGLGR QC762_306030 MALHASGLPSIAAATSELWPSITKSLAVLSSTTQHARVSAAPVP NPTSSTTKLPSFMRNAPLAKLALETSSQANVSSTNILSVLSTMSPGSIAMANPLIRSS HAALMARRPVGTVPGLRFMSTTRPPIRMQSVSWPALKTVDALPPRNVQYRSFGNSNLV PHHLLSRTEAAANRNPQSAPTQASFYQLLLKANMPAIVVERYQSGRFAANESATQAYN QALAMIAGTLGSAGQASAGISEQAAAAGQAIAAQRNGGNVAVSAGVTGKGGALHVIVD ESFGSAAFRWFKFMLWFSLCAYVSLVVMTMVVETVSSLKRPGAKVDTMEAKAENQKAR FSDVHGCDEAKEELQELVDFLRNPDKFNTLGGKLPKGVLLVGPPGTGKTLLARAVAGE AGVPFFFMSGSEFDEIYVGVGAKRVRELFNAAKAKSPSIVFIDELDAIGGKRNSRDAT YVRQTLNQLLTEMDGFSQNSGVIVIAATNFPESLDKALTRPGRFDRHVVVSLPDVRGR IAILKHHAKKIKMAADVRMEDIAGRTSGLSGAELENIVNQAAIHASKLKNKVVTQKDM EWAKDKVIMGAEKRSMVITPKEKEMTAYHEAGHALVAFFNKQEGGSHLYKVTVLPRGQ SLGHTAFLPEMDKYSYTVRDYLAMIDRALGGKVAEEIVYGSEFVTSGVSADLDSATRT AWHMVAQLGMSPKLGPVEYLRKYNELSSETRAMVESEVKKVLDDSYARARALLLSKRT ELDLLAKALVEYETLDHDEVVKVLRGEKLTDRIAVPVGPMTVPAPTDPLEPGLPLPGL GDDGDGGSGGPPPPAPPPPAPARTSSEEK QC762_306040 MNCSIEQALLSLIPTHNAALPPQLTELASSLLAQSRHKASTLKA EEEIARPYACAHIACERLKTTLNLPPIEPRPPIPPRIYKRLYSHLDKILPSGTGTPGR GTPARGGLEGRVRTPSTKLREQLAPLGTSPQASKSRPLPGRTTPSKEKSLADFRDSNA DGTPSKKKLGTTPQSKRKPPPLPLWIRPTLRFLCKELGPASIGPIVASGIESIVCPNG KPTEDEWVKINLVSLLGALYLFVWRGITFPGQDLDQSTYIKFRKQLVATLNRARKEVE VNVKDGDAEKAWEWWYDVKLKDLDMSALVINRHGWLELDWAKGVQDFVHMNEERARDD EEDAEREERNAEPVQLRRADTMFQERYDFLTDRKRKAYAEWKEGIMKRIKAQG QC762_306050 MTKVLLTGGSGFIAAHILDQLLSASHTVITTVRSQSKADAITSA YPSQTTSGYLTVAIVPDIAQPNAFDSVLQTHGSGLEVVLHTASPFHFKFNDPKKELID PALIGTTSILSAITKFAPSVRRVVVTSSFAAIINEAHVSDPSTVFSEKSWNPVTIDDI HRNPATAYRASKTLAEKAAWEFVQNKENDAKFDLVTINPPMVFGPVVHHLATLEAINT SNERIVDAIKGKWKGEIPPTGQAYIWVDVRDVATAHVRAGLGLVEGVAGKRLFVVSGW FSNAQIAEVLRKNFPEDKEKLPEEGVKGGELPEEDKRFGYDNSETTRLLGIEWIGLER SIVDTVRSLKRVEVSA QC762_306070 MAGLSYQTNSLASPMPHHSAQPALAAHLKLNVGLSFPPSVSLRF TPTSTNFRFELGKKQNAKMADEELIPVSQKVRTISHMNNDHRLDLQHILQHFNNLNDY EARDPEMVDINLQFMTVKTPHTGRTHYIKFEPELANWAERRVKLVDMTHQARVGLGLE APAEEDGKEEKGVVVREYMPPRPFDWVIFLAVLFYYFNFVATVKLEVLDGREWILDAF WPFGGHQGWMWLTKTIFWPVIVIHISEAAWLERSRLSKFGVERGSGVWWLWMGSCFIE GGMAFKRFDILVRRAEEKEDKKH QC762_306080 MGGKFEVDDWVMMIVVGLYIGFEAVGCTAAGAAFGVDIWTVDAN ALGTALKLFYMAETFYLVILALTKISILCFYLRIFPQLHFRTITLVVMTWVGLSGLIF VFCQIFQCAPISFIWEGWRKGEFGPFSCLDINALGYTTAAFSIAQDIVILVMPLPLLI KLNVNVRSKICIIVMFSLGIFALITSCVRLWALYDFGDSVNPTWDYTNALIWTGLEVG VSIIVTSLPAIRVLLGRRGRGGGGGLLGGGGGGGWSRDMASRGTTSSFGNTSTFVASR HTMPPHLKRISSVSRISSIRVGEEDTEKGRGDDVERGRGLEVLDGGKAELEDVMAGGN GGLQEEGLRRPWSDVSVGNSTVVGSESDFEQGVDISDLGWPFMNKAVLAGAGGVGGQR LSHMPSIDEVLTYSGSNWQGIGPRSQGSQGSRLSNNWSYDSEGSGNSMLTFSWEESTT GGWESWDWQRSGSGSGSGSGSVGGVWWRGSMNESGNGSRSGSGSGGYEEEEDSMLGGR SKKRSGSERG QC762_306090 MPRSDPSEQSVSPGGTEAHEDGDDRAPPRKRQRVRLSCLECRRR KLSCDREFPCSRCLQSGTSDKCEYETRPGLAPPNKLGLSQGALTGLDSRLSLPSTGGE SPYFRKDGRDLDRIRRLEMEVAQLRNLLTNKQGGASVDGSTLQDHSPPEPKHHEDDER DKEEPELPQFLRVQTTTADKEELRFFRGKEFKTRYFGPHSAFLAFQELTGLCPFMKET SEEWLRPHRISRNKDRHKRAEDRDRKFREPDLALEALLPSKEEADHMVSIYLDQFEQI HRILHIPSFRRDYAKFWDPNETRNAAFTALILSIMGIASCLAAKLPHKFEKMVSISYA NAIKWIEAVERWQEVQSQKHRRLIHYQIACLIYLAKRVNTMKKKRYWKNAGAMTQDAI SVGLHREPSHMRSDKITPFQQEMRRRIWATIQSYDLQASFDHGLPSILSTLHYDVNPP HNIDDDEFDEDTKELPPSKPPTTYTYSSYQHLSRQSLPLRLELSRVLTGPPEDLDYAR VVRYTDEINREIDALPSWDVSDADNEDTDEQQTQKKPLLAYTLLHIQLRQYIIPLHQP FLRLRKQNSKYQYSEIIYYNAARDMVLLNDQLFQQGIRTLNFLREDSLTLAINLCSVT MLQPRGSTNMIMINSKHTVEALEKCLAMKEDRILRCGNNEPWGYSIMCAAFGLLEAHL GIKTSQEAKAASADRFVTLHYKVMAGQDPPVSSQPTGSQPGPSLVGATPGRGGPVTHG PSQGHRRVNNGPFSAPPGGGPSAPFDPFSRDKVCASFSSPSDTTSHIQGFGRDVRNVR DRGLTNGSFPQGMTPFQQQPQPQPNPHGIATGSNNNGMEMPVNPWWVPNTDTLGEIPV NFEQLGYSVNELWGGGTVTWDWDAMMGS QC762_306100 MRAFFWIFLLGCACWEAARAASLVPGKAFDRLITIWLENQDYAK VVLEPSIADLKRKGILLTRFYAHTHPSQPNYLAAIGGDYWGLDHDDMVHIPENVSTVV DLLDYRNISWAGYFEDMPGPGYMGNYSDGQTGNGTWDYVRKHNPFVSYESVIMEGERL LALDSFRAFQRAFKAKEVPQFVFMTPNMMNDGHNTSNKFAADWAHKFLQPLLDPKAFD EKTLIMLTYDESEDYSQPNHIVTLLLGSAIPPALKGTEDSTFYTHFSLLSTIQNNWML PNLGRYDVGANVFQFVADASGYTKNKEPANAASVNNSISYPGFLHTEPTNRLDIPIPN DKLIGAGGLPILDKIKQKWAGDNKKVGKTPYDGSGNVHDGDNPPVYNPPKAN QC762_306110 MAVFCCCTSARSSKTRTIAPRSPEPVLPSPPPLARLPGPLTLNP VNPLSTETSPRSLSSLQPSVPATISPIEPIELGQLVVEDSDSEDEPDHPAPHNKSTST LHLVRTRIRRHLSQDSLSKKKARSAVGFTEEEIQRRAELKRLMHKRIQEELRSEEGQK STRSEVSSDRHPGSPKIDLLPGGGPRDNIEFVVADDSRPNSPGMNSEAPDAGQTDPEA LAPVAANSKTVREQGSFPEMPASPDLVPRRYPSTSETSSIASWRLSYSAGQLDELASY IDRGEPSSHVDAVHSSSASHPESIIRLPTSGLDPPRPAHSLSRSHSSPARPGTQVTET ASVVEQSPLSVWLRSQGLRSRSSSPARSSEQDFEQGASVQQAEVVYLRRWSSVQNSAV PEAESQKPEIVHLYDMDIHRQLGTQTFNTPMDSPTRSRSMRNSGASGSCGAQTSGSTI RPSSDPPSKPPSNKSTFSHSEVILAPHDPNNLGTKSSSVYPSAGQSVQLSAGASTLDL PATLAPHELPPAFTLPGFKWLDAVNNPYLVGEPVESSHRTTGENSANNSLPLLEGRSE LHRSSTVTKADASSRVRKESQLDTVEKSIGYFHLGHGAPALIVKRFRKEADTPPPEPV KHSFLARLHLTIPRRTKISPRNFDGAVTEQEQEQGQGPNWEPEPSPPPLRHCPGVKRG RPDSWGTAITSLYHPLTPILSEYEGSADDLWRLGIRDESPKQQTESRGIKAHRRGSSF PESLNKRLQAVADSEHSGSSSGYMGVPSTDPSCRFSSAPGSPVSHTTDCGLSSIAETT ETPKRSSSTGLQSPIYDAFRTHYPARSSDFTRDCPRSPLAQTGSRLRDSSTPGDQSTC VTPELVVDHPEIVIEAARQPHKNDSRLTLKSSTQSFSGKFGKAIKSSFGKLVPHRGPS NSTGSKSLESLKRRGSGARAEVVKLQKSQDVGVSCRGPKYWQTNTPKRGRTISLSTRM ATLLHSDSNPEEEKRQHPSHHQLRHRAPLCALPINTPIRDRQASSSADNSKDSSTTAE KFITPFSSFYHSNNDTASFHSCHDKGDTTDINSIKSDSTLAHRLHIASAPHLSAPPAG AAKFQTWSGRERSRPLITVQSMEQMRLRRVNTVVRVV QC762_306120 MDIPPVHLPTLLRHPDDLFDKLSALKTEFARKKSLIDSQLRAGL RDQLETTQSGMTHLSDSQKTLQSIKEEMIKIDKIASESQNQITGTDFATINLVSQAHR NFNAVETMRRNLEGFAERVAEVEEMLREDEADYEAMPNLLRVHYELTRLRNIRDDAME QISRGDDPGLRVTLEDYFERLDGVVRVFDERIELIAMSLITLVQAENESLVVRFALIV EAEEKSDQRVMALQEALKDHREIATRFQSITDGAKTVRGYKERFLECIKDAAAPQFEK SRQEFMGDPGGLEKSLRWYFNDLNTVRLGMEQKQLMPRKWKIFKTWAVIFHQMMHDFL IGIVEDPETSSSHTLEIVGWPEKYYRKMLKLGFKQEELGVQVIDNRETELVRDFRQLI IKFLDEWIDRIWAAERKDFAERGVDGGNLETDEYGYFRNKNLIDLWRMLREQLEAAAN SKRADVVEGVVDAMFQRLRQRQQAWQKMLEDESARYENSTTGDLEGFQPLQDWLVATA NDQIACIDDNEEEGRLAYLSDFRKKFSPLVTPQYMDRAEAEVTTLRDGYVDLSTWCMA KFASLVFSVDFRTVMPDFFTPKWYTTTHMARMIATFEEYVSDYRMVLHHSLVDIFIEI FADELLVRYLGSVRNKGAKFRRVDNFQDKIFDDLSTAFEFFNSLPNPEVGNAIKETWR VTEAFLGLLTAEKEQIPDTFAGFKTSYWDLQISWVEAVLRSRDDFERSMLNAVKARAA QMDVVRGPETIMSKVK QC762_306130 MIAASRTLASPVLRQAVARRGFSTTRAQLSSPYHYPEGPLSNIP FNPRKKGFAIKYWTYCTVGFTLPFGIAGNKDDGREMISEGK QC762_306140 MQAISILARRPVLSSRTVASGRIGTISRHFSSTVINMAPISKET DFLVIGGGSGGLGAARAAASRYGAKAMVIEGKRLGGTCVNVGCVPKKVTFNAAFIAET IHQAKAYGFNVQETAPFDWPTFKTKRDAYIKRLNGIYERNLANDKVEYIHGWAKLLSK NSVEVTLDDGSKEVVNAKKILIAVGGNPHVPPEIPGSEFGINSDGFFDIDKLPKKVAL VGAGYIAVEFAGMFNALGVETHLFIRYDTFLRSFDPMIQEKVTAEYERLGIHVHKRSL TNKVEKDEKTGKLRLHYNSSKGEGSNGEGVLEDVDHLIWAIGRTPAIEGLGLEVAGVK TTEKGHILVDEYQNTNVENVYALGDVTGHVELTPVAIAAGRKLAARLFGPEQFRTSKL DYDNIPSVVFSHPEVGSIGLTEPQAVEKYGAENLKIYKTNFTAMYYAMMEPEEKAPTS YKLICAGPEEKVVGLHIMGLGSGEMLQGFGVAVKMGATKADFDSCVAIHPTSAEELVT LR QC762_306150 MIKTRASHKTPTMTTTKLPLPGKLTVAQLQSLCSSTGLPQAGSK PTIQQTLRQAAQSAQHIPDTARILSIDLGLKNFAFSLLTPASSPSEKTPLPTPPDSSS VPQALLPPVTLHHWNHLNLTTPLLPQDDPVQFTPSSLSSLTYSLISTHLLPLKPTHIL IERQRFRTGNASNIFEWTIRVNTLESMLHACFATLKGVDLFHGNVISISPKTVAGYLF PKSEAKAEGGGKSQNAYHLLKANKVGMLGEWLQQGKLIKPQDQGAGMAKGFLEAWRAK GVRGKKKREMEEGVLGRGVKLDDLSDSVLQGMVWLQWQRNLEGLRGVDFGEEEKGVAK AISKVKKGTGRIKHVDVHDEGYGGVEVDAVLEGGGGKKKSGRRKKTAVAQEVIDEVAL LETPKKSRGRSKKVEGIEEAEDIGTAGTEPVKKRPGRRKKASVEEENAEEVVLEADTK KKSRGRLRKAAVGGSDKVEVAAAEMKISRRRSARIESNEDDIVLI QC762_306155 MPITTFATSVTTAASKFSGIVGADCMGLGTASGGALDDNRTLDP EVAATVTPLPDGGFWTVVFENKAGKALQTIHTENEHYFDSGKPPVPDLTPYRLLGPHR CCGSGQNHEWAGESCGNSPWRNKAWLSEGATPTSRMSTDSTSLSCAVAMVLRLLAVTT ISGYRGSPALRKTAKGLVYTLSERMGLRLQAPSGSSPARTKPTPIPTTTKPTPIANAS LEPWCAQSAKANLKESSFDDRQLNKED QC762_306157 MKFLASPLIIASALTSIFASSLPASQTTFETKVTQARDVTRAAA ADGQFLSGCDNPKLWSQSIACPDKNAHGACRNPHQDLEDWQIKYAHEWFKACQGRAYT FPKDDTANSNGKCQSGIVNCQILPKESTT QC762_306160 MKYNFPGIPSPHSSFSHHNLSPFLPRLPSLPLPPPRYIFQVYKM PTSNVVNGDSPHSATIRHLLTYPCVQDGVRTVKSTSVGSKAVDLSNGVYQSLAQNVFP YLAGPYSFVAPYVERVDSVGDKTLEVIEEKFPVVKKQPGEIVEGTKQMIFSPYHAGRS VKEYVLSIFETKKQSCGDSWTAYPTAVVATTFYLIGETAINAGDYIIHKKEEEEGKTG AQRPAQRPANAN QC762_0054520 MNLSPPLERTISQQSHTSVRSRNTSRRPAAKKQQPPSSSASSVI GGEDSKSLTSFPSFSPREEETCCFLNNNQQEEDSGNTPLPPPSSTTPSRKPSTFLSEI DQQPPRLEPEQIIPTLLTTPHTAGPLFEDSPPYRNRLPGALHHADDGHIERLIARQGG AVNLVRQIAEDLAARDAQIALLRRRADERERALRKIILECGLSNLDLETRLRVLLEEV RSQRRQGSGEELEDLIGDALEGDVRLDATIKGRVVKERGANTGQQQQQKGTGRGWKEY LWGGTGTNKGDGKGETTAVKGGARQSMPEDWFKPPAEQQEEQAQQSSSRASSVSSAHA ARKPSLASMALRLVAGGAAGNRDNEGRGRASSAAATQAGGPLRGSSASSAKTTASNRA VSAQAGGPKALMQMRRTTAGGSTRPMDIPARGQVPERWDTMGASPGKTAILRHQSYGP VEMDTILPPEAQPPTLTHIYNNFVGSEYLTDRFGFIYDQRRKKRQREAAQMAKQGKRG SRTEMLTNGRGGMSPVMAGDDDGASSGRWDVLSESGRPDTPCSGTTTEEQVRGGGGNE ENAKPKRWQDYLKIATFPTELLSHTPLISAQGFEVLEGGEVPPPKSPGHSPSIMSEER GFLPSATTTTVSIAPMMEEHQEPVVSSVLSSSVSAPAGVEEEDVGTPPGSTTPAKEDA EPVRLLLENLNRLHDSLQRERTVRWNEFLRKVRAERKRDGEAAAAAAAAAAEARFQRA TAVMPETRLGDGELIGVASLGVQGKVGRAKATEFRSLLLGGIPVAMRAKIWSECSGAK ALRIPGYYEDLVSRPGEEDDPQVVAQIKADITRTLTDNIFFRKGPGVGKLHEVLLAYS RRNPDVGYCQGMNLVVANLLLITPSSEDAFWILVATVEQILPSGYFDHSLLASRADQV VLRQYVSEVLPKLSAHFDDLGIDLETMTFQWFLSIFTDCLSAEALFRVWDVVLCTPHD GGAFLFQVALALLKLNEGQLLGCGSPAGVYTYINHQMTNHAISIDGLVQASEGLRRLV KKEDIEARRERAIELEREQVRLREERLAERRRVQQQDKVNGKGGNNNRPRALKKESSL LVLGGSGGGGGSNKAGAVPAVVSGEMASGATTPSGMGSLSRVGSACPSPMFGPERGGL GSRSVSGSDGLLSVEGLVGISSGGGGGGGGGGGGG QC762_306180 MEGSRVGISVEVVDQQHNEEKDGSCECHINKAEIQKGAWNEDRS ECISNCKTQFLQSISPGWSEAASGWADVCGNLNSTSKGVEVAEYRFWSLYWCDSAFCG VAIDQSKGLGQDPNVDSIITTCDNNGFKPIIDPGPPHEDFKCSTEGDGAGSCTDSSFS RLQLTSSVTWESSALLPTAASATAVGVGLGSVSTVQGTTSVLQESDFSRPPLANTFMA PESQQTEAVPPLPTTTPTTAEIFTFTAETKSTSSDPTKLPPMNGLETSFPVPSFPAST FAVSSISSLTTSTLLTTTSTSSTSSATHPAASKTSTSTSAPVASATAAENGLSNPAKI AIAVCATIALLMLICALFLCLRKRKRGGESSPPHRSLRSRLGLNNGKWGGGNNPTPLI SPASSLMGTTASNQGITPPLRLRQRKFIPSLLPSILRPGGGARSGSPPLTPLTPQHST GGVFPSSPICTPTTSKLVPRHERTPGGYTGGLPPIPAPVPMFVKDCGRGSGSAASSMT AATTNNFFGGGGVGGVFEKSPSSSSPARPKRPHDGPLEIPDLLVGGGGGGGGGSSTGS LVSPPLSPPPTRALPATPPVGTTRAGGNGNGNHWSVVSSSSSNYSSEAGNGGRVKGMG LGGTLYHHQRGSAGVGKERGSWGSWSGTTAQQQGTGTIGKAIGSVRDKDRVGKGEEVS PRSSSSSSGSSDTVTGGTVKGGTTGGRGNVGSLGEGGRI QC762_0054540 MSRYTIAGAWQGLKDTVKPILSEAYYEEYYARDCEENVAVTEPH QYTPFVRLDYQHQASSSVARISSTRY QC762_306190 MSDQAKRRLEATANHLSGSSSLAPIIKVAPESTTPRAAGKVVII TGANSLLGIGRASAHQFAQNGARAVYICDFDPSNLAAHKAELTTLYPSVDVHTRQFDA AHEPSVVEVINHAMNTYGRLDVFFANAGTIGPNALFTDVDPSGFMETMRVNSLSVFLA AKHAAPAMQKTSPGKKVPGGSIIATASVAGLRSNAGDTSYSASKAAVVSMAQTISYQL AGTGVRINALCPGLIETGMTAPVFEMARQRGTQKKIGQLNPLKRGGHADEIARVALFL GSDESSYVNGQAWAVDGGLSAGHPFVPGRLG QC762_306210 MGAGTAIKTTLGLFAMSEGGKVVMSKRSARPYIEEDEMEKQTLE YQANGDERRSTASTSTTYSSQSSLESSPSVHAADPERDVEQLQLSSQKHRTSTTGPRD AFHPPNDDLESGSISALELDRILPTEGRPSNFGVVVPGVYRSSFPQSEDYGFIEGLKL KTIVTLVQKEFPQGYDKFIERNGINHCVFDMKGTKKQAIPIATMRSILRLVLDRRNHP LLIHCNHGKHRTGCVVGVVRKLSGWELGNVLSEYKKYAEPKVRDCDVNYITGFEPADI SNLFREVTLPFRTRNFLRATFFALIMTVIWLFSGTKLISAAPQRGKVLEE QC762_306220 MASTNQDKVTFRDTIRAWGTSSIPPMTLSSLALALHLRPFQPLP FLFSPLLAFSSYLTLAGFKVDGAGTNAAWSGIYVLLASRRSPPGGVRQKFMSLRGGVR GLAMGIGAINTVCGAYVYATGDRKAEEEERREVNRWGVYDD QC762_306230 MPEAKTSGASASDGTARSRTAAGASSSTHNGHNQGSQSRTYTPD QKAAVLRIRRCSPTAFYEILDIQKTCTDSEVKKAYRKLSLLTHPDKNGHEHADEAFKM VARAFSVLGDKEKRDKFDRFGTDPDSRFESARAAAREGTGMGGFGGRPRGGGFGGWEE EISPEEMFARFFGGGGGGMGGGFGGPFGGMDGGQFFFNLGGMGGPGIRVHQFGGGRPR ARPRNPGQEEPPASMLGTILGLLPIIILFIFPIISSIFSGLTTITTPATPSMVFDQPY ASYTVERMMPNYKTKYYLNKADIKSYTPAKFNWLDRQAEVVFVQQLRIECEKEMQRKQ ELKDQATGWFSYNKDKMELANNFPMPQCRRLNSLNK QC762_306240 MAPSAIATTPATAPTASLSTEYSDTITFYLNGTRVVLDDVDPEA TLLEYLRGIGLTGTKLGCSEGGCGACTLVLSGFNPTTKKIYHASINACLAPLVAIDGK HVITVEGIGNVSRPHPAQERIAKGNGSQCGFCTPGIVMSLYSMLRNKADSKEELTEEE IEEGFDGNLCRCTGYRSILNAAQTFATTGRKVKAAANGGCGREGGCCMENGSGGGCGR EVDGGEVTKRFTPPGLIEHNPDTELIFPPQLKKHELKPLAFGNKRKKWFRPVTLEQLL EIKSVFPQAKIVGGSTETQIEIKFKAVQYPVSVYVADIPELRQYEFKEDSLEVGANIT LTDLEHLALEAREKYGEKRGQVFEALHKQLKFFAGRQIRNVGTPAGNLVTASPISDLN PVLMAADAVLVAKSLGETTELPMKEFFQGYRKTSLPDDAVLVSIKIPVTREKGELFRA YKQAKRKDDDIAIVTGALRVRVGEDGAVEEARLVYGGMAPMTVAAKRAGEFLKGKKFA ELETLEGTMTALSQDFDLSFGVPGGMASYRKSLALGFFYRFYHDAMKEFAEGERDEEA VEEIEREISTGKEDEAAAAAYEQEIVGKSNNHVAALKQATGEAQYTDDIPPARNELHG CMVLSTKAHAKLLSVDFSPALGVPGVVDYIDKNDMPGSAANRWGAPHFEEVFFAEDEV HTAGQVIGMVLATSAARAAEGARAVRVEYEELPAIFTMEEAIEKESFYKFFREIKKGD PEGAFEKCDYTFTGVARMGGQEHFYLETNAAIAIPKPEDGEMEIWSSTQNPNEAQVYA SQVLGVQSNKVVVKVKRMGGGFGGKESRSVPLSSYCALAAKKTRRPVRAMLTREEDML TSGQRHPFLGRWKVGVNKDGKIQALDLDIFNNGGWSWDLSAAVCERAMTHSDGCYLIP NIHVRGRICKTNTVSNTAFRGFGGPQGMFIAEQYMSEVADRLGMPVERFREINMYKPL EETHFNQPLTDWHVPLMYKQLQEECDYAARRQAITKFNDTHKWRKRGLALIPTKFGIS FTALWFNQAGALVHIYHDGSVLVAHGGTEMGQGLHTKMTQIAAQALNVPFDSVYISET ATNTVANASATAASASSDLNGYAIYNACQQLNTRLQPYREKLGPKATMKELAHAAYFD RVNLSAQGFYKTPEIGYVWGENKGKMFFYFTQGVTAAEVEIDTLTGSWTCLRADIKMD VGQSINPAIDYGQIQGAFIQGLGLFTMEESLWLRNGPMKGSLATRGPGNYKIPGFRDI PQVFNVSLLKDVEWKDLRTIQRSRGVGEPPLFMGSAVFFAIRDALKAARRQYGVEAGV GVDEKGDGLLRLESPATVERIRLACCDPIVERARVEAREGEKSFFIAI QC762_306250 MQLQFYNIINDELRGSEDTHTVTDPRTEEELWPCPIATASDFED AVAAASKAFLTWSQTSLAERQALLVKLADNIKEHGDELAAILARETGKSTILANIDVQ AAIAQSLYYSQNGLSDEIQHEDDHSRVIATHIPIGTVGAICPWNFPLILSNIKIVSSL VTGNCVIVKPSPFTPYAVLKHIELARGIFPPGVLQVLNGGAELGAAMCSHPGIHKISF TGQTSTGKKVMAACAKTLKKVTLELAGNDACIVLPDADLDKAVPSIASGGFFNAGQVC VASKRIYVHEGIYDEFLERLVREVEEKYKVQEDGTVPSVFGPVDNKLQFEIVKGIIED CKRRGFDIRTGGKTVDVAESGKGFWLEPTIVSRPEEGSLLVQEEQFGPVLPILGWSDE DDVVRRANLANAGLGASVYSRDLKEAERVARRLEAGSVWINQSERPNFAAYFSGIKDS GFGGEMGRQGLLSYAYTKCLHFTK QC762_306260 MAPKSKAPVYVLGVGMTKFIKPRGKVDYTELGYEAGIKALLDAG ITYDDVDNGVACFCYGDSTSGQRVFYQFGMTQIPIVNVNNNCSTGSTGLYMGRTLIAS GASDCALVVGWEKMMPGSLGSHWNDRENPIGTTYVMMAQTRGLSKAPGAPQIFGNAGR EYMEKYGARKEDFAEIARINHAHSVNNPYSQFQDVYTLEQIMQSPAVHEPLTKLQCCP TSDGGAAAVLVSQSFLDAHPHLKDRAILIAGQALTTDAPSLFSKSSIDLVGREMTQRA AEIALGEAGITARDCQVCELHDCFSANEMCLIDALGLSEPGKAHEFVRSGAITYGPNT KVVVNPSGGLISKGHPLGATGIAQCAELVWHLRGWANNRAVKGTKYALQHNLGLGGAA VVTVYKRPDGQEASVLGSEEVGRVSWVGYNPAVEARGFTEEQADKVRSKRRSEFALTK TLQLMKQAQAKV QC762_306270 MDPSQPTPPPLEADLSLGPVLLSFSLATAASAFSTTFVRFFCLP RQHRAGNGRYKADDWGSLIATLVALISTSFTLVESTTSSPVRALEYDVLGRPWYLMSV TFSKIAICLVYMRVLSGKGSQWRFLLGGLVLVMAVVNFAFALTVNLQCRPLEKIWRGG EVEGSCWDVGVQRDFGFFQGAFGVFTWFFLGGFSVVIFGMGLGDGNTGWEYWGAFGVC SASGIFAIVGTARTAQTSGLGVYTPDHSYASLMATLEQNLGLVAANILTFGTLFASRG KGSRRRTPFQSTSSAGTSRSRKTGKSSSSRAGSITRTITRASSRASSHKSLHSNSTAA EVSNGHNRQGHSASVSSLGSFFRADNNNNNNNNNNNNNNNNNNNNNNNNHHHHHDKYD DDRHSSSDGEGTYFEGEEIDLESGNWWPRGIIKTVSVEVVEEVNVDYVPDRAAGEASS SSGSGGGATRGEGSRRGARNSVVIVPPQKARVKRGSVAFDDRAEESEEMADWEVMIRG GPAR QC762_306280 MKVSRRIDGSICGAILFLHRPSSSTSSTWQWQTNILPACYNHGG GSGRGFLNHHHRSTAPLHYALTLPTLRRFSTIRFWSSFRPSLAYLAKSNTARATPPAP ANDETSPLCSEPDPGSETVHPPPGHSKEELLALVDPYDGEPIAPLSDYVELHADPYMR GYAQADTPKISLAKTKEQVEYPAPDEVIRPRNQQDEQILFELRFAVRYYLIKPEMGDL DTVYSLYQRLPEPKILHLDANFRHQLLKALGQPPKLNARSMMRYFTVIGDVKNSGIAL TRSEWNRVIHFGSKYVGRITEVENEQALKMWREMEIDAGIKGNDVTFNILFTVACKAG NFVLAEMIYKEMEQRGHVYNRYHYVSLIYYFSLKYETGGMRAAYREMVERGEIVDTVV LNAMMSGLLRAGEETAADRIYERMKAANSDLGEVPVKGGVMTERAITQALMMMGKLGR RFPEQRERFQSMALTTPDLQTYRILVNYYGKQGDLGRVARYVDEMKYFQIPLHGAIFL GLFKGFARHGRLHRSAWSERHLEEIFKALLRAVGERGGEEVTGVHLQTWLVVWVLRAF DACSGKKRVMEVYDELRGRWGEEVDEGFVGEVLGGMVRREFDLHAQRRGARYGI QC762_306290 MARGPSRLLASRVHQTATESLASTVYPKTYNPSPPWVAALANIP PSEIWTRPYPAQHALLPVRLPKSRKTPPKNLYRPTKIEHPEDRLRKQFYSDHPWELAR PKLLMEIDGKDARYRDWSKGLRQPGMKLSGESVVQRQLYLMQHAKMTRAQAYDVARKE FYKLRRFEETEARIAQEEARAYGAYFGKTVNQVGMELEDKEYNNWLKWAGEEIEGQEM ERQAAYANDIDLPEVEAEEAADV QC762_306300 MDPHLSDRGESQAATHKPLDSLADQPGFLQNNQQREGGSPAEQN LEPPASDFFSSSPQSVPPSDLVPSSSQTVPTSDLSSSSSQTILTSDLSSSSTLPSKPL SPRERPSPQVSAAQEKASLVSPARPQETASPSPSPPKSAPPAEERDEIKMLESIDEMG GEGGLLGAPLEAEFSLFKIKPQIYRHQTLVSSRRRARGGLFPALARGAVPVASSRGDG ILGRVPAYFLFVLGSGGHTTEMFETIKNSFLPSPAQHRRYVITSGDGDSLRRLLRLES LLDWAVLGSSAGVDKARVGTRDMFTIPRARRVHQPFWTAPFTSLVTGVGAVKALSTVP RSRKGEGYKWPNVIVTNGPGTGFVVALVAYILKLLAVVPQDACKVVYVETWARINSLS LTGKMFYWSGIAEVFGVQHRQLCDKYEGVVYVGEVGAAKGARMG QC762_306310 MPPRRLFGPQYLSCFYCGHTTKLRNDGSTTHFRCLSCDADNYRD RNGEPVDPPVARTNTSTPAPRYAVPRPGSPPASPANSVFCKTCLNNQRLLSASLAQYL PDSDESDDSDNGERESRFDEFRITQERIYPQMCAECEPKVRQRLDQAAYTAKTDTLRR MMDRNSNIRRKIYIQSRMPIKILNGIGRLLWVSGFVLQLVWHSLLSTTNTNQIPYSSW LPLSDTLLKWSIGATVLGMWWNPRLLDMIAGNSRQVTGLFRWYLIQFIAVFSRIYLRV APGSANAALRKLPFQTNLQIIGATAATILFLISWQSVGLKPLPVIKPTAKQSNQSSLR GRPVAKKTPASSGAKPRASSAKKDTIKSLGDLLDELEHIESSPPEPKRPSTAASTVYD LSPLRRARDEPRAPRMGFTHTLEAQQLTNSLTSIQELDSMNLVSPSFFQDPPQRQVNY SAEMEWEPAPPQQQVSSHRAFSTQGQRKAQPFGAAPVEPKKGPFWYKVPPAPVTPAQR AFNPPNQPRLRPSPVQGQEIQFRGTGTPQKQLTNSRHYEPAPEFAPATFFAQPGPDDP RNELTGMFSGSFSLEHESPKEEKPQSTWLGRLRNRTPASNKK QC762_306320 MENPNDNNENSHSHYRTTGTRRIPSWLNAIPSEAGGGSDATSRT GPSVASHRHHHHPQGPPPPYSFGQAEKSSFPAATQDQSMSPPKMKIRGHFNPPNQQLY SETSLASSGPQQQQQQQHQFQTPTASTQPTPPSSQQLLSLSRPEPPSPSKPSPVMDPL RQEVTTNFTSHCPVCNSSSSLSSTGQCNRCQMIKSYVNQPYHSYRFLSSFLLPISEVF NYEEMLAYLNETIIERVMKGGKAEKVYPVMDDFGVVVEKARRRKEFFGRGRNGRVIGQ WGMVRDVTPVKREEGGGGGGGGGGQRSKGGGGRGVGVRRGRIVRCV QC762_306330 MPPLRSTISSHDRRLPSKPLPPTRGVSATGVGTGVRPRLSPPPF SSPPPRSLVPPRRPTGQTHMLTTEAPAPTQSKTERRITPCVEFANRLLNLLSVPNDIP AAEELTEEITEHIDKLHLLCQRPQPSSSAQHHQQQHSPEEIDIDGVGVKLWNLCTRLW RDCNDPINPPEAPNTRLRKLALYGKALGFWLVVFARSARNKRKRRSDLVDVIKLGLKI TRDLVGTKETKLSGNALQIVADHKTHLQDLGREGWMEEEVSEVNCLEVEYFIWRTALA WVEGRLDVAEHMYSSAERLRGFLTRDYTERLADVLYEIGKSLSGKEDYKIAVKWLERA NEVVNSVGVEQLTREGVELRLAILQALITALLGTGTEENYGKAKNYVDFVEVEMGNKM VVCLLKLEVMYKTPNAEVFDEEGYADVLRHMIRNFDGKKGMGSGAEFKLVVHHVRRLH DKAPGAGCAVMDDFILVLRTLGGTGGQEFMEKALVTRMWMMTQQRDSMETVRRLGELF GNVARAVSAEAAVAAQALLWKKLELSYTQGEYALAEAWCQLALAPIFEKGGPGNNAKL ESMETATRVINDMSATSWKEPMTAYLAFKVAIRTEDRVLAGKCLETISQIPEHVDFLG ACIAESQKAGDVICAIAALKKLQDRYEYKEPNPIHLPALFRCTIRLLNMLVDRADAGE NGVVEDLCDMFDAVVVALEKQKKENPRRKLFTVDELEWFSRNSYNLALKNTTTWDLRY IVRMLTSCVHITGHFPPDMGGSQLVEMGLKMLFSQFIISSALVSQARAEDNVTKQLEY YTAMREHVLAFDTDLPELLPRLDEHSRDDMLRKHATLLTFDFEAAVVLEAWDDLGGIV QRAVGCKSVTAFQAMADSLLRARAPGQVLYSTMRKLVNEIWALEAFDAVKLAKYTRCL FQATLPVDDGLAMRLLEEACGKARELRESEAGWPEEELEWMAATAFNHGIDCFAAGER ERARGWGEGAVKLAGFCRDGGGLEGVLRGKLGRLDLG QC762_306340 MTATEAMERIAGNETKDIKSESNTKPKDHHAPSTDDQQQHNQHN HHTTHHQTPKKRRKVSHACLYCRRSARPCTRCVKRNIGHLCHDEPRDQGSNKSKSVVA PSTTHGSASQSDLGRGNMNQTAADALRLASFDGSLSSGTGSAASAAFDAAAALGQSNQ LQLVQPTAVSGLQGSTLGSSMNQFGGFPDSWLASQSHYHDMHNYHPSFVVPHEVSSEF DVLNNYLRANIFEDPVAAPDGQNQGRPIPGFPSSSSMPPPATAPGASLPTANSEQSAA VSKDKTREYYLQAADPSGNADADDRMLQVLTAKVEAGLLQPFNYIKGYQSLQTYLNEH VSPGSRQKILRQLDRFRPKFREKMQGRDHMFLTINEMQIESSLMEYDRVFASMAVPAC CWRRTGEIFRGNKEMAELIDVPVEDLRNGKTKLHEILTEESVVRYWEEFGTIAFDPLH DTLLTACTLKSPNKSSKKVVNCCFSFRIKKDNAQVPGLIIGNFIPHDP QC762_306350 MASSSWHEASCSRPDVSLAESIPFCMSCGSMGPLDDLEPSETPP AIPILSGKRSGLSLSWPHSVKYATQLTDGDGNDLGDVLQSVLLTEKPDDLQLDVQQEK IGSDLESNSSSSTIAQQCRTYHQSLVPSDEVTGNDSIRLLRLGKGKGSEPLHGTLETR ELKYFPEYEALSYTWADANGQASRTKKLYLGREWAVFPITTNCDAALRHVRLPNTERY IWVDTVCINQFDNLERSHQVQLMPMIYATAQRVLVYLGEDKPERSMISRHLPVFSKVM DWSQKWDDLGPILQRPYFFRSWIIQEIASAKTALVANGESWRVWPVYDEKSDVSLFLP WIKEFETRKYKTSKHLFQLITDCWTSQTYDPRDKVFSLLGVITGAAADGLVADYTLTV EQVYTGLASFVLKNHKQADLLKYAAGYAKCPGLPSWVPDWAILSRNWDVMAQIRAFHS FDTRASLMSAHKISSYRTEHIREPWLMDDVSKVMFAGIDIHGPTGSLFLPGMKITDLP SDQYSRVPAHPTLQVLPDQPSLPPPHGGMTCFRGPLFVMAMMGPSDDTSCLSVWFLHG INAPVILRQSQSGNSSIFSFVSTCHVRVQTGVCMFQGTAEEEMYNPDSYTISEKGYTI GSDAKRKDKQRQFPPPKFEPWKRSVLTRPRFLEHFGTDRMVFDRWGDLYSTALQDATL RTCAESQLARLQALGAHAETTWTEFIQREVPIVILLPSLRGVDLMAPVVLLLEDLAES LKRMKLFWESIESLLEAAKMLGNTVEGAIRDDYGRRAAELKIMRVKMFEKEKGREAYV EDGEPGQVWLSRSVRQLYTCSTEKNMKEMVFRMTANLDERVGFAVDDDGGREQEQSEW AKDGIPCPVWYMIRLGYHSWWLDMRKDQLERGRGFLNDLINGRGGDWKRTDLMTMLAG GEELPAKTPREPGDEEGMSVNEANLAETRTMRDVFGEAEMEVKLTIMAVKILMEKRKE YARLMKGEWKKIVIV QC762_306360 MGTLTLLLSSRLGRGVLALPLLYLSYFCNKRFDRDTLVELVPPI LEKGFIPHPSGPVPVLEGIFPWKPANDLFRPISVIFAPSTLSIDPLAWHQMLFFLVDL GPVYLVLLLESFRDGNAYTAAYLATAWNFASQILGLGVLAPLYCWLHFTFSPSTSILA LEPRKRLLKEEYIPFLLPLLVALHYAWVYHMFFPHSLDQRHYYTWLWQPAPIWIGLAN TILSKTIPTEWVKGSKLVGKGALSLVVAGISMVVWWYVILHSGFSLWEVFVPVTMAKR EFVMSMRGLLQYDLLCSFGGLLVWSLGLMVDVWAAGAVELGELIRGLAVVALAGVMGG PGVAMLDAWWWREKRLGRLAGGEQKGM QC762_306370 MAGSITTPVDDGGGVRKRSSSLTPFSSSSSSSSSSSAASGLAIP AVVHDDPNDTSPLLTPSPSPSPSPRKRKIIRGSHFTPRALAVGLLVGLIICFSNMYFG LQTGWVSTMTMPASLLGFGIFRLLRDRLGDLPFSPVENVLVQTVAGSMAIMPLGCGFV GVVPAMEYLLREEEMGPVRMGLWRGCVWGVGLCFFGVVFAVPLRRQVLIREQLKFPSG FSTAVLISVLHGQTKGVEEAGGEKKNPHGFASLVGDDESFYGGEEVRDGEEEDNKKSE GWKRNVRLLIVCFLLSGISTFATYFLPVLRNIPIFGSVAASTWLWTLNPSLAYVGQGI IMGPATTLHMLLGAVVGWGVLSPLAKNKGWAPGPVSDWEHGSKGWIVWVSLAIMLADS VVSLGYLAFRSVRLYWPRMKGVLPKSVRNLLPGGRQGYASLPRTDSSSESEDGGPLLA EIEEEKEHDDAPPDQQISNKVVSIGLAASIIFCVGCIRYVFGDLVPLYATITAVFMAL VLSIMGVRALGETDLNPVSGISKLAQLFFAFIIPQSNKSSVLINLVAGAVSEAGALQA GDLMQDLKTGHLLGAAPKAQFWGQVIGATAGAVASAFIYQLYTSVYTIPGDLFQVPTG YVWIFTARLVTGEGLPPMAKEWAVGAALLFAVTTAARTSMTRTKRLQALVPGGIAVAV GMYNVPSFTLARTIGGLLSWWWRSYKGWQDTPLIVLASGFILGEGFLSIVNLIMQSAG VPHL QC762_306380 MDPTEEQQPPAAPAPAPAPPPAPASTLPDAPDRETMEAIRRRRL EKLGGGPGSAAGSGANSPSATSPTGGSPTSGTPVPEKAAPIVPIANRSQINITPSPSS ATAPKDKAVNSIPGEELGSKRRASELEGSPSGAPAPPRKQTPAQESFEDYADRILGSI FRMTVDAARTKDAHGHKLTFLPNLSQDLTEEVAPLKLSQDRLEEAIMEAATEYPKDKP LFEYLLTCWKRVVRTLKALRNPTPQKEALLKEARRLCFSNCIFSLTMPELFSRESSPV HDTLVPYLLKEVENESGLCMDFIGEAVSRFDEDDTIAPLFTKAMVDISSKLSTMTMND DYKPYVNALKTYARYAPLLNELAAHPCFQMAQSAPGIEKNTLLGPFFRISPLQPEVAA VYFAGPRTMDPRHIATSQSALQMTLNTHQADLRDIINAFIRASNQTRNKVLDWFAYIM NVNHKRRAMQHDPREVSSDGFMINVTVILDYLCEPFMDSTFSKVSRIDINYFRRNPRI DIKDETKLNADQAQSDKFYSTKLEGENNFITEVFFLTLAAHHYGSEATNAKIKTLDRE IKHFEKNIALMEAERPKVINRPSELRRLDDALKRYTAILEASMSLRMCISGVSLEQKM QARSLLFMRYVTVWLLRVASGTEYTPEKQLTLPLPANQPEAFQCLPEYALQDVVDNFK FVFREVPQVIVNAVGDELIALCITFLESSEYVKNPYLKSSLITLLYQGTWPRYHLSKG FLGELMTSTKFANQYLLHAVMKFYIECELTENGFYDKFNIRYEIFQIIKCVWVNDHYR QQLVQSSKSNRSFFVRFVNLLMNDATYVLDEGLGKFPKIHQFQLDLKNPNLSQQDRER LEEELREAENRATSFMQLANETVGMMRLFTKTLSEAFTMPEIVQRLAGMLDYNLDMLT GPKSKNLRVDNPEKYHFSPKTLLPEIADIYLNLGSSPAFVEAVAGDGRSYRDSTMRQT AQILRGKHLKDEHEVQAWERLCEKFRKAKEILEQAEIDFDDAPAEFEDPIMGSLMDDP VWLPSRHVVDRSTIVQHLLSDPKDPYTRQPMSIEDVVPHTELKERIEAWKEERRAEAR RVKEEKLVGVTEGEGEGEGEKMDTTE QC762_306390 MPPPNTPEEGLLPPQSEPSRIASILATATPPPVDSAPEQAPSPE AAATTTTTTHTVVPSSPEDPALLEIDYQILEYLDAVDETLLCPVCKTPFHEPITTSCG HTFCAWCINRALDIQPTCPIDRQPLTKTRDYHRPPLIIKDQLDRLKVKCPNKGCDHIC PREHLDSHYERRCEHTMVRCPDARCRKRIARRHVQGPASTCMHREVSCRYCDERVALV DLNTHYDFLCSGATTKCSACEATVVLHRMEKHCAEDCLETQVRCKWHIAGCKVDDKRY LVQEHETGCPYEIIGELLKQRAEDRRIIDNLTDRLVALETERREHQERRARRRELSRQ APNTSHGADAIDLSAPDPSTFFYDMEWRPDLSNVGAGENRSWGSPEDYMLARFERLES RMEDLSKQVVELDAHQSMSMLQQIMPLNQQLVELGSKVGVLNMHTTWLMNMQRHNHLQ QRAGAVSSSGSGMGNSGMASMSQMSSANDINNNFPVRLTEGGLPYRTTASRRNSDGRG EPPPRL QC762_306400 MSTPPPPIPPPTTKKNYTKAPQELIHEFWDRYFTKKPGKVTRIF PRSLYSSILPLSLEDGQPARSARNAAESYEAAAKECREKVQRIIKECHRTNEKFTDPD FDIEWDLEDNCLNGLVRFDWDDWDTEDTGNAVSADRFKRSLDTLAESNALGPGGTVAL DPGVVRGYIADDPWAALFGAWGTKGQPVTKGATPQAQQAAAAQKGVLPHGKNSPRHHT DPRANPKSTRSKNGVQCGEWYNPGSKHRLDWVFSSPQWTIDGYSSSDIKQGSNGDCWW LAAVATITHRKDLMDRVCVVRDEEVGVYGFVFYRDGEWISTVVDDNLYLQYPDFDYYG DRYDSTGKLAREYRKRYQTGSEALYFAQCEDQNETWLPILEKAYAKVHGDYEAISGGW SGEAVEDMTGGVTTTVAANRVLRKDKLWKELVNADGEFVFALSAIGTGWDSYKGGLAL GHAYSILKATEEVGEDGKKVRLVKIRNPWGQRSSDGVGEWNGPWSDGSKEWTPYWFKK LNHTFGDDGVFWMSYADMLETFLFIHRTRLFDEKWTVVQQWTSVSVGWVAGYLNQKFV IEVKKAGTVVVVLSQLDERYFQGFEGQYNFSLHFLLKKEGGKDEEHICRVRPVHQWEN RSVSCEVDLEPGRYEVLPKVTAERCDWRDQVEDVVKKWADKNPSKLRQVGMQYDLAHA KGGIPDEDDLILKKKEEAKRKAEAKKLKAKEKAKRKKERERAKKRKEKEKKKKAAAAE VTVKVPEGGETTVNVKAGEKKEDTDKKEESEKSEDAVEKKEDAAAAATTKAEEKKTEA PKTISTASSSAPAPPKEGQKEEKKDDGKKEETLAIRPVPTTTTTTTPAAEEKEAKPDE NKAEEKKKEEEEDDSESEYESETDEEEEKEKEEEEQRQKEEEEARKLAEDENSPSIPW NAVAVLGLRVYAQDAGVNVHLAKPKSDEEGASLVIDSQAVGATM QC762_306410 MAEAYPPSISDGSSSDNSEAGNWLQGDQDDGDDFSEQETVQVIS LMDDKVFDDALAMVTYCKEKYGLDFLGVRDRLGLDFYGSIKLINFIRQKVHDGKPVKP DDIKASDLEDDSLLKPVLEDDALIVCLDDLPAAGEAAPQAREIKQNEVPAVDELLRKN AQLQEELEKLSQQFSNYRLAVQQTLDQRWGEDDKPGSSKARAEAPAPAPAPATNGTEK KDNSPPEATYYFESYAHNDIHETMLKDTIRTNAYRDFIYNNKAIFAGKTVLDIGCGTG ILSMFAAKAGAAKVLAVDNSAIIDKARENIFNNGLDNVITCIRGKIEEVTLPVPTVDI IVSEWMGYCLLYEAMLPSVLYARDKYLKPDTGLLVPSHTSMWIAPVADEEYVTDNMDF WRDVYGFDMKAMQEGTYANCRIEHLPDAAVAGTAQCFRMLDLHTCTKEDLVFNEKWTS TYTSKDKLDGFLVWFDTFFCESRQEQVEKQLTWKQWMDVKGKESVAFTTGPFDKETHW RQGLMLIDHAKQPKGSEEKVKEGARLEGEIEYAIPEGHHRGLNIKVTWGLEGEEGEKR LSQMWMCH QC762_306420 MRTILPGLPSPHLQALATGYCQNASESGPRRITVYISGPAISIL SPSPTSPSHLLQTIYDDDPTPLQSVALDEPTAKIAVITTSGTGRIYKPTINTLLPINS PEREPRWVLQGTFFSSPSPSHPATLSWGSDGELLVSSSSSLQLWQTSRPEGDIHLSWT QPLPNPAKMALLSYDSAYIASVGRYDKLVKVWRRLSYGSGGAGTEEGVDGARFDFVYL RHGDVVTRLEWRRRPRHEGQTVENVLWTVDCKGRVKLWVGGDGHGMRELRLWGGLELG MKMGWVIQGGDLMGAVEGVVERGRGEGVERVVEVGRRCHEVVVGLDGKGGVKVWGLED VGWEPGAQKRGGVWEIVEVKGVEGLDWGGGAGEHVEVQSYCDGKGLLQVLVHFFDGRI EVYETDLAALVDPNPKRKRLERVAVWTGHSAPVKKVVRNFSGRAVVTRTESGQSVVWK HELDHGKGVTMLRRQVVIQQAGHVHRMCVLRKGRFVVFLRHEKVELWDCRGAQARLLA EQRYDVPGKPLCLIVLPRHKVEDYTTAHVATITSEKEGVGWEVKFPFYRPNDPTVNVA NGRGEGDQEGCIREFVKFTLEDAGDLGYVLPVDPAGADFHVSGFLDVFARDVAISYTH SGRVEFWTARVGHEGKGVEWLSTSSLETGVANPALVSGSTLKKAALVSADRSTLTIWD IRGARLEYEQKFENSHTIRDLDWATTPAKQSILAVGFPHRVLLLSQMRFDYLNKGPAW LPTREISIRQCTPHPIGDSVWLGGGHLVIAAGNQLFVHDREFEASTSLVPATGLRIPH RKGKARQLDLFEVVDRLNGPLPVFHPQFLSMCILAGRISLVHKVLQALRKTLRFWAEG DVVDDYLGLDLVEFYAGDGSLHNGGGHPREYLNRRQSFDDGDEPFSEETAVDINERLT RIGIPQLSGHEQIQLVDIVECVGVVEKQRRSLDENGARFMLAFRQHALRKGRANEVHI SWREISWAYHSTSQDVLVDFVTKQNHGRMLWEGARESGMFMWLGDSAAVRQQFEIIAR NEYTKGEDKNPIDCSLYYLALKKKTILQGLWRMASWNKEQASTSKFLANNFDDPKWRT AALKNAYALMSKRRFEYAAAFFLLADHLHDAVNVCLNQLHDLQLAVAITRVYEGDNGP VLKRLLEEEVLSTAAKEGNRWLASWAFWMLGRRDMAVRALITPVFALLSTTPSSPPPD DGNLKSKSYLTDDPALVILYRQLRQKTLQTLRGATKVTPKVEWEFVLHSAGLYDRMGC DLLGLDLVRNWEFFHPAAQAMTGLGGEINPLRLLKRRGSLVVADIPIRGGPLSPLATP GGQMQVPGEMKTGGGGGTTAKPKPPPTVFEEPDANSLLDSFGF QC762_306430 MSDSETTPFLAALSSPKAADPDARVMDEQSESTPLLSSSATRRY DGEDDDVRDETASVAAGETGAVSVKSTKDRSIPKPSLIAVIVLSLFALGIMIGAFFVP AAVEEYAKQAIVLEPTNLSLVSITTNGVRARIQANFRLDAQRVENEHVRRVGKAATWL VGSIGTEDTRINVYLPDYDNILLGSAAVPSMDVSIASGQNNAVDFVADLIPGDAEGIR TIANEWLEGRLDTVRVLGKADIQLRAGMIPLGTHSIAESLTFEGQSLYRTFASLYFGE KSLF QC762_306440 MMHRAGFRTLKTTMAHLQTRSLSSAASGSQPRHLMSIGDLTPAE FTRLVLNASSHKKAVKDAFAAGQTPPKPLHGAMTGKTVAMMFSKRSTRTRVSTEAAVQ LMGGHPMFLGKDDIQLGVNESLHDTSVVISSMTSCMVARVGPHSDVTGLAASSSVPVI NALSSDFHPLQTIADFQTIHESLSSTPSSSSLGLEGLKIAWVGDSNNVLFDLAIASIK MGVHISVASPAGYGIPPNIKSIILSAAQGVPNPGTLTETTIPEEAIKDADILVTDTWV SMGQEEEAKKRLEAFRGYQITHELAKRGGAKPNWKFMHCLPRHPEEVDDAVFYDESRS LVFPEAENRLWAAVAALEAFVVNKGKIL QC762_306450 MASRCLFAPSKRIATQARAGLLAQTAVRHSSGKSSSTSAIAYKA NRRRQAPLPISDQPPSWSAQAAVSNILYETPTPSVAPPKRHVLNCLVQNEPGVLSRVS GILAARGFNIDSLVVCNTEVEDLSRMTIVLTGQDGVVEQARRQLEDLVPVWAVLDYTN SALVQRELLLAKINILGPEYFEELLAHHREITAPAEAAEAAPAASEPSLEEVSQDFHP SRLVVSEALRHKHEHLKNITYFAHQFGGKVLDISTNSCIVEISAKPERIDSFLKLIAP FGILESARTGLMALPRSPLYGPDEQDQHVKDADEVVDASTLPPG QC762_306460 MDGRSTKRSRFDQTEPEPRRPSRFDRRSRSPPGRKPDSDRERER SPLSRPRDAPTGPKSPVDPAAAAAAAAAKINAQLQARKGIQHVDVPPVRSASSGKEGS AGPALNGEVYVADGDFIKDIEVNDLRNRYLLTKGSTQKMIKDETGADVTTRGSYFPDK SMATPANPPLYLHVTSTTKEGLDKAVAKIEELMKQELPQLVDERRFQRRHNPEQPPVE RDEFGRRKWPEEKIAITLESVPGFNLRAQVVGHGGAYVKHIQSETGCRVQIKGRGSGY IESSTGRESEEDMYLHVAGPDPLMVKKAKELCEDLLDNVKQEYEAFKSRPPPQRHYNG GGGGGGGYGGGRGGGDSFHGQAYNRDSHSHHNSSHSQSHSYSNSPAPPAASSSASPAP AASTPTATNPADYAAQYAQYMQYYGSAAAGADPYAAYGGYEAYMRMYQQWMASQPQQA PAAPGASASPPPPPPSDAAPPPPPPSSAPPPPPPGGPPGTSGGMYSAQPPPPGL QC762_306470 MSHQRHFSDAAVKEPHSVSLKVLRLTRPSLVSQYPFSPPLSSSA ITPPPPLPASLSYSPSSTNPTPFLLSPILALPPSFGSAYVGTTFSCTLCANHDIPPPI DGGPPLSVKTIRDVKIEAEMKTPSSPTLIPLLPPGNDEGTDLSPGGTLQKIVSFDLRE EGAHTLVVQVSYYEATSTSGRTRMFRKLYQFVCKGLLVVRTKTSALGLGKQGNRRWVL EAQVENSGGGGETGDGGGEVVFMEEIGLELEKGLRGRDVNFWGRGRGREKVVLRAGEG EEVVFVVEEEGEWDGKEEEEGRRVFGVLQIGWRGEGGGRGSLATGRLGTRVLRPREGG AVSKV QC762_306480 MDGNNTSAPLADYFWIAGIEDVKYDDEPASQLDVEDTIVEDEDG EAETDRSVATPSRATARHSRQNSANRLSVMSKLSLSGSEEPSQDDVEEKDITKSNRSS ATIRPNPPPSLNTGLANGTNGTNGTNGFDLGSLGGGQGIGFDGFPADFDFDKALLKFA AERENFLDDLTFSAGARVQSRPPMVNPRTERLKAEDAESGRKSPLKSIRGSIRRKMSF RDMSSVRKQPMTPRAASIRTAKRLSNYNSVIPPPEPLNLDPDMHPLKRRFEPVLLDRY PPRDASADDLARRGKFPDYVPMFAFPNDIQIVSSDDRPRSTWHGFTMTSDDNSKIYGI TIIVWVALHAEVADEVEKRCERWRQRHMSNEERELAASLGGRLAAERAYLSQLLAKLG TVPSGSAARESLDEQISAVEEKIALMTEMLRPLRHGAASKIDGLTAGETGLWAPRAFG ILGRDPAKMQFWKEWLRAVTVPMTDGAVLRIPPSSPKVGRWQPLERYVVNLCTEAFSP LSSLTQVELGVRELRLYARKEAANELPGSRSIDIYALFRCLSLENIVLLFEYAMSEGR IIFLSSHTGMLHLACHALANLLYPLKWASIFIPVLPARLISALEAPCPYIVGIERRYE KIELPDDDYVLVDLDKDSIDATHQAASLPRQHRRKLLSLLQIAAPHRLRYGVTPGPPP YAIEAFPYDAYSAENETLFNPLPMKSSLGKWVSQNSSTFAEPDPPECIRTPLFNAFAQ AKIDPSRMERPPTSKSSKGSPPSSVSPVSMNFPPMPITPISRSDSGFAATLREKRSGH FDERSRRSSSFGVDKQPPHPSMAHRPSLPFLNGHTQNMSISAISIDSQSSFGGYAPST YAQSTIAASTIMPNMMVQPVQNTENTVWVEGHCFNWEPTETGSTCTVCDERSEGDGLY KCNGCDCLSHNRCLGFVSLVCPEAFHPDRVRAAFVRCLASLLYTYRKHLGRPTREQKG HGQLYAFDMDGFVRSLPYDQQEYATMMRDTQAFNEFIHERERQPQSDPAIRLFDEVIL AKKARGKPTFSAGLSRLSTLRASHGLTPSYMGGGHNRQGAKVPSYLGDTSDHIWRTAS VPVPSAKFSGDYRSVVTRVPVRLDPGLMKEPRAIQGVPSAGDGGEEEGAEEAGC QC762_306490 MSSNDTPSHPTTQQHQREPRTGTISTTQTITTTSTSPPQAILRL RGAHAPSSRTVQWSEDVIDNEGLNRKKSKVCCIYHRPKGVDESSSESSSSDSSDSDSD SDGGKGDLDRQGKSDGGRRRLHNHNDDDKCNGHHHHHHHSRGRKKNGDEGGSKRRKRK PSPNAYEKMPDYGIKPPGKGKDKDTSGDGGPMKA QC762_306500 MAKTLFDLLEVHDQSSENEEDVPTVSQHPHPHPHPHPQAQVQVQ VQPQPTEDGASVTSTAATEEMPPSGRPRPNTPRREADFSSLLNHAEKVEVSSLINRLT DMMQKQTTQLFDVFPPESNPLPVRITVWNKLPPYLRDLSLTKPVEEQPRKAPEKQENV KPSRSKKGGRSNGRRTDNSTSSDAPPAPAQREPDVPLGPRQQELKKEALMHFKRWQTA VLKRAGDISIRKANDYGNQYGYGPKRGSSSYKKKRANSKFQTQADGWVPVGRPDHVAD SQPATMINVTPITVEADPAFWQLYPPIATALSTLPAGKRCLLLHCLMLLLLSLESYNA YTRILMLNITSSLRLPLRVLTEEEVRISKAFADLAKTVSFEEVALKRTEENKSSRRRA GPAGAHFVPSGNLAAPLIAASIGSVTGGMGVGSTTAAGLLGTMAESGLVVGNVLGMCP CRAGGKTMEQHAKDVSDAGFIPLRGIVNEECFKISEIVPDHRRIRVVLGVGAWLPNKT DIFRPWRCLGEQNEVYVVRWELDNLVKLNTALETMVKSAAWSIAKKEIIARSIYTSLI DARWPASLLKLSKIVDNPWNTCMVRADKLGSILADIISSKAPGERGVSLVGYSLGARA IYTCMAILAERRAFGLVENVVVMGLPAPSETAIWVAMRSVVTGRMVNVYSENDYILGF LCRQCSVEYGVAGLERIAGVESIEQLDVSAKVSSHLRYPYLAGTILHHIGWEDVDKEQ ATQQEHAMSIWEEKLRQHEARRAAVETGRPDPFKTLNTLTDTTNKGPEQGIIRTRMRK KKGKK QC762_306510 MIKPHHLLRQPLRQIRPLSHPPSAFSTTSSPPPPQKPTAMNPSH TPVDPDNLLSNPTWSITSLLPSSPSPSPSTPSITPHQLSHLLKLSALPPPSPTSPETH NNNNNNNNNNNNNNNNNNNNNSKILSDLHSQLHFLAHLQSVNTSNVEPLSSIRDETPE GLAESAITVDTLKEALNNEERVGKWKRPRRRTVDATTKEQRDVEKWDVLGTASEKVTV GGGGYFVVRSGMAVAAE QC762_306520 MALDPRALEEKLDTLGIREGTANTDYTKQAKKTLAGASGGFSFF SGSKEDKLQNAAELFVQAGNAYKMEGKNKEAGTAFEQAAKIHRDRLNEPDDAANIMVD AFKVYRKDNPEDAVRCLGMAIDRYTQKGNFRRAASHKENEGEVLEEELGDRRRAMESY EKAAQWYEGDGANALANKLWLKVADIAALEGDYHRAIQNFEKVADSSLDNHLMKYSVK EYFFKAGICILATKDLVSARRNIERYREKDPSFGGQREFKLLSALIEAVEAGNQEVFT DELYAYDQMSRLDKWKTELLVKIKNQIEEADNEFS QC762_306530 MPLTTSETVKMASLTLTEKLDKIRSPNLQSQKQTASILEGVETA FKEQNTQPTPTAYFAALLSLLDNKTLAQPVIYLLDIVTPYAPEPLLRAKFTQILELLA PVLSQPDADAPLVRASIGVLESLLLAQDAVAWEQSAAVMGPRRAVGGLLSFSLDPRPK VRKRGQEALRKILRSPPPSPSLDHPVAPMCAETAMMSLKAVAEKAAQLKKEKKGSEAS TDPELIHALQLVKSVASASGGWPSKKIESLCELLLGIARSGNEHMSMAVFDIFEMIFE GMAEDVASSKLPRLLEIIKELRPAPNDTQLLPAWIAILSRAYDVSAQVEPEETFQGLL DPFNLVASYLESEAKNIRISASECLVSFMANCVPASVLVEPSIYDEKVIGQLVETAES LLTLKYQAAWLETFNVLGAMFDSLRWRADPYMLKITQSIGEMRGNDSFTGKQEADEVL GKAIRAMGPESVLKVLPLNLVRPARGQPGRAWLLPLLRDYTSNTNLAHFKNELVPVSA AMFQRVLDHGAAPKTMEIKIFETVVQQIWSILPGYCDLPLDLTEAFDKTFAETLTTLL YEQVELRLDVCRSLKALVESNQAVVSAEEADPALESRVSKETAAKNLGYLGTTFAADF LAVLFNVYSTTLPQKRGPVLQTINAYLSIIPAARLTETFDLVCTKLAEALQQAPEPKE QKQQKGEQMPSTAHTLMDLVVTMSIYLPRESFEALFRIAALVVFKDDDPQLQKKAYKL IPRLADAEIGRAALTQRNEELQALILSSAEKVSAPARRERLAAIAAMLPFVPDTQLHF IPSVLSEVVISCKEQNEKARTIAFDLLVLMGQRMVNSHGAVIDNSKVPHMPKDAPAAT ASVEEFFTMVSAGLAGSTPHMISASITAITRILYEFRETVSEATMSDLVQTMDLFLTS NNREIVKSVLGFVKVCILSLPTEMMLPRLETLLPNLMVWSHEHKGHFRAKVKHIIERM IRRFGVDVVMRYCPEDDKKLITNIRKTKERSKRRKDAAKNAEESDGEDDGRRKNRFES EYDQALYSSESEGEQSDDSDVEMTGRKQKGGKNKGGSAYILEDEDEPLDLLDRNALAN ISSTKPMKQKAREKKKVKRDMDGKLILGQEEEGEGMDVDMGGGGNEEESGVGAYVAAL RGKDVPIRGMRGKLKWKRGRKNEEGDDSDDGMDIDEGAAKAIRERPGNQRGRGGDRGR GGDRGRGGRGGGRGGGRGGRGGIAAGRRGLGQDKQKGPGGFGGVRKGGRGRV QC762_306540 MAIASLQQPTQAEMPPPPPPPPPPGLSLWPQLEQQQQQQSQQSH TPRQPSFAERKSHQQHHHQQQQQQQQQHARRGSSPEKGQSRFLEGSMNDRVSAVPPPE FITGNKTEEEIREWERQFYFPSSSSSTYHGGGVTMSTPASPTMSGFGGHPLMRPRSSL QVWGGGYNGQVQQKKSSGFLAPLWDGVREKLRGSRSSGSMEGVMMQGQGQGQGHGERA KVVTKAEQSQQPQQQQQKKEASSSRPASPVKPPSIVTGAANGTSYPSREEVLASYKSL QASGFFSAHAIKGTRHPLRNAASAPMTRTTTEMQVAGTMSPSGPSSPTSSLYVNGDRR SFADRLAAVNSQQQLRPAPSTASFRSPAAPTRAPPPPPSEEENSEDASPSRGTKRPSQ DIHGEQETATRKLVKKLRRSNSRTSTKTNFTTQTANFYGGHHHHHHHKSRPSTSSAMS GFSLFGGASLYTTTSTVVDPEQQTPTQSPSKSAKLRSKMSFGNLVSGKLTKEKKEKKK ERTSFLGGLRRKTKGSKTPPAEQQQQQHQSVQRDEDVDMGINIPAQVAQSMVQPSRYD DDDDEMMLDAPYITPHPTHYHVSNPHPHPHHHVLHQRGNSLPLATLTESSPSRRNITP PTSAFYTSHGHQPRIRRSLEMELENEVVIPDANRGIPKVPKIPRTYYTGKAVVVDIDE ERRVKRESLQVLMGKQRKHRDSGMSGFSWGSGGRSFSGVSGGSGMSGSGQENRGEVYV HPSQSGW QC762_306550 MGAQVVPSASHGMPALEVAEPNPPHIISRATKGGRTLWYCLKVI QQPERARACGSGPKSSADRRPVDPPPVVELRVYEGHTWEAAQDKDITFLYNANFFLYA TLEHARVMAHGRVQTPAANTPPVLTGMPVSGMAYLDRPTEAGYFLFPDLSVRHEGRYR LTFSLYEETKEDKDKDPQSDSSASEGQSSSPAQGGSFDFRMEVKSQDFVVYSAKKFPG LAESTALSRMVAEQGCRVRIRRDVRMRRRDGKGAGDYDNGDDEYARRRSRRTATPVAD ARSDFNRNRSMSGSAERAPYPADSQRRPSMADYPSQFPGQPGSQGGHLQFLNGGSNGQ YPSQPPPQNFAQPPSVPASPVYPPSQAAPYPSQTSYQAAPPPPPPSYRQRERTPSQSS YAPLNPAPRRDSAQPQGFRSSSGHVTLPPLVPAFPQHNSHRMPPPKMPSLPPIQVDGP QTSTLPGASQLPLPSPTRFRPLVPTSRRRLPAPLTAS QC762_0054910 MNQRDIPEKTKQIQLMAQIYSKAARVIVWLGEAEDSGDQALEQL RQLCIR QC762_0054920 MAVIRGKGALLGMVAEVNQVAQGGRQNVVIDYTLADYGWSPEWP SEATSLPPSANAVRPGDIVCKLKNAGSPMIILPHVDYFSVVMITVSLKRPLPNEKPAV WMIKSFLLVWDWTHGASGPDTKFDVLVARHDLDHGLDDRLRRGFDMASIFLEKPATLA RPVDSPNHVKIQGLLSDGVKLAEKEYGRNDRKTVNVKIRTALYDWDVRKLREVRAKLR RFIEKRLRVEGLYPNLMTDCESLAKLYRRELEVIEVKHQRGDQENHHACSLEEDGLLL DHGNVDTLDVTGETLRAVTKMGKHGQAIMDLLLEFDSELEELEKSRWRLWLGRSRH QC762_306570 MEDHGDEVAADFREALQDLTTNARFEIVTLTNIARENADHGLAI SEALTNHIKEAPASKTLPALYVLDSIVKNVPTPYALYFGPKLYQIFMGSYTKVDNATR RKMDEMLKTWKEPVAGSISKKPVFPLELVRPIENALLAARNAYMEQAKFQTHLMRGRP AGPPARDTPTPPMGRAYQPPPPPPPPHGQPPYQGPPNGQYPPPGEQAYPMRSGNTPNG VPNRATPPQPAPSAGPYAHYQPPPNQGPYGVPPAPGINIDKLKDDIQQLIELDRAGFA QNMHDASKQNRLKALLDLQKVVQSQNLPQEQLMIISDQVAKLAVNMRAAQAQPPPSYP PALPPPYNTHTPTPPVVTQQFAPPPVAAAAPPPSVLPQLPAVLAAALGRPASNVAPVP VAAAPPPPVRPATTAGGAAPGTLSLDAILGQGALAALLAAKKPATPQQSSTAPVPPAA ALPPALAALRSPPQQAAPFQPPPAPPVAQQQPPAAAANPLTQNPSALLAMLRQSGLLG GTPGVPTPPPAIPSLPPPDPNIITLTPASLKFPRLHLLPYLLDHLGPPCSQCGRRFPT TEEGRKKKTQHMDWHFRVHQRVAEAEQRGQHRSQFVSELDWIQSHEEPDVDYNAPSGG GGSGDGDGSESDDNDWSAVVKGGRRKKNEKKKRWITAPDEGDGTGTGTNKVCPICQEK FQSRYLEEVQDWVWMDAVVVGSGQGRRVFHGTCWDEVNTGGGGGGQGQGTMVMLGNSN NKRKMKEEEEEGEEEEEEVGGGLRG QC762_306580 MATAGPAALNCELSNMDQPMSAWEPSFDELNNNNNNSSSSSNYP SHFMSLMQDFTNEPYPEEYFDSDESPLPSGPDVMDDVGDVFIPLSPSDALPMSHVNLY TSSRNSLADSGSSNGPASTTVTSTSLRNHKDDSVLDGSEIKPEWKTEQTVDGRNGLDG PSFGGAMEPHSDFSSPNSAGYETAQQLSPQTSQADSLMFGNNNMYMPFDFKQTTSDMS LETSRETSPFSPRMPNPQSPQYYHGLPTNTYPPWHTIGMGVNPQMVPVPSQFSGNVGP PLNFNRGLFTQPQPFKLTVDPTPTKSRVETQIPIKLNLTPLPPGIKRIHLPTHTISKP KLLAKPTPEPSPDMLELHVRLVCTSAMQNKDLMKTAMEQARKASKVPRVKPTLPSLQS GDGSDEGPKPQDGGEVTICQNCVSRERKRASRKKIKKVEDEEIWRQDELYRVIVFNTG EVREWEAPKGETDESGQLQVHMVQPGAPWTVEAPMRIACYCRHHTEKLGFQVIFTLTD FRGEFVAQALSPSIMITDDHKTPHAAENALNRQAAVESTVFYPSPPLTNAADRRSSSM STQLPDQPIQASRLLVRPAPAGPLPGQQPSKKRKQAAARLETALSPVAQLPSTVGASA SSTPSPQYRHMPPAHLGLPPDGMFVSPTGTMAPGQLQMSPGHASGPPTPNHNQPSLFN PNGTANLDNGGVGLYSGTSSLHQSRAPSPTTSMATLTMPAARGGVALSQVAPRNVYAS GPANAASNAASQTPVIHKVVPQEGLVLGGYEVTVLGKGFKRGVEVMFGGKPATTTTFW GPESLVCLVPPAESLGAVRITFKDAQLELQGHPVWFTYKDDCDQQAVNLALAILNSKM NGGSGGLYGFAQQIIGRHQESQDSFGAGGGMTGGDGSYTGRPTGANLDGQLLNVLEMI DLDDSPHSARFNLRTRATGQTALHVACYLGLHRFVAGLLARGANPDVRDKAGYTALHM ASLKNRPDIIRLLTSHGADSTLRTLSGLTAADVAKSPAAIRAINRFESHTRSRSGVSL HSRVNSALNLAKALPAPESKDAESDNSLKVEADDGEESPEYSTFATTEESDAASGNAD EEDEWLDMRGNNSITPAPPADDAPRRQRRGTDGLGGLALPTAAMTAFRDHMTTQFQQL QQAMQLNILQNLPMPYIPQMPDYQAAVMQRLASMVPNLGGPRPDSNVEDVSSIKELWH ALSSMATSAQPAPIQAPPAYSEIFQQDTYDKKQSSAVQAAAEAEADVKCAALYDEAGP STQEALTVTAPVVEAEEQFSDEDAAFIEIGPKNAITEAQRERILRARAERMKRLSGDR NLFFIWIPLLVLMMGAMFYSSLPYWGSTIREVITNVRVPQGAVLGQLV QC762_306590 MPTLAITNFNIVLSVLGGWISLFGLVSYLMKENFYLSEALISLL AGVAFSPAAANLIKPLEYTLGSEEDLNIVTLSFTRLVLGIQLVLAGVQLPSRYLKKQW RPLLWFLGPIMTAMWLSTALLIWGLVPGIRFLEALVVGSCVTPTDPVLSNVIVKGKFA DHNVPKELQDVIIAESGANDGLGYPFLFLGLYLLEVGWGGGGGGVRGAMGQWFGETWG YTILLSVVYGAVVGWGANKLLHWAEERKFVDRESFLVFAISLALFIVGTCGMIGSDDV LACFIAGNAFTWDDWFRLETLDDSLQPTIDMLLNVSVFMWLGAVCPWHEFLVNDGVAP LHRLVILGILVLLFRRLPWVFAIHKFIPQIEEVRQAIFVGFFGPVGVSAIFYLYITLE FLKTMEPSEEVEHLGHVVKVVVWFLAICSIVVHGLSLPLGKLGFYLPRTLSRGISVTS DGGEFLPPIRNRMSTLVARRPRRNSGEELPATEPPSSDARRSVSRIGGSVIPSRPVGG ELDVKTIPAGSGGLAMGTDGSSSAVEIDLESPSLPGRTIRFPDESPAIAGPTSAPGPA GTHNADSS QC762_306600 MDEEENSIHRILDAQEPIVKELVRGEVEHGVLIPLKTTLELRDD YVTAKVLITRVPLKTANPAIMMLRELLSEEVVKCFPHLRRCAKPCDLPAHIKAKFMNE SPDTRQIHTGKSNWIYIIAGPESMLNKEEVRQELTKLDGMEGEEVFISSIPVPMVAPA SQVQAAMWSQQFWPAVYRKNNPLGPHPSMIARSTDEISDDAAIWMSLAHQVAHQSEAK GYGEAMGACVIQREEDKTTIVALAGDARWCQRGKCGDGGNPMAHCVMRAISMVAQKLV RCENRQTRTNPEPILEYECFQDKPLFEDERKVFELEHPSPDGYLCHGLEMYLTHEPCV MCSMAILHSRMGKVVFRHRMPLTGGLSAEDRGCGHPSLGGADGGRGLGLFWRRELNWS LIAWEWESGGCMRPLEVDKAIHA QC762_0054980 MRHDEALIALAWASFGAGSPSADLTPEVGIELAAAERAKPAAPP QRAKIPIFLNMGKPPVAEGASAASTPLVAPLLSRAHRIWGNETPESRVRVLMDLSLCG RQEGHLHRRARAQSQRQVDLSSECHRRRPTVERLVICRGLIIALQPH QC762_306610 MLQTRHPDSTFDLKVSSRRRSSMPPHSLWSPPSSSSSFTGLSRK RKREEDSFPVSQPSSLRKLAMSHMIGSSATAPSSPSIRANGQRPIPGAHQFHDGLLPP AAASWSSALKDLNDFELSSSHPTRPGFQQHYDSPYSRSIPSTAPGSPRIPPLRQNSGS HTPRVRPHATTLNIPGMTRSKASPDGRIPDRDVAAKLVIIMVGLPARGKSYITKKIQR YLSWQQHNTKIFNVGNRRRLAAGVANSDSGSPTSATNRGVDVPTQAATILLNGTKGPD IMVEVEPTKLDLNGEPKSARTKIDQSAKFFDPNNEIAAKLREQVALDTLDELLAYLLH GGGAVGILDATNSTIKRRKLLVDHIKAREPKLGILFIESICHDQNLLEANMRLKLSGP DYKDKDPVKSLADFKERVKAYESAYEPLGKWEEDMHLQYIQMIDVGRKLVHHRLKGFL SGGIASYLTTFNLSPRQIWITRHGQSQDNQLQKLGGNSELTERGHCYGLALYNFMTYK RKEWLIEQKNKIAQSTFPPLPGDNTPPYPELNQELEDKNFCVWTSMLQRSVQTAEYFD ADEDYDVKAWEMLNELNAGSFEGMTYEEIAQRYPEEYRKRSQDKLQYIYPGVGGEGYL QVISRLRDMVREIERITDHLLIIGHRSVCRVLMAYFMDLTRDDIADLDVPLGILYAIE PKPYGIEFHAYKYNEEQGWFDELPNYKPRKTVDRNS QC762_306620 MNYGNNNNNNNNNNNDKNEMDPNLHATYPLLALNTTAETPEFDY SAFYTQQPHHSHEDIDYLAEESSASASTSGNFVSSVSDATLQNLNPVPPPSLLTVPAL TSTNTALALHNAHSPGSYGQPYPQNQLQLPSFDPSAVSGTGYNDGSSGGGSPAPSPGH GGGGSGGGGSGSNSGSLTAAGKQRLERRGHTKSRRGCFNCKRRRIKCQETRPSCGHCL KTGLTCEYPSLPTITHQPTNTLPLFSLLDLRLYHHFLSTCYPHHPIGSEPLWLHTVPH LSQSHPYLMHAILGYSASHLLQSDPSLTLTPAMTHRLKAIKSIKKALSSLPSSSSSPD LASEGNALMATCFTLTYQSTLLDDGMPEYMTFIRGIVIISISMLARQTRLMFDNLIRP EHNKSVLEAHMRSLPLVRREWVDAAGGSLRKLEEVVPRQGVRRRYWELLVEMVEGLKK GGWEGYEAMTRHYTWWMMLPQEEFRVLVDVPGDQVSVLLGAHWVGIKMIMATVTEGEM MGSAEREKRVVVTEGGEVKEEEGWKEGKDPREKVEMEGGKKEGIGRWLRWLNRETKGG WRGYGGWCRWVEERLREDLGYFGKSV QC762_306630 MHFTTVLALAAAGLAGQAAAGPHLNHQHGVRHVHEKRALVTELV TVTNWVTVTVTGHSPTGRRQHYTNTRKAKKVKPTPSSQAAPAPPPPPPASVAPAPEPT TVITRVRPADPEPTPAPVEPPKSEPAPPPPAPVVVSSQAPAVVVKPEPVPNPTPAPAP APAPAPAPAPAPKGQRLGAGLAYNNPNLLKTLLGSGTKIGWTYNWGQRDDSGTGLPFI PTLWGLKLDFAQVWPANAQRAIDAGSPCLFSFNEPDHGTQANLSPEVAAAKHKELMNP FQGKARIGSPSITNGGGPDMGIEWMKRFFAACNGGCAVDFVNIHIYGFSTEQFLDHLV KVNELFKKPVWITEFGFNGSDDEIAQQLKTVIDAIDNDPKYAFVEAYSYFMVEEGILV KGNQPSRYGRTFAYGGAN QC762_306640 MFPTSSSFSSLKPPTLLTPNRFVPPLHSFPIHRHPRTLATMSTS EPPLSLPKMPSLIYGTAWKKDRTADLVYEAIKAGFRGIDTAAMKRHYDEALVGEGIRR AISEGIVSRNDLWIQTKYTPSPSTHYTSTNPLTTSLTTSIASSLSNLSTPDHQPPYLD ALILHSPFETLPENITAWTHLTSYLPNQIRHLGYSNVPSDFLDVFDEFLDLNPSLPRV SLIQNRFTAGMYNWDIETRRWCKGNGAVYQGFWVLTGNVDVWRHAKVVREVKKGLGLG SLEEAWLAVVMVGMGVRVLDGTTKGEHMRGDLGVGERVREWRGEKEENERKWERWVGE VRGLVGG QC762_306650 MSSPYTVRWGILATGWIAETFTKDLLTSPASRDVHDVRHEVVAV SSSSSKERAAEFIKKVDAPSSAKAYGSYHELVADPDVDIIYVATPHSHHFQNAMLALD AGKNVLCEKSLTVTAAQTRKLIETARSKNLFFMEAVWTRYFPLSIKVRELITSGAIGN VYRTIADLSVGRDAPEGKIDFPDENRMVNADLAGGALLDLGIYALTWVFQSLYHTQPE AEKEAPNVIAAVNKYHTGADETTSIILQFPKHKSHGIALTSLRVASEPDNKDTAGASI RIQGGLGEIQVVGPAYRPRQLRVITKESDGKAEVIDFAIPKDKERDWGHGMFWEADEA ARCLRDGQKESKTLPWSESIVIMEVMDETLKQGGVTYPELISTDVFDPQSPLNTGKR QC762_306660 MMASTHTPSALPSDSVAGDVAKLAAAAAAASQAAESAEAEAYEK THVHGVYEAIAPHFSATRYKPWPAVASFLQSRPPGAVGLDVGCGNGKYLGLNPSVYMV GSDRSASLVALAHSRGMQLQEPQAQEAKKRIAQGELDATTGTGGESSGAAVATEVLVA DGLSLPFRERAADFVICIAVIHHMSTRTRRQEAIRHLLRCVRTGQAGQPGGQILVYVW ALEQGNSRRGWDEGGEQDLLVPWVLKSQQKQPKRPKQRKGQKRTRDQDSSGVAASNNS GEAPPSEATAGATTAATEPDPGHTDPVFKRYYHLYRKGELEEDVLAAGGAVITSGYER DNWWVVAANEPLPSQST QC762_306670 MPPHTSESPAKKQSKWSPEEDALIIELRGSGMKWDDISKRLPGR SSISCRLHYQNYLERRSEWDEERKNKLARLYERFKPEMWAKVAEEMQVPWRAAEAMHW QLGENEMARRAGVVPFTLNMTPNDSQGSHGHHRISPTRGHGHSQSQGNLPTIPSPRYH HQHQRGPGPVPPPLIPPPMATGRPLAVRRESLPPRSSSSIAPEPLDYGYGQPPPPPGP PFGGLAPIQTTSLGPGQGRGGVLPSVAELTTGVSPYSTPAYSVGAPSASPIHSATASP VPLLPPLGYSSSSSYGYTHLESSSGSKRRASPDVSRETSRRRHMYPRSEDGDYPPLPP PPPPPPPMGLGQVAARRPRYEP QC762_0055060 MEVAQLGLGGWKLKSVASDRTTGMWLSLALCNTAIHIPPNFLHY KPTCTASTFDGLLSATAPHRTFTTF QC762_306680 MADVPLYVVSDYSSSERRITPSWSIAQLKTKLEPITGIPPSCQH IFLKTSSNDGIPIEASDEEAVYLQSFPLAPYAELQVVDTRPASARPNFTSAVGVEKFE LPEEEYEKKTDSVLAWKKAQKLGRFDPNAPTHEQAKIDAIAKEIGARGIAVGKRCRVG GDDTRRGEVKYVGGVKEIPGIGAWVGVQLDEPVGKNDGSVGGTRYWGEESELKRGVFV RAERVEVGDFPVLDDLEDMEEI QC762_306690 MMTSIRTLLLASLSLATVSAQDARISWIENAPAWQEESLMHLQI SPPESSILQLTFDVYPLTRAVGLNESTEVRDDYRIQGFLTYADDLEAMNNQSIALVSC DSNSSTSLVGELITTAKPRAILLYSIKGNCCALQGSYQDLEGTPYDTLFTMANQEESI AAMNSTRLAGASAAATITGGITAAGPETSAQVPHNGNNSAVAMSILYSITGLITLLFL VIIATGAIRAHRYPERYGPRSGYGGRPRQSRAKGLARAVLETLPIVKFGDPAPAKPDP ALELESQTSRSSSEPGIGTRLSAIPEEPKTPRTPKTPKTPKTPAKRQNEGLGTVLESE DDDQPAVNPTIAAPKDANGGDNGTKDGKRISEEHLGCSICTEDFLVGEDVRVLPCDHK FHPPCIDPWLINVSGTCPLCRLDLRPHDEQNPEDPHHLAPPLAGEWNENNAQTTQQHR RKSLRFLDLHRLRHASVEERIEILRRHRSQQQLRESQPPQSSSSGSTTADSEEHHSRR ASLADRLRDKFRVHTTTRQDGGDGEMTRTTTTTTTTTAREAGPPPAST QC762_0055090 MFADSTKSSAALGCFFFSRCSGHCLSVLDQTYPTETSTPAPELR NTQDAVSDPWVLMNSKGAPIDSVGISVLPPCHDVAATFQERNSHELFVMAARKR QC762_306700 MAPPIWLVLRGIKEGSETSSSAAAERRNPSYSEPLVGMVISVIL AMVSLVIISSFLTQRYLAVKLWSRLPFVQYLVFAIYADSFLFVFATGILQFGFGVGYS VSVCESAILLCLACYVTTKLIYMFLVEKAFIIRSGSKRRRMTSKLYLFNSFGMIGVYC VVVVMNFIYRITRVENGQCIIGMRKEAMIPLISFDLLVNIYLTLVFLIPLSRTYTWKN FVHTPGSRRLRTVAMRTFVGCVCTLTSSVVNLSVLMALDGEPGWVCLMCCNSDILFSA IVIQWVTSRDSTSSAASTDSVSGGASRPRSQHNNHTSHGGEELAGLDNSHHRCNVNNR QKDSLEGDKKQQARNKSLNRKGLVSSTDAIVADAAEMSINHAEFGGDGDVSPRSTEVN TVCYDDGRDKETNRRPYTSGSLTRTTTTTTTNNPHDDDGAAAGRFPRLPPALATSTVR HHTSEVRVDVDYGATSLSSREIADGEEARLGNSIVIGSGTSVCGVTDVTGGGRWTKQP PAWSPGTGPGL QC762_306710 MTDAPRQSSSSSSSFFRRPSFVQPFQALYFLMIQRGNPHPRKKV AVVGSGVAGIGALWALNRSPHDVYIFEAADRLGGHAHTVEFTRGKYKTLVDAGFMVMN EATYPNFLNFLRRMKVETAPAEMSFSVSRDQGRFEWASLNRDAFFCQRSNYFSPRMWR LVFDIWRFDKFALDVLRAEKPTEETIGEYLEREGYSTKFKDDYLTPITASLWNTSPEK CALDFPVATLIRFMWNHHFLASTSKNPQWLTLNSGSKSYIDAVMKGFPSNHVRLNSKV ISITPERDGRLRLHTLHPTGGKSEVFDHVILATHGDQALSIIRDSATEAEDSILRSFQ TSTNEVFLHSDLSLMPERYEAWTSFNYLSRSSPMTGSGHIDQVCLTYNMNILQEIPRA AFGDVLVTLNPLHKPDPKTIQGRYTYRHTIFNKSVLAAQERLPEIQNTRGISYAGAWT RQGTHEDGFSSGLRVAVEHLGANIPFEFEESTLARGERPRYSLWDYVIRFLIWLVQVL FLAVIDKIAGGLTTTRRRLVSRVGAKGTALNGRVKVHEKDL QC762_306720 MKFSTTAILGMAPLAFAKSVHNVAPAKRDGHLQKGHESVKISDE QLAELTRLIGVHKPSHGNINFLWVNIGGGAPTTVVGQASTVTVTETVKHEATPPPAVV TGEPGTPVEQPPPDAVVGAGGATHSVTVGGPQGLAFSPQELKASVGDTVIFTFLSQNH TATQSAFDTPCDPLDGGMDSGFQANANNTVNPPPQVAMQVMVDTPLWFYCRQGNHCGK GMVFSINPTAEKTHAMFQSLAIQQKGNGAGGAITGNAPAPDPNAPPAAPPAGTETAPP AANTGLVPGVGKIGADGSCSCVVQCSFGGFPNVAVQGRDSFGGYGGAVPMAMVGAAPA APAKKFRA QC762_0055130 MASPSPSTTPNPSLVTNVQALRNFLATIGPSSSIYVDLEGTNLG RGGTLDLITVLVPPDRKVRIIDVKAMGNQAFTTPSKKDDNVTLKSILEDPSIRKYLWD VRNDADALKSLYHVAISGVIDLQLLENLTRQGNSFYVTGLDKAVENDLRLDQQEQIEW KKTKEDIRKRMASGDSGIFSTRPFTTPKYHSIHAQGGTVDDLPAPEEKKTSGGAKAET NGHAAPITAEEGEIDESVPMDESDETSHPAISDVQHSADANKVGGGLSGGQPAAPLGP GPGPQVLLGSVQDEELKKLLMSWYYAGYYTGLYEGKQQGLKQAQQQDKSS QC762_306740 MTLRSAPDHYIGIDVGTGSARACIIDTTGDIKALASENIKLWQP ASYGGTHYEQSTTDIWNAICLCVRSVLATSSIPPTSIRGIGFDATCSLAVFTHDTDAP VPVTGPDFTNDGNDRNVILWLDHRPLAEAEAINATGHPLLKYVGGKMSVEMEIPKVLW LKNNMPEELWERCKFYDLADALTHIATGEETRSFCSAVCKQGFVPVGVDGSVKGWQQD FLENIGLGDLVENDFRKMGGVNGVSGNFLSAGELVGGLCEKAAKELGLPAGIAIGSGV IDAYAGWIGTVGAKVKLSRDHLDESAAPNDVSQAFTRLASVAGTSTCHLAMSREPVFV PGVWGPYRDVLIPDFWMAEGGQSATGELIKHMLETHAAYDETVKEAEAVGKNIYDYLN DHLRHLKEETKAPSISYLGRHFFFYGDLWGNRSPIADPNMRGAIIGMSSDKSKDGMVL LYYSTMEFIALQTRQIIEAMNKAGHSILSIFMSGSQCQNEILMDLIATACDMPVLIPR YVNAAVVHGAAMLGAKAASADKDGKTEPLWDIMDRMSKPGKVVWSSGDPAEKKLLDTK YEVFLDQCRTQQEYRKKIDEALKGSTLEGVN QC762_306745 MFSNLGKGTPPVPLSTGSLAAGTTNPPATTAGGLGSLFSKPATP TTTTTGLFSNPNPTAGATSTTPQKSLFGGTTTTTTSTPLFGSTTITAAPAATTATTGG GIFGNTLGGSTTPQTSVLGGGFGGGFGGGLGTTQQQQSNLGGSLASILGPTTQQPAGG MNTGFGNTLGGASVFQNAATNPPSLTGSFFDSLLSKNKKQASGETPQGDLPSLQLSLS SLRQTVRKLAPKDGEGGRNLEHGKAHYFLAASGVDPGAAVRDLSSLGFAAAATATTRD RSPYSAGEVDVETYLDNLQTKTTLSMIADGLERSVRDFDLFLEDNVTMEWEAQRKRIY QHFGIKPREEQEGAMGDSQRTMRGGTPAKEQGAGGFGRSRRKGSMAPGTPGGGEKSMR QSMFGRSAMNKSVIGTPSRIGAHAPEFSDVEARKEKSDLEGFTSVDDRFLREKQGKLA TKIKEFNDLRQRGLPVEICKELGDLENTAGDRHGPHLVEAYDALREIVGENDPDNLPR ERQFARYYLDPNPSSANSIEMRKRILKGANTFLEKQFWAGVNSFITKHPHEANLGGQP DVVSKVKAYIRLRLIRKSLVPDNVDLQQANGEYVWAIVFYLLRAGFVNDAAKYVNDHE SLFRSIDRTFMGYINSYASSEDRRLKRSVQDRCTNEYNQRIRNAPEGSIDPFRMACYK IIGRCDVNNRSLDGLSGDVNDWVWLQFNLARETDRSQELAGESYGLAELQSSIREIGL KHFPKTPAEDTTGSFAMFFYLQILSGMFENAIAYLYPFSYVDAVHFAIALSYYGLLRA ADAQSSGNDLLSHNTRNQPQINFGRMLGYYTRDFRAANAAAAVDYLVLICLNADETAA GQQQAALCHEALRELVLESREFSRLIGDIKPDGSRIKGLIEERGKLIALGRHDDFIRN ITQEAAAFANDNGRTTDAVLLYHLAQEYNQVVEIVSRALSEAISLEIGEEPMRLVPVM AREDGQEEAAGSLAAIDDPVELAKKMMKMYEQEYMYWNKIGHQNQYACNLLLQISDIK KLVEDQEWAKCLDKIEALNILPLDAKGDQSLIRQYSALFAQLPQTVAQNVPNLLMWAV VCCTKQRERLMYGQFTGNETTARELIDNMKQTSVDLTAYTSQLRYRLPSSLHEMLARA SAD QC762_306750 MARGNQRDKAREAAQKKAAAQKKGHNMSGSELAKAKEIAAQKMR EKQAAADAKKAAEAAAGKK QC762_306760 MGPDRHPTITQSLHHSPLPAEQSANWHESHIKPTTITTIPNNNN KMLSLATSPKLRGSGHLILHALRAMTLVALVVIMASCWAMIVLSGITGHFQFFDVISH FFVFAISIVLFISEIGLFKPWFKNNFPILGPDHSLGWLGLALMITGCGIMADLVKPAY SIDNLGLPIWRLVLSSGILAITFGVFNMIASVVFRDGENGITARNIRSDGSLAVPTNQ NSKEYYDSGYQSSVRSNSIRQHQQHYPEDDDNTTPQQSQPFYKRMTNHFSVPIPPKFN FRKSRGNLQISKPMPIHDDNDDVERGTGYGNLNRSNSNSRASPVIPDIQRPPTALHPA YTGGSHYSTAHMDRF QC762_306770 MSAAIASSLPASEPPKLEKKPIKFSNLLLGAGLNLFEVTSLGQP LEVIKTTMAANRGDGFGAALGRIWNRGGVFGFYQGLIPWAWIEASTKGAVLLFVASEA EYYARAAGAGEFGGGIFGGVTGGVAQAYATMGFCTCMKTVEITKHKMASTGQKAPGTW ATFMDIYRREGIRGINKGVNAVAIRQMTNWGSRFGLSRLAEQGIRDLTGKKEGEKLSA VEKITASALGGGLSAWNQPIEVIRVEMQSKKEDPNRPKKMTVGNTFKYIYETNGLKGL YRGVTPRIGLGVWQTVCMVAMGDMAKTYVEKLTGEAVTAKH QC762_306780 MAPAMRLTSSALRASLKASSFATKQTAFTVARCYSSKTQTLKER FAELLPEKIEEIKALRKEHGSKVVDKVTLDQVYGGARGIKCLVWEGSVLDAEEGIRFR GKTIPECQQVLPKAPGGSEPLPEGLFWLLLTGEVPTEQQVRDLSADWAARAEIPKFVE ELIDRCPSDLHPMAQFSMAVTALEQTSSFARAYAKGINKKEYWGYTFEDSMDLIAKLP TIAARIYQNVFKGGKVAPVQKDKDYSFNFANQLGFGNNADFIELMRLYLTIHTDHEGG NVSAHTTHLVGSALSSPFLSLAAGLNGLAGPLHGLANQEVLNWLTEMKKVIGDDISDE SITKYLWDTLNSGRVVPGYGHAVLRKTDPRYSAQREFAQKHMSEDPMFKLVSQVYKIA PKVLTEHGKTKNPYPNVDAHSGVLLQYYGLTEANYYTVLFGVSRAIGVLPQLIIDRAV GAPIERPKSFSTEKWIEICKKL QC762_306790 MASSPVAELEAGLQALLSLKAPGVSGSRISSLTALCVNNPQSES VIVQKFYTHLKKTPGTHKLGVLYVVDQVAREWLKKAKALGQFPINSSAQDGTYAAGVH RLTELMPTLMNDSISAAPEDQKDKIKKLLDIWEKGETFPAAMVSSWREKLNAPQPTLQ STTPPGSPPPNLMASLGTGSKPPAPPATQSNPLNILETLANLARQNAPSTQSNHSAGP VPAPAPPAAPVQAPVQAPAPTAAPAPAPLPAALYGILGSQPGNSAMQPAAPPVNMSTL PHAFPPPMAAPQPAHFPPLAAPPVLNGAANPAANPAAVQLLSALLAQGVPVEQIASVM QLMTQNTAATGSPAVPQTSFPQPPQAAYPGYPAPPVSAGPGPAPWEAPRHAADSRDRN GYHSPGRVPRGRSRSRSPGRWDARDSPRSRRNDRGGFDYNRPASPNRGYNDDRGYRQR SPQGRRGSPSDNFSRQQQQQQQGPPQANGEKWVDHDPTVPPGHFKVLSRTLFVGGVMV SEPELREIFSRFGEVQSAIVHKEKRHAFVKMYYRKDAEKAKAAMSEGGARGNELRTKW GVGFGPRDCSDYGTGISVIPIQKLTEADRKWVLTAPYGGSGGRPIVTGMVVEEPDIEI GAGVSSKAISRRMQTDKGGSHGPKSSRREEDHHHDGGYQGGGGGGGGGGGGGRGGWGG GKKDRGGRGGGFDGKRGSHGGNGNQQNGDDPIVMELPPGIQMSRNGPVFQGFNGGY QC762_306800 MAGNHVNLNGLASFTPAASAAAPATVSALNRGGGKGPRALAPGS GGVGGEFGSSNGESSTASAFISSTITTPMSSVNTDGTANSNLPDNTADDVGTGVISKK RKAVPGSRGVANLTPEQLAKKRANDRDAQRAIRERQRLKIEQYEREIRELKSQQPYLE LQAAVRQREAVEAELAEVKACLASIMHLVQPLLAKGSQIVGQQHPAPLPSPAQTHHPS LHHQQHGLVAPIRTPVGFSGSGPGSVASPGSVGTHGRWHNSMSPVVTPMCTEGQQHQQ HPHQPHQLQQPQPSSQAGILAQQRHDLGHGLDLGSDRLGLEFLLDPAQKIARIHQNAA AAASTQYHHQVPLLIPPTQTTFTKPTQSPPLPQHQPREEEEEDDDDEEEDFFTLPLNS PPTCPLDSILLDFLSERRHLLSLPSSHANDVLGPPYPSISSLLNPSTPSHPLSKVFTD ILARFPGLSRLPERAAVLYLMFLLMRWQVSPTRENWERIPEYFRPGGLQRRKRHPAWV DYIPWGGMRERIVQMCDDDTEEGGIEFENFFIPFTGTLRVGWGEEGEGEGGCVLLRQK EKGGVVGVGDGMVINPAFEAHVRRLESWSLGGEFERAFPGLGGTYKLRRG QC762_306810 MPPPREIPGFYYDEVKRKYFKIEDSKRTVLPGGAAAWGSEGEVK RRRKEVEREKGEREWKERVRRERVRRVDVGWVLGRETGREGDDGIVKEWAGGLEDRGK IKMWVNLEEDGGVIDCFYVAGQREAQKEGRKEGEDGWEKEEDEVGEESVWVFAVLHSG GLITTLRNNYINAGDWSPEETGARLVLGTQRGVYCARGPGATAATTEMDTRRRPAKLV PPFRGDVLAVDFLHAQPQVVLAGTRSGHVCQLDTRTAPEAWDSMVFRHKSSVAHLRAV GGFDVLAAGPKNAMCIYDLRFVKAKEQKAGEKPFEPWERNTAAPAVEFPGYRNEAHIK IGLDVLTQPGYGHGVVAAAHDDCTVGLYSLRDGSRMPSGDVDKSKAPGVVKAIQFQTV AFDQHPSLFVGLGSVIQKFSY QC762_306820 MAEHSTTGAPALSTNIESGNFDEKAPHHAAPPKQKVVEEEEEDE DIDALIEDLESNDGHGMFEEEEEVAPGSGRVIPEDMLQTDTRVGLTEQEVTQRRRKYG LNQMKEEKENLLLKFLGFFVGPIQFVMEAAAVLAAGLEDWVDFGVICGLLLLNAVVGF VQEYQAGSIVDELKKTLALKAVVLRDGTLKEIEAPEVVPGDILQVEEGTIIPADGRIV TDDAFLQVDQSAITGESLAVDKHKNDSCYASSAVKRGEAFLVVTATGDNTFVGRAAAL VNAASAGSGHFTEVLNGIGTILLVLVILTNLVVWVASFYRDNGIVKILEFTLAITIIG VPVGLPAVVTTTMAVGAAYLAKKKAIVQKLSAIESLAGVEILCSDKTGTLTKNKLSLA EPYTVAGVEPEDLMLTACLAASRKKKGMDAIDKAFLKSLKFYPRAKSVLSKYKVLDFH PFDPVSKKVQAVVESPQGERIICVKGAPLFVLKTVEEDHPIPEEVDVDYKNKVAEFAT RGFRSLGVARKRGEGSWEILGIMPCSDPPRHDTARTINEAKSLGLSIKMLTGDAVGIA RETSRQLGLGTNVYNAERLGLGGGGDMPGSEVYDFVEAADGFAEVFPQHKYNVVEILQ QRGYLVAMTGDGVNDAPSLKKADTGIAVEGASDAARSAADIVFLAPGLGAIIDALKTS RQIFHRMYAYVVYRIALSIHLEIYLGLWIAILNRSLNIELVVFIAIFADVATLAIAYD NAPYSKTPVKWNLPKLWGMSVLLGVVLAVGTWITVTTMYAHPNGGIIQNFGNLDEVVF LQISLTENWLIFITRANGPFWSSLPSWQLAGAILVVDILATLFCIFGWFEGGDQTSIV AVVRVWVFSFGVFCVMGGVYYILQDSVGFDNLMHGKSPKGNQKQRSLEDFVVSLQRVS TQHEKSQ QC762_306830 MNWPDTSPNNATPSNGLAPSNGEPPAKRPRLEREQTDGDDALNR CLKKQVFPHVFKCLDTLREHGDKTLPLGRELILLLTSPGSEFAQEYHSRATGDISAAL EAKIAARVPYELQNLLGCPNSQNTSLDASIPKQLARISSGPIHSSSPIPLPRIPPPNI PPKIEKPDQVRNPAKASVRQAALISTSPIPLPRIPHLSPARVQATGRSIEEAIVIDDD LGDEAGPAPQKSAAPSLTQRRVFAPVWRHAASVPPIQLTQRRPYLYFKDRAFAVSYAH SYFDDLLSSPIDPVTLHVDLTAREIQSLNNLASRVFPAEYKKKKRDGHSHLAKLLKNK KLPDALSSLLGAWEADPNRRMLFPGREKEDLENFLKDLKNRQLNDRPASIILRKDEAN RQGKFLRESKISSLLFAREIVGSRGYGSMRRPQNLDNEIRKCREDELALRAEWAGGAG DIITIVWISDDGFICGTTEHSDSHNQQYNKPGNLVLGSCSLKTIRAYPDHRIVRPIVE RGENSTDAMRQSQDPWLYSSVVSSAYDAIHDRAYTSGFDCCVKVWRAEPSGASMSLLG EWKHEGNVNFVIASKHSSGMVATAADVAAGAVRVYKINEEDISGSPFGRLYCSRVTDE RGNLVPTEKWAYYPATMQWGICEEVQHLLLVGYSPRSRTGDDLDIPEDRKNSGELCLW DGLTGKRLNILSAEKSNVFEVLWHPNQASFIAATSPSGLDLEPKTKTQIRIFRPTDPA EDGTAQFSAIKVLDCPALDINELTIMPNSPAYCYVTAGCTSGKVYVWDTAPGRGLVHL LEHGQPIDECEGDREKYDVGVKFTAWGTSPDRFYTGSSDGVVKVWDIRSFDKPLVRIL LEAPAPIACGVFSPDKSRLVVGDASGRVFVLSVYEEDDNPKPKDKIKLPNGMMREVER PHQIIPHPEPEPPAQDAEGRALPPPEVETPWAIARAYLASGQLVMHPKRTIGAVQGPN YSSTGLFCAEAHLNGDPNAPLLGQWLCNQQEEKATSRGSSSRIRHLSLKPIREQPGLG EVHLRNMSRDVLNLNSLPEHTKRELEREVDFELLGEYTLPYEELPEMEEGDGDEDEDE DMDEL QC762_0055250 MTVGISKPGTEDTAPFCMDRTIALPCRGGGLCLQINFTRIVDLA SGPDDSVAKMNRAWFQALDPQSFWDDAKKGDDGQNQDYGDDSDTQNYADGYDDGYWVY WGKEKTMSMPLPLSLMFERFV QC762_306840 MSQIVPRNDAWRTHPPPGSNQYLSDNGSNWLFAVAALFGVTTLG LFAHKFKARNGERFFHYLFIIAAFVGLITYYAQACDLGWDVIAQANQINRSGLTRQIF WPKYVFWVVAFPAVVIALGVLSGVSWATILFNVFLTWIWQLSYLAAAYTPSNYKWGFF AWGLLAHLFLLYSTLIHSRRNATHVGIRSDYTKLTGYANFLWLIYPIAWGLSDGGNVI GVTASFIWFGILDLLLIIGFAAFTIVLSRRWDYGRLNIAFTQYGRVPVHAGSFPEKNS PHANAAVAPSTRAAV QC762_306850 MPSKPPRVSPKKEGAMTTTHPCTPLLRNRQARNTHKLQARLGCA AVQLGFFFEKSIIRRSSTPTNTHGHHHSVTPNMNSIPRITRRLAATSQKRFFTPNTPR RANPQQHPHYHQPTPSGSKSSNPEMDRIHQLYRQRNRSTAFYTISVILGTVALSYGSV PMYKMICQTTGWGGQPVRAHGGSSSSSFPDEDITAKLIPITTSPRIRVSFSASVSDLL DWKFVPQQREVRVLPGETALAFYTATNNSDKDIIGVATYSVTPAQVAPYFSKIQCFCF EEQRLQAGETVDMPVFFYLDPDLLNDLNMRGVESVVLNYTFFKARYDDQK QC762_306860 MPSPGCRPQPLPKKLLGPEILDEDVGCAKSKAASSSTSDLSNRY FSIMHIRPARTASSRWLGSVFNAEHLTPTSVPLYLCPAFRSLSTVANAPHSRPSIPHH RQNAPCQFRQLRRLHEQSSGLEHTSDAPEEITSLEVAPKKKLPPQCHGCGALSQTAVP DEAGYYDMSRKSIRQYLGLDKTTKPDKLEQNDIIKDALSGLDLDALAQAGIDLKSLLP QERQPPNKRELQKIESPPLCDRCHNLVHNSTGNSIYHPTLESIQETIEESPYKYNHIY HIIDAADFPMSLLPRLHSILDITLRTQNRRGGQHKYKRGRLIEMSFIVTRSDLLAPKK EMVDSMMPYIRETLRDALGRVGQRVRLGNVHCVSAKRGWWTKELKEDVWKRGGACWMV GKVNVGKSQLFEAVFPKNRMSEATAVLLNKKKIAPVNVFAKRTAEPLSFTENPEDEEA RNTLLPGLSLHTVSDFLPPPQEETAYPAMPIVSSLPGTTASPIRIPFGSGKGELIDLP GLSRGDIELFVRPECRTSLVMKQRIVPEQQTIKPGQSLLIGGFIRITPRNVAPDEELV FLAYAFTPIDPHLTSTEKAIATQTQDPNAPKVGNIALPGTGEKIKHAGAFQLRYDITK ARTGPLTRKEAVGLKVDQLPYRVLGIDILIEGVGWVELAVQVRTKKLFDTSAPKPVYA KPPSSDPFHMLTSLVTDATTTTPTLDLRPEPVNSLSREKEPKKEKRKPKPAYTPRHER MSDEQLREDLWAAWDEKPSPPKEESQNDWEDEFDQRQQQQEEEQDSTAEPEPNWPVID VYSPEGKFIGYRPPLNAWLVNKEIKSKEAKLSRPRRSMKGVKKGAKSEGRWGGGGGPR QC762_306870 MVGVIRRLHKLKALLDIRCGPGAAIFPSDVTRIHLEFAYGLAGH LGPRKFWNTNLPRLKFWNPAIPMIVNRTTDVTGPAVLSVYFREPGSILQELQTPSSSF HGFAKAPQPAEGERVLTIDMKNLPSEKILDELISKSGAVPVRPTLQDEADLAELRDLE RTGEIDRERVKRKTDAEKREKRLIAQAQSEAAAIRAAL QC762_306880 MAAVNDRGPVVTGADLGDARRRNVPGTPQTVAVVPEPDDKKKVK KEPSFLEILDQWEWIIAPIVFTALAFFTRLYKIGLSNIVTWDEAHFGKFGSHYLKREF YFDVHPPAGKLLVGLSGYLAGYNGSFEFKSGETYPPELNYTFMRQFNAFWGAICVPLA YWTAKELKLRRNAVWLVTLMVLCENSYTTISRFILLDSMLLFGTVATTLCWAKFHGQR KNSFEPEWFFWLFMTGLSIGFVTSVKLVGLFVTALVGLYTIEDLWNKFGDTKMPISTL AAHVGTRVVGLIILPFLVYLLSFAIHFAVLTNSGPGDAQMSSLFQANLRGTEVGRNSP LEVAIGSKVTIKNMGYGGGLLHSHVQTYPEGSGQQQVTCYHHKDANNDWFFYPNRRDA DYDAAAEPRFIADGQTIRLLHSQTGRNLHSHQIAAPITKADWEVSSYGNITVGDEKDH WKIEVVSDAASRDRSKIRTLTTAFRLKHEVLGCYLRAGNVNLPQWGFKQIEVTCTKDN KPRDTYTHWNIESHINEKLPPGDPGQYRSPFFKDFVHLNVAMMTSNNALVPDPDKQDD LASQWWQWPILHVGLRMCGWDDKIVKYFLLGNPLVYWGSTAGLGVFGLLTVWYILRWQ RGYRELSQFDIDQIHYAGIYPVIGWFLHYLPFVIMARVTYVHHYYPALYFAILTFGFL TDWFTRNQKKIVQYATYAVLDATVIGLYIYFIPICWGMTGPNRQYGYMKWFDTWRMSD A QC762_306890 MTSQPAWDYIAKLVCIGDSGCGKSSLTIRLCEGRFVTHHDVTIG VEFGSRIVPVGPPYSTTTEECSTSTPIPSTPSSPKPANGKPPAGLPKPPTVPTPQSEA AAAASQKHMKLSLWDTAGQETYKSVTRSYFRGASGALLVFDLTRKSTFTHVTDWLNDL RQIAEPDIVVVLVGNKADLASPEAEGGQNKREVSRQEAEEWARRNGVLEYVETSAKSG EGVEKAFMRVAEKIFGNIQQGKYDLNDRRSGVKGPNFGGPPGSSGGKPVRLTNSANKS SGGCC QC762_306900 MFSEYASKFLAQSQSRFSNFAGQPDNADRPSQPSGWQNRGARFG GRSYLGRGGGGNPYQTSNSRFGSMAAFGSRYNQDAPLFQPPLDHDEEDEEERDREAAD IYALQQSRRVLAAGRLEESTETDNDGSRASIEQSQEYEGSGSLGERLRGIRSSWNGPK KYKRATMKEEPPTERPEPRKHIREISRDSTDTKGMEDVGLESTIAYSEPPADLMMEDS TPPAFQKFRSPGGPSRPLLRRNSGEDSELGLRPPSSVGTEVNATALPPASDGEMFRHD AFFAWIYLIAQASLFATFVLIFMHTSGNKASGDTIYTTLKASFHLLAVDTLVAIIVSM VWLAALRSFVRPLVILVLVAVPIILLSFSLYPFISSYQETGGSSRFQDTAMRWAATVP GIWALIWVYMVWKGRESIQSAMSILDFSSRILTANSALILVGMGCLTAVVLWTWTWLF MFTRVFLGGSFSSKLARFIISASTWWLGAYFILMYLWTLSIISAVQRSTTAATVSQWY FHRNAVPAPSSRDVVSAALSHAMTTIFGTISLSTLLALAIRLPLLVLPRRLAHILTMF VYSFIPTPIAALTNPLTLTYAAIHSQPLSISARGLSSMEFLAPQRPTTTLTPAALPKH NRHSPLLPYRLAKLILHATRFMMAIALGFAGWVMTAKQLEIERQGKVGIRGSAYAYVV GLIASFIGWGILGAMEGILSGIVDGVVVCYGSEKRMLTGAGGYCMEAANLFGDRRGQG DRESIY QC762_306910 MADFDAPTGPPPPKVPEGWVARWNDQYKEWFYVNTYTKKSQWEK PTEPAIPPRDDAPAGPPPSYTAGDDKPVVVSDAKVNPYDNTNQSTTGGASGSGTHQTE SEDERLARQLQAEEDARARSHGGTTTPQQSFPGQLPPRPDNLEKGKSFLGKLFGGKKG GGGSHGSVGTLGGLGGLMANRPGSHSQYPGGYGGSAPPPQGAYGGGGYPPQGGYGGYP PQQGYGGYPPQQGYPQQGYGGYPPQGGYGGYPQQGYGAHGRPAKSGPGMGMMAGGAAL GVGAGLLGGALVADAIHDNQQEAYQEGYQDGAEGDFGGGDDFGGGDF QC762_306920 MRIPTLLSLLGAATALTHNNPPLQTSLTVHIPRSAPLPNPAALL PQTHATLNSLTKHHSAPLSDKSNFHFHNVTPGSYLLDIHCLTHAFLPLRVDISPSSSF SSSSSSDPSEQSPIKIEAWETFRGNDWGNKGEKVSIEVSDGNSGIVVVASMAGQKSYF MERSSFSVLSIFKNPIILLSLVSMGLFFGMPKLIENMDPEMRAEWEEQQKSNPMNALM GAASGQQGGGGMGNFDMAAFLAGSGGGKEDNKGGNNGGGKKKNR QC762_306930 MSEQRFETLQLHAGQEPDPATNSRAVPIYATSSYVFNDSAHGAR LFGLKEFGNIYSRIMNPTIDVFEKRIAALEGGVAAVAASSGMAAQFMAIAALAHSGDN IVSTSNLYGGTYNQFKVLFQRFGITTKFVTGDKPEDFAAVIDDKTKAVYIESIGNPRY NVPDFEKIANIAHEHGIPVVVDNTFGAGGYFVRPIEHGADIVVHSATKWIGGHGTTIG GVIVDAGKFDWGKHGKRFPQMVEPSEGYHGLKFWETFGVITYAIRVRVELLRDLGACL NPFGAQQLLLGIETLSLRAERHASNALTLARYLEASPYVAWVSYPGLESHPSHELAKK YLKRGFGGVLSFGVKGGGAAGSQIVDSFKLISNLANVGDSKTLAIHPWTTTHEQLTDE EKISSGVSEDLIRVSVGTEHIEDIVADFEQAFKAAEASTTKGEETEVADRTKTDAAPT EV QC762_306940 MSLFKTAILPLRAIQGVFALLVLALSSYVAHWYNTTTVISSPSS INFLFFASLYSLLSILALEFLIPRFVAPKTAASNYIALGVELSNVLFWFAGFVGLAVF LSKLLFCRGSVCQSAQADVAFAAAAWLVWTGSGVIMVREVVKKGGLMSWKRKEPAAVE VPVTNKEEA QC762_306950 MAPSKQDTTAYINAIAQPPPPGTPYALPIPGTERPNRTPIYRHW RFQNGPLLETFDPAIRTVHDLFEASVARVPRNRCLGHRPWNPVSKTWENKFVWTTYTE VAERRKNFGAGIVELHQRVGVTADKKYAVGLWAQNRPEWQITELALLSQSLWPVSLYE TLGPEATEYIINHSELTAVVCSLPHIPTLLKLAPRVPSLKFIISLDPLDAGEMTGHSK LSLLNAAAAQVGLEIFSMEGVEALGARSGRPMRPPQPEDVLTINYTSGTTGDPKGVLI THANGVAGISAARSNQSITAGDVHLSYLPLAHIYGRMADQTALAEGASIGYFHGDITQ LVEDIKLLRPTGLMSVPRLFNRINSAIQAATVEQEGFKGALSRRVIEAKKASMKLPPG KATNKHFLYDKIWTPKVLKGVGLSRARTMVSGSAQLDPDVHEFLRAAFGNNFVQGFGM TETYAVGTVQMPGDFTTGNIGPPCPSVELCIESVPDYEYTVEDKPNPRGELLMRGPII FKEYYRNPEETAKTIEADGWFHTGDIVEVDSMGRFKIIDRKKNVLKLAQGEYISPERI ENVYLGSCNLLAMAFVHGEPKESSLVAVFGIDPVHFAPYASKILKQNISAEDKAALKV AANDPRVKGALLKLLDNIGKSHKFNSYEKVKNIYLDIEPFSIENELLTPTLKLKRPQT ARAFRAEIDRMYEEIAANAGSKPKL QC762_0055380 MGEIKLTPEFDDRQTHLESEQYNYQPYEYQTENNDSWAGALPVK QGLYDPSLEKDACGVGFACHIKGKASHKIVSDARNLLCNMTHRGAVGSDARDGDGAGV MTSIPHKFFVKNFEREEGIKLPPLGQYAVGNLFFKPDPETLQESKRQLEDIAESLGLR VLGWRELPVDSTLLGPAAASREPTILQPFVVLQSAYGSGDAPEITDADKFDDRLFERQ LYVLRKRATRTVGLQNWFYICSLSNKNIVYKGQLAPVQVYQYYHDLVNADYEAHFALV HSRFSTNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVMQSDIFGDELEDLYP VVEDGGSDSAAFDNVLELLTINGVLSLPEAVMLMVPEAWQGNSAMDPKKAAFYEWAAC QMEPWDGPALFTFADGRFCGANLDRNGLRPCRFYVMDDDRIICASEVGTIPVEPERII QKGRLQPGRMLLVDTHAGRIIDDSELKAAVSTRQDFRSWLDENLITMPNVLEKVGEDK SVVLAAKPDDFKLQEDPLLHAFGYTFEQVSLLLAPMASDEKEALGSMGNDAPLACLSQ APKLLYEYFRQLFAQVTNPPIDPIRESIVMSLECYVGPQGNLLEMDASQCGRLLLPSP ILSIEEFNALNNMSKLYPEWTVKTIDITFPKTEGVQGYINHLDYICKEATAAIEARDR IIVLTDRNTSKDRVAVSALLASGMVHHHLVANKWRSMAALVVETAEAREVHHMCVLLG YGVDAINPYLAMECILKLNKEKLIKKKLTDEQLIHNYKHSVDGGILKVMSKMGISTLA SYKGAQIFEALGVDDSVVDRCFRGTASRIKGITFELIAEDAFRLHERGFPSRYTVGVA GLPESGEYHWRDGGEAHINDPTSIANIQDAVRTKNDKSYEAYSRSEYEQIKACTLRGM LDFKFEETTPIPIEQVEPWTEIVRRFCTGAMSYGSISMESHSTLAVAMNRLGGKSNTG EGGEDPERSQVMPNGDTMRSAIKQVASGRFGVTSAYLADSDELQIKMAQGAKPGEGGE LPGHKVSKSIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSSPRSRVSVKLV SETGVGIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLN DLRGRVVVQTDGQLRTGRDVALACLLGAEEWGFATTPLIAMGCIMMRKCLHPSTTVRT LAGVTTIAEINVGDTLLDDNHLPVLVLAVDEVKRGPMKEIHYKEFDSREKKSFKCTPD HILPLRTYGTAPSLVCSQNGDKVDWQVYWLTRCDRSGLERESCSLRWDQAAHFLYRDL VDSQDHTPTEEEVHSYVDSRVEAHFHAAGHDDNPPEFDDFLRQIANQELKNEPGLVRE ALHSAVQEYLDSIPSDVPMEDLEVDDVELVDLGPEIGQQFRDIELPSSTYGGSYRPGS SQYTSRPNSSLGHAGSSPLIPLGHRPFSRSQSALTGGSNGQPSRMPVLHEADAASSDA MSTLGESSLMPLPSATLDRFAKLRSGLNNGCQCGGVRRICRRFPTEQQGRFVYDLLRS EHHHLVDPYIVRDGDDFRMTVTRYEGLCSKQVKKNHLKLYRAPLAFAPHPANVQRSVP IDPWLLGFWLGDGSTGGPIVSSSDLEVKVWLHSHVAELNASRPAGARPLHVSEHLQQK AGDAIPGTNHYANHNTYTYKISSQEGMPGYHWNPIQDGLRSLGLLGDKSGGIPDCYKT ADEATRLAVIAGLIDSDGCYVKSHNTYRFTQRTDEHRKIVEDLRDLALSCGISVTGID KEVNNQKFGDGWSDIPGDVFICYLGKGSAKFQQYLLMPRKRMNMAKTYYTDDARPFTV TDVEEDDYRAIQVSGSLFQLGNGLVTHNCHLNTCPVGIATQDPELRKKFTGTPEHVIN FFYYVANELRAIMAKLGFRTVNEMIGRAEVLKVREDLRTNKTANIDLSLILTPAHKLR PGVATFNVRKQDHRLYVRLDNKLISEAELTLDKGLPSRIECDIVNTDRAMGTSLSYHV SKRFGEAGLPMDTIHVNIKGSAGQSFGAFLAPGITLELEGDANDYVGKGLSGGRLIVY PPRSAVFKAEENILIGNVCLYGATSGTAFFRGVAAERFAVRNSGATAVVEGVGDHGCE YMTGGRIVILGSTGRNFAAGMSGGIAYVLDIHQDFLTKLNTEMVEAGPVEDPEEVAYL RGLIEDHHHYTGSELAARILVDFNRALPRFIKVLPVDYKRVLAEEAAKAAEAKRAEYN LPIVSGVEQKKEAKKDVKKEHTEKLADIEESFGDQTRDKKKALVLDKTKGFMKYQRRS EKYRSAKTRVKDWAELSQRLDEDELKYQSARCMDCGVPFCQSDTGCPISNIIPKWNEL VFQNQWQDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINEDPVGIKSIECAIIDRGF EKGWMVPNPPEVRTGKKVAIIGSGPAGLAAADQLNKAGHLVTVYERADRLGGLLMYGI PNMKLDKRIVDRRTKFMADEGVIFKTGVSIGDDIKLMDLKAENDAVIIATGATVARDL PIKGRNLEGIHFAMEFLHKNTKSLLDSELADGSYISAKDKHVVVIGGGDTGNDCIGTS VRHGAKSVVNFELLPQPPPERARDNPWPQWPRIYRVDYGHTEVAQHTGKDPREFCIMS EEFVDDGSGKVKGINTVRVEWTKSASGGWDMKKVEGSQQFFPADLVLLSMGFLGPEAR VLGDEIEKDARKNVKTPAGKYSTNVEGVFAAGDCRRGQSLIVWGINEGRQAAREVDLY LEKNTSLPVTGGIVKRTAEEVFTAIA QC762_306980 MFTTLPDLTPRDSHSSWYTSPRRPHPPQQSHHPSTAFTESSTTL DNNSLVPPPPPPNSRHNNKSSSSTNSIIDRSLLGRLKADEDLIRRRQAHIATHGQQWL KPPGLAKTLFQMREEKREQEEHAEAVRREMVAQELAEAQAAEEEQGVEEGEEEEGMEV DLDGEIPEGMMDMEEEDLDDEILEGDLDDDDDDDLDDAVPGEDLDDEIPEGDLDDDIP EGGGFGYDGASDDTDEEEGDTEQNINNTFQTAQFDTSGDESSSVDPNDVSLTEVQQQR RMERRELQSRVAIVRAQEQRMRDLMAQQSQPRHGNNNSNDDDLYGGNDNDDNHYRDGG ANDMLEEEDIVSSRQPEGGVESGGDMDMGADLDDEIPDASGISGVSGGAGFGMDGAGY EHTDSEAELSDDGTQGNVSFARGGGSVRWQQQQQGNFRSSAVGPPPQQQQQNFRNSGG NFRSSGMGRFDPRSSLNHDISGFLSLDGSSMIGSSPHASFRRSRHG QC762_306990 MASRFPSSTLHQRDSRSDLFKGYSGGPSSRTASPSTFNSQPGRA GGGYGYGGYPSNNGSSSLGIGEGSSGNGGYRSATPNRKGQYSDAVLNELESQNDAQVD GILGKVRILKDMTVAIGDEIRESSALAEKMNEGFDNTRLRLRGTMNRMLVMAERTGVG WRVWLAFFAAVIVLFVWVWLF QC762_307000 MASNGATDPTAAAEAGAAQTDFKGKGKSTEQPVDDTSMVEDDDD DDDEEEVEEEAEVEEDGMEEIDLENVIGRRTRGKVIDFAKAAEENPPEGDDDEEDDDD FEAPDEEMKDA QC762_307010 MLMNPCQSLSTVPRRLAQKLGGTCTSARRQALEHHHQSNSNLGR LLSSNRQPKHKPPTMKRSHLLSAFAALATAVTAQEYDDDVTYTSPPTGRIAPVYIQPI SSSSPPVLLAELNYHPSSSTNPSSEEDAPAPSVLSYEPPEFDPETKLVRVGVYDEKTG RWASSAAVVSVENFGQGYQPNFVLNVDEKGEEVVGVVVRGVRVDAGQTRNFGPRVVVR GMERGRQPGLGKPVVLSAEGRKMEVEERSFLQKYWWAILLGAVLLLGGGGDGK QC762_307020 MMLTPSPVVSSVNASPRSSFPNIGSHYVPASSPRQSSPRVQAVA KSRRQSQSPLSSAVASAAAPIAGPSTSTTTTTATTTTSTTIASAIPPSKHYVAVDAAT QYSPMERINYATGEPLSHTKPEGAQPHQTPPTTQSRAMAAPGDHYAAQAAPAAAVTPD PTKKPVPPAVAKQQHQHTEAVAASPSKRRNSQGPGSRGASPSQDAGQNPSPSSKRARP EQLPSKELPRKYEFCLTEDMVVLIAHMLGELIETNDVLALKSGNLTRFHSRTAPGISV LDYLHRLARHATLSPPLLLSMVYYIDRLCACYPEFTINTLTVHRFLITAATVAAKGLS DAFWNNSTYAKVGGIKVNELKLLELEFLYRVDWKIVPNPDILVSYYKGLVERCPGYVL EREEIPLEDDGEDEGEDDDSDVLDDEDDDDEDGDNDGGHDEETRSQCVQSPRFKQSEG RSPLRYR QC762_307030 MTMAQASSPPVLTPHKITRSYSEQVAELRAIKDKCIGHLQNKEE WVEKGILGSILQALQGSAPSHVLTEEDSVRLLCLELLASISGGGPQFLNPLHAVDVVT TVLSYISLAHQNSPRVVLTALRIIRNMTEATSVALPGHDDLNRLADALFRPEHLESFH AILTQPSADSVIQEQKRLVLSSIARLCNKEEHQNVLADSGVLDVLATILASFIVARGE VIPGAEMVAETDGLADMIPAPAPRGASLALTLEAISAIICNSKLRCCMFVLSPAILAV LPLIDFTPASAEPRAPGAGGAAGKSHGAMDYLLPLMPGSQSRSSSQVAQLPPSSLSRN ASSDRRSQTYKFTGLDPASEDSDADNLESPVVPWLLNLVRKTSGLERVAAASVLTSLF KANLTRLDREQELAYLLVPILCNLIRDHMKEIPPSIYTTTFIDSDTEKDWAILEKTPE VLARLVGGSEILQKSAHECGVIKTTAKLLKDAYELLASPLIPSPWTAFPRQSMVSEGS PPSCQLGPPGPVPLYIHRIRMRYSALTLVAGMCSSREDYRKELASQELVPFIVESLAV RPGKPQNRKGKSASKKEGKDGAERLAYGQNPTSVILAACHALRCLGRSVSILRTTFLD HDVWQPVYKLMKHPDLEVQIAACSVVINLLASSSPMVEPLLQAGISKILCEQAHSHEP GLRLNAVWALKHLILEVDNSRKKQLLEELEPGWLIQLISDDTSEEGAPYTRPRRSMDA DEDEDMDAETTEEEEPHLWTWRGMDGNPRRINSPRMQKAKEKLEMLRKADLNPARKAR NDMIAIQEQALGFIQNFIMNPNTPQDQTELVDYLFSELGENRLFGILANKLKVRVVGA FGRKYSKGRDTLALYPQAKIIMAITFILVHIAASIPRHRQLVIAQTELLKLLGGNLDN ESVDVRRGLCHLFENLSVLEDDEDRQPCAQRASELAKLGVLSKLEGLETNDADLYVRE RAKAAVAQFKTPAMA QC762_307040 MRFGKTLRQSVYPPWKDQYIDYAKLKSILREDKPDDEDEPWTEE DENRFCDEIFNTQLEKVAKFQEAKIEELRNRTDEAAEKLKHLNEQQQSEEGGEGDAAQ EEGEEGKHEEVAVDKQKLKEMEAELDRITNEVKELQKYSNLNYTGFLKIVKKHDRKRG DRYKIRPMMQVNLSNRPFNSEQAYSPLLNKLSYMYFAIRRFEAPDAGDVLPIDPDSQP ETHNGEKYTAHKFWVHPDNLLEVKTYILRRLPALVYSEQSAKEVDGQQDPTITSLYFD NAKFQLYSKKVEREAEASSLRLRWYGQLSARPEILLEQKLLHENGTSEERKFAIKEKY VKAFLDGEYKMEKSVQKMERKGQKAEDVEEFKGTADAIQEFVRDNKLEPLVRANYVRT AFQNPGDDRVRISIDTDIAFIREDTLDRDRPCRDPKDWHRADIDNSNMTHPFKNINQS EVSRFPYAVLEIKLKEDVNNKRGRPVWIQDLMGSHLVHPCPRFSKFVQGVASLFEDYV NRLPFWLSDLNTDIRKDPQRAFQEEEERRARRAENEQVVGSFLGTKLSSYKPSRSSPA AKSYLADRMATDAAAAAASPKSGVTTSNQQQAVSTPAGDEAGESSQSQPLIPRENREN REINYGTLSSVLPGFSLSKYSRAKRAHEAGITSLPPGVTEPKEWIKNSGPLQIEPKVW LANERTFLKWQHICVLLGGLAISLYTASASSKGGNLLGEVMGMVFLGVAAFTGGWSWW VLRRRREMIVGRSGKDFDFVLGPMVVAGALGVALVVNFGIAYQQAFEKHWGGDGGHHG NRSGVDMGDLR QC762_307050 MDKILRRVAMAERQVTKRIKRKTQRRYQQEKKERMREIKRHREE VGEDMRQAIIRRNEDLKLGLIAPNRDTGKLNEFGNPYGAISVDRALLHSQLTPAQKEA RCAWAGGSKNLCLAPGDRVVILEGPYKGKIVPIKTIRTNTMVLEMEDELKTNVKIPEY LREPGSSPVEPIAMAVPISAVRLVYPLPHPDSGHVRDVIVRELKPVNISYDRPTRRTL FSRLVPGLNVTIPWPAIPPVKHIDHPIDTLRIDVEERTYIPTLLRPPMPEVVIDELRN RYSKFRTRHTEEYIAKIQAQEDEKKARRKSVDTMLQPVQEYNRKLRELRRERGQPVLT DEMLEKIGRVIAKNQALRKSGGLVSAQLEQRKKQDEELRKAVESLSIEGGEGAAPVDQ PKV QC762_307060 MAAELRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYV ADVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAIDSPDSLDNVGEKWCS EVHHFCPDVPKILVGCKKDLRFDQKTIEELRKTSQQPVSPEQGQQVATNIKATKYLEC SAKTNEGVREVFEFATRAALMKKSSKKKGKCVIA QC762_307070 MVGGVFSIYIPRSFSPPFLPTYLSTPLQTLTPSTIVNFNLHSQL FTQTKQPTTRQTLQVTMHDGSCPKCGAASEGKSCGSCGATCPN QC762_307080 MSRIRRDIPEGERAPAQEEIIGLLSSHDASQEPQRRPSPIHSNS TSSSKSSSRERPPGGLSIHPPGPRTPRTPNRVRFDLTPTFVPEEARQFPAVPPKPTPT NEDTHHHARASFDYSESDTFNLDDDDYLSSSSTARRGHQRVPLLSDITAPSIAVASDR SLFGDHADAEDWHASEASRPKSNLSSAFMNMANSIIGAGIIGQPYAFKSAGLLSGTLL LVVLTVVVDWTICLIVINSKLSGASSFQGTVEKCFGKPGLIAISVAQWAFAFGGMVAF GVIVGDSIPNVMKAIWPDLAGGRIGSWLVDRRVVIVVFTLGVSWPLALYRDIAKLAKA STFALVSMGVIVLTVVVQVGFVEVEERGEVKGWEGWVLGDGIWSAIGVISFAFVCHHN SLLIYGSLEKPTIDRFSKVTHYSTAISMFACLLMALAGFLTFGDKTQGNVLNNFPADN TMVNIARLCFGLNMLTTLPLEAFVCREVMLNYYFPGDPFNLALHLIFTSSLVFSAMTL SLLTCDLGTVFDLVGGTSAAAMAYILPPLCYIKLTKKSWRTWVAWGVVGFGGVVMGMS MVQALGKMISGMFLFPFDYLFPMLT QC762_307090 MLRPTSFLTKLALWVAAANAFVVFIPDDQCDPTGHCGPFTKTTR KHGGHSARSNGGEVVTFPLVARPRQVLDDESEPFDRVAVAIARVAQKFGGPPAVRARS QKDDKNYVPGNQYPISHPIKPTSEGSTGIYQYGPDFSYFIRVELGSQKTPLYMLLDTG AGNTWVMGTDCTEYACRLHDRFDTATSTSWKPNQADDFFINYGTGNVTGVVGWDNMVL AGKTVNVQFGVANHTAEELRHYAFDGILGLGMGHSVTGSFFKEVRQQNALKPIVAISM NRDSDGVNDGQATFGGIDPAKHVGEIKYADVTTEHKAKGEWVIPIEGFSFDGKQAKLN STKAIIDTGTTYIFGSPPDVAELFKLVPGAKLDEKPAYTEYIVPCDTKTAFKVTFGGV AYEIPAKDWVAQVEDDKCLSRIYGYIELPSFRPGEWIMGDVFLKNVYSVFDADNGNMR IGFANKAAPPKPTSTGNTGAVATGEDGRPVVPGSTGSATGETSAETVTPKTVSGGSKV GGVAFTLAWALVAWAMMAV QC762_307100 MSRAIDPKTAANLNSVNLQQVYEELTQTLTLPSYPSTTLLEIEI LKEFHFPPGQTILKDNNFIAISKLALVQSFLFARTRLRAYQTDPTLFSSDDISSATFT ILLFDPEHLTAANTRKRLLQSELQRQNSDHRTVLEQEKRTVDSLLTSRLHRHTKSPVL WSHRRWLITQYAKYGLSVEVTGDIERIVCVAGERHPRNYYAWCHARFLVNISNNNFNR GKLLEIVQTWCAQNHTDISGWSFLSFLLGRDKDAPGVIAKVLDLVESLRLSNESVWVF LPTLAAAGVMTEEAYSRFLEIQKELLEMETTAVADRAVLRRAVEWCETYRSPSADRVR G QC762_307110 MSSLLLIIFVTELVVQLVNTLGATTINDSLWRIYLTLPTPLSLE FAQQRKKQKEYLAVRHELKATSSQDEFAKWAKLRRQHDKLLEDLEKKKVSLEAARTKF DRTLTTTRTVSTRGVQWFLPFWYSKEPMFWLPYGWFPYYVEWFASFPRAPMGSVSIVV WQWACTAVIALMIEAATAALVYVAAKHSQKVRQPVPAQSEKKDS QC762_307120 MAIDWGTIKSLLIFFGPLLLPKAISYYRSIRAASQTHRLKIIPL SPSLTRAIAILSAVATTFLLRALPIFSPENTFAITQSRLQIPTEVLFNRLSSLRELHT LTPLDLALKAKFTSLESRLLYLQFGPDVLGNCPFCNSDDPTSYLYYAIPSILTPHVFN LVVITLVTSSLFSGEKAAGWRTPAAIASVVVAVLDLYLTSSFNHQSNSNKLRLQDLDL FFWSSRLMRFISLAMLDIFLALAIYLTGTNRAFVTPPSPAERIESVLKGLGNIKGKLN AAGVVKNTVTRDEGLRGRSNGYWIHEVRLTREMMEEEEVVRGMNDALENRLDVRSLER DAEEYTRGVLGGLMGFSSPTAASGGEAVPGTPTTTTSSTTAGGRVKEE QC762_307130 MSSSSAPKGSSVQSTAPVPASTASSNTTPSRKNRNKKKKNTNNN NNNTNNGGKSSNGNNNSSSVAAQKQQREPPPGEKDGVESARSQEPETLNAPGSPLSPP PDKVEHTFPLADEALESVKPEDEPEKTVEPEEEPEPEQPEEEPPANGHADTNGHQHKP TEKTTTPPQPPSINTKLEATMSSSPDNSALQEEVEKLRQQLEAQSTEITQLKADLEES ESAKDHAETQYQTLLGRVEKIKETLGERLKRDKAELEEAKDRVEELEAQNDQLTQQIE SQREETEQLKQEVQEQGRELTSLRSRSNLSQQNWHREKDDLDRLVKKLREELESTATA MGEWEVIAMEERSQRELLAEKVSELEEELLSTKEGYERAVGDRDVQSQAVDRLQRALQ EIQDARKKELRDIVEANEELVQSLKKRVQEAEQKANEAEAARETLSKELERTASFEKE VKEKNLLIGKLRHEAIVLNDHLTKALKYIKKTKPEEMIDKQLVTNHFLQFLTLDRSDP KRFQILQVMAGFLGWSEEQREKAGLSRPGASGGLRLPTSPFHRTPSSPALNSEFFADQ NASLAGATPKERGESLSDLWASFLERSVDEAGVGGGGKGSRKDSASSAGTRPGV QC762_307140 MARTVNGAGRKAKASATEKKAANGTPKAEKRKATEDASPVVIKK QKPAKDVVVEEAPKKSALKKEKKPTKEEKPTKKAKKVEEPVEEETVNFEEEDEEVDLE TQALVEALDSDNEEEQPTRISETFQKGQDVGKIPKSKKVKKEKKEKETPVSSGNPGVV YLGRIPHGFYEHELRAYFGQFGDITKLRVVRNKKTGASRHRAFVEFAEAEVADIAART MDKYLLFGHILTAKVVPPEQVHPDLFKGANRRFKVVPWNKMAGNQLERPLSESQWQAK ITKEEQRRLARAEKLKDLMDYEFDIPQLKAPEAKPQLENGTAEEEAPKEIEAPPAVEE KVEKIEEKIEEVVETVVETKVTKVKKTKAAKEPKEDTPRKGTRSSTRNKKTKP QC762_0055560 MILPKAESFNLTATEASATPNSATTTASLSRTGASTTATGPALE VTGSNSNDDEGTLGRSALIGVVVGSMLAAFILFGVVAYLIRRRLKKRRADDDIWDKAQ LHGDSLTAKPHRQAKKDPNSTIGEICELPVSPGTTELQQTLETRWHELDTTADQGGKV DRSQ QC762_307150 MGKRQLSPLGLQARQEGEGSQLLPATCFSLCDNAYMEAQRLGKT AELCSEDAAFLSIFGDCTACIKDSSDDTKYSEIEKVYIKPNFQPWLDYCEVLPPIPIS ATSGPTKMIEFPADYFDTVTKTYWKQTSLGPNSITSFLETVTRILPNLKSFNLTDPQE TTSTTFSNATTTILSSSTSTSATATQSVSELELDQSGTPTALNRSSLIGVIVGSVIGG LLLLGAIAFMIRRRRKKEPIPRAEDANDGKDEVWGKAQLHGDSIPVKPKTSPQELPNS WLRELPDSGFRELPLGSDSTELPISPMSEELQGSQGLPRYELDGGGAHFEKREGGGRG EML QC762_0055580 MGELRALRELRDLRELCELREIRVLRELSVSTGVVELEKTSDLP RYELDGGGEKLRKERSKHGDDRGYQHTRKV QC762_307155 MFKAAVSHYKSSRPSSLVAGLGASSMEHEVGTKGPRGRSVNLRT RQYTDGIIHVPAPCFSVCDSAYLEAQRIGKDPELCEEDSVFYSSYNDCRMCIRDMSDY GMYGVAEREYLTPTFQPWLDYCESLPPVQYMTSTDMPISKPITPATIVKVPESTDKPV IDISPATSPQTPRAAPIPSTPPPNEEPIAPSTGITINSITTDVPLPSEPFSPPTPASS PGSSPTNARGTVPLSCMSAPVPRVWSHCYLTYIDQ QC762_307160 MADEEQPKKKSFWGLLRAKSTASKISKFLEKDPQRRASTGEVYR QRRQSEPVISELRPSASRRRPRRTNPDATKGKGREDTYGPSHDAGPLTEWPPSGMSST EELTLGPAMQLISRGVPAFKGHKRPIPHVSDHYYAMFATVAGNRGEETDAANHHDSMT QLMTLCLLGSGPATYPWETLEQPSYSFCFGRRPGTITLNHWASLASVLPTTIPLRDSG IEPREVDLETIFARIRDLERGLEDDNEDLMYKNLYRRLLRDPDKHRSPHKTLDTQIMD LFMVLSRPDWIDFTNLKNQVVTKFIFDTSAANAEQYRKFFHQLLLSIELDLRINSRHH MTDARERLLAQIPPTIQWSLALARRWRENVRVEAYGPTPDDIRLRFKLKRRQVKMLKR FAQMMKWPNLSETLRELKQRDEDCVLDLVSSHAMAFFSGLVLPGPTFPFLIMNSLLDI DPDRATDDLALLTHIHPSCGFQYRNSYTYWTATSIVGKVLAPTCRSLGGWVGPARPTG DLARSQIARIRSRRPPNKVTPEDIRSMSERSDPLGPPTQVFPVSEYALVAPDRDEDGY LADLVRIELLNLRPVGPNNNTRPNTPRSTNTKSDTSSPPKWYDASIQFAIDGVSWPLR LTFDVSFISAWPCTDGPHPLFFDYVYTPVKADELVKVRDWPGVFGTGAGTHSHGQNER NARSLSPTTPGQGASGGHNNQGRTGVSSPSTLSGGDDEKVLVVEAFGVPDNEVLARAW CAHWGLSAVVADLGKTCMACAIREAYAATVTVVILVDDDQDNRRDD QC762_307170 MAGPGFDPNEIHNFLRTYPSSDYTGVYGYSQNPYSVGVETPRYA VSIVSGDLPDGSEFDHTNSDVQSSYTAAPSVASRAYTTTSTMQSSTASVFSRWDDQSS VGRTSIASGRRSIAASPAATNWTQQTGELWCEFSELKGCSATFRLDDEVGWIEHHVRH LREKLPVQSRCWFCDDYPFVAESPSDLYPRFYERMQHIRWHIDFERVTSDHMRPDFHV VEHMYRQRLIPEHTYRLAMQFDELPPQLQIPGTPGAAPPSSSSSMSRPSRNSSSRHRM DELYSQGSGYRSRR QC762_307180 MPHSPKPSPSSNRRRNLNQPPSSTATTAATTALTRALSPTPTPR SSSLATPSSRNTTSNLHPTTPSRTSSLSLSRNPSPSPARPKEEPGHYNMQDSSEDELS ALQQENLHLKDNINALDAQNTLLRDTLRQVKTDHRQELAQLQDQIRGLKEFVSKSGNG GAGINGSGQTTSDEVFEEGMGKLGNGLQNWVLVYWRRSKIDLSHASETTLTELDRLVP TYRDLVSTAKIHLLQSLVSRLLTESIFNTYFVGLSPSEADKLAEAEKSLGTYATSPEM MSQWRSLTLAILQKDASGKLQRETSTIVDALVAKVNRLLDEITSSKSNDARDQGLRSL IASAVDLSRLLAVQKAVFAVHMPQVLPHQQIMFDAETMEDIGGEDEEGLFEREISCVT FPGIIKRGDETGGHLQFRNVISKARVLCCPE QC762_307190 MPPRPKPPPPTHFLCIPLVTPTSRPQLAASLSAFKEEVTLPPPQ GFSLPETAIRPLGTLHLTLGIFSFPPPPSPLVNGDRSGASIPPSEGRLEKAKSVLAGL NLREMWSSVKKEGEPDQPRVTLKGLESMHPLPKTAVLYALPTDENFTGSLQRFCESVR ERLLQVGEEGEKLMVEDGRPLLLHATIVNTVYVKGRDKQRHRGRHGKERMVVEKAGDI IERYEDQIWMRDVRVERVAICKMGAKKVLDGEGGETGEEEYEVVGEVGF QC762_307200 MLKSDEDSDDEVYFANMPDFGDHVDNAIFSQILEMDESDHERDF SEPLVINFFEQAQDTFEKMDQALASRDLNELSTLGHFLKGSSATLGFNKIRDSCQVVQ QYGHKLTVDGVSEPDENVCLKKIAEALQAAKVDTAELEKQMKKFFGAE QC762_307210 MDVAYNQHSSFRRKSRSSGNLTHLSLAPLTSKLPIHDAHDILDD NDLTTPTSAPPTVTSYSYLQGKSAPTTPRLLSRSPGPNNRSASVSGARKSRSSVSLAK SKSSSHLPHNQSRASHRQSSTSLHTSPTTPRHRSSHHPNQLRQTDRSDSDWLLRCGSI ISLETRESKGQAWLSTRASSTSLAGHSPQDAEDEAFERELAREREELVYGSRHTPRHL SRHASRRSSVHLDGNDDNISSPAYSRFRSRSHSRVGSMTPGGSSHRMTTFVEDYFNSN GSGSTPVAENPDDEIAGPDFVNFDEELENYIVDEAEFVTGDGAEDEAYVRKLVKGTGN GGVGSWFGHVLGVKLFAVEEDDEEESEDDYDGETTDGEGSGHEMERRVSCLRRLEGQN MKTMVDESIPPPKENEGGWHDAAWLLTVASKVLL QC762_307220 MRNLRNIGHSVFRDPVPDSPFPTAASASAPFSASCWDASRDEVI VARGPTAHDARIELSRVVKHTHERPPCHLKSRNIATWDALCPNEDGSPDVIRSIHYFS DTLTTCVIMAGGDIVTVTEDEETAPGEAHIEIVGTLSPSISAARWSPDEELLAIATGD AKVLFMSRSFDVISEVGLSEEDLKLSKHVSVGWGKKETQFIGKGAKAKGLRDPTIPEK VDEGALSSNDDGRCTISWRGDGAYVAVNFLQQGQRRVIRVYNREGELDSVSEPVDGLE GALSWRPEGNLMAGIQRLSDRIDVVFFERNGLRHGQFTLRAPQDAPDVAAELALEWNS DSTVLAVIMKDRVQLWTTSNYHWYLKQEFLCGDGGSKHHQSPKFAWHAEKPLLCVAAT ASKVLVNEYIFTIARGPGVSPHDFGAVAVIDGQTLKFTPFRTANVPPPMAFDELEVES PIIDVTIGRDCNSMAVLHRGGVSFFELIIQGPRVLPPKLASTASFRKTHAQLYEEHVL QVGLSGSNEVHVLQMSEDMEIVRHTFEGTQTNEHGWQKTDATSITTITTPIVPPVVEE DGVIAQDWRGRLSRIIEGEHLPLPAEFANFLPWTSYITHSGEFLAFGQARNGYLYCNS TLLARNCTSFVVTKHHLIFTTTNHFVKFVHLATEEELEVPQDDPENDERCRSIERGGR LVVAMPSKMSIVLQMPRGNLETIYPRAMVLSGIRDLIEAKNYGAAFATCRTQRVDMNL LYDHRPEQFLEHVGLFLEQVKDTANIDLFLSTLKEEDVTRTMYKDTKAGSAPQSQEAE TAAKESKINKICDAVLAKLKTQKNANLQNIITAHVCKNPPALDDGLRVVADLMQEHEA LAERAVEHICFLVDVNRLYDHALGLYNLELTLLVAQQSQRDPREYLPFIQELHKMPEL QRKYTIDDKLGNHEKALDHLKALGDFEEVKTYTVKHKLYQHALSIYRHDEQHHRVITD LFAAHLKSISQFKEAGLAYESLNSYHDATDCYLKAGAACWRECLYVAQQQDPPITAQR LEEVASDLADALREAKDYAAAATIHMEYLSSIESAIQSLCKGYLFADALRLVALHKRR DLLESHIDSGLADALSSSIEFLADCKAQLKAQVPRILELRKKAKEDPLAFYEGENPFG SKNADGYDIPDDISIAASSRATTSASMFTRYTGKAGSVGTVGSNVSRATSKNRRREEK KRARGRKGTVYEEEYLVNSVRRLVERVEGTKGEVERLVFGLVRRDMQERARVIEEAMG VVLEGCRQAVGEVWPQEEKQQVEGEGEDGEGWRPVGGDGVLFESLEAMRAKQTAPVVT GFEKLALLGGKK QC762_307225 MKFLATLLTLAASASAIDLYLHTDNNCGGSNALRCNGINPNTCC GTNANGSPYQSVAVRGIQQGWNIQCRGYDNGQCNRLQTISGNNGGNFICNRSNGFRYS GVGYNFIGRKRAIDSPLKPECQRPNALVLEDGSEFDLTGLSEEEFDALWVFFFPNFTD RTLIEVL QC762_307230 MTVAHDLTRRGSTQLVTNNGLPLEDRFEVLKEIGDGSFGSVVLA RVRTAGANVARRGTVVAIKTMKKTFESFQPCLELREVVFLRTLPAHPHLVPALDIFLD PFTRKLHIAMEYMEGNLYQLMKARDHKCLDNASVKSILYQIMQGLEHIHAHSFFHRDI KPENILVSTSAHSDFTNSFRRYSALVTPPSTPPSYTVKIADFGLARETHSKLPYTTYV STRWYRAPEVLLRAGEYSAPVDIWAVGAMAVEVATLKPLFPGGNEVDQVWRVCEIMGS PGNWYNKAGARVGGGEWREGTRLAGKLGFSFPKMAPHSMDTILQTPQWPSSLSQFVTW CLMWDPKSRPTSTQALAHEYFADAVDPLRPKSASKILGRKQSDISRGKDSNATTPTAT SKPSSWFRKSLIGRSESVEVMAAPQPAPKEAPAPAPAPAPAPRPAPIARPAAPVEPPQ PVMPAPRPTVAKRATWTNGPSNVAPMPILPTIRPISPLSQTVTAQPAPVYNDAYANAV QRHAPVQEKSTKKIGRQLSVQSNTNHYAELHRQQAERALNGQSGLVSPPSGHKESFFS HLRKRARRFSGRHQTPMSPSSDDMEAQAGCGPWASNRSSMVIDNPAPIPVPKDTYESL DKTLREGQQMAEVPPAPPAHQVNQLTPSGNLKRHHSLPHHQPRSVDNLLVASRGTGPV SSRTRRAQAAHGVNQYDDPNEEDELLDEVLTSTHRAMKRLDNEKPLRQSASNVVLTNP YPTPSPSASGNVMLFGDGKEAVTPKPLNYDKKAAEYKWPTPPYDEGDWAASASASIWA AGSRF QC762_307240 MNIPLPIHSSPSLRNRESRDYHIKNNTSISSNTSTQRTPPPAAE AINGPVREAARGSAVINDKLIVGVDFGTTFSGVAAVYTGTPDDIEIIKTWPGGNGITS DKVPTELSYDLPPNSPPGTAPTIKWGFQFKPEESRLRCIKLFLDRSQKLPYYVSPLET AAQLKKFKKTVADAVSDYLTQIYKHTMDTLTRRYGETFMASTKVSFVLTCPAVWSDAA KNTTLQAAERAGMGAGGQIQIISEPEAAAVYTLKAIQPNHLKVGDNFIVCDGGGGTVD LIAYKIVSLNPIKVEESAVGTGGLCGSAFLNYRFEEHVKSRLGQSRFDDMKAKRGKTW QMGLRYFEEFVKRNFNEDEHQEVNVPFPGLPDDEEAGLDSGFLVMTADQIKEIFDPVV KEVCELVQGQVDNLRALGGIVSGIILVGGFGQSDYLYRKLKTHFTSAAPPPYSERPSQ ANIDMRERPSVEVMQPIYAWTAVVRGAVLRGLEGNMVISRKARMHYGTSYATVYDEEK HSVAERYWSPLWERWMVSDRMQWHIAKGEALSPMQPIAFHYTRNFRPGQSLVVTDDLI ACQADEPPKAFTRDLVHVCTLTTDLSAVPRHLFTRLTTTRGVEFDNLDFTLEMIVDSA GLGFELKVDGVRYGRVDAEFH QC762_307250 MSLSPGGGPSGGGVGGGSGGGGNNHHNHSHNHNHNHNYNHNHNH NFGGGGGGGGPSSSSFAHFHNKSFNNRKFSSAYMNTTATTSSGSLSSSSLSNDDEDDG DAFTSAMRDRQARGKDPYNSGDGSEGSDLSDREGGIGTSKLRLGGGGGGGGGGRPEKE DYASRELRQKAIAFLDNPELLMMYAQSTGDSIPGARLHFMRLLCGYDDLPQHSSSNIV ATSGSRFANRPDHPRQQAHNIGEKRRR QC762_307260 MTMIAPLFNGISQVILSHKAPSLFLIPFSSFLLTHTKNASTYSN TKLCVSCSRFPPARSYHSATCLALATTPTAAPFLQTLRSTSSASRFPRQKRFFSRTAA VKMSDEDYLAFLNKANASSSTTTQAASSSDKQHFKLVDPGVEVPEKLKQAVEGKVYTA ASSEAESPCEVVALRLEGDGGLPDEEEFARMIGHTDPKGAKVEIKDPVDWDPEGGNNE VLEAVREVGKGGDVRVYEVGGDERGVRVCYFLVTAVGGKVLGVVGEGIFT QC762_307270 MSHRKYEAPRHGSLAYLPRKRAARHRGKVKSFPKDDAKKPVHLT AAMGYKAGMTTIVRDMDRPGAKANKKEVVEAVTIIDTPPMIVVGLVGYIETPRGLRSL TTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYAKKHSENSGASITRELERIKKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQINGGSVADKVEFGHGLFEKPVSIDTIFEKDEMIDV IAVTKGHGFSGVTARWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQMGYHHR TSVNHKVYRIGKGDADDNAATEIDVTKKTITPMGGFVRYGEIKNDFVMVKGSVPGTKK RIMTLRKSMFIHTSRKALEKVELKWIDTSSEFGHGAFQTPAEKKQYQGTLKKDLAASS QC762_307280 MANHHPSPPMQMRIHHPPPGAVGPPGPPPPLAASRQTSTLLQMN EVVWIQLGNLAERMGNLEEAMTCYERALTANPNSINALNALSVVLRTQENFPKAAEYL HAIIKLDGNNGEAWGSLGHCYLMMDDLQQAYQAYQNALLKLPNPKEPRLWYGIGILYD RYGSLEHAEEAFAEVMAMDPQFDKAHEIYFRLGIIYKQQQKYTQSLDCFRYIVTSPPA PLTEEDIWFQIGHVHEQQKDFDNAKAAYHRVLERDPNHAKVLQQLGWLHHNQSQSFAS QDRAIEYLEKSVAADNSDAQSWYLLGRCYMQQQKYPKAYEAYQQAVYRDGRNPTFWCS IGVLYYQINQYRDALDAYSRAIRLNPFISEVWYDLGTLYESCNNQIADALDAYQRAAE LDPNNPHVKSRLQLLRSGGQNGAPPGQAPTPTDVHPQAYQAAGAVGPPGPQWAGSGPP PQSQPPQPMHNGAGPGGPNSWAGRVAEINPPPQPPNPYASDRSEQFRGQAPPMQRPPS PRQEQQPRPYQEASRGLEPHRRGPSPPPGHYAAPPPPPPPQQQQPPPPQMAGPPPRVR NPNYGGHAPAAVLQPSNAPPNGGAPNPLMPYRTNSPRNDGRAPMHDNRMPSPKSAYPQ HQPPYPPHPSEQAGPNGPEPGVPHPPHQGMPLDGPHHREQHDPRPPSVGPKRMREWED DRESKKPATEESRGRMEDLRHRRPSTPPRPEYRRNSSEAHRFDERRMEDHRRVEEQRR AEEIRRAEEQRHGNEGYHPSEAAHHPQSHSVPAHLPPMQQGPSSMQGIIHDGPGPQPG PSHKDYPPVQEERRIEHSHPPAPHPPPANEPERAARKMHVNEDYDDSEEEDKKGDIIS GPASCPSSATAEMKNGTPTSASINGIMSQKVESN QC762_307290 MPPRINIPPVTRICLIVLLVQSVLSAAIRYRQWTANSEIVIPYL NLIPQLSLVYPWTFLTTTLVESNIFTLSIAGFTLYHGGRYLERAWSGRELAKFLLIVS LVPNALVFATTIFFFALTRNEGWTLMTIAGTIPIQISFLVAFSQLVPAHTVTLFRGIL SLRVPRFPLLYIGLVTLFCLTPMLTAASFLLAIYGLIVSWTYLRFYKPVFPDLDASQP AHLRGDASETFAFAQFFPGPVRPLASSISDHVFNVLVAMRLCTPFSAADVSAARGDHH HHNFAQRGVPGSARAEAERRRALALKALDQRLHAATANAAARASNAPPPPAVVPPIPS ATGPTVQSQPQAGAQKTMTVQSGGEILGETSYNPDGDDHK QC762_0055760 MGKCNWPGVVKFERGEGPLGRWEPTPHWANLHWDSGSLGPSLAR TLATSKSQPCAFEVPFHSPSPTNARSLIALSPARRRTKLDRNTLTTCAGN QC762_307300 MKELLDTNRVNYLIWRYLLESNYRETAAKLQKEWRIQTPHRHFD YAPHVKTYALVNLLNKGLQFEAYERQFAEQKVRWPDFIVVVKLTRPAPRDVPATAEAT PRGVFGPLKFQPDVMEVEEDEVEEEAEESEDAEYDEDIENPRKRAVDRHLAVSHGSPA KRQRLSNGYDNGADSATTPMEIDHHHHHHHNGAENNHAYPSPLEGEQAASPIPHTEGP SRGTQVDDTRDLTQDTVFLRLGADDSTEASENPIVLISKWNPKDPSILATGGTDALAR IWTLPRGAAPDAALPDHVDTAPRYLHLGDDLPNDSTVTSMAWSSNGALIALGIEIGNK SRLGVWAADGTSAYRFEGLDSPITNLCWSPNDKFLLAISPDMTNGLEDPRTLIQVSSP TTVNPMSHILNYDIHSYPVDATWIGESSFILCGQGMLTAFRCTEKEVVQIREFETRKD ERFQYVKFDWRSSLVATGSEAGFIDIWDESSRRRSIKAHDGAITAMQWQPLQADPAEG ERLLVSCGIDGGIFVWNVLGGLENRPKYSITLDSPLAANSLAISPDGSHIAVATHDRI LIWKLGEHQVPKAGWMPNTGWQTPKTGSDSGDSLPFLEWDCEGKRLVHGLDNRLAIIN FR QC762_307310 MIAPSFLPLHKFLDNFSMATSTSPTSEPATTTPSGETTKNERTY PCSCHCGSITFTVTLSPPLAEQTVMECNCSICRRVGYLLVFPPKGAVVFSEDSLPRLS RYQFNTKQIDHLFCGNCGSSLGIDFREFRQKGYGISVRAFNDVDLEGLKYKKGDGKAK LPPYSDLSGVQWALDHPAGENERKEKAM QC762_307320 MAPSPYAGHPSRGDSWFAPLSIDLIVKVFKTTFFHPFIAWIIPL CFRAQNMHWDAPPMLVSFAWAGAITLIWMAGVINDRLAFGLPREVDLSEEVIVITGGA SGLGLLIAEVYGMRGATVAVLDVEEMENGEARGVTYYKCDVGDKEQIAKVAEKIEREL GPPTILINNAAVVLGKPLLSLSLPEIDRSLTTNLLSHFYTIKTFLPGMTRSETGGTIV TISSVIGTLGAAQLTDYAAAKAGVTALHKSLSAELKESHPEIRTVLVTPGQLSTPLFA GVKTPNAFLAPVVEPVDVAKEVIRAIDNGQNASIGMPLYARWVDWYNVLPVGVQKVVR GLAGVDRGMRTFQGRAGSGLGRKGKLEAF QC762_307330 MSSAQEPLISASERVDAGGDHDEQQGDEAGRLESGKAIPAAPSW FIWLLTLSAGISGLLFGYDTGVISSTLVSLGESLSNRPLNSLDKSLITASTSLFALLV SPFSSVLADSLGRKRVILVADVLFVLGAGVQAACSTVWVMVVGRSIVGMAVGAASFVV PLYIAELAPAEHRGRLVTMNVIFITLGQVVAYIVGYGFGEYGHPETAWRWMVGLGGLP AVVQLFMMTVMPETPRWLVMVGRGLEARGVVEKVAGGKVAARDVDATVKSIEIEVREE QDARRLRRRRGDSKAGWAEGFDELFNIRRNKRALAIACLLQGLQQLCGFNSLMYFSAT IFEMLGFPIPTLTSLVVAVTNFAFTLVALLIIDRIGRRRILLWSIPWMVFGLLLAAFG FFLSGVTDSDAPGSPVVILISIMIYVAGYAIGLGNVPWMQSELFALNVRSLGSGIATA TNWGANFVIGLTFLMLMDLLGPPLTFTLYALICIGGYVLIWIFYPETAGLSLEDAASL LEDDNWGVR QC762_307340 MGPSTVDRALKAAEHDAATPISPTPTHHELPHRTSAEIERVISA SSVSSASSSHSARSRRPSTVGTGIVGVGMSRVSTSRDLPPTELGRIQTARSQHSATVG RSIRSRRSRASLVKPLPPFGAGKPYPPLLPNQEDFVVEFDGPDDPLHAQNWPMAKKLL TATMLGYTTMIASFGSSIFSAATRAVATDFGVSPDVSLLGVSLYVLGFATGPTFWAPL SELKGRRLPLVASMFGFTIFNLACGTAKDLQTVLITRFFAGFFGACPLAVVAAVFSDM FDNRTRGMAITVFSMTVFTGPMLAPFIGGFMVEDESIGWRWTAYLIAIMGAVAFIIDL IFLEETYPPVILVSKAAELRRRTLNWGIHAKQEEIEIDVKELITKNFSRPMRLLFCEP IVTLLSVYMAFIYGLLYLFLTAYPFVFMGVHGMSAGVAGLTFFGMIIGQIIAGVTVLM QQPWYARKLAANNGVPVPEWRLPSVIAGGVAFAIGLFWFGWTGFTKDIHWIWPTLSGL MTGFGIASIFLQALNYLVDSYLMFAASAIAGNTFLRSLAGAAFPLFARYMFEGMGTQW ASTLLGCIGVALVPIPVIFYMYGDRIRAKSAYAPTFGAGPEVAESETGDDSELGHGEK EAPGSVPRTDMDAAQRAV QC762_307350 MTTTPNRRHSTMWWDDDTIERTVTRMFVCSHLIPEEIERLDRTL GFGDGLTDGTYWEWIEQKAKRIFLILVELKVPDQIFGVIDDSWDDEDLPIARDQVERL ALTPTRDSRLEQEFYEKQFYYLLRPLRKGEHVIYQDSEVVPLEVVEKKHVPGQTPFFD KVMLPGYPGTVFCRVKMPIGGGYSSPEDFLFDVNGIRNVQNDHLASYWASYVQQGYGY ALFTNAADYTLKGLLTTTPACFKNMEKKARRRQVMEWIHCLIDTVCFLHNRGLSHGNI KPSTVLFASENHIFLSDLLGYTGLDRNSFDKESYDYAAPEQWFKPSSPSSTSFHRRGT VTSTTASPESPNYITSRSTPDSSQHPMAMMHAPTPHLSPQAADIFSLGCIILDLLSFL VKKHGRPFATHRAAKHKTPSRGGAVPDSSFHRNLSQVESWMTLLVKEAQKKEKDDDIF KGIAPMLHIVEHMLSSHPTDRPDAQDLQTRMYKILTDHCGILEPHCVHQYDNGWDFGM ASLKLDTTGNRRASGSSGHHHPRNSIGSRGSGRSSGSVQDLRGQETFLVPRLSRSRSS RGPPSVASSRAPLFTAPREGWKGPMTFWQTDSRMMATR QC762_307360 MSPDGPNSSSNNNSSSSNIRVFVRWHEQVVFAGEEVKCTITFKN VARPPGSNNCNGSSNNHVSTPPSSLKPFSQRERLRQPSPLHPGASSSSGGRSKHSSSS SLAPPSAAAAGGRGHHRSSLSLSVPSAASRARAGSIQSPTPWTPATPNSPALSARGGG GGGNGGGVSSPSGSGKQRNGHGHKRSVSIVSIGSSVSTIGGGGDDGQSVAGSASSKRS GRGHTRASSLQILPRGGLFNGPRSATTPRLTASQSSPLFHASYPPERSMNGRRSGGST APGTPRVGGSKISPTSEPPNPLAEFRFPAAASPATPITPGMPPTPGPGRSEDDLLSSS GATAGFLNNLPIRQRDQVPTINEHGATLPARVLSTTSIAGTPRSSGEFYSMSNNSSET LASEYVLHQPLRTQPRPPHSRRTSNLVPTAVRPPESLMMGYAQVQGSFTLDGSLVNLA PFEAVKRKAVVGGHGGGVIGLETNTKRDSGLLRSFGWGSITSSIGELLGGGELSTIKE MRGLAGSKAVPLLSTPQSILFVDLQLGPGESMAYEYTFKLPKGLPPTHRGKAIKISYS LVIGTQRPGGAKEQQVKSVDIPFRVLGSVNSHGEILGHDLMSPYIILRDQAVVKPASK ESSSSSQLMVSKPKPSPPTPGPASTMASFLSYVDELLTKSHDNPTAGLLSPTAMPTSR RPSTYSMSDAGFGGLPPPTAKEAIDLAILRSNLTTSPGQQSTNRFEIARNGRRVGVVM LARPAYRLGEIVTLVIDFSNAEIPCYAVHAALETSEKILDPGLALRSEASVYRVTRKV WVSQSEAAMYGRRVVFQPTIPVSATPEFETTGIGLGWRVRLEFVVPVDLEPQLGTNNN NRQQEQQKGGLGDVEEEEEEEEEEEEEEGEGDRLRGRGEEGVGLGLSGVNTGGNGGQV VKSKGHPLLEEISRDDRGGLVMVAVENLTCESFEVAVPLRVYGAVCNGLERLERDEAL EEGLVV QC762_307370 MLLTKAFAAALAAAGLLFQNAIAHSVQRRSLSYVTRIEDINIQT PSHRVHAHTSFDVTFHLHSKHQIVRLSLDPNTDLFSDDAAIQHVGADGTITKVEPLDR RAIRVFKGESWVKEDGSTEWKHVGWARISLIRDGKNPIFTGVFTISGNHHHVKTASSY RALAIEGDPEVDEEDDDYMVVWRDTDLSPEPGHVDLKRDLGSMETCASDDLLSNQGSN SLVYRAFNEPLTEGASINPRALFGRQDQIGGNGAGVNLAGSIGSTQGCPTTKRVALIG IATDCGYTSKFRNKEEVRANIIDIVNSASELYERTFNISLGIQNLTISDAQCPGTPPQ TAPWNTPCSDSITITQRLSQFSEWRGRWEGDGNAYWTLLTSCGTDNAVGLAWLGAVCQ RGATQQGNETTAAANVVVRTSTEWLVFAHETGHTFGAVHDCGQGSCSRGEDKQSQCCP LSSQSCDAQANFIMNPSTGSGITQFSPCSVGNICSFLGRSSQRASCLVSNRNLITITG QQCGNGIVEAGEDCDCGGEQSCAGNPCCDPKTCKFTTGSQCDFSNDECCTGQCRFAAQ GSVCRASTGPCDPEERCSGTAAACPADESRPDGDSCGEPGAGLQCASGRCTSRDLQCK TMMGRLTTNNDTYSCSSSGCTLSCQSPEFGPNTCFSMRQYFLDGTPCEGGGKCFNGNC QGTQLGKQVLDWINDNKPIFIPVVIVVGLLFLMAVCSCLFSCCRKSSRSHRKIPKPVP PPYPYGNGMPAMRGPAPGQQGYHQPQQQGWEPMRSTPYRYA QC762_307380 MSGVQRIVSGRAEGNPQRWSWGLVSGDGLMAVSLRRCIGTSSGP AESWEVRKKKMPTPTPLPLNASPRAPPSQLPPFTHAPCPSRRVSFVSSSAIIQKRPLL PNIVRQSSSPNLPLICPISFPPSTTTTCSYRLLLSGLAGPLLLLLRRTFSRFAKAPKS SYPAITNTNTTIMGEQSPKAPVVAEAHAVDTFHPPQKMLDKHPSKPHLSSLEEYQKLY KESITEPKKFWGRLARELLTWSKDFQTVHSGSLAGGDNAWFLEGELNASYNCVDRHAF KDPNKVAVIYEADEPSDGRNVTYGELLRDVSKLAHVLTQMGVRKGDTVAIYLPMIPEA IVALLACSRIGAVHSVVFAGFSADSLRDRVIDGGSKVVITTDEGKRGGKLIGTKKIVD EALKQCPDVGHVLVYKRTGADIPMTEGRDFWWHEEVEKWPSYYPPVAVNSEDPLFLLY TSGSTGKPKGVMHTTGGYLLGAATTGKYVFDIHDGDRYFCGGDVGWITGHTYVVYAPL LLGVSTVVFEGTPAYPNFSRYWDIIEQHKVTQFYVAPTALRLLKRAGNQHVRNEMKHL RVLGSVGEPIAAEIWKWYFEVVGKEEAHIVDTYWQTETGSNVITPLAGVTPTKPGSAS LPFFGIEPAIVDPVSGEEIHGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYLNVYKG YYFTGDGAGRDHEGFYWIRGRVDDVVNVSGHRLSTAEIEAALIEHASIAEAAVVGVAD ELTGQAVNAFVSIKNGAEVDDALRKDFILQVRRSIGPFAAPKAVFVVPDLPKTRSGKI MRRILRKILAGEEDQLGDISTLSDPSVVEKIINIVHEGKKK QC762_307390 MASSPPASPGTLPARPMSAMVRPAPRSSSRMSMQGKSAGGSRAS DEESKTAVKVVVRVRPPLRPEDPGFELIPQRFQRSMVQVHSPTSLSIESPQGRKLFVF DRVFGPEVGQAGIWEYLDEGVNAFTQGYNVSLLAYGQSGAGKSYTMGTAGDQDSLEQM GVIPRAATALFERLEGPKINPNRSSMSQLRTPARFSNPPVSSTKGAEKNWTLRASYVE IYNEQLRDLLVDDTIPFHERGAVTIREDVKGNILLTGLRQVEVNSVDDLMHVLEQGSI VRQTDATAINARSSRSHAVFSLNLVQKKSKPMTGAERRMSMPVEGLSGSESLVTTDSK MHFVDLAGSERLKNTGAQGERAKEGISINAGLAALGKVISQLSSRQAGAHVSYRDSKL TRLLQDSLGGNAITYMIACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQVEEGDKQ AIIDRLKAEVAFLREQIRSAERGGGERRTLAPGERPERQSEREVELQNQLLDAQENYT ALSQRHAKLIAELARARDEEQLENQLEKNLGDSATERLNRSNSFAQAVEQVVLEYEKT IQSLEQSLASTRATLSSTEGSLLEKESNCAYAETINNQLQARLQKLMDREANTESYLH DLETKLDGHTSGEEKNTAIIMELRKEIARVRENEAACENYISTLEERLAEADQDAELM QREIDRLEQVVERQRSLGKLDSLLYELDQIQPTTPAPEAEVGAANGTATNGAGHRRTA SRSIADHSRSHSHVSRHSQLEETIPEIGEEDIPEEGEEPVDGQKRPRKLSPLANDGEV LEYPTSPAQSKFVADKLETMNQELLGLRVEHEATLNEYDLLHAKYEEALRALGQLQDM VDEAKHPSRQRDSILSVTSPMLTRPTSFLSDARTNETKDTTHLSMRSLSSELSSALES PSTTLDVSDAGTAVPKSGSAPESPTEPTNSADATTEVHRLKAIAAEKEAAEKELAERY AQLEERHQHALDMVEELKTQVARAQAANEDSGKAGHVIRRKSSQTLMIIDRAHRSFAS LRNIAAEHFEDQPDVMQNFELNLNAAMHELHARSERIQELETNVATAKKEMETKMTII SGLTRERSSLKAASPMDMAVVSNLRNQLEQSEMRIKELQQANEVREKELEAQFAELQE LIKQTAVSANTTAATNETSEEAAAQLAAREEKIAELEKELSTWEERHRSAVQALQDNE EQLKKTISEFEAQVASFTAKLSEVKVEEKPEGGNERAVGETPKQSGGEDTKLVDFLRT EIEEYKALINTSQTKVAEAEKQHQETKEALEQAIKERDEAVSEAAEQKDLVAKLEATI SDHEQSIKAHQESVHELQSAHQKDVNEIMLAGRRDLESQLAALKTEHANRTKRLESDL TEAREELMKVATQVAYALGLDVSVEKISDRISDLAGARKALSEEQGMRLELEQDIVEL SNINDTIMRDLEAVRASLAEVLAAESEKQTGPTGQGFPVKEQVALVKKKVVDLEVKNK KNSRLVEELEDQLNKNFDQVQAASNRLSLLQTERNQQLEEANAAKVRLQGELDAIKEE YSALQAKYDSILPNDSTDTPQRSNSQTQQNGHGVRKSSSVASLPSPPPAIPLPPLPSN NSGTTSPTPCPPSKDVGGISQIQEDQEARIRLIEKHLKAEKQLTTTLEEALTDLERQQ LAMRADCDAWRRRAQELEQEIKDLKEKPQQDNRWSLQQVEEERRKRRDAEIARAHLEE RMNTISKKKKKGSLNCF QC762_0055880 MCALGASPLLASGCLVLLELTLQGRPASGTPNTACVVTLKDWKR TDKFRRVAVCGRSLLLRETPGSERLSTLRFVRSCRPGSHPESITL QC762_307400 MTEIVDLLSSSPPRPPTARQPSLPAAGSKRPPPREKSPIIEDAL FVSDDDLAANPVVGTGWSWKGLNAEGPATKKRRISAADSIVSSPKRNSPSLAASIPPP SAQPRDQQRSSSVPKGVKNIGGKFYRPGLDDSEGEMDDDPFRSPSPKGKEVVSTRRGF DQPEIDLLSDDEPDTDPFRCSPPRGKETASTRRGLDQPEVDFLSDDELFVSETPQDKG KQPMPAVPKRNLMAEDIISSSPALTQRAKSTLSKTADWDPISSSAPIPASDIGHPPEP SRPFRKTWSEVILLDDSDDAMDDVDSDRDDLPDIMDLASSKRKTTSGSTSKRPPTVTE SRNKRFTTAEKATEAAALAAERERKRLEKEAAKETKAFEKKKAAALAEVNKLRTDKKV STPEMIVDLPIGLNPTIKIQAEKLLKDLSVQVQSSRSPVNNIVRWKRKVDAEYNPALS IWEPVPHRTDTEKYAMAIMPAARFVELCLSPSIPNLDSHVSSMKSSFPGFTLIYLIEG LNPFLRKKKSARNRQFVSAVRDGLDHPSSTQPNLPANNNQKIINEDLVEESLLQLQLV HSALIHHTNAPIETSQQIAVFTQHISTAPYRRLRDQTNDTQAGFCMDSGQVRTGTETK DIYVRMLQEVGRVTKPIAVGIAQEYESVSRLKRAMEEEGPLIRETVRKGTNRDGGFSD RAVRQAISRRVHKILLGRDGGSSDI QC762_307410 MATVAEAAAAAPEPLGRLDQTLLIFAGLMEGGKEDEETVRELGE LTRLLNDDVEVTKKGETSVTTVIDSDCVDTILCYLDMRQPDVVRAHAALCTSAYLKAA GEDGGKKLAEFFHDRVRRGTYDDYIVAFCVAATIFPIVPDLTSELFLSEGFLASLGPL MRRKWKSRKVETACLEMLNAACMNSACREAVRKYCTEWLEEIVEQDPDDAVKSMHTVD PDMHLQEGSISMRRHSLQVQNLAAVVLAKLRAVPSTAATAGPESRIQPATTSIEDLSK RFTRMLLDEDEIEHVQPSIEGLAYASLQPKVKESLSKDSETLKRLVKALDEAPPRSPM IYGALSIFANLTRYRPIETDEEKRIRQLKAYANAAGKLQQVDPLNEDEHVTERCKRVF EAGLTPVLIKQSKSGSAASLALIISIIHALSTPPPLRGQLAQQGAVRLLIAAWTALPE TENGPKRAAAQALARILISTNPALVFGGTRPIPQSAAIRPLASILTPDPTADRRDLLP TFESLMALTNLASTDDDTRKSIIRTAWDDVEEQLFNPNSRVCTAAVELVCNLVQDPEQ TLALFGDGSPKAKNRVKVIVALADAEDPKTRSAAGGALASLTGFDEVVRAVVGLERGV EVVLGLCRDEREDLRHRGAVVVRNMVFSEGEVGRLARGKLVEGGAVEALMECAKGSKR REVVEVVVQAAEGLMGEGGK QC762_307420 MSAQDAVDASEHYEVSKLEDVPSLTTIIIDTNPRAWAALGDVLP LSKAIANIQIFINAHLALSNTNQIAILAAHTNRAVWLYPTPPKPPSEDVEMRDAGKTD TFLNTANKFPQYAQIEHALVTSLRELIGSTIPPDLNETTTQMSGALTLALAHMNKTAL AYSASQALSNSTAGTTAPGTTASTGLVGFHGRILVISVSDSAASQYIPTMNAVFAASM SRIAIDTLALRGSATFLEQASFITQGTFIQAADPQGILQYLMFGFGVGSASSGLSAAQ NDGSGPLMGKPKTGKQREGDELRKPVGECLFTPAADSVDFRAACFCHRNVVDTGFVCS ICLSIFCEPPPGDECLTCGNKLAVGDYGMIKTPDGLNVEPANARLAPSPSAKRKRKLE ANGE QC762_307430 MFALTLTAELSGVTNLRPTDTKEHPFWYTFKVQCTSCREVHPKP VGVSRFEENEMSGSRGEANFVWKCKNCKRESSASIQTAPTPYQQGEPPKSQKIITFDC RGLEFVEFIPEGEFEVDGLESNTKFTGVELNEGEWFEYDEKAGDEVSIKELKWDIVRA QC762_307440 MTSLWSMAARLQGCHCRACHRTTHTLARRSPPTRVTPLTTSAQA HGRRKVLASDVFTACYTAIMATAAVFDAGQKDRRRRELDEKIEETKQSLAALAEANGH SLEIDQATGAPEPSEDLIEEATQQLSSSSTAESTVEPTPQPPRQAGRRRRPIPRPRPE QEKLAPPPPTTLRYVLNHICKTRMVTPYEKSHKLSRPATGSATLSVLSNALAEEEAMM KPLTPEPVTEIARMKTVAMVNSLVDRLIKVAYWRTEKEAPGTHPALNSPDSAQTMVKM LRSDGNPRYKDTFLNAEDAARQRARLNEANMKVISEFNPWRRERFVAKICFNILTCEV SPSIQNYNTLIWGFTNLGEHDLAQAVVDSFLNVSRFRPTQATLLCLLYHYRASRDILG FHTILRRFFGHDSRGMRLRRRVAITHFKRDRHQGINRLWWAVEGDVARIRGYYVQRVP LSQPIMEAIIEGLLDFERTLDAVQLTQACLNESWAPNADLFRRLCEIIVTHGDWVSAK ALIQGRLAKLNQTTFLLLGSGDGKRPGLLNFSAARQFRRVLAMTKTLWIHDQNTAWLQ AGAERLRHLETALWLLGVQSNLHFERYTTRRLESILRSARSLTADRIDLVSSVVDNIA KRHRSEAEFLKHLEIRETVNAMHRECELTRQWREQIEHGICEWLARKFRFGALRRAES YLNPAIPFTKRFRQAIRFGTPGTPEYEVAGIFREAQELEQEMKITLARALPRRYIEEL KPRLTESGDMHWKNLAYTFSRYLYDFQDGMAAEEERARQAFELGFGTQLSRQVSLLLG YTA QC762_307500 MKSAPLAVGLFAALTTAYSHPRYNMHWRRQNTTNLISSNSAAET AAATSLGTGVADLSSAIPLSTGVESAVDTAITSAPFVNGTATTTAAQAGITTLTVSTT EVLTITSCAAEVIDCPTKTEDLLELPTEALETVIVTNTVVLTEIVCPVTEVPAIESSV LEEASSGLITGSTLTPSDAPVITGTGIAITNTGTAPAETAAPAETAVETAPASTHVVE TVVSTETESIVHTVTSERVVTITLGGGGVAETPRVVTTKVKTKVKSTETKVNVVTVTV SHPAGETAAATTPAAGETPVEETSAAVPGSAETTPAADVDTTTTATLTSTGTRTVTVK KPNQQTTVTAVVTPGAGTGNGNGNGNGNAPEEACPVCEVCEGAVTVTETAATATVTVT EAGVAAGAVTVTVTEAAAVSTVFVTVGGGKNKAVKTKKNKNKNKTTTTATTEVAATTT ADELAAVTTPVEEVVEEGDEEACPPDEIVTVTAEASATPFPTAANGTVVSGVASATGA AVPVKLF QC762_307450 MYIPILPLALSLASTLTLTSGLPAPFRYSPEQEQCVLNLDPSTA PNGTRGAVASESKLCSQIGIDMISQGGTAADALVAATLCVGVIGMYHSGIGGGGFMLV RDELGRHEVIDYRETAPSSAHKDMYKHDRNASVIGGLAVGVPGEMRGLGYLQEKYGRL GWKEVVMPAVEVAREGFTVGEDLVKYMKAASANDDFLVSDPVWAQDFAPNGTLLGLGD RITRKRLASTLEKIANEGPEAFYEGEIADSIIKTVQENNGTMTHDDLREYTIRMKQPL SIDYRGFKLYTTVAPSSGAVTLNILKVMEQFPPEDLTDKSLTAHRLTEAMKFAYGARQ ELGDPDFIRGLTAFQKTMLSEEKAQQIRKMIMDNQTQALDVYNPQSVYAVESSGTSHL VASDETGMTVTSTTTINLLFGAKIMTDTGIILNNEMDDFSQPGRPNSFGFEPSPNNFI APYKRPLSSITPLIVEHASNGSLFFATGAAGGSRIISSTMQVAFGIMSAIDQGRSAVG EMYKAIKAPRLHHQLMPNVLNVETGYDESVFVGLGSKRHNVSWMAPGQSSAQGLLRLY NGTFEAVGETRQLNSGGLTV QC762_307460 MTTYDIPTEQWAQVVEAPGGPAVYKKIPVPSPGPDEVLINVKYS GVCHTDLHAMKGDWPIPTKIPLVGGHEGAGIVVKKGSLVADDIKLGDAAGIKWLNGSC LSCSFCQQSDEPLCQSALLSGYTVDGSFQQYAIAKAAHIARIPKGVDLEEVAPVLCAG ITVYKGLKESGVRPGQWVAVVGAGGGLGSMAVQYAKAMGVHVIGIDGGSEKGESVKKL GGSAYVDFMASKDLVEEVKAATPDGLGPHAVLLLAVSEKPFQQATEYVRSRGAVVCIG LPAGAYLKAPVFDTVLRMITIKGSYVGNRQDTAEALDFFQRGLIKVPYKTVGLSQLGE VYQMMEEGKIVGRYVVDTSK QC762_307470 MANQTPAVVMDNGTGFSKLGFAGNDSPSFVFPTAIATKAAAGSA GSGSGRPAVANKPSFLTGGAGPTGHLSAKRGTEDLDYFIGDEAIAASSGPGYGLHYPI RHGQIENWDHMERFWSNSIFKYLRVEPEDHHFLLTEPPLNPPENRENTAEIFFESFNC AGLYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIP IAGRDITYFVQSLLRDRGEPDSSLKTAQEIKEQYCYVCPDIVKEFDRFDRDRSRFMEH VVAHPGGRQATVDVGYERFLAPEIFFNPEIYSSDFLTPLPVVVDGVIQSSPIDVRRGL YKNIVLSGGSTLYKDFGRRLQRDIKQLVDARIRASEARSGGAKSGGLDVQVITHKRQR HGPWFGGSLLGQTPEFRSYCHTKAEYQEYGPSIVRRFALLGGPAGS QC762_307480 MQRSSSSPELSPISSGDGAADRVWRRKQPQPVAAIFIHAGAGYH SVANEHVHLSACSEAAKLGMSFLRAGASATQAVEAAIKYLEDREITNAGFGSNLTMDG IVECDATVVDHLGRSGACGAVPGVRNPISLAKLILDASSRPLSLRRVPPNILVGEGAR EFGIEHGMPQVPNEQLVSKNAKDRYLRWNEDLKRAEAKLHPSNHFSGRTAEKSSAGDY EQAADPAGQSSGRDHTNAILTGTWNEGQPDSPHIPGTPLGENGSPAGTAVTTARSTPS SSSRSSSYTLRTPNPLSYVSAAFQGRSRPSQKRPKVRKSVSDDAAAFLTPLTGTNKAP SPAASAHDGSVSTAESDRGDISDDGEKKEEEKQPLPILAGTKRSRELGSGDEDFVTDT VGAIAIDNRGHIAAGSSSGGIGMKHRGRIGPAALVGIGTAVVPEDPEDEMATSVAAVT SGTGEHMATCIASAKCAERLFHCTRRGPSGQDIEELDESALMESFIVNDFMGHPGVRN QPSAGAIGVMAVKKDLSGISFYFAHNTDSFALSAMAATDQQPTCTMSRLSKAHGVAQG ARRMRYD QC762_307490 MAPTMSKPSANSAAARDRTIRGIVSQLTSMYLQNRTRISRAVYI TLFVALINRVRHAIQEQKAASVREATKRAEKSGTTSTADGEVTKKKKVELNREFFRSL LRLLKIVVPGWRSKETRLLISHSFFLVMRTLISLKVAAMDGAIVKALVKGNGREFLMR IVWWMLIAVPATFTNSMLSYHQAELSLRYRTRLTQFIHDKYLSQLTFYGISALDDRIK NPDQLIAVDVAKFSNSLAELYSNLAKPLLDMTIYTFSLSKSVGGEGVVFMSLLVQLSA HVMRALTPPFGKYVADEARLEGEFRFQHSRLIDHSEEVALYAGHEAEKDTLDKGYFTL IKHVNYILRRRFYHGFMEDFVIKYFWGALGLLLCSVPVFVKLPGQVTMNMGDRTETFV TNRRMLLSASDAFGRIMFSYREIMELAGYTSRVSSLLEVMDDIQAGHFEKKLVSSSGT ENNEAVLKGRGKVVESSNIEFIDVPIISPNGDVLVPALTFKLTPGDHLLVVGPNGCGK SSLFRILGGLWPVYGGTVHKPPFTDIFYIPQRPYLSRGSLRQQIIYPDGLRTMRSKGV TDSDLLSILKILSLEHLIDLYPEGWDAEAEWRDVLSGGLQQRVAMARLFYHRPKYAIL DECTSSVTLDTEKVMYDNAKALGITLMTVSHRRSLWKYHTHILQFDGQGHFVFTRLDA DKRMKLEDEKEDLEVLLRQVPELEKRVRELSEL QC762_307504 MKPFTLLLTTFSLFVSPISANTEKTIFIAPPAVDLPISDQTITT ILTSLNRLTPLPTNQSTLRTLIPVSFPTTSHPTGTESWYLLHDLTPAQRYEVRICWAA TQPTSFDLQTFPLTEIPSLPSLTSYLNPLSPSSSPPKDLNNPPTRSSLLLLRLLAKAD FYTTNQTLMSNPPPVAADLILDPFLLNLLPRSLAPTAGYIILVAITSWLLARGVSRAL SSLIIDSNDRQLTKDAKKTQ QC762_307501 MAGPGSPGSDGPTYAPPPLPSGWIAQWDAASKKYYYVQLSTGVS QWDLPTEPVPFGNTPAARSDHPYGVPHPSAEIVTHPDGSQTARYPDGRLEPVNPREDG TRGVGGGGQSDRGLGSFLLNTISGGKQGGGSSGGGGLAGAVLSGLAGGSSGGGGGSGG LGGKVASQLVSGIFSSGSKPSSSPSNNFSGQSSSGHGAGGLGGVIGGVAGLFGNKQSS GNNFGYSNSGATTYSGSAPPTSYQPPSQPGSSTSVHGGGSSSSYQSSSQTHHQGSSQS YGQSSQSHTAPYGQQSSGGGYQSSSYGAGPGHGQAHSQSYGGQHSYSSPSGQPSYAPP PSQPSYGQPHYGAGAASSYGQPSYGAPPPQGGGYGQQPPYGGHQYSQGGGYPGQY QC762_307510 MKFGKNLPRNQVPEWAGSYIDYKRLKKLIKTAADTAVHNGDQVD LAEFLFALDREVECVDQFYTRKLHENQRRLQAITDRYGPTPRDATNIDEEELEDLIGA LLEIRNQLRNLQWFGEINRRGFVKITKKLDKKVHTSDIQERYISTRVDVLPFATNLDT SQELQTVNTWLSVLNESKNTNDAKSDRSTRSYGRPTKLDVDTSVLNALDQAVRQDNLD ALTTGLAAANLAKQDQTDAFQNLLRSLLQRAISARSKKVIPVLLERISDLDDPDDING RNCIHRLVTHIGRTKTVSSRTVEEVKPDPHLNAYPFPPGVQYAQNYLTPATSPLATPR VSALKETAGLLGKDDEAVQMLMYLLDNLKPAQRVALKAKDNFGRIPLHYAAQFGFVVV CQILMKKMQDWRMFDVENGIDAPEWQDKNGEAPLHLSVLGGHALTTKALLQGENWQGV SDNKAEMRRAISKSSAVLAIATKANFEHIVEMLVHAGVDINWQDKTGETALHIAARFG HDECAKVLLEGTADQKADFELTEKAFAWTPLHIAAVDGHLSVVKLLVEAGAEVDKPDS SGWTAREHAALRGHMPIAHFLAAQSKEGEDTASNTSDDEKSVTDNAAVPDKASFQERR SKGSARKAEPVKSFGHRYLKDESLVLVSLGSMDMRKNLEAVKLDNIPLSKAHTTELDT TLSIVVSAQGAQGEPTIFDLPVHDNISTEPVVFTTVDASKVKLFFDIVPTYSGNNDNK VGRGVALLSSVRPTIGTKRMNLQGDVCVPIMGANFEVIGSVNFNFLVITPFSHPSMEV TSEHTYWKKLASTMVIGHRGLGKNMTSSRSLQLGENTVSSFIAAANLGANYVEFDVQL TKDHVPVIYHDFLVSETGFDAPVHTLTLEQFLHINPDKSRTIQGNNGTSPFSYAVPEN KLLEKTRRSNSPGPRQRSMSMDWPDHNRQHRLSKQEMEERMKHTRDFKEKGFKANSRG NFIQAPFATLEDLFVKLPQSVGFNIEMKYPMLHESEEHEMDTYAVELNSFCDTVLQKV YDMTANPHQRRNIIFSSFNPDICLCLSFKQPNIPILFLTDAGTCPVGDIRASSLQEAI RFASRWNLLGIVSNAEPFVNSPRLVRVVKGAGLVCVSYGRENNEPGLVRRQVKEGVDA VIVDSVLAIRRGLLTQDGGGEGKGKKNGVEDRVEEVRERVGKPVLNGNGNGNGFGGGD IGYSS QC762_307520 MHSFDIHSSPTLQQYRKFQLMASGAGPTFTIKGLASITTKTSTT QPIFIQPSPSSSTTKYSEKMAATDPSPTLKFLTDAGHLLAFTAPETSAYILRQRNDLM FEHEIPLPEVQRQHVCTACGNILAFGEGSDLVFKKNKKAVIKKKQQTPPAPKVEKAKR QEPRSSGPTKRIECGHCSSKIEIKLPAPAPIIRRTVKPAHKVSKTTAPGAAPSLPKTS GSHETTSSQKPASNANSKKRARSRKAGLQALLEQSKNSRPSPGLSLADFMSK QC762_307530 MTELFNPIGEKVEQAVQANGEAEDDFKPIDEIESLCMNCHENGM TRLLLTKIPYFREIIIMSFNCDHCGFNNNEIQPAGTLQLKGVHYELRLRDMEDFQRQV VKSDTATVKFIELDVEVPAGKGQLTNVEGLLTTIVDDLVFDQEKRMKEAPEAAAKVAE VIAKGRQMLAGEAFPFRVSVDDPAGNSFIAPDPRDGVGKWEKREYLRTPEQNEALGLA DTNTEGLDDNGDIIPDQVYQFPASCPGCMHPCTTNMKMVDIPHFRQVVIMNTSCDDCG YKSNDVKTGGEVPEKGKKVTIKIKTPVDLARDILKSESCQLECPELSLSVNPGTLGGR FTTVEGLLTQVRDDLHKQIFEADADVEKTKRKNDSLDSTEASRWNDFFDGLNSAIKGE REFTIVLTDPLAASYVQSLADNPDEPDEQMTVEEYERTEEEEEELGLLDMKTENYEND V QC762_307540 MRLSLLPLGAFLLATPTLSAPSFTGEPDSSGKYWLFAPGITASF IPYGASISNLFITDKNGIDRDIVLGFDNATYYSVDPVHPHFGGVPGRYANRIKNSTFT LDDDGEDYHISPNENPTAAHPAGVNTLHGGPDGWDHRNFTVVSYTKSSITFSLVDPDG KEGFPGEVISYITYTLSNRTWDAKMVAIPTTKKTPIMLSSHTYWNLDGFANTEAPTAL NHTFYLPFSGQTVAVDSILIPTGEISPAPKGSVNDFWSAPRQIGEGFSLEGIEGNCGG GCTGYDNCWLVNRNYPYDWRSEDKMVASLASEWSGIKLEIWSDQEAFQMYSCNGMNGS MPIKATQGRDDGTGSRGVEKYGCVVLEVQDWIDGINHPEWGRGPKQIFEPGGDPYVLQ VRHRFSVDA QC762_307550 MSDPRDPASYRVTPRLRYNTVGGVNGPLVILDNVKFPRYNEIVS LTLPDGTVRSGQVLEARGSRAVVQVFEGTSGIDVKKTRVEMTGESLKLGVSEDMLGRI FDGSGRAIDKGPKVLAEEFLDINGSPINPYSRVYPEEMISTGISAIDTMNSIARGQKI PIFSAAGLPHNEIAAQICRQAGLVQRKGVTNKGVHDDHEENFSIVFAAMGVNLETARF FTRDFEENGSLERTTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLS AYCDALREVSAAREEVPGRRGFPGYMYTDLSTIYERAGRVEGRNGSITQIPILTMPND DITHPIPDLTGYITEGQIFVDRGLYNRGIYPPINVLPSLSRLMKSAIGEGMTRKDHGD VSNQLYAKYAIGRDAAAMKAVVGEEALSPEDKKSLEFLDKFERTFINQGPYEGRSIFE SLDLAWSLLRIYRKDMLNRIPADIIDEFYSRTPSDRKGKDKAPTKDTRDTEAPQEENL IDA QC762_307560 MSAIYNLEPQPTASAIIHTTLGEISIELFAKQTPLTCRNFLQLA LDGYYDNTIFHRLIPGFILQGGDPTGTGHGGESIYDGGAYSGDLDPWPMDQRRGQNAG PDGINFKDEFHSRLKFNRRGLLGMANEGKPDTNGSQFFFTLGKAEELNGKNTVFGRVA GDTIYNLAKIGESEVNDERPLYPIKITHIEILINPFDDMKKREKKLRQQVAKPAPVEK KQKKRKPAKQLLSFGDEEGEGDDLPVLKKPKFDTRIVMDVDEEPAPKTMPVRSSKKDS KPTRKDDVKVRVTKEPPKNRARSPDRPEPVPSKKQRPKVEESDRSSPEPEDSKKKTLL ERTNEEIAAVKASMKRTIYTEPVQEKKKSALEEMIPETAVRGRKRRPGTQSAKEEEEA LALLRSFKSKLEQAPVEKSTAQPAINYDGDDEEGEGEVCDLHFIAHCQSCKAWDKEEK EESDDEGWMSHQLSFTADKLGKDLSYRKKAEEELVVIDPLAKAQALKEGKKSSRDSRS GGSSSRAWNRDRERDRARR QC762_307570 MSSPNNPRKRPAPGASSMIPIPPVQQTFSPVQTDRLFGWNGTMD GNGFVDSPTTNVNQFMMPTSGAFAQPIAAPSNALARRGNSRALVHSGNRGHFDQVGEP WANGFAEDARYLQTNSSVDEHDNIELLEEKAAQAKREAQAKRKQIPPFVQKLSSFLDE SRNTDLIRWSDKGDSFIVLDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHKRVGLSD NSMKASERKNKSPSEYSNPYFRRGHPNLLWLINKPKGGSSKKKIKKEDGEAESEEDNN TEEAYGVPNHGASQGGRASVSHEVGPLQKKDLIQVKNQIDRIQQQQLAISGMLNKIRQ DHNSLYQQAVMFQTMHERHENSINAILNFLANVFRKSLEEQGGVQSVQDLLASIIPNA QGHGSTNMATGGVVDLGGFVNQRAPNVAGSATPKRAQRLLPPVPHHQANKTVVTSLSP SPTPSPAPQTSYNTRMSGTVTEVFDTSPADTTSTSAYMQKELQNNPHEGMMKIIQDTN AVNVNSTGIDLPNVAATTPVTGMSNENRNKMLSIMNNGSAATTPAASMNGGGIPSVSS PGGVSLPAASPQTTAGLSLSPILASMPIPPPLQPLQATQQEIDALQRLQAQQASQLEN LTQFLGPLSPSGRIPGMDENGNVNTSYFDSVDFDQFVDNNAFANQTGFGDNEFNGFNA GGHPDEFNFSLDAPGSGAEFGGGGIAGADNGMLGGGGGAGLGINGQDGLFDTGRVFET TSATNSPSPAGTEEITRSEIGGVGNGVVTDSPERDPKRRRRG QC762_307580 MNNTAATVRISGPPNSSFLVGYPGISATLPRIVGKVEIRPGAGY TAPVQISMVRICLQRRETIHPAAENMARRHLGTPRRETVDLVGKEVLLFRCVSGKEAE SVIAMDLPFQIFIPFGRGGEEVNRRIPPASIQLASRIAETYYELVVTVQQGSSMQNRY AFPIPLQRYDTLSTFGMYNRPESKVVTADNIVTLGISLPKWSYGPLDPITVYIKLAPN PDWLNKARKVTIQKITLAIEEEITFNPEGDEPTKKVSKIAKHTQQVGTKIPETGYVTN LGLVFPARDLRDSEGIVKRGKPGFPLYEVTSFTTTSILYKIEFFLTIKAHLTSARDIT LRQPIVICPMDQQACKEEMDAIEQAAKDASHVDPNNPKLPDRTIILAHDREAIRYLGL CEVGGMKKMLIE QC762_307590 MSVTLHTTLGDLKIEVFCEAVPKTAENFLALCASNYYINSPFHR IIPSFMFQTGAPAVPSPPDNPKGGRSIYGITFEDEIRPTLKHHERGVVSMANKGPNTN GSQFFICFAPAPHLDGLNTVFGKLIGDESLATLAKIEQLEVDKKGRPVKKDGEETPRI ERVTLHANPLAK QC762_307600 MALDDDDNPALAAPPPTANTTTATPDAPAEETAEDLLEDEGAAA QDFRVFASLFKKNTHISAQTIRKGEKDFESHGTQLQADTLEQSRAAMQEVLSYTRIHS GANLVRGWYFPSWWADYEEGEEWQDSKAEDGKKERKPFGHIRDRVVILEGSSTSTQNL GRAVTGQAKDRPGRGRDWLLPEEALYLVERGSLDLWWPTKEFGEIFPPPPAAPVEREA PQPENTDVDPSTQPTGASEDKEDEYADGFPLSLQAAYALLIGLDGTRGKISLQKFQVY SNLKRCGYNVLRAPPNPPNPNQSSYRLNITIKNFFSSLLPSFTPSPPKSGPLLKPGLY RSYNQIFSQLSLIERHIPSPVLPLPLPSIAPYEIHFLVWKSSQKWTKLRHPSPDFYLS VVDAQESEVPQMEEILGLLEQTPWAPPKREWEGNHGRLYARLKNGWRNVLMAVVDHGV INYMRWGEGGFGQERIYERFDGRNGSYRGGKRGWGNQGRGGRGGRGGGRGRGRGRGRG G QC762_307610 MSVGTDKMETTVSVPVAREGAPTAMMDEPTSLSVEPPKPSPKDE TVKYEAVDGRPSEIKKPESPIVETPVQQKPLDKRTELDGHNLQEDELHEELTPPPDSP TDTASIEDHPDGAAENPAEDTTEDLVDDDLMSVVSSLPVDETQDLMSVDSSPVIHNLE DEIVVGTKANRKLPVNQDKSDITDVNMDPSAILTGKRKRTSTYYADSIQDDSPGPHEG RVKARPAKTHGSGGVKGVIIGYWRDSQVENEADKHSVIGFIDSRDRLRTRIQTTTRDK RQVDQRYPIPPGPGGSWVIFEKIVFEDHLVGLNHHMIKEFVKIRADNLGENESPEERN TADKAAAELAVERVTTNPPPETANQPLIAYGAVIPDPATLPSRPESKKRKVTGSFTSA QLEPAPPPQQPAEALPGTRPTRIVLGYWKQSSEDDPIEKHAVYGILGANDMFRIRLAK ETRDGRVIADGNFPSGPGALWITWDALEFEPHLKGLSRHEVKEYCRVRQHQLDQGEAP EDRARNEIQAVQEAQKRAALNIAAGTSVTKNDVEISIEGANGVTHGLASGSPASKTNE PRRQTGLRGRHSLPNPEFGVANRKSSSAIAQIERTHELARHGIEKVEKAQARIDQRAS STATPRESSATPANRRELFSENISRLNNVWASQEATRIRNEGQGYEGDVLMNGTIRYE RKQTGPFKGILVSQPFLIQIDGEDYVEYRVLTKPSF QC762_307615 MSTSVQLHPSALTSPDHPVVHSPLPQLLQTPHGLALLELQGTIN LTQSESGELIQIGQLIM QC762_307620 MEEDRALVDVGIAADIEASQPPPPQNVVKQPKKRFVGRRTAAEA AAKNSSSNASSSIEDSGAIQVAQPRRAPRLLNQVPPEILNDPALKSAISLLPSNYSFE IPKTIHRIRSLSAKRVALQMPEGLLLFATTISDILTQFCPGIETLIMGDVTYGACCID DYTARAMGCDLLVHYAHSCLIPVDVTKIKTLYVFVDISIDTTHLLASLERNFSPGKTI ALVGTIQFNATIHGVKSTLEKAGFNIIVPQIAPLSKGEILGCTSPNLSTYTTDPVDLI LYLGDGHFHLESIMIHNPSIPAYRYDPYSRKLTHEVYGHDEMQGLRRQAIKTAKTAKK WGLILGSLGRQGNPHTLSLIEEKLTKMGIPFVNLLLSEIFPGKLGMMSGEGEVECWVQ VACPRLSIDWGYAFPRPLLTPYEALVALNEKEDWGSGAYPMDYYGREGLGRTKPLAV QC762_307630 MKLNISYPANGSQKLIDIEDERKLRPFLEKRMGAEVPADSLGDE WKGYIFRITGGNDKQGFPMKQGVIAPTRVRLLLSDGHSCYRPRRTGERKRKSVRGCIV GADLSVLAVAIVKQGEQEIPGLTDTVHPKRLGPKRATKIRRFFGLSKDDDVRKYVIRR EVTPKGEGKKTYTKAPRIQRLVTPQRLQHKRHRIALKRRQAEKVKDEANEYAAILAKR VTEAKAAKVDARKRRASSMRK QC762_307640 MGKITKTMQSRHKETLSPWLEKYVEETTSTPLPLLPKKLGEFPS RWPFPRGDLYHWIPLLNRFDNILEAFCATHGLNEGFQARDFTCELLLNQAAPVEYCDD QPWSKDRLGQLGFGEDGDCQLIIAILNFSQMLLQHCGNRSIYGSSSRLNDLLNTTSLT LVRATLEVSLELAQRYHASMKRVTQPSRQVSSALLANHYNIELDRVNLLAQPFVKTPV APVARFTDVPPTTPGAPPSKAKDKTSGASSRNVASMYANDLASLVVQGSTDESRWNGW GDLKVVYYPKAEGTKTPAPEAQSSDRASSSTIPPTPTPLRRSATSVSQTPRSNRPSGP DDSPSNRHGNGDDHAPSGPKTLEIRQTVLKSTSIYDLLKQCPDDMPAASRYEFLNRLR IAKALLGSTEDRRQALAVRLLAITNLASIHHEQTFIEKVLKQDNDEPRKYQLVYQLAE LIHPSVDGAEDTPLWLQAIALGTLEGIVGIQAKYQDIVSALNANVNHGVLMYVVRKAV ASMKQDDPDMDNGKVTDADKWRSKLFGLALHMIVSQRIGPEMTSNGLLEVLIEILKLR TKTAQLNWSMVVAFLDTIVYNYTVAFPALSNASGLDAIADLIIHVVHSSKELAEKGLG TKPEFHAQLVDYGIPFYHQQTVKWLLKFLHHILQSPLSFGANTDRLLRNLVDNSKLLV SLRTVIEEPRLYGSVVWTNAVTLLSDFLNNDPTSFAALSESGMVQSFLSTLTGEKVGL PQPEGSPRPEENPEEAASPAYSNDSLLLDSADSRPHPPTEDMMNESRERPLAHGVLAS NDAINIIPQVLNSISLNNAGMKMVVSSRSFDHFLEIFFSPEHVQIMSRSDLDLAANIG SSFDELARHHPRLRPTISNSVLDLTAKVVHLANDKAKGKGLGARGWGANLLVEDSEKN IYAADKSLLAKAGTPFSSRSKGKGKDVSDDADVEMVDAAGESPEWAPEATKRSEEVHH EVTPYIWALCSFLSALFASNTNLKQAYVKNGGVELLLNLSESPALPEGFDKTTASRML SQVLSHLIECCPVLGIPSLLNRIDDTIGVLQPLMSRDDSEPFFAPFVSGVSLSNDKGE WDPELVRKVAGGNDIIKAIVRLQSLVKTSYQSFPYAARLGSVVIPPCNTYDLYDKLIK SLGALLRDAVTEDLAIRTLVPKRWTESLTSPDGAELSVAKLTVASSVTATETAPAAPQ ASGTEASAQPLEPTKLTAEQQEVQQWFHKQNFNTIHDLLSSLHRNVLPFFQTMSKVLL PRRFDNFSRSNQLTIAETLATTVLEQLRFVQDKLDQDKLAGLDFHHFATTFQSLHDML IDVTRQTERQGTALLLPVLIAFKENGGIDLLSSMLEKFADDICSVPADSTAREESPKV RQATMGMKRILDIYHIIVSGKNVTESLTSTSLPKAAERNREFGHQLVVELRVAILPVV RKLWESQLAEKVETVVLSKMIDILQTIAAADSESNAYRRSDKTGPPPVFKDRKRVSFN WEGEANVNVKKLIDKGNDEELSREAIYRANGKLEDAMEYCRAFDPANSSKGWAFKRNP IPEEDAYKEPPPPPKLEQAQPDQTPVVSQPVVSLGAEPMALDPMPGINSLIHDAIGEA DSGDHSSDESNDTSNESSSQHSAQEAPSTMAAPTQQAPTSSSVTAVPPNPPSVTKEDL DDERARLSNDLIDRCLDVIRAHPDSVFEISDLIQNMILKTDNEQKRTEVGEILANALL SLHANDEADKKANGGTIAAYSHLLSLLLQNSSFFKSTLPTLRQYIEEYLSFLQVPSNS SEELPPWMPYVLLVFETLLIDEEQLPDIKWKPPAKEEDPVEEPVWATKVPTIPLKERS GLLSAVLEILPRIGKEEALAVSVLRILVILTRDHGMAKTVGEKRNLQRLFVMAKQLCA GGSGPLNRSRITDYIMTILRHIVEDEDIIRQVMQNEIRQFLSSSSRSGRNYDAQTFLK HLSHVALRSPKLFVETTGQLVKLVKWSVPEPRESSSRSNYQIVLKPAPAAEEEAKPKD SSVEPTVQATEDLSINDVKPSTESADKEMTDAPKTPLDIKRPVLENPDGVVHFLLCEL LNYREVDDKEAPAVPAKEASKTDNKTAGETSSAEEDATPAPADVEVPEPKKDEKKSSK PTFKAEDHPIFIYRCFLLSCLTELLQSYTRAKVEFINFKRSAPMQTNTPIKPRSSVLN YLLNDLLSPGSASLAAETPVAKKKTSTSAQAQSVLVALVARTGEKPYDRHRGNWEYDD EPDLLFVRRFVLDTVLRAYKDASTPGESFDVRYPRMIALAELMSHMIGEKDKDVNSRG ASQDQGLTRSYAQIKRLMYEKGYLATLTASIADIDLTYPDVKRTIKHILRVLRSLTKT ASALSELDIIPATATGDQPEDDFASASSLSDMDDEREETPDLYRNSTLGMLEPGREDD YYSDEEEDGIFSNFAAQIDELLLMGADDDEMYDEEVYEDELDYGDEMSQDEEDNPSDE DEEELGEMGEIEGLPGDPGVVEVIMGEDDDEDEDMDEDDEDDSDEDDEDGVGSEDMED VEDQVEIMDEEGNPIGDDGDDGWESETDEEDEEGEEELDYEAEAQNLHNAQMMQELAE LESITRRRFELRGLAAAGDADELDGEDIHEFDDEHYMDDGGPEDDGMSFVLVTESRYG LLTGFSEEEEEEEVDDDMYYEQGHPHDDFLPGNISGSLGWDLAVEPHHRVRGGFSRRN PFPAPFGVGDLRHMGSSGRLSDFFIRLRQQVGATLQRLDDLEATLDSVEISVDGGNEG SEDLSQALRTASQQLLERQPVEHRANAIPDFRNYFGNTSRAPPAVLDSQRNPLLLPSH RSGRDQSPRHSDRQSLPWSSLGLPESFRAMATPDGPLAVIHTLVQNMPIPHGAGPALS LQLTSEGPHGEIRQVSIPLDAVTGNRVGRWEPRRDVYQEPAQAVQFVAARTNDRWKEE AGMIFGPAHHDKVSILMVGVLGTLAPAAIQQLKEQKARDEEKKQKEEAERKKREEALK EYEAQKAEQQAAKEKKEAEEEAERQRQAQELAAAETARAVAEAAETNREQQAQEEPQA MEGVESNNAAPEAAQQPAAAPTERIITTIRNGETVDVTDLGIDPDYLAALPEEFREEV IAQTLTTRRSEARQQAPPEGENREAFQEFLEALPSELRDEIVQQERQERRRRERDEAR RQNNNDPARRLAEAAEMDAASILLTFPPALREQVLLEQGEDIMDQLPPDLAAQARALA QQSSQQYRGPPPNARVVAGRQVLAEPAAVNDGKPQRRTIVQMLDKAGVATLLRLMFIT QHGSIRNYLFDVFSCVCENRQNRLEVISTLLQILQDGSTDMDAVERSFGQLSLRAKQA KEKDTTKTPQSLKRTFTNISTHNHHLTNNSEVSPLLIVQQCLDLLQDLATKNAHIPSL FLTEHETVASTLKRSLSRKGKGKDVNLKAQKYAINCLLALLDRSLVMESSAVMQLLAD LLNKVTYPLQALERRRKEAEAEAKKEEEAKKKEEEKANCETAEPAVEHANTEAVNMAN VQAPAAAAAPSGDQPTQEDAQKDAQDKETKETKETKPEEKKVRQLTPPYIPDHNLKLV VNIFVARECSSKTFQNTISTIKNLSNIPGAKKVFGEELVRQARVLSENIVSDLNDLLP YILKAESGTEIQGVALAKFSPGASEQNKLLRVLTALDHLFDSKGKGKKEEGASEAEDS KEGTKEDLLGSLYWNPTFGTMWDKLSACLSAIRQRENMLNVATILLPLIESLMVVCKN TTLGDAPSVQHKELLLSSPPPENRIAGLFFSFTEEHRRILNELVRHNPKLMSGTFSLL VKNPKVLEFDNKRNYFNRSVHSKTGTQQTRPQYNPLQLSVRREHVFHDSFKSLYFKTG DEMKFGKLNIRFHGEEGVDAGGVTREWFQVLARQMFDPNYALFIPVSSDRTTFHPNQL SSINEEHLMFFKFIGRIIGKALYEGRLLDCYFSRAVYKRILGKPVSVKDMESFDPNYY KSLVWILENDITDIITETFSVEDDEFGVTKTVDLIPDGRNIPVTEENKSEYVRLIVEH KLLTSVKDQMEHFLKGFHDIIPEELIAIFNEQELELLISGLPDIDVDDWKSNTEYHNY TAASQQIQWFWRAIRSFDKEERAKLLQFVTGTSKVPLNGFKELEGMNGVSRFNIHRDY GNKDRLPSSHTCFNQLDLPEYESYDTLRSQILKAITAGSDYFGFA QC762_307650 MAAAIDRAPRNHLDEGSEDSMGARTIPIIAPTIQMSAPSPGEPM DITTPTNSSAPQSATKSPDSDVNASGGNASNESNERLQPIQAQINSNHHNHQASSENN IIMPAPVAAAPAVHQPKIVQTAFIHKLYNMLEDKTIQHLISWTQSSESFVMQPSHEFS KVLAQYFKHTNISSFVRQLNMYGFHKVSDVFAHGTPDSTMWEFKHGNGNFKRGDLVGL REIKRRASRHALVHREYSNQKPPPSQPGTPAEPMPPMQEGGDPRVNSIEHTLYDLSAR LQRQEENSQFMQIKNQAIMDTVSRLLQFNQDLSRAVMALSPSPDNPIHRDVSSLQIEV QRQMEIFRSLEEPHEPLFASTRPYFANIENAPVSPRQLPQDDPRRSNLAVPQPRGPNY YHDHRPAVPSGLSVSTRRPYGSIGGNSTGQSSPSSNRAPAPPPPPGPHHLSNPDLHPG ALGRRHTSADIRAHGWQPQPPPPFSGPPSSQWPSSPSRLPPPPESQHLRDTFSHYSLQ PSSQPHSRPATPPAPPFSNGNPPAADTFNNWSWNSANRENKNLSVRDHSAPPTRRGSM AHILNPTDTAEREDEDMDPRGDDDRKRKRLQ QC762_307660 MPTQVRHFATPRALGETLTLPLEPAESKPQSPPPETPRTIADLP VIPKPRRNTVLKARNYDMGPFREEKTKGGVTYAHQDELPKLPIPALEQTCQRYLSSLK PLQGPREHQDTRNAVQEFLNNEGPELDAKLRAYAEGKTSYIEQFWYDSYLNFDNPVVL NLNPFFLLEDDPTPARNNQVTRAASLIVSALEFVRAVRKEELPPDTVKGTPLCMHQYS RLFGTARVPTEDGCQIEQDPDSKHLIVMCHGQFYWFDVLDDNSDVIMTEKDIAINLQT IVDDAAQIPIQEAAKGALGVLSTENRKVWSGLRDVITREPGSNNADCLSIIDTALFVV CLDYTEPADAAALCQNMLCGTSEIEKGVQIGTCTNRWYDKLQIIVCKNGSAGINFEHT GVDGHTVLRFASDIYTDTILRFARTINGKAPALWSSTSPDPSKRDPESFGDVSTTPHK LEWDMIPELRIAVRFAETRLADLIEQNEFECLDFGAYGKNFITSMGFSPDAFVQMAFQ AAYYGLYGRVECTYEPAMTKTFLHGRTEAIRTVSEEAVNFVQTFWADNPAENKIEALR QACQRHTANTRDCSKAQGCDRHLYALFCLWQRMVDDDFGSNGDSTNGYSSPVDGMSDI SSSHGRAAEYLIDNGTTPSSAVHAPPAATTNTNGTNGTTNGDDANSSVIRNRTNSASS RHSSRSRSPNGSSHQLPLIFADSGWDKLNTTILSTSNCGNPSLRHFGFGPVSGDGFGI GYIIKDETISICVSSRHRQTKRFVDTLESYLLEIRRILRLTAAQRNGGAGVASKQSRA REVDEMKTKPKLTHKDSATAKAKLRGRLITGGSDLGRKGGFSVNGSVSPTEDSLLGMS EDDELGGYGFFDAGMLLQALKARGESYEGGETKASERATQQAKRRTEIGKRLRLVDY QC762_0056190 MDSLQDRAGTPCTSSTLFQDIQSEILQKRKSMDGGASPDIPDIW HMAAAGCARCIANCQAPRNRSVLVDTRRLSKIAISCGNWILRLRSWCTAIQVVVDITR RLRAEPHRGRLGHLVAFCNAQHPWVQKLRGPLSTTTSLNFPDSRPASAFPLVVFSDGK LDEIISWFNQSLTYSRGHCRHKPHTLAYADDEVYLRFI QC762_307670 MSLLWYNRGEEKTRFRLEFQVVHDGLDEGISKQQPTQTFTCFGD LPPEIRLSIWEVLIQPRIVLAACVDNRCKTQKHAQMAKRSTTRSIPVLLHVNRESRAL ALRHYELTFAWKIPPRLAAPETSVLPAQGDARVWFNFNLDALFLLGELEPYDEFGFSS PMSHFFRKEDTARIKHIACAFEELHLSLYESDSIFGTLFHIIDRCPAAQRLLITTTTE DTETRHLRLPTLDNVVQKLWCAFLNGTTCVNESLANMQILMIAEDGLASFISENR QC762_307680 MSHVTPHWPQPSHPTIQQVIYATDDTSFTTKSLSLITLPPFGLF AKLDFPPCTPAPSPTYATVQCGINSHLNLNSDLLYINHSCDPSLIFDTTNLVVMAGPK GLKVGEELTFFYPSTEYAMAQPFDCFCGSSSCKGRISGARDMKPEQLEGVFLNAHIRE LLGMNGTKGGQDDETAKALREVVRVAERALDGYLAAKGGAAGKGEEKKMNGVKKVLNG GYANGNGIEIEAEGLRARGTTSRELSGEMGGDTRA QC762_307685 MSTPSRARALRSTCASTPWTAPAAPTTGRQLQQPSTSPPQPQPQ PPSQLPHQSRPLSTTVPAQPAVNFHSHPPLSFPSSADPDQKPPDKDRKVKLGKTLRTL QSHLPTILLSPLPQEILSPSITLHLFPSTHPHLPAVSGRISYIAALLSSPIAWNRLPL VGNVRLEILSERMIKDPHYSSPAFRQTRPKDAFGEQLIVRWRTTGGKQKLGKAKGEKN GWLPWEKDDGGKQGGVDTEYKAPVGTAQGVGSGKEFTGLFIFDFDTEGRIISHTIEHV EQGGQWERGVGAKVVGLTDWLLGGFRGGDGGAPCPAFAGNRGGCFEGSGKGR QC762_307690 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMADKKVVDYQVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGSSIATNNTKANVNVSPGQGVSNNQSGGCC QC762_307700 MFRLGRNRALASAFAAPKTSPAPRLPSFAQQQRRALSIHEYISA DLLKQYGIDVPKGAVAKSAAEAEAVAKSIGSDDMVIKAQVLAGGRGKGTFDNGLKGGV RVIYSPTEAKMFAEQMIGHKLITKQTGAQGRLCNSVYICERKFARREFYLAVLMDRGS QGPVIVSSSQGGMDIEGVAKENPDAINTTYIDINVGVTDEMARDIAVKLGFSEQCVDD AVKTIQNLYKIFLEKDATQIEINPLSETSDHKVMCMDAKFNFDDNAEFRQKEAFEWRD TTQEDADEVRAAESGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGQPANFLDVGGG ATPAAIKEAFELITSDPKVTAIFVNIFGGIVRCDAIAHGLINTVKSLDLKIPIIARLQ GTNMEEAHRLINDSGMKIFSIDDLQNAAEKAVQLSKVVKMARDIDVGVEFTLGI QC762_0056250 MSQPEPPPQDPKLPKDKYTSLLNTLSLSISKSHSSILSSISHHR KAPTTKSSLSSTSRHQPPPQSNTDSDLSYIPPPNQGIGFSSSKPLPTAQQLATDDLKK KLLGKNALRQKEEAKRKKEESESEDEVGRGGLGRKTKRGRVAEPEPAGRRKKKVRVEE PKPVSTGEEKGISAAAVEGKEEGVEGDVQTEDVLGNDEKEEVTPPTINGKEKVDGEDK EKKRKRKKKNEKKKRKASTTEGGGDGDGAAVEGDE QC762_307710 MSTAIEETIKSPVVEEREELQQTPLSPVADQETVQTPKAPPQQE QRPPSRDSDKDTQDTFEDAVESGETGSVRSLTKRQPSVVTPLVVEEETESDYEEPQSP VAPARQSVRTVDGPADVVEKPESPTTSDHRISRRFSRVSEASAGSLDNVNLDDDSTPT AATQLAKIAASVPAAAAPAVAQEVPVPTSPEPAIAEKPAPAPEKAHTAKTMSFSSISM PWDTRSKSPPAAPPSPNPPSTAAPAPTGRKFNSPFSWLSRSSTKVETPVPPAPSPRRN TASSVATMTSNPEMMLSKLDEDDETRAIGSRNSLKDRFKALRMREEAGIPGMNGDEEK QGDEDGDADTISIPKPPPSPLPQSPNRNLAPGTVSGVNAGPSAMADTPVDWDLWQAVV YEGPAAVARTSAEELNKAIATGIPSAIRGVIWQVLAQSKNEELEIVYRELVARGTDKE INGTANGSTKDSASSASSVNSEAGSTGGSSPTDKETDSIKSAAAAAERRKKDKEDLAQ LQKLEKVIRRDLGARTSYSKYAAAQGLQEGLFGVCKAYALFDEQVGYAQGMNFLIMPL LFNMAEEEAFCLLVRLMNHYQLRDLFVADMPGLHLRLFQFERLLEDLEPALYCHLRRR GITPHLYATQWFLTLFAYRFPLQLVLRIYDLILSEGLSAILRFGIVLMQKNAAALLGI TDMSALTTFLKDRLFDFYIDATPSTNSILENGFFGSSSSSLDKEVYRADQLVRDACEV KITPEVLQAYKVEWEEKTRAEKEREQELEGLRSANASYASRVRRLEERIEAHDREQAE LATDLVKTKVENEELKDENESLRGQVKELRIVIEKQPEELEQAWELERGDLMKRNEKV HEENERLEKELKDLEEELVATKVQYAEVNSQHETLKQKWKELKKQFDN QC762_307720 MAESTGSPELNGCLVALEGPARLVATQLRLLPTSPRILILPALQ HYLGNINKDDYPDATQLIHRVHVAAQKRHAEAQEFLQQSTPEEGRIVFTHGGTVGAHA LCLSAISKQQTSGNVEEADLVFCQLASKGAAHLAREASRRCAKNHGPSVAALEKFKVV AASPRSAGLVPRPLRLRNATPSLPKETVLVQQKERHSPFEDPVIRAMRAADLLDRETA FLQPAGGINEVDMTVRIVEIRKRKVVRRSMSLSVVDSVGGSVVLGGSKGSSSSAASSD GRDSKNALITSPCSSCDKTLPSSTRRTPLRIEIPSPLIRWTGIREEPPPPLAEGDQSD PSFSSRGCRVENERPRSADDKLTFENNLTSLGRHLGVDRDDDNMGGYHRLGLFEQWGR GHNANLTTEPPPSDHESTAAAATETDKTFEPVLPLREDLVIHLSTPQSDESLDLVFQG FQRGDYTDRMPVRTTAALDSGVLEKGYDNTRRMLESLSPGAFAEAAGDGRKQSWVNGG LVHGLPTPGHSPTPSEARPVSALEGGQRFWSLPVGEETSVVTQNSLRSILTSQCAQTR TGRRRPRSSGDGSVTDSIWDELSVVSRYMRKGKVDMMLGVGGEVGVSKARLDEVVQLL EKLGCKDGVSRTGRVSLRDLIGAAMQAYTAQPLSKQTQANPFSDRAVLAALIIPYIER YLCSRPQVRFLLIEYPSEHLETILAVQKLMGNEIMRIAGVINGDASALNRPFSPPPSI EVYKSSTAPMSQEDFGSILEALLGSPSFTQADYILPSVASEADTATFLASVQKQLVSV SDFYTPPKTPISEVARPREGTGTRKQVYPALIIKAARTQTTEEYQQQGEKVRHHSIAS SGLDTPPASPAESFCPSGLRPPVFRDSAALSRGAMSPRDMAPGSRIVTPTPRNMTPIS RNVTPVTMQSPHPLTAQKQMERGGGWGRPRGQAVHLGGQGSTGRPYGFSTGTASSQSL SHNGGNNNRLRQVATLSHLPVTSSYDEEDYGELDEEERRLMPMYGRRRGSGGGGDGKK ALKWLGLA QC762_0056280 MSQQTLLGNPDPGMGPPSRVKIVTYRCPTPSPPSFDLPVVRQSF DRFGLSTTLSPRPTVPKMFLQT QC762_307730 MQSSDPGHFFETDAEQATRQRRAAKSGNKYGNPIVLKSKILAAV LDPRSPSSAVLVAESAGADPESTKTVYRGPTTPVSCVTVGGPNNGTLFAGAWDKSVWS WDLDTKTPGKKYIGHADFVKAVVCARLRGKDILISGGADKKIIVWDITSGARLHTLKD DVVNMLSIQDLAVDHAASTESEISLISASSDPHIRRWKIRTDGWEQVVEELPNAPGTE RRTILEHETTVYKLVLDHDGDEVDLWTSSGDGTAKCLSRIKNFSCEDSFQHGDHVRAV AVTDQWVITAGRDEDIKFWDRASGKLYCSLLGHYDEVTELVLLKNAKGSAERLCSVGI DGTVRVWPLAKAGLDTLVEEQKKPVAEEKREETKPEGLLSAEEEAELAALMEDDDEE QC762_307740 MASSVIASRPRARPAHTSGTTKCAYTPDGTKLVTVGSNNTIRVY KTGSDGEPDNVDDCQEQNVAVSTSNKFFVAGSEDGTLAYYSLETNMFDRLLTRTSLPV RDVALSPDDKWCAVASDELTVKLVNMEDTTNLLTLKEHGEQTKHISFDPKGTMLAVSC TNGIIYIYSLTADHPELIRKVDGVIGRVQTDSEASTKVVWHPDGRAFAVPTPTRDIQV VSKNDWEKQRVFSNGHEGDVTALAWSPNGALLASAGKDRKLLIWSTKDQSVIARYEYP NVVDIAWHPTKNLASFTTSNGEVFISPEFVSEQFASMLKLPRQPAPFIHDPLEGISNG LDKQPLPSRPRAGTPDSFDDLLDDDVVEEDDFVVDDDGAGYALNTGRKRPADDTTDGQ PPSKRANYALQLQHHPPFQPGSTPWRGNRKYLCLNLIGFIWTVDQDSHHTVTVEFYDH EFHRDFHFTDTFLYDQACLTNTGSLFSCPPKDDAPAVVFYRPHETWTQRNDWRISLPK GEAVLAMALGENFITVTTTANYVRVYTLFGIPYRVYRPKSSPVVTCAGWNDYIMTIGN GPVGADGMSRLLYSIYNIKRDEICQNEDIVALPDGASLKSVFFSDVGDPCIYDTTGTL LTLLHWRLPSRAYWVPLLSTSLLPRLASGRKKESYFPIAVADNKFHCIILKGGDQYPY FPRPLLSEFEFSIPLSGPPKPKNSKPTNDDDEMMLDQSSDSDGEDDATGESDKLIQGF MLKSIQASQLDDLLDATQSTASQRALRSRLSLEIDKTLLQLLAVECREGGEERGMRAL EVVKLMRDTSGKMIEAAGKIAERYGRTVLGEKIREYGEERVGKQIRSRSDGRMSTHNH RGFGSGDESDF QC762_307750 MGISRDSRHKRSHTGAKRAFYRKKRAFELGRQPANTRIGAKRIH TVRTRGGNHKYRALRLDSGNFAWASEGTTRKTRVIVVAYHPSNNELVRTNTLTKSAVV QIDAAPFRQWYEAHYGQPLGRRRQQKQGQTVEEVKKSKSVEKKQAARFAAGGKVDPAL EKQFEAGRLYAVIASRPGQSGRADGYILEGEELAFYQRKIHHK QC762_307760 MKMRISTFCQLAFFGISVEGLAFPGPQPTGVIAVEDSLGWTPKP TEAPAVNALLRRQNGRPSNYIAAPDNTCGYLDGNKRDPLTCPDEQQCIFITASGRSPA GVGCCGNGGCNFHTACLDRKDLKDCDEDCQADPQILKWHVALREMISAFGKQLCNTIS YELGAVDYYCSIFRQRSIEEADTTHVGQKTRTMSTITPTRTSTRRTTTAVRSSSSSSQ SSGSSSSSETETETSATDRSLETASSSVDTAPAATSSDAAAVVPAESSSSNAGAIAGG VIGAIGAIALIAFAFIFFRRRKREQEGQTQIPDGPPQPPMYQQPSAQPPSQPQTYQQS APPPQHSTYAHSQATTYQQSSYQPSQYDQQQPSAYQQQQPSAYQQQQPSDYQQQLPPQ PVPVVSPFSDPPRQHQSQSETPSFTSISSSSAASAIPPPLKLSSATTTPYLQQATPAQ FSPLSGTTIAALATIPDTQKSAHHNYPPPEKSPNYYPAPSPMSTPAASPRFSFDGIPP APQPLQPYRPESAAYPNQPQYQPRQYKPFVPQTSATYMPSANDTMDSPVSAYNALPVS PVSALGSHPMDDIPVVLATATAGTRRQPSVRRGSGEKGGAVPLVLQPGLGAQRFAPGT VKQVTVQRGMSRRGQNPKSPSPVQQQEGQQPTQSPVVLPAQPNQPQAPIGAEDKNQQE KQPQVNDQEVPKPDQEVTQEKEPQ QC762_307770 MTDLLRVLPEFPVKQFGGLISALESRGYTTADLLTLDPAEIETR TKLPNVKRLCDAILNALHTDLGVADPPPPPPLQQQQQQQHDDVQSNTEPPPHHHHETS HLKHTADTLSSQWITISTLDPHLDLALGGGIPTGHITEITGESAAGKTQFLLTLLLAV QLPPPHGLSRPALYISTEAPLSTRRLSQMITENPFFSTLPRSGRPTLDKIISTTTPDL ESQDHILTYQAPVEIARRNVGLLIIDSVAANYRAEFERPTANSNLSSNMGARTNELIK LGMHLKDLAEKYNLAVVVSNQVADRFSGTAGLKTPAPVPSKTPASSLKAPFPHGLGKQ SQESPLASRSRPAEAIPIPPPPPPPQPQLNPEYPDPEVEINAHPALSLDHQQKWFTGW GDNPSLDYPLKTPSLGLVWSTQISGRIALFRRPVNYGYGDHVGTVEGMGAMKGWRRWM KVVWGVNAPASDVSGRGGKKKGGGPVEFEVWKGGVRVVDAGRINKGNIA QC762_307775 MAFNDALRAVDGGEGHDAVAEKYAGEIHGTAQTGKDKGEDVEIV EGEGGLRMKFEKMKLTRDGEVWLEVEDEGSGEESDDGEESDDGEGDEDEDEDGDEEWQ EDDVEYYEESEEYYDDDYEDYGEWDLVG QC762_0056350 MPSAAVDDGFEALLEPFYNGKRLTDPINTKQDKYQLLPAFLKVK GLVKQHIDSYNYFVEEDIKKIVEANRVIRCDQEPSFWLEFTDIRVGKPTRNENSQLQW QSSTTVSPMECRLRDATYAAPVVVDIAYPKNGVRNIRKNVQLCRIPVMLKSSKCYLNG ANNARMEELNECPLDPGGYFIVGGTEKVILIQEQLSKNRVIVEKDDKGGVQASVTSST HERKSKTYVVLKKDRILLTHNILVEAIPIVIVLKALGGLSDYDIMELVAGGDSRYQDD FLINFEDAAKAGVYTQQQALEYVGARVKMGGPKKPSPKFSAGPRRNPIEEGLDALSNL IVAHVTVKDLDFYPKTIYIAMMVRRILMAVHNPELVDDRDFVGNKRLELAGQLLSLLF EDLLKGFIGQLKANMEHFFKRPNRTSAYDPIGPIGSYGTMITQGLNRAIQSGNWTVKR FGMNRAGVTHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQWGMLCTSDTPE GEACGLVKNLALMTHITTEAGEEKVKKCVSTLIEGIRLIEECSGTEMHEENAFIIHVN GTPYALTLDAAAFTKRFKQFRRRGVLSAFIGIHTSHATASIHIATDEGRICRPYIIVE NGKSMLEAAHLDLLRHKKATFEDFLKKGVLEYLDVNEENDALIAVHESDINDQTTHLE IEPFTILGAVAGLIPFPHHNQSPRNTYQCAMGKQAIGFIAYNQQNRIDTLHYTLVYPQ RPMVITKTIQLIHYDKLPAGQNATVVVMSYSGYDIEDALVLNKASCDRGFGRCQVFKK YTTELLQYPNRNKDRLGGVQRDEDGKAKDRHAVLDNDGLATPGMKLKDGDIMIMKETP VDQTSTNIGEDRKITEFRPCPISYKIKDPAIVDKVVITTKESGTPLLKVRTRQTRRPE LGDKFSSRHGQKGVVGIIVDQEDLPFSDKGLTPDIIMNPHGFPSRMTVGKLFECLTGK ASVVAGRREYGFGDAFRSHPVEEMGKVLIEHGFSWEGKDYFTSGITGEPHEAYLFNGP IFYQRLKHMVADKMHSRSRGPRAVLTRQPTEGRSRDGGLRLGEMERDCLIAYGASQLL LERLMYSSDVTKVDVCERCGLMGYKGYCQTCKTTSNVTKMNMPYAAKLLLQELISMNV GVRMQLEDQFPHPRNSNSNSNSNSNSNSNSNNDNNNDNNDSMSSSSSPSSGFYAFSPI HRTQAELNDRVAKLEEMASKAKTEDVKFMTFLRQLFDSVNRTRLMCKELGEEVRMLRK AGEEKEEENRNAGVYVMALLEKLEEKNKKTKGRVRKLKGRVRELEERERVMKERVGVL EGGKSRDGVCEKLKGEFEEFKAVVEERVVKVEEWEEEWEEEWEEEWEEEWEEEWEEEW EEERYEGRVCALECRADWMEREWEKNVSEPRACVLESRVDWMEKEAEGEESEKKSVKA FPDSLREETATLTASPKIDKGLGKLGAAGNSGADGLGEYNPRRPYLQASSPASRGLPS SSGYGYPRYPSPPNLLPYLSSSLTRQIYNASAYDAPRPTYRTYGVPSDSQ QC762_307810 MYHRADEMDDYFRIQQSPRGDSQVNATLGLVSPSRNPLLPRRFT ADSGRVPTLSTINTIQPPQTQQQHQRVPEPQDFASTAAMHKVQLLEKKRQDYERLREQ RRRFEAEMQKLDAQTRLEAQELQQMTEDIAKRLGAGHQSEPTTPPEYREVNTSLSTIW STSRPNRYSTSSLTSPPGLYNRPNRSGSLLTSPQSGGGAIPARYAFDDPLSHSVPGSR RNSDEDDEKEEAVRQDPTSHRSTNHLNRYSMPVTKSRTYLGDFEDSNNTTGFLFGDED SHLEDTRTTPTAEAFNTIYRSQAYSQLTSSALDSESTSTSTWSNLTKHQQRQSMSTIG SNGLNGAGPVGSPPSEPGTIGSRPASIRHSMDGMKFMAESVTTPLDTPASVVSPPAAH AVASPPKLQQSYSANDVPTIKTNGSTLGANTNVHAQQHFHNHNASLGRFPAGAMNRHS QNMSGDVRVANGHDIAAGYPSISSTLSTLHAQATPFQAPNQQPQAAVMPAPPSLGGVQ YSPYYAAAVPGSVPYNGGQPFNPYGMLVPSFSNLSIGSAAPQPSQATQPQPHIPTQSF TGYGPQYGQPAPPAPRQPLHDSQARVIANRRQQDSEAMSRYQNMTLEKAEGNINNLAR DQHGCRFLQKQLENRIPHEVHAIYREVLPHVHELMIDPFGNYLCQKLLEYCTDDERTE LIKNSAKDMVPIALNQHGTRALQKMIEHVSNEVQIQMITDALKMQVVTLIQDLNGNHV IQKCLNKLSPEQSHFIFNAVGENCIDVGTHRHGCCVLQRCIDHANGQQKAWLIQCITN NAYRLVQDPFGNYVIQYIIDLNEPSFTEPLVAQFRTHILTLSKLKFSSNVVEKCLRCS SEQSKNMIVSELLDAGCEIERCLRDSYANYVYQTALDHGTNDMKQRLVDLIRPHLASI RNTPYGRRISAKISAFDASGINANTPNQPPVPADHTGGQVSIRPAHQRGMSNSTNSTT STFHGYAPNGVNGANGNVNHAAAITYPGGPSQAPPQPPRGQQHPHQFPSGQADNNWL QC762_307820 MALIVDKHRPRSLDALTYHDELSDRLRSLAQSGDFPHLLFYGPS GAGKKTRIVATLKELYGPGVEKIKIDARVFQTSSNRKLEFNIVASVYHLEITPSDVGN YDRVVIQDLLKEVAQTQQVDQSARQRFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILVGESTAGIIAPIRSRCLLVRVARPTVGEVEGVLRGSCEREGWEVREGLVGRVARE SGRNLRRALLMLEGVYAQNEKVTDDTPIPPPDWEGLIEQIAQEIMAEHTSARILQVRS KLYDLLTHCIPPTTILKTLTFKLMPLIDDDLKPEVIKWSAFYEHRIKTGTKVIFHLEA FVAKFMRILEMYLMSMDM QC762_307830 MSLNIFRVLGDFSHLASIFILLHKIQQLKSCSGISFKSQVLYML VYITRYLDLPWTSSPYNFIFKVLFISSELYIIYLMARAYKPTNDPNLDTFHVEFLLGF AGLLALLFPYKYTILEVFWAFSIWLESVAILPQLFMLQRTGEAEAMTAHYIAALGMYR ALYIPNWIYRYFSEPTHKVDTIAVTAGILQTILYSDFFWIYYTKVMRGEKFKLPV QC762_307840 MAKSRPPTTGSLFQYLFLALGVLSTATLAAPPALPPIPTITPPP TLLVPRQNDPQIQSLSQQLSALSQSSREISQSSQQLSITSAQLLNSVQQLSSRLTQTE QSVNALRQSVNNAEQASRSLSQQVAEVSRSADRQMSERLQRASMTMVENMSAMSVQME SSFSRRLAQASRSAVALAQGRVVQEGEDRGLETGFGVPTSTAVPEPVQGMRTEVVIGA VVGGVLGSMVLSVVGVFFGLKIRQRQQKQGRLGPGIGSDVFFTGGGGGKIKGGSPNIG APVLQSTSNKAYASVGLGPEGLQVGGENKEKDVGKRVSDVSSVYSSDLDDDEKELLKQ QQQPSPATLARNAPDLRRMVLERSGTTISRKSVGGPKVGFAMSYYSPDSTTMPPPPKA VGTGVIKKAGGHNDNDNNSVMKVMTPTATTIVKTGGNKTRQMMKGFQLSQPPPGKLSL FPRSDGGSSGGSSPVDEEDGKGTPKRLESWLRREREVVSPFATAAPGKK QC762_307850 MKSSLYPPVSLPNLLLAIVLVVTSFVLLSATIHRSSYLSSVPSV EPPPERAWPMVWASYSNEPPEPKYFQEAGNTLEMSHYDIRFFQGVIPYSQHREVLQHL IRSYLSIMASLKMETWIAHGTLLGWWWNGRIMPWDYDLDVQVSLHTMEHMAKQFNQTL HEWRYTTDEGGEGVHEIKKTYLLDVNPNYSEMKRRQGMNVIDARWVDVDTGMFVDITA LAERNPVLEPGIWSCKNFHQYRTHNIWPLKETRFEGVKAKVPLDHERILVAEYGEKSL VLTEWEGTPLE QC762_307860 MVGNKIRCENGGEWKAREKFSHSALRKYQKKLGNGIATPAQSTI SCLEHSSGNKAPEMKCEGPCDRWRELDFFSKSTRRNKVYWCKDCVDWAEKTEVGEALP PPGERICEEEFETLKMRVADFVLNEDYENGIPEGNDSGPATTVSINDFDDDYEEEFTL LPPGAEATTVTDSIASPEDTDVSVETPGAAATSTEPMAPAPRAPHWFLGFMNNDSPST SSTTPTTLDTLDTLDLLDSLDTPDESISEISITAGSQAHTRTTTTAADTNASATPGQT GAVSFNTWSPEDNFERMIKEPTIATEESGWKTVTRTAKGPRMVTVRPRGKKEKDEVKV GKNGWVKVSNRRTTPQLPNYILANCVRGEEDFVGDIIPDEL QC762_307870 MLPMNSSTQPSNCTGQAAGAPVTLHPPPSGTSLPPKLPHLTFEN PDDSDSTEFDDSNNSEDDESDTEASPVEAIKNNMANPLRAERPRVPQANVGFQRAQTP IESAQAKVLEDLVNKVSKLETELGKFRGTTSAPDHEDRHAGASPLTTPGIRLQPPTYP GHINRAPSPRQTAPRIVTGQTTSAFPELAPRSPSGVQFSSPVPPFSSGGHPAGPFPGV KTPVVEISAVDLKWGPLFDEKGAPTKRWEQVLKGLGQYILDEFMPQKTLVMAPQKMAA FYSQHNIECEAVSFLEIFRSRNQDVHVRLSELYDQLGFEYHLAPSAPGCRPTVPGLTL HGWTQWMTLAMRAHPDEEARRFAKVITMLPINAESPLDGKLERLPKQISRHLLPEKAD PASRGKFSAALRVVQEALGLLSPPPKPSLQERRPSQSRAVSPRSRYKPSSVGIPSPPS SVSGAAVDDDYRRGDRERERNYRDLPGRPYESNGSARRDPPLSRSTTGLGLPTRPPSR TGPPSTTSSRRRSSPAPYHRSSVSGASGREERGYTRSSSDATIYPHRSDQRERERERE RERERDRERERDRDRERDSRDSKARGREREADRRDRGSRRSASVVSNTDRKGGLGRPN RRSSVIVQDERAGNLGRAPTWGDFFTGKSAMA QC762_307880 MAVDTDKAHNRSNSTTSKASYAHHDDTGTPSSHHGSPESLKHQR LEASRKLANPLAGLSHERLYQMGEEYAVNAGLTSDEDLRAFRLGAVIASNQTDYSSIS ELTDREREVLERETTHKWSNPRMLYWVIAICSLCAAVQGMDETVVNGAQIFYKEAFGI AEDTWLIGLTNGAPYLCCAIVGCWVTEPMNKRFGRRGTIFISCAISALACFWQAFANT WYHMFIARFFLGFGIGPKSATTPIFAAECSPPRLRGALVMQWQMWTAFGIMIGYVADL AFYPVPDRGIPLGLNWRLMMGSALIPAVVVCCLAYVCPESPRWYLTKNRHKDAFASVC QLRHEKVQAARDLFYTHILLKAEEQTTANIGTRNRIKEVFTIRRNRNAMIASEIVMFM QQFCGVNVIAYFSSEIFREAGYSEVEALAASLGFGVINFLFAIPAFYTIDTYGRRNLL LTTFPLMALFMFFTGFSFWIPEDSPAHIGCIALGIYLFGIVYSPGEGPVPFTYSAEAY PLYIRAIGMSFATATTWFFNFVLALTWPSLLEAFRPQGAFSWYGGWNIVGFFLVLFLV PETKEKTLEELDRVFDVDLRRMMAFGARQAGWFWGRYVMRRKGGRKPVHPGEEGLDGD SGEEGEFLGEKKGVVGGMDGAERV QC762_307890 MANTYAFNSTAGFDEALAMKASAVLKVIYKYRLNRSGLGSETSD SHDMFKFFVLIYDQVRANQTIKMCLPAFPFKSPNDKCKVLGRLPDMAEQFALAHLNGL CAAIEDIYPPGAELTIISDGLVYNDLLGVPDKHVWAYGQALRDLAVEKGFGNNIKFSR LQDLLHVFPGNDLDEITYVANATEFRRALLNTFGRPDFDASAEICCNEDSCMTYRGYI KFLETDLRHVYPLGSDRSKSKFKRGTEHIAKNMLMRGDAFARAVRERFPNHLRLSIHP KGSVSNPNPKTQTNTKLPISLLPNTTPGTTPWHCCLGLKADGTILSLPRSQFDSDPSF ELILSHSGHGSYYREKSPLFWDSPQVFIQPLYPCGLLIQPLVPNSLPITSIPILSIRA LAEHNSPICLRGFKQTTNRDLFIQKAKEMGTTLGWPGRYEVIMSVKDVGTEFDEKGNP VVSSLSAEKMAFHYDGVFKTTQDPETGKISSLPPRFQMFVAVTPSPSNTGLTLFAASR LLFRYLEQNYKHVVSLDVLKECTMSLNTTAFGGASLSGLPLVVEHPTTKLPCLRYHEH WPESKTKFGPMDCRLKISERGQVGMGLTDEVVRGVLGDLLYDRRVCYRHAWSKGDVVV SDDFAMMHTRTEFVSGGGRELWRIHID QC762_307900 MSGDENTDEWEPIPSLSSLSPFSSPALSFLLLSKTDLAHDSDHP SFHSSRHDDDVLSHTPPPAATPRPPKTPPLLTPSPPSTSRSMHSSHLRTPTQPSPLQL SSSVVGLGLGHLGIGPPMVSPLQQKVPAWMSAGHHEEVIKEETSITHDADDEDEEDER DEGEVMNRQSLIYDRGVDHEVEEEQRPERSERDIMMRLSQIYGHKFEDESEKDEPRGE SRQVLGHDRDADDEDEDQDDDGGEDGTDEEDEVDEEDEGENGTEYEDGDEQESDDQEF GDGQNPAYDQGTDEESEGETEGQRVVDRQSVVYNPRPHGESEDETNEQVMNRQDLFRD QEGDDQSDGDTNQHSMDRQGLIWEQQHDHHSEGDTNNEVMNRLDLFQAQAGDDQSEGD TNDEVMERQNLPCERETDRLQQSFIYDHDQPTDEITQNSKAILVDRLEGLLHRLATSN PTADLDTIDILHAKVDEMERALSATSPRKPNTSRKLSSELEPLQHSQETTAHSFAQEH PNQSPTHTSNINLHQSIESMPDTLSPSASLAQLRRSLILPPALATTPPPWLLPSAVLS PIKSSEIFSTSISSPTQPELDAAAEATNEALEAAKEAARAHSEMTERIAAEADELRRD LAVVVERLKNRREETDHIHTLLIQRAEAAAERILDLEKELSDLEDDLASSESELRHLR LKLRAVETLVGEFVDPDETDPELFRCIENWKEDWRVVRERMRERKRGRKERRMRLRRR EMMGVGNEEVEEGEEEGESTLTSLGGVGMGEQGKGL QC762_307910 MSWKKSEKLMDTIRHYASFPATGVSLRQMVQFGEKPSSGTLFRA SQFLAEELPIRLAHRVQELETLPDGLNEMPSVKKVADWYAQSFEEITTLPRPNLARDV RERLMKPAQITGGKGNQWLSEATPNPSIEEGQYNSWTPVSQQNAVNNGWSKGKFPATR RYFAMVDDTGDWPPELQLYNKRFAQTLHRIKRRHDSVVTTMAQGILEWKRKRQRMQID NNIQSFLDRFYMSRIGIRMLIGQHIALTDQSHYRDPSYVGIICTKTYVKDLAQEAIEN ARFVCEDHYGLFEAPKIQLVCNPNLNFMYVPGHLSHMLFETLKNSLRAVVETHGQDKQ EFPVTKVIVAEGKEDITIKVSDEGGGIPRSSIPLVWTYMYTTVDRTPNLDPDFDKSDF KAPMAGFGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ QC762_307920 MPGTSRMPVSLREGFNNVRNRSRAGSPLALGMLNLDLARNLIFF LFVLRWTRRVLWKLKGRGLIGTIVELYVDLRRILYGYFLRMPGVRNQVKKQVDEAVGK LQTKLVPLNATRYLTLPKEGWDQDAIRKELQTLADMDHTRWEDGFVSGAVYHGEDELL KLQTEAYGKFTVANPIHPDVFPGVRKMEAEVVAMVLGLFNAPAGAAGVSTSGGTESIL MACLSARQKAYVERGVTEPEMILPETAHVAFRKAGLYFKIKTHLVACPAPTYQADTRA IARLINSNTILLVGSAPNFPHGIIDDIAALSKLAVKKSVPLHVDCCLGSFLVPFLSRA GFETQPFDFRLKGVTSISCDTHKYGFAPKGNSTVLYRTQQLRSYQYYVDPAWSGGVYA SPGIAGSRPGALIAGCWASLMSTGEDGYLQSCIEIVGATKKLIAHITTTSPVLAQELE ILGNPLVSVIAFKSKTLNIYDIADGMSAKGWHLNALQNPPAIHVALTLPIVKVWEKLA ADLEAVVEQEKEKERARVAEGKGAKGKEVGDSAALYGVAGSLPNKSVVVELARGFLDI LYKA QC762_307930 MHFALPPRKMSIPPPYMPRSNRLPMLRRSRFKLIAITGLFFLTV IYLLTRGNGHPKLKKRMPTGNPPVVVVTVFDEAKYGKGYIDTIKENRIEYAKKHGYQT FFANVGDYDLKGAPGSWTKVVAMRHALTEYPEAYFLWYLDQNAFVMNPQLKIEDHIMK TAKLTDLMKKDHPVVPPDSIIKTFSHLTGQDVDFILTQDKDGLSVGSFIVRNGEWGEF FLDTWFDPIYRSYNFQKAETHALEHIVQWHPTILARLAIIDQRLLNSYSQGNKGDQYK DGDIAVRLVDCVSAGANACETESQRFVQQWRTSFGKS QC762_307940 MATESLGQQWSWPNEVRASSPEHPHQDSNWTTTPRAPATDAPTG TAPEPTPAEPPQPRYKPRTCRICLEVVQPSTELDDTIAGRVFASKARVRYVSEDPELG RLMSPCNCKGSQKYVHEGCLQAWRNAAPMSERNYWRCPTCKFEYRMERLRWSRWLSSK ALRAAITILVMMITVFILGFIADPIIRYGADPLGTIAGTILGEFDEEFDIPVQPLVEE LESDNWYMHFVKGFLSLGLLGFIKSMLAISPFQIFNIRVGGGRRRRRGGTEGISWFVV VVGVVTFLAATWHAVSHFSAKFLEKLSDRVVDVQGTEPEDDEDDEDGETDESRKDR QC762_307950 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLADPSSLSKISLITPNIGMVYSGMGPDYRVLVDRARKVSHTGYKRIYN EYPPTRILVQDVARVMQEATQSGGVRPYGVSLLIAGWDEGILPEEEIEAKEGEEGKKL SGKTGGILKGGPMLYQVDPSGSYFPWKATAIGKSATTAKTFLEKRYTEGLELEDAVHI ALLTLKETIEGEMNGETIEIGIVGPPADHLLGVEGVEGAVGPRFRKLTPQEIEDYLTN L QC762_307960 MVFYTGQQLSYIKVPNIIITMSLYAAGLNAWSQLDFDTTKKDQQ PDDIFTFTCLLEDKDIDYIRPFPSYTLVYTTTTPFSPTYTAGLVPKLHQQLSTSHPDH YHHFAEASNDIVVVPNHPTNPHPLQYPSLTTLLSPSSQPISYPTLHLAQVTPYATGFL ALSPQNPTSSPGATPATPPSSPALVPLPTPHHPHPIPDLSSLPTGPVTKLSSSPSGCL VAALTAGHDLYLWGHPSRCSTWYPDIPDSPEPVVIGDDNEKDIKDVAVGQSHVLVLTT DDEIWGRGDNSSGQLGLGRETKLVTEWTRLKGEFDHDKKKIKGVWAGERNSFVVVQP QC762_307970 MGKIQACIAVWASTHQYYHPLTLVRPAVAYPHSSLFRPNLFRRC FGRWGRKGHINFFFALKGYQSFLLPPLCSEDHSRHKSFFCLRSTPAMESKDIRNTMAD EPKASSSDEDGLQGVEEALQAEALAENVYQVSSDTEDTSGTETPPEVKTSPEVIMTAR AYQVEMFQESLQRNIIVAMDTGSGKTQVAILRIQEELGRSPKLIWFLAPTVQLAAQQF EVIEKQIPGVQSRFICGADNVQAWKFKTGVWQAVLTNVRIVVSTYQILFDAAVAHAFV PLESISLLVIDEAHNCVGMNPVARLMRESYAKLKQEGKPVPHILGLTASPLMRSNLAG IETLEQTLDAICRTPCRHRDELMAQVNRPEMKAFIYGDLPEPQNATPSSSNMTRLIDT LRQMDITADPHIIRLREENTERSREALKSAIMSRDTQSQKQMKALFARAREMRMNLGP WAAEYYINRVVTEFLKVGSPPAPSVHNLWDGEKAYLSATLQGIKPEAPPSLPDNLSRK VQALLQILASHKGNPVGIVFVTERATASVLSHVLSVHPVLESRYSVESMVGTSKLPGG KHSFLDLTTKEDIESLHRFRKGKVNLLVATSVLEEGIDVPVCNLVICFDKPSNLKSFI QRRGRARMNESELWVLFKDDQDQSLEEWKELEQEMKRKYEDELREIAGLEQMEQSEAD DYPKMTDPTTGAQMTIHDAKQHLDHFCSTLSTRKFVNWAPFYIIHDLEGNPIDARKPG LRAATVNLPVSLSPSLRRAESLRTWPSEAFACKDAAFQAYKKLYEAGLIDKNMLPART TPGLVEVGKTEGITTVEVQYNPWLEVSKAWESSTKLYSRRVTISSEDGTHWAQLDLSL PIPVPLIRDQVIHSERKTSWTISMGAAHERKYDKDNRDHTYGLLAMAYGHRFPIEKKQ YPIRLFSPEEEITIDRMAALEFNRDSLANCSQSYLIRDVDSANHPYFFREWLPKKPPM NMIKSVFKGFEEAPEDVPYVSVQAFPKRAGQFRKLPDAFIHRLPSGKPYPRVILASQV KVDKIPTVFAHVGLMLPALTAAVGDYLVARDLLDGSLQTTGITGLDLVVTAITASGAR RSIDYERIEFLGDSILKFCTTINCSAQYLHFPEGFLSATKDKIVSNYRLCKAAIDFGL ARYIINTAYTTDKWRPVFVEDYLERTDNPSADGPKTREMSTKTLADVVEALIGASHIS GGINKALACISQFLPEAKWQSIDHGRQVLYDEAPDDEPLPPNMHLLEKLIGYTFKKKS LLIEAMTHPSFNMQDTRASLDRLEFLGDAILDYLVVESLFSLREPLTGLPLENSKLHL LRTALVNADILGFLVMEWAIEEERYNAEVILPNPTSNPYLSPSRRTSSSSTNPPEINL ISTTAKFPLWSFLRYTSPEMGEHILSTQSRHSFLRDEIRHALDRGEKYPWPALTRLQA QKFYGDVFESLMGAVWVDSGDLEECRGLMERIGIMGVMERLVREGVHCLHPKEELGLL AAGRAVEYRVEENEEGQWECAVVWAETGEEVVRVGGARKGEEARVRGADAAVGVLKAE KEVREQEKRRVMLENLGKDVVVDA QC762_307980 MKLSWPTAIAALAVATTVEAIGPISSVGNKLFTPDGKQFFVKGI AYQLSPDDPLIDTEQCKRDVELMKELGPNTIRVYHVDADKNHDGCMKAFNDAGITALI DLDTFDTYIEPAKPAWTKRMHDRYAEVMDAFIKYDNVLGFFVGNEIISTEAHSQAAPF IKAAVRDMKAHRNAKNYREVPIGYSAADIAELRPMLQDYLTCGGNSSENVDFFALNSY EWCDPSTYETSGYENLQKQAKDFPVPIFFSETGCNVPGPRLFDDQLAIFGPKMRNDWS GAIVYEWIQEENRYGLIQYENPTKEEDVVNGVVRSGTPKPVQPDFDNLKEKWEQVGQP TGVVKDSYDAKHVSVRPCPEATQGGWLVKGNVKLPAVGETFTGTYESVPSATVAPTTA GGDEGTGTAANPASETQNPAAPTKVVFRGMVAGLVGVMLFFTVWF QC762_307990 MADFEANQLPQPITPSPKIPKELSFENVVKNQTASPCSLPDFTL YLTHSSHSPEILHFFLWYWDYVQRWSQLLPRQKALSPAWDPEKATEGPRAARFITYSH KRARSLRMEKVLAVMDISSPHSDEQPEKEGDMSRSRSSSASSTVTTASAVSVVVSGQP RTPSSSISGILSPTESMRGCSWQPFTIQPNHPELSRITKLFLSSPETLKYLSQHDREQ CIRAVQHTTHPTALLPAFMSVESTLRNLLHPAFIRHSITNANTARLVFTGVICAILAL LGLIVEMVLILSKQSPYLRVLGLLFFWPGLTGLFASIKGLDVYLHFQQKRQLRPWEQT SLPLFHSPSTDKNEKRGHERMDTTSTSISSTAPFTPTGVDTRDREQNTTWEEQYRQQS WKKKTFTTAVPVESKPLIVLQDRIIFLSILWASISSSGLTVAVLFIPARNLF QC762_308000 MQFRSVIELLTMSSLAVTGASAACTPIPSSSTATPTPTPSVTPD CGGDQSIALCCMNLAPWSTNSGIWGGACGHYPADPNERVGARCITRAPGTNCFGSLLA TCCAGFIPGQCSLGTRCT QC762_308010 MKQIKALTRPTTVQQAPGSDNQRAPRNLAPEIHPFERAREYQRA LNAVKLERMFAKPFLGQLGSGHVQGIYSMCKDKNSLSSVASGSGDGIVKVWDLTSREE VWKASAHNNVVKGLTFTNDKKLLSCATDGIKLWDPYTPASDNTSPLASWQEGGPYTSL SVHRTGNVFAASSGAGCIRVWDLEQSTAAQTIQWPNHTDTITDVCFNQVETSVIASVG TDRSVILFDLRTNMPVVKTVLKFAANRVVFNPMEAMNLAVASEDHNVYVFDARNFNKA QNIHKGHVAAVMDVEFSPTGEELVTGSYDRTIRIFKRDQGSSRDMYHTKRMQRVFRTM WTMDSKYLISGSDDGNLRLWRANASERSGVKSTKQRQALEYNKALTERFGHMPEIRRI SRHRHVPKVIKKAGEIKREELAAIKRREENERKHSAKKFEKRKSEREKAILAKVQ QC762_308020 MAQGDSERVREAQKLAPVNPQKAIELYKEIISQPPSINSEAAIR EYETALISLGELYRDQQNTHELVGLVTTSRTVLSSFAKAKTAKLVRQLLDLFDAIPNS LETQIAVTKSCIEWATSERRSFLRQNLETRLVALYMKKQAYYDALTLINGLLKELKRM DDKLVLVEVQLLESRVYHALGNISKARAALTSARTSAASVYTPPLLQANLDMQSGMLH AEDKDFQTAFSYFIEALDGYHSQDEASRAQAALQYMLLCKIMLNLADDVNQLMTSKQA QKYAGKSLEAMKAIARAHSNRSLEEYERALGTYKWELASDGFVRNHLRRLYDAMLEQN LIKVIEPFSRVEIDHIAKMVSLDKEQVERKLSQMILDKVIIGVLDQGAGCLIIYDETH RDEAYDAALATIEKLSNVVDVLYTNQASLLE QC762_308030 MDEEHTITLNLGSSPDPLIDPILSPPMLPPSRVKPRAQPAARLL SIARSPRKRTFELDIGSPVSPQRLLVTVQAEDEVKNTKGIKRRLFQSPTPKRGVMRGG GDVTTTVVPVRGLSDDEGVTPRRRGRPRKSGTPVPTTRRKRPGTPGAKAVVARGTSRS PQKSPQKQQPLDEDALEHIEATPRPVSLLKRPAKRKSMTPAKEDTQPRKRGRPRKSQV PAEMNMGSIAEILQQDNASRLPPVQHDFFGRDQFTAGEVHDDDGAGDMEDDIWLGTLS SPAAQRLRTSLSNRSPPKEPSPAPEPEPEAEPSPEPEQQPQPEHSEGDFDEQGEWGDM HDGPEDDYDEGDYMTSPVRDLGDAQDTMAGAEDFTEVPLAELRSLMNSSMMAGQEQQQ EEELGEATKVIIKNGIDYLRQGRGTAAREGSQEAVQETGEKHDFGFSVGPGRTSQLEA AEPRKPFDFGSSFHPGQSTQMPSVRRRRETNFNFSVGPEKPAASSHAEQLEGLDFGQS IQKSPTPPRKNFDFDFSILPGENTAAERRSESASSSPVGQQEAKAAERAAVMRREVVG FGSSIGPVQKADTSSFEVKSRSFDPDFFSDESREQSAEPRQGSEEPRRYSEEPRRESE EPRQESEEPRHESEEPKQASEEPSQKEQYSRSRPDPDFEFSDGPVPQPEPDQDVQPER QWAETSGYSATEQQDDPGQEPDREQPKPYKRPYKRLPPRPSEVEIRAEVEAEDEPADD SDAWWNRVPEKPKPIKKPKSLLGKLIRKAARQRTDSANTTQEPSPADTSNLSGEGDSF STLPDEVYAAATPGQHVEPQLEEQHDDEVRDGEREEEPDNDGGEEEAQAASHPIQPSI ERPSPVNHSIPHLESNRLLTPDETPSPIPSEAEGDGVDEDAGNVSPNQPAQPNVQVEM PSSPPASDPSPPVPVARLPQHTRTKSNETPADQLSDVAPLPRTDLNVQSLNLAPPGSQ PRPSLSPIVRAGRVLQLVTSDPPSPPGRDSVLRSPFRGSFEKSSQSPAPFISQPRATR SPSPQRTDTAQEKQQDRPWFRGLQQIKNFVAETAKSLSPTRISNPRPEPEQEPEEEPE EDLQETPSRRSSGREARFSEPEVDPEATVSAPNSAIAEPARRRAQERAEPARTSNFGF RGSFTSSRNHETTDRRPQQKATRLPAKKPTPQPEPEPFTMDPVNTQQPEQPAQEEEDD ADFWLEAGGVTPFAPRVVPPQIKAPVVESRQSSKIPTLGRSISRQQQRDGQENQLRSS RNSQIDDAYEIEQESSSILEQLDKRPAVKPTPAPARTNLSGFFSSPVLLPGQETPGMG LFKPSRREPANNLVQQQQKERKKLRQPDNSLFAHLVEIEEQQNESVTAEAVTEKQPGF GNASQNVEVPSGAHDDSLESIDGGLKGKEKDRGRRSTSARAQEIMEKRLARITEGQRK AKEQMTAASTSQSFALQPSTSQPSASQPSAAQPSTTQPSTSRTDNTADQAKTSIRAKG KEPERAPIPAPRPPSPVEPQEKPNKIPQLRNFAPRRREFGENTLFQPQTGPAKAAAPP ATTNVLNPDNSQVGRFFEESRQLIEPQKKRRQRVRAQNGQQISAEVFSSPKTTPPRHE PNRAASPAKSSFRSPLKGRTPGPVVEFTSSTLSPLPRERRANSSQKTRQSRSQKQGAG EGGIGERTGADNRREEPVVSYPELPEPQPEASEEEENGRQADPFSDTSQQRKDRELRE QQDRQGWRAQELGPPLHYGKASFEQRLHQAKAQEPEQRPRGATWEGYGREVEEQPSEL DWEAIGEQEPDQGHYDEDGEDRDQEPTPPLSEQDQQRYEPYQPRQRDYQGRDLEPVEE EGYSDEEEEGDYDHHQQGTYAQEGDYDHEDDYDHGDYNQEDDHDHHQQGEHGHEQEQE EEEPPQSFFPKFSLPSLPNPLSLLSSLVPTTTSPPPNDPLKGWGIPNWELLDQCLTLH RQHRLPILPLSDVSKSYVGLVPSIGEPQEAMTIEVWHLQIVEYYKHVVLHTRGEEDKW TEWELVRMLFAVLIGEMRRRDRKGMEERRRKREEVKKERERKKRGWFSLGGVFAGGGK KYKVEKPKRKERSRR QC762_308040 MAIDHLPPSGDVTAPSTSTPPPPTIPPPSTLPTSPPTPQLPPET TAQPPSQPSSTTPAPTSPPSP QC762_308050 MATTDPSSAVAPVGTSAQATQSKRDKRRQLISDRLAQLDDRLAR DRDQTFREQLHKIQMDTNLVMRIDPYAERPFDNLEEEYQQILHQLNADASTTPQSYLG AAGPRFTDWMNKVQDLMEERDYALAKHKFDYDKKVSEYMNTHAFKVETANREYRALSQ TLRDRLINAITTKKFRLNKEKEALEISDSSALLLHPNQFSITNPASPGGTHAKRATRL RRGEIDDMSLDNKKRKRNNNDDDGSPAPQRRALDNANTTPLWQTDRLAVRKTTGPIYS IDKLFTDKELSMTYNTAAFAAHKYLLTHKPKFDENGRPIQSPDGSDSGNGEHDDDGDS VPSAPPMERNISHATRSGLRGSNNPNFVDDKLMGMELLANFDFPGNFDRMLAADPKLP ATFPSTYIKGHNRLEYNTTNSLANDDVNGDMMVMQALKQYEQANGPGSSFAVENGSRK LLEAASFPARDHRFVAYLQGERPSENEVRKRLGLPVLPDTVEPVMSNERSSTPRPGHG GTPGQSPAKGFGGVPMSRQSSANGVPMSRSSSRKGGRGGRGG QC762_308060 MEHLSIHDHQHGPPPPGMMPQPPQHPGGPVPQGMMPPGLGRPPQ PQQLPAQMFTTAAQLLDLTDKKLLISLRDGRKLIGILRSWDQFANLVLQSTKERIFVP PVLSEKEPTGIFADIDRGTFLVRGENVLLLGEIDLDKDDDAPPGYQLADIKMVEKLAR ERKKEEKVKEKKKTKMLGKEGFEGENLGEMPLI QC762_308070 MRALHLIVAFFCLAEAKGISVEQRRKVVQSFSVRRNASSDTTPL QVGNGNFAFGADITGLQTFKPFAIMNTWGWHNFSLPTTPGQTSVEDYTGLEWWTHGRL VRYEQPNPTQNDISNWLRENPHRLNLANVGLHFGGHDVVESDLEEKTQELDMWSGRLT SSSRFNGSKIVVQTWADSDSDIVAVQVQSSLLKRGDLGLFLDFPYPDKEKFNAPFVGH FNLASKHKTTLKQLSPQSAQIKHTLDGTTYYTMIQWEGSEATITGPLDGTHRYVLAPK SSSKIQLVISFSPTPNFSSKKASYRQITTASRNWWKNYWTKGAFIDLTPVHSDPRALE LQRRIIHSQYLTAVNSASDFPPQESGLVNNGWHGKFHLEMNLWHTLPFARWNHLDLFH RSLPHLYNQLLPSSLSRAEKQGYNGARWGKMTDPLTGRSSPGEINSLLIWQQPHPMFF AETDYLSHPNNLTTLQKWDAILTETANFMADFAWFNSSTKVYDLGPPLYPVSENTNPN ITLNPTFELAYWRFGLDVATKWKARLNQTSPKIWGIVRDNLAPLPVVNNTYATYEGIP NMWIAAETTFDHPAQAGIFGLLPPSPQVDLTVVNNTARKIKETWRLNESYGWDFAMLA MNSLRLGDVEQAVEYLLHPIFKFDDAGYAVGGERVPTPYFPNSAGLLMAVGMMAGGWV DDEGVKLPEQWVREGARAEGFVVAL QC762_308080 MKATDEFEYLWQDSENYKRPTKMPAPAYIEQLMTWVQSNIDNES VLPSRIGVPFPKSFPALVRQIFKRMYRVYAHIYCHHYPVIRELGLEPHLNTSFKQYVL FIDEHNLASGKDFWGPLGDLVDSMLRSD QC762_308090 MFSRQAVLRAARSAAPQRAIQAQARTYAAAASNEKVKAPVSLFG LDGTYATALYTAAVKTSSLEPTAKGVTSLANLLAKDPKLVGILEAPTLSAADKSAIVS ELTKSAGVSGETVKNLLAALAENNRLGLLPGVCAKFGELMSAARGEVEMVVTSAQPLD NKTLNRLESAVSKSSYVGDGKKLKVKNQVNPDIIGGLIVEVGERTIDLSVSAKLAKMN KLLTDTL QC762_308100 MVVFDGHEFQTAEESRLNEDRKRQKYWKKWVREDYSADGDAWSH FPHEHSRSRAYRWGEDGIAGVCDTHGYQNIAFAFWNGQDPFLKERLFGLSNPQGNHGE SIKEAHFHLDNTPQHSYMKYLYKYPQKAFPYEDLLKENAKRGKEDKEYQILDTGIFDE DRYWDIFIETAKEDDDPDELLFRVTAWNRGPDPAPLHIVPHVWFRNTWAWGREPPENK PAIGVADENLLKSKHHKLGDRYVLLSPSPGVGPSGDDVHPEFMFTENDTNYELLYKGK NEQPYVKDAFHRYIVDGEKGAINPAQTGTKAAAWYSFNEGGGVGPGECAVVRFRFSRK PETYLDEEEFDDLIEKRREEADDFYYHISPLPMADDLRNIQRQAFAGMMWCKQHYLFI WEEWANGDPSQPPPPESRKGIRNSAWKHLYCDDILSMPDSWEYPFFAAWDTSFHCITL AMIDPEFAKKQLDLFTREWYCHPNGQLPAYEWNFGDVNPPVHAWATFRTFKIERKLYG RQDLDFLERVFQKLLLNFTWWVNRKDVEGKNVFEGGFLGLDNIGLFNRSEPLPTGGTL EQADSTGWMGFYCLNMLNIALELAKHRRIYEDIASKFFEHFILISDAMTWRMGQKEEQ SLWNEQDGFYYDAISWGGPWIQQLPVRSLVGLIPLYATLTLEPELLNRLPSFKKRVEW FMNNRCDVAERTMHSIRKRGKGDRILLSLVNKERLLKICERMLDEDEFFSPYGIRSLS KYHKEHPYSMDVNGQTFKVGYVPGDSDSGLFGGNSNWRGPIWLCVNFLLVESLQRFYL FFGQDLQVECPTGSGDFMHLGHVSEEIQHRLQHMFARHDDGRRSINGNNDILDFDPNW RDYLWFYEFFDGDSGRGLGATHQCGWTGLIARMIHDTGVNCRLPQTPRTPSAGMAHYF DDILHRHLGGAQTPRTPMLSPRLRRSSTSRSIAARSDFDLNDLNGYDDEAGGGFPARK GSMAAPGMGQNGTNGLTLSQKLKGREEDEKHLHAYIHQQLEKVRLERGADGYSQGDEF EAHANE QC762_308110 MSNYQAHQYPVTGQHYSGTNRIPNIKQFAESLDRDKKNRDKKVE EREHRLRHGPVQPQANGGDVQDHVPTHSAGKNRRTVTDPVTGNEVEVVDITSDHVKNA AEPKLTVPNANLNKPTTLATSPDQSGEEYRKAQDETAPPDPVHKGATSDVPIHGEATN VLFHPTPSISFEGMFESIEARANVLCAAVFFGIVLIGKMFGGSLWGLIPLAAVISSGI FLWAKDLIKQGRANEWAAEKKRGETAVVNLIPESVEWLNTAMGLIWGLVNPEMFAAVA DTLEDVMQASVPGVIENVKVNDISQGSNPLRILSLRALPDSHVEDLKEDIRKQDEKTK DPQEMAADEQGGDFYNLEATVAYHSLPSSGDVSSKAAKNMGMQLIFYLGIKGLFGVPF PIWVELNRLVATVRLRIALAPNPPFIKTLSFTLMGLPKVEASCVPLIEKGANILNLPL ISNFVNWAIATAANMYVAPKSMTLDIGKMLQGDAIKKETNALGVLYIKIHKAIGLSKQ DRRGSEGGGSDPYICVSFSKFGKPQYCTRVIQDDLNPIFNESCALLVTPDIIKADEQL SLELWDSDRGSADDVVGKVELSIQELIQHPGRMFSQVSKLRGVKAESSMPGELYWEVG YFDKTKFRSALRTDGKDPRLPKALQDHKDLQDDKGTLETAEEDAVVHTPPDPLWPSGI LSIVVHQIVSLELEDVKGSNGKRKGREYEPARDAGEIKEEEGKKLPSSYCTILLNDEL VYKTRTKVVSSKPIFEAGTERFVRDWRSAIVTVTVRDSRNRQHDPIIGVVPLKLSDVL QTSSQSTRWYPLDGGIGFGRIRISLLFRSVELRLPRNELSFGEVGTFEFLSDRVSTVN YNPGENTKLKLRTGGSSASIKGSFCHGTQEGNGIEWDISGEGKAQKVRLPVRFRYRSP VFFEFHPSGKRRKTDTFAAFWLCDVPDSEEKDFNIPIWRCNNGLRLSQNYITEENCSS LPCLKVEEIGRLRFRGRFKPGTDQDHLRFVSDNNSRETIETWEACYAEGVRGEHVAAE VPPLVQRLHDESLLRERDVLRNASQEEKRKWLAKDGTDWSGAFGDDPAALLAARRSRQ KSEDLSTTDYESSHSTDDEDVDLGINDATHEDGIERSSGESSENYTFRTDSRETGDSR ASMDSKNTARSSSSKNPIKQYKDYKERKRDLHRQHRGLMQWKPMRNVQFAKNEALFAA RRVTKMGSLSGRKPDVETEV QC762_308120 MATSPQSPPKRPRLSLQITAIANGPSVRTSRRVAAAVDMKSPTA FNTLSNVYATAVDRSTPIQENPPTALLSGRPILRLQTQTQDAAPATATAATAKARHTP YLGPYLDTPLTAQPLSPAIAVSREVQFPSAMTATPPLSAQAQDANARVFTFDSTNKPG LPSRHQNQSQQESTAPAPSLPSQSLKRRTNTLPANMTPKLPYTHPRSLRSILRNSPLP PLTTNLSPSTGQNISPRRTSLRLQERAARRVAYNSPLEQTITTHKYTRSHIDLLVADD DTTPVSPSVTSDEGDLDSNSTVLDQTMAYSNLTRDGGQTPGPFEEMRRRMADMRASTP TTSSSSALSPTSTGGIRKKGGKRREKKRRWVWTIGQDEDGEESLPSSSSTTPATAVPT LAIPTTGKKHPLAASTTVPVIAVPAPRPRGRQSKSTVGTNGKGVAVAPPAITQQQQQE QQQTREEREPTPIPSSLLPIPHSSPGSWSNSSGYSGYSSDADISMPNQQQQQPYLSIQ TTAHHQLSSAMAIEPPTPSVESIASSTQDSVFEHTIGYSSSLRGSFSSAGGGNGQDVE MSDSSSFTSVEEQEENNHYTYQGKGRVMVGGEDVEMEEGTPTMTARPVGAPWRVAEGG LIA QC762_308130 MDMDMDNPDSKRKAPRRKGDDRVILHFDLDCFYAQCIENANPHL KSVPLGIKQKSILATCNYVARKHGVKKLMGIQEAKRLCPDLVLADGEDLSPFRDVSKQ IYSLLKSYSWNGRVERLGLDEVFLDVTDVVAYNLGLVNHHCLEESWFCLSREDPERGF AFDAREVKGCVWPPDLVSDRENEGTDSLRLRLLFGSHLAFYLRQQVEGLGYTSACGIS TNKLLAKLAGDRNKPRNQTTLLSSHSPVPFLDPLPLRKIPGIGGKTITAMKSLLKTDD DLTVHNARTNPAVSPFALERLLAGSGGGGEKGIGRKVWLLLHGIDGSEVTLARDVPRQ IGIEDTYRGLTQLSQVRAGLVQIATSLLRRMHVDLLNDFDAVTPPISPAPTSVGKGRW LAQPKTLRLTTRPYHPPSEWDKFEYNHGRVSKSVALPRFVFDLNIEPESIAERLVEGT LMPLFHSLNPDKSKLCIGLLNVCVTNMDRAKESSREGDIMAAFQRQREVEEIRGVAFA DEPPDGEEKAEDTSDDTEVEWVEEEDEQDGVRCRLCGCVIPVFAVEAHGRWHELDEGW VEPQGG QC762_308140 MAPPAKSSLPASPAAAPSPSLISAASPSSAAQLIQSIPPWKHRR GWDAGIPPILRPLVRAYLLGYASTVAPRLASLLIQHLTRLFKKHYDTPKQEASSPSTK QQSTDTFLASLIRTLKGGFDWHRFPTFCALLAGGSTLLEVPLKAAFDRLAKNLPDIAK RRLARFIASFTSAYLSLAILQSKPTSSFTTTTTNLDGTTTQTPLAGRTLDLTLFALTR AIDVVIGTAWNLHRQRRQASNKWTRLESLISNVTDPAIFALSSGMVMWSWFYYPSSLP RAYNKWIDSAAAVDSRLIQALKRCHDGTLRYGEETGQAELLGSMCKDYNLPEVWGDPA RSIPFPCEIVHMGCGPSCEYHALSRFVRSFKWAMTTYLPLSLLLALRNPSKKAFRRAV LSAARTSTFLGTFITLFYYGVCLARTRIGPHIIGKGIKERNMIDGGICVGTGCVLCGW SILIEKVGRRKDGGLFVAPRAMATLLPRKYEKTKEWMERVVFAGSTGVVFTCVLEDRG RVRGVLGGLLAGVLNH QC762_308150 MLLARRPHTMGPTHPPGGLLFGYDINNPNGDPTLDNPDLFANPG GLEISGQSLLGEDGTDYLQSFFGVFQSDNPGTSWGEGLGLHSEQWSDELLVGHELNFG VNTDNMLYQEPMQQYNSALPIRPHYASHGSNNFAPTPMGQPSADVLSAATALLPASEQ QSPRTNLQFMPSHGMPMSLHQDANSFNIFASNAGPSHSQQARPSRTVEVLFGSDPGFS NAQHFVPRHERESTEHIAAKQLATLSCLQRNHSNAPTRAPSPEPWAASHPPQTTTNGV ISPLKLKTSDLSPNPPQSDGSSSALKKRRRSDKSTDSDDEDEEQERSVIQETPVSVPS PLRSALSPPTAKRRKPSIAASAVEDGATPSANGKRKKSTAAKPPRENLSEAQKRENHI KSEQKRRNIIKDGFAKLNQIVPTVINQNLSKAGVLIATHVWIDQLVKENKELEKLLAS AGEKA QC762_308160 MYAKDREASPHFSSGSGGSRSGRSATVELLLQQQHRTRTSSHGG GGGLGMGIKQRPLVRPNHRSYSYGHSRGGFFKNRLSLWISGLAVLVLVWIYLRVYGHG YGYSLKNSHSGAGLGTSRPGQQGTKISLGDLPAFILPPVTTTTLVRMTKPTASPGKAT GKGSGVKATTPAVELKQGIYIGTTNLKAPRFKKSVEAFRGIPYAQTTGGQNRFRPPQP LEPSKETFQATRYGEFCPINDGVVYIGQGENCLNLNVYRPAGLIKGKGGKGQDGNELK LPVVVYIHGGGFNAGKGIERNMASFVAWAEHDIVAVNFNYRVGALGFLPSDITAREGI LNLGLRDQQALLEWVQDNIGAFGGDKDNVTIMGLSAGAHSIGHHIMHYANSPRPPPFH KAILESGATTARAVFYPTHPRHLIQFREFLTAINAAHIPESEIFPHLRTLPLKTIVAG SKAVWDKYVDSVTWPFQPVIDGPNPYSNSSHANHTLPDIIPDLPISSWQNSHHLKIPI ITGYNTNEGTIFIPPDASTNSEFRSFFKTLIPTLKDADLDKLEELYPDPVTNPTLSPY VSVPNGKGAQWNRLDTAYSHYAYICPVLQSAHFMSLSGISNVYVYRYAATGVFGAANH GDEAPVVAHDMEFLGYGNERPGLVRTADEMISFWSGFVASKGGDVNAARGRRVQWPRF ESPAKREGWWKDLGKGRLMVFGEGNNERDRSVPQQERKQGYPVKVESLTRLEREACEF WWGRVGLSEGLGRGEEGGRAKL QC762_308170 MMPPVLRSHRKRMATDELQEERSIPPPPSARGPRVLVDSDRNQI LEPAVANDAVAETPQRTSLGLSQDCQLVIQSSTTNNVVSTYVPPRRPAKRSDFSIAII CALSSEATAVDAVFDVYWDDKGPPYDKVAGDPNAYTTGAIGRHNVVLAHMPGIGKVGS ALVASNCRHSFPNIKLALVVGVCGGVPFSSTHDVSTEIILGDVIISDGIIQYDLGRQY DDHFEIKAGLLDTLARPSLEVRSFLSQLKVPRQRKMLEEEMAKIMSVLSVLPAQSGLS TQYPGAAKDKLYKATYSHIREKELCETCGCDGELVTRERLGHETPHPMIHLGIMASGD NVVKSAPYRDKIVAESKLKLEANIIGFEMEGAGVWDTFPCVVIKAVCDYADSHKAKGW QPYAAATAAACMKAFLKKWTPSPSLQEDTLSVPYPRNNNFVGRSDILAKLHQLWCNST SQTRVALCGLGGIGKTQIAIEFTYRIQRTYPNISVFWVHASNHERFREAYTAIAQKYR IPGHEDPKAEVLPLVKSWLESQECGQWIMAIDNADDLELFFNRNGGLGRYLPECAHGT ILITTRNLQVASRLTKGMASSVIRIGKMDEVETAQLLSTRLAEIDTMPGDYAGLSARL EHLPLALVQAASFIQENSITISRYIQLLDESDQTLIDLLSEDFETVGRDSETPRAVAE AWIISFKQIHNRNTLAGELLSIMSFLDRQTIPYEFLFTYAKYHGARELQLIKALGVLK AFSFVTEEKDQKFDMHRLVHLVTRKWLVQNSIKQKFAERALLVVTVCFPWGEYKNWTI CNAYLPHTTAVLKLGEDISSRQGKLARARLLHNAGQLFSGRGDYQRAALYQKKAWEIS QAHLGEEHPNTLASMGSLASTYQLQGRWKEAELLQLQVLEIRKRVLGEEHPNTLASMG SLASTYQSLGRWKGAELLQLQVLEIRKRVLGEEHPDTLASMGSLASTYQSQGRWKEAE LLQLQVVEIKKRVLGEEHPNTLASMGSLASTYQSQGWWKETELLQLQVLEIEKRVLGE EYPDTLASMGSLASAYQSQGRWKEAELLQLQVLEIQKRVLGEEHPGTLASIHNLASTY QSQGRWKETELLQLQVVEIQKRVLREEHPDTLASMHNLALTYRSQGRWKEAELLQLQV LEIAKRVLGEEHPDTLVSMHNLALTYQSQGRWKETELLQLQVVEIQKRVLREEHPDTL ASMHNLALTYQSQGRWKEAELLQLQVLEIAKRVLGEEHPDTLASMHNLALAWRGLGRP NDALELLEECLCLREKVLGLDNHVTINTQAEIVWLYMKQGRFPEAERIQAGVLGKRKR ILGEEHLDTLISMTNLAHTWKVMGRLEAAVELMQECVRLRQKVLGSDHPDTVESLSAL EEWQDLKGEGGGNGNTHGNSEANAAMKSGENADGSSSHHEDADNGTDDDAGYEGHENG NKAGQSSESQQCQATRDGDEKRQHRGRNPRWRGAAQQRRWEHS QC762_308180 MMATTTSRHRSSLDFDIHTDHSHLSQKANLPLGNKFAVLSDIHN LNSLNIDSLARDLGSLHLEYSSKEGNCQQGHKARDRRVRLSVDNNIEYDHPFFDKHRV ISATTNGSETTVASDDFKHNNPLAQAEHRLPNNLEQIRKHQEGQFEWLLTIPLPFRSK SRRRVGNRSLGDSHDGEDQESDLGSGGGGKNVLFGCNLIHHRTQSDGASVDHGGSLTN RYTLLATRVESEEEMSAVEQSVVVEEADSSVVANVSQEGNSTVLRGGEENLQPEGQEE RPKSVLSIKVGESLMGMEHLSPLPSPQPARPLSRIEDSVEELDKLEEELEALNEVAQL ERVVSPELATEPVLESIPEAPVQPQPSTSTRRASTIRASTTTTPTTHTTTRTRSTAER SSSVRKSTSASLAREDDKPASTSKTAANTSTARRSIARPSSLLPPKATVKSSRAPTVP AFELPGEAVARRLKEQRAARLSQQVSAEEAAAIAAQFSPSKPHAKSSKPLTRPTFELP GEVISRRKREEREARLKAQEEEEKKRREFKARPIRASVIGSSGGNSVRETATSRARQA RMEAGGQATTPTHTSTATAPTSASAARMRHSIAVTGGGYASSTVAASTRSAAAVSLTT TTATTTRGRTSLAAPSAGGAGSSSRGTSATSAASSSGKRSSTTTTVSQEDVQQQKMRG KEIFKRDNSFTLERERERKEREQAAKMAREQAAERSRALGREWKEKMAVRQKRASLMI SGSGGESGGQGY QC762_308190 MSGYPTRSFPSHMRQPSLGPGAGGQSPALVARVNEKKAELENLK ELRDLSAAVASQMEALEQKLGTLSDGTEAIALVFANWHNVLRAINMASAKLPKPNPEA EDTTPLPQTLVRIPTEHAPALQAHAEGAAEEQESG QC762_308200 MARLNEPPVSATDGNLEILRRKFLRQNRDIARINSDQSQKIRRL ENDCACLLSENLELRGQILRLEKQLEDNSARRIADHALEIKAKLEAQLAEFGALLGNL GVEPPAKRHSGADRRFSKSSRPSISRTPPAIRRRRDTNVDAETLAAQEGRMPPIYENK SYQRATMNSEEIMALCAAAADTSADSLDLGPPPVSRFIDEEPVKKSSPIRKFDDGQLN LSSPPKLDLTKKLEASPEPSKMVETLPMKDVQPEKRPQSFEQQPPTPPTQTIRAGAKR KYGDENNIQATLDQTAKALKDVVAAEKALPAGDIFQKRRSIKELPASKRDRARAPLSA KSTNEDFMSPKKMAIKPKPVEEPKKEKGPEPKENKEQPKARKPTVPAIQVPPVALPPP TVLSVIAEPEAPLPMSILASPTTPGRPSSVEPLPHDTPPPAHISSEGETSRPSRRARP AISYAEPNLRDKMRRPTKELFDAVSGEGKFHRPSTSANPNPTSAPTSSAKPRSESTVT SSGGLSASVHKPPPTVSPLAAKQSMQIDNITYDRRKRPSLAIREPEPPAEQQPEIDPY DFASTSTSTLSLASPPPQPKRAARKSSMAAQAALHKMQLEEEREADVESGTHRPRARK ARASMLAPKKSAFLGEYVEESSVGTVGDESTGSVESGSGGKGKGKQGVVDRRRSMML QC762_308210 MAFLILVIGDLHIPDRALDIPAKFKKLLAPGKISQTLCLGNLTD KSTYEYLRSISPDLKIVKGRMDVEATSLPLTQVVTHGGVRIGFLEGFTLVSSEPDLLL AEANKLDVDVLCWGGTHKFECFEYMDKFFINPGTATGAFSTDWADGEGEGEEEMVPSF CLMDVQGISLTLYVYQLRKDANGVENVAVEKVTYTKPVEPTGP QC762_308220 MVLIPEVSKLSRSAFRRLGMTPPKTPDAPPARLSLISRHLAPVY PINTPYAVERLPSTVDTSLLPRIQVREITTTTPKMSQPAHPTLLIPGPIEFDDAVLQS MSHFSESHVSAGFVATFGETLSMLRKLFQTTDPASQPFVLSGSGTLGWDLVAANLIEA GEDVLVLGTGYFSDGFADCLRVYGANVTELKAPVGTKPTLSEIEKALSEKKYKAITVT HVDTSTGVLSELKNLSALVHKVSPDTLIIVDGVCSVACEEIDFDTWGLDGVVTASQKA IGCPAGLSISMFSGRAMKAFENRKSPPSAYFASMKNWTPIMQNYEAKKPSYFATPSPQ LVHALHTALSQILAKPVAERFAGHKAASDKIKAAIGALGLKQVAANPDEQAHGMTAIY LPEGVKGAELLPILAKKGVVFAGGIHKEIVTKYIRFGHMGVSVLDPTRGDIERAVKAL EEGLAELGYTKS QC762_308230 MPVSIEELDATVRAFYEGRGEQFKEDPDAWLMVDDILSKASYEQ TKCWFPILIRAQRDWV QC762_0056800 MNRRFGGRGGIGGFDRGDGDGVRDLATVVWLVVDIRDQVLLFAP KRTGSLGESLDAYTCLAGYLNTRRRQPGNTHRTTTLCNHPKLTLVTTAHPVLSTEKKA SR QC762_308250 MSFDWDHQFCLGCDKQTDGTTYCSEACRLGDYEKTASSSSPSSG ASSPTLNEWTFNKPTSSSSKFYLSPAFDFSTPQTSRSNSVLSPSASQTSLCSMRSTSS AGLDAAQLSDKAARELRAYARSFESVRTQRRRSY QC762_0056820 MAGVSFRETDPRVYALGRAKSNHRQRNLCAGKYARESLHKGLFL KLSIYAPFLPVAKLSNRADDTCLFWVLLDTTMADSFDIIAFVDEHRERTSCLPASCYL LLSRLLSTRSVRLGGNIRHLFGI QC762_0056830 MERAIPQHPNVDEETIVSTAFSTYLSAAFEDLVKALGLYDRCNQ ALSRYVQISRQDDTKRVLEAFITHLPVAGRITLIREIEENSANARKLRQLRNFLVDAL LKPARNVGLQSLTISPGLAEEFEDDIDTAMINIDPSSRNQQSVLREKCMIRDGCVVTR AVDNNHFYTKLPADQRFRIRDYLECAHILPLLFRNFDISKPLEVSLKPH QC762_0056840 MGKPRLIILIRHAQSEGNKNRDIHQTIPDHRVKLTQEGWQQAYE AGRRLKGLLRPDDTLQFFTSPYRRTRETTEGILATLTDNQPEDSNFNRNRIKVYEEPR LREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRVSGFNESLWRQFG DDDFPSVCVLVTHGLMSRVFLMKWYHFSVEYFEDLRNVNHCEFLTMRQDMNTGKYILE NKLRTWSDLKKQNALEAVAREKEAEAKDKEKAETGKENGKSKDSSKLARTGSVVEIRR RWGGCPNGCNHDKNFKIRQTLADLVKNDHIISNQAVGLAGSENSSGSNSNSNTSGSNV TAVKNGTASPLDAAAALAPPAETNNSASSTSSTTNSNNNTTTSSTFGLTNPSFNGTAN STTLVSRRPAGKKIFWSQSSTTLCADGSPNPSFDISITGPKIDISKARDEVVSSPDGT PSFISVDDRLRGQLKSPSLPPHLSNNNSNSSSNHQTSAHGGAVQQLYVGRDFGGTYSG HNSVASGDDADSSEDERHRHTHHHSHTHDYLKPASHRAVFLTGPGSKRDNSRMGRGMR ANRLGDCHSDAGHSSDGEHEADGEHETPDEDEDESGSNADGLELARTLTEKADEALMR AEMEDKSIQGSVY QC762_308275 MADAPPAKRLKTATSAKTKHNLSPTSLQAATLSSLFANPDKPIP LPTGPQKKHLPPPPEIVTNVQGSSAGAGSGEFHVYKAARRREYERLRQMEEETAAEKA QREFEEERLERIRKDEEKTRKNREKRNKKKQNKGKGGGNKGGTPQPTTTASGKEGDKK GDDGDKVAGNGTEKGDAKESTTPQPPAVPVAQGVGLVICDDD QC762_308280 MQAPVLVMNTNAGAERQTGRKAQLSNIAAAKTVADIIRSCLGPK AMLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILA GEILAQALPQLERNIHPVNIIAAFKRALKDALEIIEEISLPIDINDDKEMYKLISSSI GTKFVSRWSELMCSLALNAVRTVTWEVGNGKREVDIKRYARVEKVPGGEIEDSRVLDG VMLNKDITHPKMRRKIENPRIILLDCPLEYKKGESQTNIEVTKEEDWNRILEIEEEQV KSMCEHILALNPDLVITEKGVSDLAQHYLMKANVTALRRVRKTDNNRIARATGATIVN RVEDLQESDVGTQCGLFEIEKIGDEYFTFLTKCKSPKACTVLLRGPSKDVLNEIERNL QDAMGVARNVMFHPRLSPGGGATEMAVSVRLQQLAKSIEGVQQWPYKAVAEALEVIPR TLIQNAGKSPVRVLTDLRAKHAEGKSSWGVNGDTGSLVDMKEYGVWEPEAIKVQSMKT AIEAACLLLRVDDICSAKKLQPGVGISGGDD QC762_308290 MFLLCSLAMRRNLPRNLPRLKRRFFVMSTNSTGAPDTSADPPPS DTNPPAPPPSTSNTPTPTPTPTPTPPPPPPPTTTPTPPPPSTSSTPRPDPPSTSSTPQ QPEPSPEPSSSNPPDPEPDTSTEVVTQTITTRRPTDGVPDPAQTSSSSSTGLPGVGAG NDTNPEASAGSLSNSGKIAIAVVVPIVAVALLVVAGIFLWRKRKQRKDAEEQRRKEVE DYGYNPNADPTIPAVAAAYDGRDDESSAGYRGWGSTTIAGSTARKTSTTMSGGAPYSD AASPTRATVSDTRSGEPLMHEVPASPEGEILGVMGPSAANNRGDVHRGPSNASSSYSA AARSDGSGEGPIGMAYSGPNQYYDQYGSGNPYSDQPPSQPGGGSPIIRDVTARRNTRI ENPAHYPQQTAGISQNF QC762_308310 MAPWPNEVGSSAFLRPRDPATASNQARSPANARQHLQPGSTSGH GSSNRERSRYRSASRVPELEAWENLQQCQIESSSSDEDLHPSRNAQRPRHTRSMSHPF PSLFSIKKKKSGPMTRPEHESDSESGLDAGPLPKFRGRPPPSRGHRNGSSGGSRDYST GNCMTCGSLVRWPRDLHVFKCTICLTINDLQPLLRDRDRGHDHNRENRRDHSRGPGAS PDRRPTLSSDGTISLEHTQSLISQCLYAFLAAALRNPQPEALSPPREPSTNPFFNNST EGNLNSGNFSEPYLMVRPPSSGSPSRRAQPIPSRSRDLSQHRRQPSWSNTVPNTISAS YPEKRPALQEVFPQQPSHQYTPQPPSPGGEARRIFRPLEDYIVSCFTSFHCLNSSFTS YRGHRHRPTVEDVRKQSVDHIEHRRGSQPTVNPQPIVDLDAKLLLLGNFAENGSWWTG GQEDVVPGRSSSNKSQNGQSIVSSRSPRLEWADLEEWYSAVTEAARSWPEVYSSLVEE DPDLAAPHATLMELETEILIGQEHAQRTLLKACETMLKRPGRPIVSPDDLRFIMIIAG NPLLHGDYKPYSGEFEHPGSAGSTQSNDPLRGSSPTSGRHSGIIKRIVGLVSNTNPDC QNHLVGWFARYPKKMFVQTKDLVSSFLAYRLIRQNEKKYETKVDITDGLIPSMGAGRS AASLHAALGHGRESGGGANGGQNNNNNRGKKKEKPKRVVYQDDWQIKAAARVLGLLFA ANNMDYARRGGNMYDGNNINNNNGGDGVYARGQIVPTSDFYTTLLDDSDLVGDFEAWE KKQARFAFCQYPFLLSIGAKIQILEHDARRQMESRARDAFFDSILSHRVVRQFLTLNI RRDCLVEDSLKAVSEVIGGGGEDIKKGLKIVFKGEEGVDAGGLRKEWFLLLVREVFGR DHGMFLYDEDSGYCYFNPNSLEPSEQFFLVGVVLGLAIYNSTILDVALPPFAFRKLLM AAPPPPLAGQVAHQQRQTMNYTLEDLAEFRPRLARGLRQLLEYSDDDLEEVFCLDFVV DVEKYGVVERVPLCPGGERRPVTNTNKREYVELYVKYLLDGSVTRQFEPFKRGFFTVC GGNALSLFRPEEIELLVRGSDEALDIDSLRAVAQYEGWGKEVADPGEDEPVVRWFWSS FERASPKDQRKLLSFITGSDRIPATGAASLVVKISCLGDDIGRYPTARTCFNALGLWK YGTRERLEGMLWGAVNGSEGFGLK QC762_308315 MGSLSPPSQPPPYQTYNLHPANWEDDPEVERRPVGVLDYFMPQT YNNYALFFRFSSPAAATEEDNIRVVETLKAGFEHMFSQVRHLVGRLERNPEKPGELQY VRRKGDTVRFDVQHLGSEEAADGKKYPSMDELEEKHFRGVLLGDLKVWSIPGMTYGCH PPAHPSNNPVISAYKLNFIRGGFILNMHHHHFANDVIGWVGYTTQLSKICQALSRSRP IPPFPLSCLDNSALNPPSTTPPSSDKPIQPQVYTPPDPTKKGVSLLFHLPASKAAALK SLASSDLSSSHPNAWISTFDSLAALLLRSFMRLSPLDLDPATVPFYTHTINLRPRLSP PLHPGHQFNCIGCPLSVSPPAGVVQPTVGDVVSGWSLGRLALYVREMTQGIVTLENGV LDGMIREMGKAVDKVGLSIMLDELPEGGVYITDHRDVGGLLGGDWGFGKGGRTKLVAY RHLVDSIDQGGVVVYPSRAGVKGDEEEGIEFVVFAGGSEEEAVGRLVGDKEWGEWFEF RGVDGVDLRGMDKVA QC762_308320 MGRDKKKKGAVATAPTGTHAKSRHKKDRKGNPVDWAAPLPPGLV AIPDKPQLSKKYKTWYEAVENKNKKKRLEFEVTGSRGSLARSLSRIVLDTNQEQFTQN RQPPPGMEFVPIGNPALTTLCKELSRERDAMIFIVTSMNGVFSAALSFHLNRVGHHFR ESIVEEARKTLGDDQLVGTSTQLGLPEPIPERQEDITKQADAAIRDLFPRIPHTDRQM ILDHAFNKSRVAQGKDSIPVGLAADITLSRRVQLAVLAHIRHNHTRYDKLLKETSWVN ARKAVESLCLDYLNQTAAHPAVRQVAEQPRGDFGPRVAHPERSAIKKTQQKDRKAAKW AQRGFSRYQAARDVAWNEAVERQRRAPEESVTSAAPMANGRSASRGPLRLEYPTRLGS DLSTARSPQERVYYSQTPFDLHNGFQEAVSRPGYTDVAQRISTATGSHAPEAPRPYRI AVENERGPIVGSHAYPDRQGDRASHYHSQEPKEHVLQSIEPASPSRLTAKQHPSAFES APMGYMTHRAEDSYSRPTEARGYRTPGGEEGFVRLPPRSEQNWMPGGPADSSLHRLTT YQDIVPRHDGVAHLRSEARPIYVEDSGLVRRSEARPIYIEGPSAPTPHHWAERIRPQP AGRSYTVRGTADVRPAVPMDTVRHDSRFDEDRNQPMDRLRGDFIEIVRLSNNFPRRHE LSPIPVDTGEFDARPVPVNHPSRRYERVMAVERPGYLQEHAGRVPVYEQADFIRLPQR SERQERVVGYQYYPARPGYDQVATYEADRPHQPYGTAAPMAYPGAENQQPRFVRRVPR HDEIIAID QC762_308330 MADFDLGASFIPALYKPAALLPIAKHREALLYLIETSPVTIVVG QTGSGKSTQIPQFLEQAGWCADGKIIGVTQPRRVAATTVAMRVAEEVGCEVGKEALVD PLLSRYSVVMVDEAHERSISSDILLGLLKKVRRKRPELRIIISSATLQAEDFRDFFSE SNEEAPRDDKDAAKVASIISLEGRTYPIDILYLEQPAEDYLEKAVSTVFDIHANEPKG DILVFLTGRDEIEKAVQAVAERSAQLPPGSDSLLPLPMYAGLSTEQQNYIFEETTENF RKVIFSTNISEASVTIDGIVYVVDSGFVKLRAYNPQTGIESLTATPVSKASAAQRSGR AGRTKPGKCYRLYTDEAYQALPDANVPEIQRSNLAPFVLQLKALGIDNVLRFDFITPP PAELMVRALELLYSLGALDEYSKLTKPLGLRMAELAVEPMMSKTLLSAPSFGCLSEIL TIAAMTSVGGTIWIQHDGEKKKTESAKRKFSTEEGDHLTLLNVYQAFVTNGRKEARFC HENMLNFKAMSRAVSIRAQLKRSLERFGVVVDESLASGSTSSTAAGSASINKAEQIRR CLTSGYFAHAARMQPDGSFKNVSGTTVLHAHPSSIMFNRKADWVIFHEVMETGDKTYI RDITKVEKNWLLEYAPDFYKTST QC762_308340 MEGGFLDGQLYPMGPRVPGLEKRGLAVEMPTPVSGIKFPRAVLA ARAEETDCPDPRLCQKPVGSSSMTLPIVLGVCIPLVGAIGVLIYLHRRTVKKNREEDM HDPHKSLDFGLGADFAKKNGAGVANSADPSRFNRQKRQQMSMDMNLSSPYLLPDVGQS RDSLNSLARTLKANDDPYSRSDAGSIRSFAHKNGDAASVYTRAASRSASRQDGPLSPP QTRSPPRLGSMPPNSPLFPPSPSHMRPPQSPLPQPPNKPVNSQGWEEQDIGVATDAPI LQQPPAAAQRDARKSPLSPTLKTSDSSLDLAPPVNPFEKPEAQEIDTPTEPTHVGLGL MHGEPAQASVRTSTSTVNSELESKAQRGQPAQAPAVIEPERAEFHDFDFTDMPRMPRS PSPEGRKENQLDADEPRGRNMQRTSHLYDQQEGAANGLGVPMGDNRRLSVGFRPLPPD EITESEDPEYRANRIRSFYKEYFEDSAADRPPMPPMPQNIPAQHQQKRPGPPGPQNGG YYDDYAADAPYFDPASNSFIMPYAQPVSRRAMTPPPSGQRFPGPRGPGGPRGPGPRGP PGPPGLRGPPRPGSSVSNQIGGPSRPGSSMSNAYGRPRAGSTMSGGRFGGNGGNGGNR TPVPPPMDLTTLPTPSMMKDDSFAILNAADFAPPENFASRARGRSQSPAGERRPYERK VPVHSPLVNAFDELPVLPSPHTLRKSSTFTGLDFAPPRKFVNDNDARSDAGSIRSNRS GLSNVHAQAIKNGAGRISKLPGDQIFTPDALAQTLKPSWGLRDKV QC762_308345 MTQLTPEEEAASARAAHQAALRKAKREAKIRAGAESRLNKITGL GGGVQRVDPPSTTAPPSTISDATTTSAIPAAASVTASVHDADPEEVDISTSEHFYRPA STNRIPPVESDIDEQALRQMMLGLDGPGVTPPPMGMGMGGGKKMEEDPMMAMMMQMLG GGGAAPGGGGAPPQNPLAGLAGMGGGFPGMPGMEQPPQQQQPPSKIPGLFKILHTLIA LSLGLYLLLSTPFTGSKLDRDKSVLADSGAAVFQSEAESQAKRNFAWGFATAEILLFT TRFILESQAGIRGGQSSGILGGLMNFLPPPWKGRVEMGVRYAKVVGRVRGDVLLVVFV LGVGSWLRG QC762_308350 MAVPRVAGGSPGPAGFMSNSSSSPSSWPQPTQPTQPPPPPPPPP PASPPPASQPQHIQLPQQNISHLSVHTQNVNVNTTSPRSPHQLQQRPPLPRMGSIGYE SPDEFEPPNYNPAPGQGGFSSYYRNRHPGRSGTSTPATIHTHAPYLEFSTPDSLEGMM NRAGNNAKYGNGKVSIKDRICCVQWNWFTMTMATGGVANVLHSIPYESRWLTGIGLAF YFLNICLFIMNCVLISLRFHWRPGSFVESFTDQMESLFISSLIVSLATILITTAQYGI PHVGDWLLSLLEALFWVYVGLSTLSSAGLYLTLWSTQVFPIHTMTPVWVFPAYPLLLT APMAANLISAATQSGRIDLLNPIAISLASLTTQGTGFLIAFMISASFLYRLMTQKLPR DHQRPGVFISIGPSGFTAAGLVSLGGLASDIFPSDHTLSADILKVMAYMTGLWLWGLS IWFFLVSVGSLWKYLRPEKKENFKFQMTWFSFVFPNTALVTATEAIGIQLGAEGLKVF GCVLAAGIVIVWCLVVGRMVDCLWKRELLWPKEGEGRRNRQEQGQETD QC762_308360 MVRNIVVLGGNSHPALVDSVCNALSLPPCNRILTKFSSGESRCE IQDSVRGKDVYIIQTGFGGNGSRLNDHFMDLCIMISACKTGSARRVTAVLPLFPYSRQ PDLPYNKAGAPLFKAGSESGKKDYTFDSVPATPAPGIPKTAGLTNGTDITSKLLKTSL TNGNGVQSPIKQNGDGYFPANGVNGTTTPSPVTRTGAYTTHDYENLSHIGAFQAKPGY KQWVAQAGTLVANLLNCAGADHVITMDLHDPQVQGFFDVPVDNLYGRPLLKRYIQQHI PNYKDAVIISPDAGGAKRATAIADSMGVDFALIHKERRPTKITDRQNASMMLVGDVRD RICILVDDLLDTGNTITRAAKLCKKEGATKIYALLTHGVFSGDAINRVQASAIDKVVV TNSVPQDKHKALLGPKLDVLDISPIFAEAIRRVHHGESISVLFNHD QC762_308370 MKILEAQSAVLTNYEVYQHLTDIRKRNNSSQPKRRMPEDAFRLS KEVLEYLETKPYPLHDQKEKQHYSQATLELLCEKLAEKFPDITKAEGLAIFDVRPTNI PVLAIIVESLEDRYTEEEQQQLVDLVIEVLGQDDPEPEEEEEEGEEGAEDGDAVQSVE TANGA QC762_308380 MEEQTITILLLGDEKCGKTTFLSRISQGRPNLRGNVPITMLRDM DQPFVFDIRSRKGQYRFEFFDTSSPESWKLLRPDLVIICYDISQRLSLINLQRVWVKE VRATFNHDRLPMLVLGLKRDLRSENDPNGIIYPQEAYARAQEMRADKYMECSAVTGEL LPEVFDDICSTALKSTSEEGGQSEGGCAIM QC762_308390 MNSFRALRRTIQGPAQAHKRAVTFGITTATRPSSSHITTHLPNS PQSPSNQNIEAKKTMDYQDWTKERLLERVKELEAQLKTQSQPPQQPTPVLQPPAAATP TITTEEGEPPKKKQKKKPTGIDPSRYSTRLIALKLSYLGKNYGGFEHSGYSNVPSIEE ELWKALVKGCLITPADPSKIDFAPFEYSKCGRTDRGVSAFGQVISIRVRSNRPPSKPE QPAEEDVVMEGTPEQNNTPQLPGKKQQRKPQKEWEDIVDEINYPKVLNRLLPPDIKIL AWAPTLPEGFSARFSCYERQYRYFFTNPSMAPTLLAPGEKSGWLDIAAMKKAAKYFEG LHDFRNFCKVDGGKQITSFQRRIFECDIEEVEGQDLGGVNFWGGEKKGKVYYFHVRGS AFLWHQIRHMVAIVFNVGQGLERPEVVRELLDVERNGRKPGYIMAEEVPLVLWDCVFP KRPEIVTGEGERKVLSRGEDERAFMHDPDFKGEIKFEDDGVDWVWLGEDQPGNLMGSN GLVDQLWEYWHERKMDELLSGLLLQQVATRADLTRKLGKEETAPKKNGKENLQRVYKG GNMTRSSGVYVPVMKKELMPTPVEINHKWAQSKGFKDSEDMANTKNWRSVIKANKAAD KAAAAGGEKSV QC762_308400 MLTTAPHLYLRRPSPTTAEFTVTTCPPLTLPLRLCLLAISLLRV AIFTAATTTIYSRFFHDPSRPPPPIPISLPDLLYQGDILLLASHLLQTLHSSPPGQFL ASITAPLSNSALAVIASVITYFTLCTQLHTTESLLVLRGLGIQTSTSSSSFSSPIPNT SDVSNRWRGWKWWFWGTTPTKTRFIPTEKIRDVLINEAFRGFEVRYYLIVIVEGEEDV VVVFPKLLPGRRIVEEVWRGVRGCLYEGDPNHQSLGGSWGQTATLGRKDS QC762_308410 MPLQSEASLKYHLHCLGPRRSIAGYPCEWVATARFTLGATGEVL MDMRLESGEDFQASP QC762_308420 MSVTVTEEPATMLAWEKNPLALPLPKVEKPAETLWQRIKWESMI VLTVSPIIGLYGLLFVPLQTKTLWWSVFLYWFSIIGSTAGSHRLYSHRSFKASTPLQI FLLIGGTCGVQGSAFWWAREHRAHHRYTDSDLDPHSGKEGFWWTHAGWILFRRDIQAG PTDVSDLKKNKLVMFQHRHYFKLFPFLAYVMPAAVAGYFWGDWAGGICYAAMLRLTIV QHSIMCINSLAHTFGDAPFDDKHTPRNHFFTAIVTAGEGYHNFHHQFPVDYRNGIKWY QYDPTKWFIYLSSRLGLSTQLQTFPQNEISKGELTMTLRRLKEKQEDIKWPARPDKLP LVSWETFQREAKKNSLILINGYIHDASEFENKHPGGKAIIRARVGKDATAAFGGGVYE HSNAAHNLLAMMRVAVLEGGVEHVKYVTPAERLRIIEHYKEEEESH QC762_308430 MLSLKVPPRWTRPCSVLIGCRGESHLRCKTVVGCLWPPFPRNIY TNTNTQTPPQYHRQRRQASRIVVTGHNKTMFNPLQGWKSEPAPRHQGYSAFVNGHWRS FASPTKNVVDGSNTPTRFSVWSWNIDFKLDVPVARMRAALNHVRSLVASQDGTPCIVM FNEMVASDLDVIADDEWVRENYNVTDLTGENWDFHDFPPGFGYGTCILVPKVLPIKAV FRVYYPNSSMQRDALFVDIAIPRDKVLRVCSTHLESLVARPPKRPAQLATAARFLHQA HLGILAGDLNAIERFDWTLHKENRLKDAYLETGGKQGDEAGATWGLMGRSNDRQRYGT SRMDKVLFCGKAALLDYGTFGLDVEVEDEADRQKLKRSWGLAKAWVTDHLGVRAEFQL EEV QC762_308440 MTSLVSEFIITPVLRQARRFSSSFATEERPASRHNRQRSVEEST SVLENAIMEDDQDVVMVERNAGETSSSPVPAIAPAPAPTPESTPPPPTSLPTPTTNAV SSSPSQAEGMLRNTPEVTVVPSTLSARQPSSPAPSAPPRTASDAADGPRQANIMRRDP LPEDDGMGELRKKIRSIQEMDIAQNLKAQLVHQLLTEKYTLAQQKNGLLKSTIRPESP MGRAIVPDQNASPESFGALQALKAWNPLSTESAPLELPLTAEDLKPTYAPAVMMDQDG EVESPASDGPQEKRHLGCNHYRRNVKLQCATCERWYTCRMCHDAVEDHVLPRQQTKHM LCMLCGCAQKASDTCARCGESAANYYCGICKLWNDDPNKPIYHCSDCGLCRVGQGLGK DFFHCKKCMACISMTGEHKCIERSIDCDCPICGDYLFNSMKTVVFMQCGHSIHKRCFE MHMETSYRCPICSKSCVNMETQFRNFDLAILAQPMPPEYIDARAIISCNDCSAKSQTT YHWLGLKCSLCNSYNTIQRQLLNMPNDTNQELPTQQMERRLEQLQEEASQRQEQRQFT EPATLNPGHEREAVTSTALPASNAGPLAAGSLPPGQEEPPIFSLSLPSRSSTPLPAYE VIERARREQEARAQRLGGLPDSLGNGIDVSPPAATIAASNNTPLTEHDLVVAGLLPAP SVQPQQPQHQHQHHRQLTPEELIDFVQVRDGEADDDDDDEDEDTFLDLFWGRDRELDR IPNAGTGFTSLGSPGGAAVNEDEEDSSSCDDLSSEEEEEEEDDDDENEIVLLGHR QC762_308450 MKFLKTSRVCLVTRGRYAGKKVVIIQPVDTGSKNHPYGHAIVAG IERYPSKITRRMSKTRQEKRSKVKPFIKVINYNHLMPTRYTLELEGLKNAISADTFKE VSQREDAKKNVKKVLEERYTSGKNRWFFTPLKF QC762_308455 MSPPRYIISRIADPIFAVFIGLSAAATRINREEKEKGRSTQQTL ETLRRRLGFPKNS QC762_308460 MAYLDLTPRDINVLEKIKDPEYDPALAIQVDSTLPKDPHISDPA LYQSIAQRERDIILSIQNVEVQNLKANPARTGPSEEVLEGYRKAVASFDQLIEEYPQY ASAHNNRAQALRRLYGDAILVSDTPRLPQALRQNVGDAERVRVGKILLGDLDRAISLL TPTTRYSRLSPQVAKTLSNAHTQRAAIYLMTSKLMLTKSVLVDEERPEAKWTKIEFEE HASADFALGGRYGNEIAKGLAVSTNPTAKLCGQMVREAMKKEYGPGIAP QC762_0057070 MAGSSPDRTPHGTKGAPGTPTTGCSSICNLPRIEDYCLHARVVE PQRNGTEAVIALGKPHKAAFGRVPHPAPASSVSDGGATVSTGLRHSKSMSFFP QC762_308470 MSPSAISDTNSHSNGYSVDSDYAVQDPNGAPNNFNGYDHITWWV GNAKQAASYYNSFFGFKTIAYKGLETGSRYTASYVVENAGVRFVFTSPIRSAAHLPED DPISDSDRALLAEIHAHLERHGDAVKDVAFEVDNVDGVYAKAVANGADSVQPPQSFGD KESGLVRTAVIRTYGDTTHTLISRSTYRGPFLPGFRAIVQTKPSPIPMPTVPLKRIDH CVGNQDWNEMVSACAFYESCLDFHRFWSVDDNQICTDFSALSSIVMASSNNLVKMPIN EPAPGKKKSQIEEYVLFNSGPGVQHIALLTEDIITTVSALRERGVEFINVPSTYYDTM RQRLKTERRRWELKESLETIERLNILIDYDEGGYLLQLFTKPLMDRPTVFIEIIQRED FEGFGAGNFKSLFEAIEREQAERGNL QC762_308480 MGVDEKVRASGEQVRDTLPTVNPDVEKSQPPKPSLHPAFYVTIW ISLSSSVILFNKWILSTLGFEYPVILTTFHLVFATVMTQLLARYTTLLDGRKTVKMTG RVYLRAIVPIGFFFSLSLICGNLTYLYLSVAFIQMLKATTPVFVLFSSWALGVSQPNL KVFLNVSVIVVGVVIASIGEIKFVWIGFIYQIFGIAFEALRLTMVQRLLSSAEFKMDP LVSLYYFAPVCAAMNFVVALFWEFPKLSMQEVYDVGFMTFFLNGLCAFALNVSVVFLI GKTSSLVLTLCGVLKDVLLVVASMIIWGTQVTGLQFFGYSIALGGMVYYKLGYEAIKG YAGEAGRQWADFGNRRPILRRISIMLFTVMTVFVLLGGLAPTYAPGMDPTEYLNEAKN KIGIARL QC762_308490 MADIKVDVLVIGAGPTGLGAAKRLNHINGPSWLILDANEKAGGL ASTDVTPEGFLYDVGGHVIFSHYKYFDDCIDEALPKPDDWYTHQRISYVRYKGQWVPY PFQNNISMLPKEDQVKCIDGLIDAAMAARVATDKPKNFDEWILRTCGEGVADVFMRPY NYKVWAVPTTKMQCQWLGERVAAPDVKLVTRNVILNKTAGNWGPNATFRFPARDGTGG IWIAVSDTLPEKNKRYGEKGEVTKVDADKKVVTLKDGTTIGYDKLINTMAVDHLVEKM GNQELITLSKGLYYSSTHVIGVGIRGERPERIGDKCWLYFPEDNCPFYRATIFSNYSP YNQPQADVKLPTLYKADGSKADSSEAKPGPYWSIMLEVSQSTMKPVDEENILKDCIQG LINTEMIKPEDEIISTYHRKFDHGYPTPSLEREGVLKELLPKLQAQGIWSRGRFGSWR YEVGNQDHSFMLGVEAVDNIVSGAVELTLNYPDFVNSRANTERRLDQPFYSAGTPPKE LPSRERA QC762_308500 MDTAARLLDEQLESPARLFERLHQISGYVWDDSRPPFHSTYDNW HIYGTRFVSPFSSGGAVPTSPAPHNSPASQPGVAGFTLPPAHRPSSRDHRPSTSSQLS EVGSERSATSPPVILPTGSDVPVIEEPVVARVSYHVLREERTFHIFKSLIAHTDSSAE RERIVKPLDIIRLPALPGDRGAVIVTVYEDPGPNYLWDVLDLGPAFYYAKKHGDGWEP SRPGHTGHKLAPPISLQHFLDFAIGSAQSLEMIHHGQGTIHGEIRGDTFHYNVETNKV KLVCLGSGLRSFEHGLTSTGWSALSRELGAKHKLQYISPEQTGRMPAEPDTRTDIYSL GALFWSLLTQHPVFHGESPLDVVQGVLSRRIPSISTFRIDVPEVIGRIIQKCTAKNVN DRYYSASGLRHDLTWVKKLLGVGDLQALKDMKIGTNDVSSFFRLPSSMIGRERERAEL LKVIDRVAKRHSLALNGAAAHRQSDGSNVSTEVDAADVSSDGASSAEGTNRFGTSVTN KDRRSSLYHSAVGVDSVGAYTEVNSSGAVRPPRPWDRQHSVSLKESVQSAEASTSEPS WRSAAVATNGTDSSAASISRPLGPAKYRKQGQCEIVMIEGAGGLGKTCLVQSVLVDAR RMGYCATAKFDTARRTAYGPLIKLLSSLFRQVWGERNTDTPFHQALKQSVRPTWPTLH KVLGLPEHMLPRLDTPLGRSSSSPQPPKSNNLRRRPSSPEFSGASSKLGASSASSSAQ STQEFLRGGSTTKVNRLANIFLDVLRLFTRHKFICFCLDDLHYADDESLELITQIVNA KLQMVLIITYRPDEMSPEKLYTITNPPKSEDHLRACYPITTKITLEPLSEEEIREYVS TTLSLPKDQVVSLALVLQSKTAGNPFYMREMLSAGHRKKCIWYDYTEGRWKFDLDRLF EHFRGEQDYDVLDTAFITRRLGELPQESRSILAWAALIGQTFSLDLIEKLMEGEFYYT DDNQDGSDDCAILPHGKQDIFAGLEAAIQAYIIIPGDRDDQFRFAHDRYIQAASALRE CNSLKMHFVIARTLLAHFPKFQENTASHICESIEVIKKRISERAPFRKVLMERAGEAV ESGARPTAAKYYSNALALLQSDPWNDDAPDVSYEETLQLHRRAAECFLYMGRPPSANM LLQTMIENARSPLDKTPAWVLQSRIFAQSGDSAQALTSLRQCLRAFGVNVDECPTMKK CDEQFEKLSVKIQNMDRQDVMNPLASSDPPPESLVSLGAVLAETTSAGWWSDCVVFYQ LALLMVETHFTKGPYPQSGMAFLHMSMIALSRFNMVDFAQSLASICFDLLQKSSDPFS LVRGYMIYANFIGNIQDLTGATVEQLEANVLAAAPLGDRVSVILSFGLLALLKFFASQ DCAELEVYCQFACDDIPSWHLDTKGGTLLISVRQLCRALQGKTRASQPLEVMNDDQHD ASTYKNWLNTHTNNGGRSLLLYETMEIVPLFLYGHYGRAMEIGKACLEQAELLWSARN TRLAMFFYGLALAGTVLREQQDPREPPKGDPKVRRDEAIRKVEELNRKIKDWKAVHNV NYLAWSKLLDAQVSEMLGNHGIAIRQYEEALDHASEHGFVFEEALGNYLMANIFIRNA ARRSARSALRDAVSLYRQFGATGIADLIETEHSILLHGPAGNLRTCDAGVQTDFFGDT ASVQYRGVDTEESQQSTRAAVAALKGERMMAWRGSMQPEAGAGLPALDMIDLHGILTS SQAISSVLRVDELLRTMCSVILQACSGSATFAAIIVQDEGSVDWCVAASGDSENGATA HIPGIPLSGTDLVPENVILYCTRLREKVFLQDLVNDERFGNVSDQWLRKNPNSKAVIA VPIRHGNSKPLLGVLYLEGLPGSFTDRNVTVLELLVGQISISYSNALSMKSVERVSAE NISMVELQKQALATAIEAEAKAKNAEAEAIRNVKLAEEATKAKSIFLANVSHELRTPL NGVIGNSELLRDSGLNREQMEMADSIRVSADLLLTVINDILDFSRMEADKMKLYIIAF NPEEMVREVVRAVSYSNREKTSKKNVKIVQDINLPPMLIYGDPIRLHQVLGNLIGNSL KFTEDGSITIGARIDEETPDKATLTFWVKDTGIGISQQHLENLFQPFSQADASTARKY GGSGLGLSICKSLIETMMEGTIQLESEENVGTTAWFTVTFEKAKPEVVAGDEQALANG DDGLLPVESAYRQQQSNSENSSDSITLTGGFQPSSSHPPLPPAPPNLTQIPKDELRIC IAEDNAINAKIAMQYMQRLGYPNVDTYENGLKAVEGLREKAKEGRPYHIILMDVQMPV LDGYEATKLLRTDELESVRKVLVIAMTASAIQGDREKCLAAGMNDYLAKPVRGEVLKR KLEAYLGAGGTTPASGGPVNVASAAVGGVVGNGVEKKKVAGAEVEDEKTVEVDGERDK TEVKGKGDERDKGGGGGH QC762_0057120 MEILNTHNTTPLGERNPFGAIRPNPHLHITTQVLDFATMPDNRR VFSVGSPIHHHHHRPPVSTEFELRYNLHPLCLVTLDFAPNHNNSHTNQRTLDDDDDDN DDDDNDDDDDNDDDDDNDDDDDNDDVKFDLLLSRCQLALTSGGGSPQHQHFYRVGLFA GPGPGDDMSRLYTEQPPASALFDFLAEERVIFLY QC762_0057130 MDTIASFSGLHLRVVFDDNLPIEVPRPARGQIAECEKHDQQLAD GFFCPERLLDVQGTELRLVAREEAFTAAQIPKYAALSYCWGSTDDDARAQITLTQGSL AQHRLGIRFKRLPLSVQDAVAMTRALSLRYLWVDALCISQDDPADWDLQCVDMHKIYG NSFVTLCAASSQSCRQGFLQPKPEHRILMPYRSSFAPFSGQYCLRLRGVEADIDYASV VTSLRIAFVARDTRHEDFKTDSRWARRGWVFQERASSLRSIVFGKQNLHFVCSKTTKT MNASYSSYEAEI QC762_308520 MSASGHHLPRSRAGSSTSALDKGRTAHMQDYAMAPNTKDVVRYF DPCAATSNMFLYAQGNSVVCCQHDSLTIERRFARHSEEVQLLAVDNQSDMGAGRLVVS YDAGQTAIVWDLLTGDEVARFASYENLTCAVWMRNGNVAFGNVQGNVILFEPTTSEHL STRTIDQIAITAISPVADCRTFAIGYQNGSLLIATLQPRFTIIHNLVTSRGPSPIVTL SWHASSAKQKTDMLAVQTTDGDLRVWSVSKAYNTDDPPKVVRILKRTENYLVGPNWMG WSKNGRVIQFSESETISWDVRTKHVTYDTIPTLETVRGLTVYGPGAILFTLGPNNTVQ QFDLNAPAVMVNNVQHPANLLPPSPPISLEDDKNQPGSVSETESNVEIAFHPHELSET DDERGSPLARLVRRGEESDNEPYRRPTSPGSSVTQSSVSISSSTSQTMPRRYPDSVVS RGLTENTYISTGSSLRSGATPGRDRRNERETLSTTSSMSVSSSQYRSRHRPSRLRHEV PRSPDDNKVADLFKFTKSRLMDVPYKAPYSSDNSRLTNDDLRRQMLSTIFGWNKDADD LVRDEMSRHPLGSTNRILLAKWLGDISTDIMAMGSENMTSSDWMLLALSGIGGQASQH KLGRAYVQRLLENGDVHAAATIMIGMGDHNDAVEIYISHKKLMEALILTCLFFPAAWE RQEQIVRKWGEWAVQHGQQQLAIRCFACTGRESTEPWTSPSAQQVTFPTITQTVPELL SPPLSPPVMQHGPQRSIAKNASLKLITTFSNPNAKNRFLNDGEGKTPIAAGATPILES ALSPGGADPTTAVLRGNRSQLNTPASARPVNGGFNRRRLPSIGEQADSHQNVLTAISK PPGDPYANIQPIEPPSFAARGLDVMRPSTASPSMMKQQSRNQPPPSPSPAAFASFMDA GRTRNGSRSRIPEGLDLSLSGLKDTHPEDVTSPEPSAGSSVRYHWPSRRKGPGSAAGS VTSSLASASQASQASSVRGYRGYRPQENKSLDDYLNNLDTAQTKSRTRGTSRDNRTNA RDTSRSRKDGRMASKDRGRAADRNYTPKGGKRSPKSPIPMSPEDLINLATPNHDAEHQ YYLNHRNKMLDVSTDELESASQPSTVRKVGYANRETSRTRASSRNASRTRGTSRARSP SKSNVPAPLNIRSRSANREQSSKRSPTSPQPMPMPMPMSADLHRPQHFEGSEDEEDYR QAMEERERFRQRNNRSASTNRDRAGPTSPMSVRSHAWSSRDAGREKPEGIRRTTSHAG TDGSSRRVKVAAPLQTPAPMQLVADDSGDLRVIKDERQLKKEAAARELEERRKSLAQR PSVPPIMHPDQLFPSRRSPTTLGGLPSTVYEPPRKEDLPSRSASVDPNAGRSMYANRG PHIGLPATPRAMRLVLESDASRKQQDVPVPAIPAGFSQTSPNVTQQSPRHSPKKVEPE EPKQEDGGLAMLLPSTVYTPPPSHNSRIAAQMGRSMSAPPRDLVMPQGSSRGPSAMGN DSARRPSQDTNAIPVGRRPSDAGMMARRPSQDTNVMTLGNMGRRPSHDANMMLGNMGR RPSHDAGNNNMIPPPPPPPPVPPMLKELQHLATPPPPPPAPLPHMAASGAKPIVYGGS SGMIEIVMDEDQPQQQIPPPPPPPPPPPAPAAIPVGESTVPILSPPAPPSSRNGHNRG RSSVDNSIGARISRATERMRSASRSRQAAKSPEIQYAPYESVPMIPQQMGGGERGPPV SMSNYRGMNNQVLQQVQAQMAAQQARDEYRTGLHQSEMI QC762_308530 MTTSGPGSPTLKSPSREPSHICSRCSLLTFKEEFVERSPNLALD LDELLASRPGRMGWCRVGPNISKGEFDVLATTAYFLSDTLPTLPTLRDSSFKCDFCKK LRDALLVQYAHLLRGVREGPDSTNDQDRHGLDPSLLIIRAYYSWFRGNCTRLAVQLRV TIFKQLGHSELGLFREPFFMTWVAEAAKDNQPVAAYLRLPERFGDPQSLSRIKDMLPK EDNHTLVDPRFPARLVSRERVFASSDQVPQYAALSYCWGPPKIASQQHTLTQDTLAYR LQKVELEKLPLTVRDAVRVTRALALPYLWVDALCILQDDTADWEQQCGDMHKVYGNAH ITLCAASSKSCVDGLMESHQYQASIPFRSTNNEVAGYFSLRLDIAGTESMIEKHMNPL HGFGDLRWFGHVWPNRGWVFQERVSSQRKLLFWRDHLQFACPPSTDVPQGYCTISGDR LSLDAVGGPFMQQQLAREASFHVERPNDQSSRVELLNLWLYLIQKYGEMSTDSFTNPL DVLPAISGLAAVYHRYLAKSSSSQASSIRLNPEYIAGYWQQDLTRSLFWQIRSCSHGV TIDSAAMAHPRARLQSLLNRLRGLSQQQRIPSWSQLTRGKTWNIFCSSPLDYWNLQPA ASILNARAKPLGESPFGHIQRHPHLLLRTRVVEVAVLAASLTICSVRLDRSLRSSFQL LVRGDRQAICLVNVDFASPIFDPEVGDRLGVMREYEESVLEMLLRSSCCFGLLGYCDV GGTEVDGRRPVSEGDEPVLHKPTGLVLHPVPGGGGSSGFYRVGVFTPNFVGSNELLAE LFETVAEEREILGASKL QC762_308540 MSQPHNFCFPIRTLSNDRVKLVPFSASTHAPTFTSHIITHPSLY AHMPLGPYTSTSEFITQFLETTSFLQQGYFTFAVIDKTRPPSPEDEEGELAGMMSFMD TSPVHLSTEIGCIVILPQYQRTHVTTNAVGLMLRYALDGPEEGGIGLRRVQWRASAMN EASVRTAERLGFRREGVMRWHMVLRGETKVGNGRGVPRGAEEGEKGRDTVVLGLCWDD WELGGRERVGGLMERRG QC762_308550 MYGSYGSTSSTSSSYSHSYSPYGSYHTMASPMDIAASPFSTRGL DATCAFPSWPRRESFCEQDSYEGRVSSYISDDDLLGASDMYEDDSSSNGSASPIQSPP TQYPTETELLEMQRERAAYQREVMRLVLAEKEKRKQQAKRRASATKKSKSSKLTAMTP ISDAEAWVVDMGYWVSTVPLQPPLTAFLILTHISSSPPSFCDATWSKSLTVLPRQQQQ DFSLVSRALGGQTPSE QC762_308555 MWTPVYYTKDTWRWDTAGSVDSGLVGDGIPSWFRVRLECIADMS HKANWQLRFNWQHSSHPGATSTLSHTWNYLSTSRSKAYSHYRNHTTLLQETSQVSSHI TNPPQSPPNHVHSSRPTPHLSLFSLAKNSKGANARDQIIPRSPYA QC762_0057190 MMKFVYLEMKKGFKKPSVAVVSFFFNARGDYLEKSISGMYRSLL SQLLHEFSDLQSVLDNTDIVPRNQQDCPGLNALKDLLSNAVMALGQRCFTCFIDALDE CDEQEVRDMVQFFEELAENATDKGIRFQICFSSRPYPYIEICRGILLTLEKESGHQED LAQYVKSRLRIAHRPLLEELQSQIQDKAAGVFMWVVLVVEILNNESSHGALALRKKLS EIPAELSKLFRSMLARDRERPEWLQLCILWILFAKRPLTPAEFRHALWAGLLERHLVD RELPDDTHMDAVKLVTSSSKGLAEITKSKHQTVQFIHESVRDFLVKEKGIQDLWPELG FDLEGPSHEILKHCCTTYLHHPTVRAIIVTPEGADNERNALAEKCSFLEYAGQQVLYH ANAAAPVVSQDGFLTQFFDTNGIRVINHFEKFKARRYGSDATPLYVLADKGLGNLIRT QMKREVATAVPGIRYQHPLFAALANGHKNAIAALLGLSSIVCDGVDITEGLNYKKDLR NYQGRTPLSWAAQEGRLSIVKLLIQGGADPDKVDGRGYRPLYRALENGREAIARLLID NGADIEAQDSSGSTALILALQYSHEAIARLLIDNGADIKARSNHGSTALILASQNGYE AIARLLIDHGADIKAQDSSGSTALILASRYGCEAIARLLIDNGADIEAQDSSGSTALI LALQYSHEAIARLLIDNGADIKARSNHGSTALILASQNGYEAITRLFIDNGADIKAQD SSGSTALILALQYSHEAIARLLIDNGADIKARSNHGSTALILASQNGYEAITRLLIDH GADIKAQDSSGSTALILASENGHEAIARLLTDNGADIEAQDSSGSTALILALQYSHEA IARLLIDNGADIKARSNHGSTALTLASRYGREAIARLLIDNGADIKARSNHGSTALTL ASRLSRGSSSTTGRIGADIEAQDSSGSTALVLASENSYEAIARLLIDNGADIEAQDNS G QC762_0057200 MTPKRTYQDEEPSASLPKRPRIFDTSSNGSIYPNHDVYPPLVHG DYTIAWICALPLELAVSRAMLDEEHPLPPNQAGDDNIYVLGRIDQHNVVMTCLPGQYG TNNAAIVATNLKRSFPSIRATLMVGIGGGSPSQADLYLGDVVVGTRVMQYDMGKVIAG GSFQETADAKTPSWLLNSAVSALRSKHGPHHSSSRMARILRSRLPNILRPNHPDRLFQ ASYNHLLEAPTCIDCDPAKLQPRGARLSDEPRIHYGVIASGNRVMKDGKVRDDIAQRL SALCFEMESAGMMDNLQCLPIRGICDYSDSHKNKEWQDYSAATAAAYARELLEGLPPS SRPLDRTPIINTLALARLFSSSIMLTGDVSSRLCFGTAATLAEMP QC762_308570 MSHQYRKHFTPLESNPSLFTSLAHTIGLSPALEFHDVLSLHDAD LLSLTPRPAHALIIVFPTSPNYEAELTTKDKDITQYTSSGDNEPIIWYKQTINNACGL YAILHAVSNGHARDFIIPNSHLYQLLTTCTPLNPRDRAAFLENDTNLEAAYKTVALQG DSAVPENPEDEVDFHYVCFVRSPKNGHLYELDGDTNGPINLGKFEEDDLLSERGLRVL KEFIEKTECEGGVSLLALAPAE QC762_308580 MADFDSHPAYVVRRGQLVPLPTPKSLAEHLQKSTELEAGDWHDI VVLHGVSPKYADVLLLLNGSDSAFLESFASRREYRPFARSGLVNQQASSSERRWSILE YPELMQGLRPLRLDRDETDTVHPPPVRTLPSSSGKTSAVFCRMALRISDQRHLLLLDN PVWRRSWKVRKAPWRTPVASFRDPPSPSPYSETNDAGSFEASLLSTLSGKTHHKSLRE LLLDLAYDRWLELFEFLESPSHPVTDNTMAFWWQVLQSLELNEEEGSSPCWRRLLDRA QRRISLLSLPTIKRPVPLKSASAPMLPSSPGSPSSRRSPCSPRSAASTPATLLTTPPV LQQPKNEVREKAVTRFGIPSTAVRAARLRRALAYRPSAEENRRALDRISYMGGILIPL PIVSGILSMGEIFGPSGSRFWIFWAAAVPLSIMSVLIIYADTIRKAEVWVEVAPDLVV PGRVPGRLSTDSEGGMSDGTVDVEVQEHRTVTWRRHHPGEGRGSSSGGEGLQQQRPQV PHDPSVVFVLNHDMEERAIGLPPESAAMATAAMEPDWDEDIDDAMLEVLPAAAGRNRN RPRIILQRSEDGHEKPKAWKRQELGWYGAMKSVMWKKPRALEDVPNGVIAYEREGKRK SKTF QC762_308590 MPVGAGDSKKGANLFKTRCAQCHTLEAGGGNKIGPALHGLFGRK SGTVEGYAYTDANKQKGVVWDDQTLFDYLENPKKYIPGTKMAFGGLKKDKDRNDLITF LKESTA QC762_308600 MASTATIPRFLLPQRGLIWRRLSPANTTSPVVLVRFSSTSQSKD GKPLVLEKPERFVPPSHGSRLPGSRRSTLGDGPRHYGGDLSESELKAQAKKDYPMTPP PEGTWAHWFFSQKWVHITVTLGTLTALSIWTFTLNYKHNTPFGDMLPPMSDFFWHPIS STRALVEVIRLNEAHNTARIQEKRRRLVEDVAKRTAYRRAHGLPEEYGMFGLKKATID PDQVFGTEGGEKKEQQGTTVVDDASPIAPEPKRLTDEQQKEMVGELKKKWLGIF QC762_308610 MASSTNVHLSPAELSYLHTSLSLNPPIRPDGRSPTQYRPLSAET GILPGTNGSARICFADGTEAIVGVKAEVEKTRRRWDDDTIFGENQNQEEGEEQDEGEG QGSSDWVELTVEIPGYRDDDSGTVFLGQMLCEALLADGGFVRRLRINRRFHWKVYLDI LLISPPLSYPLPLLSLTTHLALLATRLPKLKSEGDEDPFFDDDWAAAPYLYVRNPTTK RVTERPPVTLLVMAVGGNVIFDPSKEELAVADVVLAVSVGDDASSREGRMDVDGRSNN SGRNLKLLSIRTVDPPSRLTPPGVANAANSAYGHAQVVSTGANQKMPEARQTESEAVE GVWKPPRGGAKAVVMGALVQKVLVRGGVADEVLDALEGVDTS QC762_308620 MTLKRFKADVVTARQKITGGGLAGVLDLRDGISDGEIVLSLQHP ELGRKVRLHLLAQDTGDYPDNNSFMMYTEDDPPAPIEKLIKRTADYLIGLTVYEVASE ISKQMSLTGGVSEDQDEGDMSDDEFGFQSEADDDYDDELFGLKEGSGRPKNATVKELI ALSPTDKLLLSRLKRDLRQVHNAGFKIGLVSSFAQTSIYGIVSISVRVESLGLSEEVL EAWNLEASEYIVLLLRFDGYSPLETVIEKAVSSIQVSFRIRKCKKYKPSEQEARNAFI TVTNPGVRPEDDEEGQTAQASDTEALQKFFISNSLDQFLNESFISIVKTRERTGYDWD QANRHYQNCVTQPDDPVPIDESVSDARPERHPLLGDHLKDAHGGERSFPLVAMQFAMR YIIRCTEYCLRCHQKIEAEFEALQPYVCDNPLCLFQYMSMGFGPSIEPMILNEPYVVD LLVSLCYAAVSDPSGHRNALQPFGISSAAQVTGVGSTAQVIGVGSAAQPSSVGYGAIR NLPVGLRFKVPNLLNVTGCKWRGILNGNRLVLCENMDDIRQLAGYKWIAWVTTDNIIQ HGRVEEVHLPSSTAVLSIRPGHSYTALPVDQRGLLQAPVGIAGAPVRVFPYDTDFDDL PDADKGHAMRQILENLPSILQMEAWLTSHPRCLFKDMDGISPAAASILQWIVSSNRSC IYQVDRRRYRASPENSEQPAGKGRDRQHQRILGMDSWVQFRFAQGSPEKERQFSRALQ QIASRKDFKGHPTILAWHGSNLANWHSILRTGLDFKVVACGRAYGNGVYFSPDFNTSI YYAQSGMTWPNSDLQITQCMSLNEIINVPEEFVSAAPHYVVSQLDWQQCRYLFVKPRP ELCSTQASTQASTQASTPAPVTPHGSYTLARNKSNVTTKPNVGASTPPSTHGKGAVPM RTQQNGREVKGENSAVLKIPLSAIPLRTIQVAGTDATTSTLLAKRVRDSPELSEDEDA VDVALLVSDTETNGPAAQRRRKMSTAQGDRAKLGLTPSVNITPLWT QC762_308630 MAWDSKTTTRRRQSSAASRTRDIAIHARNQSRQPAQPLSDHVAD PFLADFLSPTFDPATYLNNTLPQLQTSRSPPNQPPPNSLPLSDLSITTQSSLSQLSAH TTRLTTILTQLTDEIIRSGSRLAYEVEVLRGETISLTETLTETLDSPISKFIPGGGIK SILHPSYSESNPAPPTTTSRSRALSSLPPPPPPPLETPPIPDPEYISQLRTLSLVRSR LAETQATFGSAMSFVFPPSETSVSSSFLSVSAPDTGALSTEEKGQQVLKELRQEILDL LDNKDDPIKGVEDAAKRVEELKDLCQVWKGTAEERGRQKFVEGLARLVGERHERLVRE VTGQGHRRGESNGGSGSNGRLQQQQEEGENKEGDNKGAAAAGQGGGGNAAAAGGGGYG GYGLISQLQKLRGGI QC762_308640 MAGTRPYLHTPDDAAAAPLSYIYPIGYSNSSECGYCRASSNGQP DKQRWSYYAVSRSLEPAFYQKLVDRFWRRSGTLLYRPNQKNSCCPHYTLRLDSAEYKP TKDQRQALNRFNRHILGDTYARDAARLYPRSREQARKGKNDFDLVSKVHESEAKYLKG PPPAPSHEFSVTLEPDAFTDEKYKVFENYQRIVHREPPLKISRVGFRRFLCDSPLTRG AIVGADGTERKLGSYHQCYRIDGELVAVGVLDLLPNAVSAVYFMYHESIHFLNPGKLG AMREIALAREAGYRWWYPGYYVHTCAKMRYKIDYRPQYVLDPETLQWDPLDEEMLALY DKHHYVSLSRERRRQQQLMNGDGVLDDEEANNNDENGGTQQEKDALNVKPSEDEDDEE EGFLLTSDMPGIPSLAEMEEVDMGGLLLKSDQHDRFIEASELVIWDSEEISQHGRLKS RIAELVAAIGPDLMGEICIDFRKKANRLS QC762_308650 MAASGSNRPMESRTGRVKQRYNSKGERLVAGVVPLSADKSYVLM IQSTRRKGWVLPKGGWELDEECTEAAAREAWEEAGILVTIDYDLGDIEETSPRKKNSS SGKSKQKEAALYRFYEATVNSEEIEWPEKEKRERKWFTFAEAFEQLKDRPELQTALQR STMKR QC762_308655 MDDLQTTEFDIGSIEVATINSDLSIVLTSSCVLSLPAIWDHVPD AIELYKASSEAIIWIAKAGGDCRVEFSTLLNGEPLDVAGLDPDAEVTISHLAAGCGIW LELGLDLRLKHATHPELEHSNPEAVYFLHHPDLSSSLIAPPELPFASFQELKVDIHYH INMSYSTDDQTEATETTGLPLEDRLEEAMTLLDIGLQKLIGVKKSIPGVKVTKSNNDL PSLIGIAPAVWNIPYLQSMTVHAQMIPSLARSIVRLKHSQSPSLRRKVEGLMPGDIDP EIWDVDDEIEDEIRKRLWLRCQTGIRSDPIQRISTSQTNADDNRQEEAPQRLLGGPKS AEERCGPHECGEAIINPLHYASTANQLAHYCDIPEGIYDDDNDYDEGYDADDSPSETN SFSPYSSSDIGALHSDDWQGSSEAEYFYTDGQGNVVTLQRDSDSTEPEAVGWDRSSSI DTMDFE QC762_308660 MGQFDWFSSIGATPEAVAVLNDQPILFTILLIVLVTIILQCVLI WYIHYATMKPEQKKAKEDKKKKKQAEKGGGAKK QC762_308670 MSVAARPAARRLASSVGFLSTTAPTTTTSTTSSSSSRTPPQSRP FSSTPAPQARKHRFRSITAHEMGLIKKNPAAVPDEEIEKLRAKSRFRPLTSRDLGVPE KRDPLASQESLDEFTAETFTKYTPAEKAELARMYTPEQIAALEAGEATINPRDLTIQG RLRTDIYRMPYIDDFAEHQPIIDKRPPASRSRKISPPDPNARFMNTDEFVSDLIQWAD KFRVGEPTGTLRRLEDFVPEEWKKVKEGQWPGEVRDDAHKEFKKYLQEEINKAAKQQE NGGVVSGGPTDADVLSYIIERSVMTDKNIQTQSSLALALPDKVPGVAGLYKAAVDPAD EGLDETGIYQDLKRRSGMSVQEILALQCKTLVVNYVSNQTRMGKIQSTVTIVVAGNGD GWVGLGYAKSQEPMVAATKARLDAISKMRPVRRYENRTIFGNVEAKVSGTVVKLFARP PGFGLRVQHRIFEICRAAGIYDLSARVPRSRNPMNTVKATFEALFNQKDPEEIAMGRG RKLVDVRKVYYGGATQ QC762_308680 MDIPRPTSALHPGSPPELTPTEQEVLEEYERLADNMKKLASLLD TLASNPTVEILDGLRELERKTSLVFTLLKASVYSIVLQQEMGWGGGGDQQQQQHQEGD HYDDDDDDDDK QC762_308690 MASTVTPEVLWAQRSSNADPEKNFIYLTINVPDVPASNIKLDVK PTGLTFTGHSDTLKKTYHVELEFYAEIDPTASKINHTARDVEMKLRKKELTEEYWPRL LKESKKMHFLKTDFDKWVDEDEQNEAEEEDFSQFGGMGGAGGDFGGIDFSKLGAGAGM PEGDDEEESDDDMPPLEGEEEEAAKKDAPKTDDGKEVA QC762_308700 METFRSLFTKPDPQAQVRKCNALLRQNIRRIDRDILNARAIETK TKNLILAADKRAQRLGPNHQQTKQAQREIRDFARELVKRRKETNRLHTFKAQLTSVQM QVNEAFALRKIEGSIKASVGIMKDVNTLIRLPELAGTMQELSVELMKAGIIEEMVGDA LPETEVYEEEEEEAEEEVEKVLGEILKDRMDKTGALPSAPLPQKQKQQQQQVEEDEEE DTEAMMDQMRNRLEALRS QC762_0057370 MALQGRWSLGLETTVGQVKKVEISYGPGGVSRGLAHVTFHHADG ASKAFSTLNGLLIDNRPVKVEVIVASADLIPQPKTLAQRIAQPKAQPKSAATVKHGAN GAKGAPAAGKAGAKKAPRKGRNNRPAKKTAEELDSEMADYFDSGAAEPAAANAAAAPA AGGDAAMEEDVL QC762_0057380 MGKLDQSLDEILSSQRKNSQGRRRSQRRSVGAKPAAAPAGGIQK TTKPARTASKPASGKGAGLTGESKIMVSNLPKDVSEAQIKVCYYQ QC762_308720 MITDSELYSLAIFLGSAAMVLIIIYHFFEVNAKDQPPVNAKGSA SKLK QC762_308730 MPPKKDQKGGGKKVDAKKIVEDKTFGMKNKKGSAAQKTIAHLQS SMRSAGSAEQKKKEAEKAAREREKKAAEDAKREAELLLNKPAQIQKVPFGVDPKTVLC IFFKKGNCEKGKKCKFSHDLEVERKVEKKNLYTDTREDEDKKKQETSADWDEEKLRSV VLSKKGNQRTTTDKVCKFFISAIEDGKYGWFWVCPNGGDKCMYKHALPPGFVLKTKEQ RAAEKALLDKSPLKTLTIEEFLESERHKLTGTLTPVTPETFAKWKKERLDKKAAEEAL RKAKEATGRALFESGNWRTMDDDDEPEEDDAAWNLEKLRQETEALRIKKEEERLAQLH GIPLPATEATAEAGPSEPGT QC762_308740 MSKFYTIIAGVGAGTGRSLALRFAKSYPVILLARTPQSYNPIVT EITSLGGQALGIPTDTSDATSLASAFQTIKDHHKDAHLAAAIYNVGAGFAVKPFLSLT PTDLSASLSSNAVGLFNFAQQTLPLLLSSVSASPPHPPSLIVTGATASVRGSPKFATF AAGKFAARALTQSLAREFGPQGVHVAHVIVDGVIDIPRTKQWEANGGVEDGKINSDAI AESYWHLHTQHRSAFTQELDLRPYVEKF QC762_308750 MLLALYFHLYGNPNPEAMQHFLVMPWNPEWEAEGAAGNSASGSV SADQGYEHANGEGREEGDDDDGEEETNGRRNNHQPRQNGRTGHVEDSQDEQEEEEEEW EGDQMDVDDELPEEHQLLDLTPQRDSDTTPIPKQRVFGLAVPKSERFQVVLHSSPKKV AYTVITQEELSADELVTADVLPSLRPHGFYGTGQQTLKSSKVNEIERSASNTSEPTDD TAQSRKQRGRPKGWRPRQAYSTSTPGSTTSNKVKKSVGRPLGSGKAGRPSGKLKPDGE SRGRPGRKPAQTARDQYKKLNPKFPVFICEWEGCPAQLHNLETLRKHIFIVHGQPEVP PAPSSSSSAVAGPDEKHSDDGLIVCKWAKCISSPTPRFQDDFRTHVEEVHLVPFAWHC GDGPQNTSVSPKPEVMLPALPKYLFDEEGRQVTPSVADQQLETDEDKRRRVSKIAALT EKANENAPDEPEYDEKQMELIIAFMEKRNLRQRELKKYAEWVTGEGVILPVEEKEERD KWRGRLR QC762_308760 MPSTKSTNGDSHFQQKIKSFFRINSSSSSRDATTPDRERGGVSG PLRSENNKPSRNTRFFSVGRLRSATTASEGHPLDEAMSPTAHANPYFAHQGQPGLRHH NDGSVPPSPPDTPMLKVDGPNGGPVEKISASTKEELARKLRRVASAPNAQGLFSKGNG SGERPQTAELSKEPLAESKDSTSVGFADAQTPPGSAVELATSVPEGDSLGALPPPNQS PLAFRRTYSSNSIKVRNVEVGPQSFDKIKLIGKGDVGKVYLVREKKSSRLYAMKVLSK KEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEDYLYLCMEYCSGGEFFRALQT RPGKCISEDDARFYAAEVTAALEYLHLMGFIYRDLKPESMSRSLHAPCGMCADNPSQI FCFISLAISCFQISTCQNSLIPAASPR QC762_308770 MMRPQITHMNDNHNEHPLLDHERPPPVSEGASLVNNEKSKTGQP EKPWTPGFGPRFPWIPSLSILFSFILVGLMISITVRADDTKVDSWAVSPPVLLAIFST VANALIQVALVRGAAITWWYLAMRPARQSLVQDIHWRWAAAGGIVDAVESILRRGLSK TAVACSFATIVAINAPLLQRAVGVRTREDFKAGVQIGPVYAAPRLPQGFGAVTLGEYK ELSPAKNFSEVMGEYFSRSPMMIQSDGVNITGEYTTEITAVGYRFDCTAENTTRFPSY SEVDEGYQYYTSLGANVFVSSPVYSEKPKDLWNAKFVATLLKNPLREVDTRKDGRQFF YDAVWKPEQGCIDATHGIEVRSRNCDIYPAVVKYPITISNNTIKLRPSPSPLHDELVS LETFDEAMEDMNGASSTHGGLALLLNHRFSANYTIRPVTPMQDYHRWDALSEGYFAYE MAATAADEVNCNRRFKDPGPIIYDAVRELALRSALGAVNTSDPEHKLMLEGEQTETVA VFVANMAFLYGAVAVTVLATVAVMPLYYGFWKLGREVSMSPLEVAKAFQAVQLEGVAS NAEIGGLLKGVGGRPIRYGVVEVEEGGWVLGMGKPGRMVHPDEMGERDSLEGDGESVR GREGR QC762_308780 MFQIIPRHVITRRVVPLTVRGTAPRLTPLYRQTPLRYYSDKKDS DKLNQEPKKEATDPSTTTTTTKQDDILSLRQKLHKTNTWHEADQLRKQIRKHGEEVTE SPTTSATPVTPATSATSATPATPATPATPATPATPATPAPATSATLAKPATPTTPTTP TTPPTPAQAVNPPIDLLLKQQLSLHLSRLIQESTDQTLQKLAPLTNPTTTPGIVNTLK RIERRAWAWRIFLLTAALAGTVVLIPEHEREYYIYRFNDACEEVKGWFDEEVREARRK KREEQELEQERGVIEALTRSDIKVEACPSRHDQRLSILSKFLFVLFWCFCWSGGFTGL VLPGRVFRGGKVE QC762_308790 MSRPTRPSTSGGFNPNLRLDREVYGIIQTLDSQREKPGRLAVST VYDAIKKSNSSVARQKKKVLEESIERVLEVREKQMKRDREGEDEEDSDEVVERMERER REARDAGLLNRQIARGWGFGSAKSDDGGRSVEDNGAGQDGEERPQATVGTPKDGTDRP KETTDRHANGEPRPKKRKGTPKEVDRTPPTGISIRDIAGVDDTLERLMQEIWFPLNAG EACEKMGYRYGNGVLLHGPSGCGKTTLAHAVAGSAGAAFIPISAPSIVGGTSGESEKN IRDVFDEAIRIAPCLIFFDEIDAIAGKRESANKGMEGRIVAEIMNGMDRIKRNTPLGK NVVVLAATNRPESLDPAIRRRFGSEVDMGMPSERAREQILRSLSRDLNLAEDVNFKEL AKLTPGYVGSDLQYVVTAAVSESFSGSLQQLLQKARGLRPADEDAGEVSKAQQDWLLL EEHRQASWGETQISNEQFKTAISRVQPASKREGFSTIPDTTWANVGALGDVRKKLEMS IIGPIKDPELFNAVGIKPAAGILLWGPPGCGKTLVAKAVANESKANFISIKGPELLNK YVGESERAVRQLFSRAKSSAPCILFFDEMDALVPKRDDSLSDASARVVNTLLTELDGV GDRSGIYVIGATNRPDIIDEAIRRPGRLGTSIYVGLPGPEDRIDILKTLYRNTITRQQ QQQKEQEKAAAAEAMDVDNEVAAEQQELEQEADLSEVALDPRCQGFSGADLGNLMQAA AQACLERAYTLKLQQMGQHATAKNGVKLKKPVITKEDWEKALSEVKPSVKDAEKYAMI E QC762_308800 MPAELQSAENDHRQRILLQQDGAAHSHHRPPFPIMPPSQQQQQQ QQQQPHQLNVNQNEATSSSRGTNSSGTTTSSSSSATTTSAARTDPRFATTNQPTPLSS NALYQRFLKRYRVEVAASASSVLSTLTTFPLDSVKTRMQTYRYNGFVDCVRRTYQTEK FRGFFRGVTAPMASITLVRTISFSIYQRSKYAYSDWVKRHFGVDVMAQVAQQGSYPNF WSIATFGAAGMTAGSCITAIACPFELTKLSAQVSVLIADKKNCPKPESHAIAASYQNK GTLKTMGNIIKHRGVGGLYTGFRLHLLRDTLGTGTYFMTYESSKQLLTTFGGDGTHSN PLAVLVAGGLCGIVSWALIYPVDSAKSIYQRNSLMYSKGQKVEPVKIAFFQRNMYRGL GVSMGRSCAVNAVFFSSFEFLKKRIKAMDEQNHHQL QC762_308805 MTTPTTTELLTSYRHLYRSALQAVQYSKPARYVIRDQLRLAFRD RSNLASYHPERIRRTVWFFHAASQSRGLEHRICKTLVRVHWERTRVDRKSWKHLLRER EEVEKASEKVKKRLAERGGDVVKERGLRWRGWEGVVGMLNESMGLCLR QC762_308810 MTGSSSSIHGLTGKPLIYFTSIFVSLGVFLFGYDQGVMSGIITG PFFKEYFHQPSNAEIATMVAILEIGALISSLCVGHIGDIIGRRKTILYGSMIFFVGGG LQTAATNMVMMMVGRFVAGLGVGMLSTIVPVYQSEISPPHNRGKLACIEFTGNIVGYT TSVWVDYFCGFIESNTSWRLPLMMQCIMGGLLGLGSLIIVESPRWLLDHDHDEEGIVV IANLYGGGDIHDPRAREEFRDIKMDVLLQRQEGERTYSEMFKRYGRRVFIAMSAQALA QLNGINVISYYAPMVFESAGWVGHDAILMAGFNGITYLLSTIPPWYMVDRWGRRPILL SGAVMMVLSLSAISYFLYIDVPSTPTMVVIMVMIYNAAFGYSWGPIPWLYPPEILPLK IRSKGASLSTATNWACNWLVGQMTPILQDWIHWRLYLVHAFWCAVSFVVVYFIYPETR GVRLEDMDALFGDATRALGTPAGSTPALHAESDPLVRSGSPIPPLDIRGRASPARFGP GSAIPGLNIDPPTSVGDPKAQSSRQQSRGGLGGWLSRLMGRGGSSPSGQYAPINQRGD QC762_308820 MGSTKFGNFEDFCRDTTLPVCNVLSKTHNQDGDWGGCKLRGITL PGEDRYLGNLGSILLAGIAILVTISLILKSERKRAAVGRREMQVFLVGYLLVSIAEIF SIGEFPLNDQVRVVFSAIHIGAIAATTWLLFINGIVGYQLMDDGTILSLALTIGSALA WLIGVGYIALDTGYQWTTQWQGSLEPPNRSIALYVTYLLLPLVWVVFFVVLELVLVIK VLGETRPLLFLGAAALSFAVGQIFNFVVSPYICNGTSGAIDGSLFQTLFTFVAVTVVW FFWSSITEDDWPIQPTQYP QC762_308830 MTSTTTPSLPRLPDEIHTHQEEPDTHQQPNENEPLLGRPGDAIQ RPDAPMYRNLYLGTGILSQTGLLLLLLSILIPLLTHHPLLPLLVPHPILQLTGLLVAT EAILLLQPTTKSLPLAKTRAAKFHAWLHLLSFLLFLSGTVIIETNKHANKLPHFHSVH AYLGVATVSLLGLQYLFGFTIYVTPSVWGGEEKAKKVWKWHRYLGYGVLLMILATTGS AAWTDYVKGQLGVSRVGVVLGVVLVAGGVFPRIQLGKLGLREY QC762_308840 MADDYGYATEAVPATSVSAEYIDKTFKSTAIDLVSATLGGEVLG FSDQWFADAANLINPLPPVRKPGKMVYSGAWYDGWETRRHNTEPFDWVVIRLGVSSGT VEGIEVDTAYFNGNHAPAISVEGCFSQNNEEVLSWKGGRGGWETILGLQECGPSQRFG WKLENPTNKQYTHVRLNMYPDGGIARFRLFGHAVPVFPEDTNAIIDLAAAQNGGVAVS CSDEHFGTKDNLILPGRGKDMGDGWETARSRGKGHIDWTIIKLGAPAYIQNFLVDTAH FRGNYPQRVELEAIEWKGDGELGPDAEGWVKVAEPIKTGPDAEHPADSLVKDKVFTHV KLIIVPDGGVKRVRVFAKRAV QC762_308850 MDLGDSDGGFDAGFGGPEKKRPLPADLPRSLDDRRHAPAELLVP ETEMYDGWQGQSQFLTTPITAKPLSFGNLTLDDPNYEEELTKGGPDSEKRLMEMLAAQ AAHTTSAVFEDEDVVAADPKRSEEEKKDVLQRTFIMAASNGNLESVNKILNGKAREYI DVNAPDDEGTPALIYASCFGHESVVQALIDAGADVDKQDRNQWSALMWAMTNRHKGIA TILLDNGASPDKKTSTGRTAFDFAGQDSDMNFYLNDSGGYGIGTVGLDDDFYKPGFSQ DKFEEELAENEMRRRMMMDSARDLEVDLGNMGIDDQPETFDEFDEEEQQEFDWSRCLH DQMFVFQESDLDTILDIVITNMTPQRSPTQKPVPANMIFLGARYAHYHASPELLAKLL VTAMDKINYVVEQHQWDMTILAFWMSNATLLLHYLKKDDGLVEATTEFQAQLAELINE IFILIVRDAERRLDKVLEPAMLDHETIPGFENITFQNEWKLFKRKKEVQEEPLEKRLR PPSPKQRAKPSPRNVTSLLSSTLFVLDLYDVHSVITAQISSQLIYWLGAELFNRIMSN RKYLARTKAMQIRMNISVLEDWVRSNNRQPEHYEKGEMKSTGETLLEASKRHLAPVIQ LLQWLQCFSSLGQDDLEALVGTLQQLRSLSPQQLLHSAAHYRPEVGEGGLPKSAQKYL AAVQKEREARRKVAGEGSSPGKNKNGGGEVPMSPVEGGGGMFDEEVEEAPANLLLDPA LMLPFVLPSVTDMLVSYGAGFGGVNRERERKYLPSIPPEFLERIENATGQSGGGGGGW EGGGIGGWIGGRGCMGGGIGRMRSRVGLGC QC762_308860 MAPQKVASVRHKSRPSARLAPFRDDSSLVALRYEQTKQAEPPIP LPPPRNPRRIMHRPASTIASSSPSSPITINPPPVPPPQEEHPLFRTQPSPRSPADEWK RDSGLAPTSSSVTLREEGAEDPGFQKFLEVIDDAASVYSSDEQQNGRKDASPILDIFP TPPLRISIPPRRSESECAPQDNGIVSPSQTTAPASPVTPTRQTSLTKRIGQTLGLRSK SEGSKRLLKKMLGDDKAAAATAEAGHGTAMRGVPGPLESPKLPVLPSSPTTPAGAPVL RGSSNRGNIPATLGSSATATTPTTPTAPTTPANTGDTTFMPLDTPIPADSLWDDLGDL SFSKRGSIMFGGKSDPFKMLKAAGATSSAAAPATATVKETATPLSTTTTKSPDAAATT VSSDRPPTDSDTITTTPEKTRSSTHTTTLSVPSIRVLPVDVERESQKVRSLYESSGEG CGLNWEDGGHVSSSSYGCGVGSNTTSVVDQHHQHRRLEPTVEVPSEEEEIAVVSSSTP PSSSRVPSSAAGQQQQAQQQQQAPSDRLSARTTPASNTPRSASSLSPLRDSHASAAVT RREYERAGGIEDWEDVGFSDVDRYGFISQRPPARPETARAGTPELRSAQMPPRRRNVL TKRPMTAYSATGGGGGSLGGYIRPPSRKVSTRSLNTFTSEFSTLSRRSTRSSIRSATN RLPHNRDRRWMDEAGDMLALQAGLTGINTDDHGDGGGVGFASNVGVNGGKNTEAQKRK ELERAEKWRKMATVVNKKAGSSSSASQPSSQGQGMDYEFDTKNAKLIDRTWKGIPDCW RAAAWYSFLATSARQWKSTETDDYLIAEFTRLQHESSPDDVQIDLDVPRTINGHIMFR KRYRGGQRLLFRVLHAISLFFPELGYVQGMAPLAATLLCYFDEERCFVMLVRMWRYRG LEHLYKPGFAELMGVLEDFENRWLAGKDVAAKLKELAIDATAYGTRWYLTLFNLSIPF PAQLRVWDVFMLLGECPPPNYEEQQQQLGEKEKGKGPGLGATGVPKGLDILHATSAAL INALRDVLLDSDFENAMKALTAWIPIKDEDLLMKVTRAEWRVHHKEGGGGSKWKM QC762_308870 MLTITKPVQYGYGPVHDLPTPPSTSRPSPPLIYKDSSYKSTLTN PRDSSPLHQPMSAPHRGLPPPAALPPVQPPPGSGLSQPPVSGPPPPPPQQNQSYTQLP LPPSWHGNEESMQYWLKAKAEEDKRKQEEEKTRQESFRLEQRKMEHEILRTSLHGGIP PPLVPVVFAGMGGGALSPQAWELAQQFLPPHQQQHPPALMPSGAIASEHQRRDSQAQG YGQYPTSGGVPSTPGSAQGPTSSYMAGYPGSPTRPRGQSMPGRPPSNLPNLNTNLPGG PGGGPATASHPGIAHSQHQDAQPSPSIYFHHWQPPTTQAGGTRSGTDQPGTPSVGESP RKRKAAGPQPPIPPPSTAQRLRSPPFQHSAALSNPPPGRRRGHSRQRSDLGSYRAGGR GLRGESSGPGREMSPMHTSGASSARESEPSSSSQAQQGQQLHRAPPPQPAPMRTGAHS VSSLLSDTPQSPQPPTHQFAVSSEPRQFGQQQQQQQQHHHHHHQQTPHQTIYQQQQAY GESERTSEEKLRGGGVPGSSTTRPGDND QC762_308880 MRRRGHKPRQAKVKSASRRSKKAAELKRTRSNPPTTTTTTIPPD ITGVATAEETAVTRSGVADSSEADDSNGGTILRGTVSLIAKLQQQMTGDLGSSRGFQP SATPDPLSQNLGNKALKFKERYDRVDETQQGVSPTSPPRPRDNDTTPRNEIGPAQVKQ GRAGKLGGQAEPRDAQRKLDEYRENLARHIERREAPSRGEALAMPGPVRVSPIQEEIS PPAMFHSSGSDATLTSSTESGNTVRGGYTPGPVAGTPSYPFPRMAPPSMIPPSIHRPF TTLSPTVPPKGPQHGFDIFKGQDSFLSNPSTPASATTFLPPGYSQSTEEQYEFPSPNL YDLTLMLAAEPGLDAWWNTVVQIMVDVYKAERVTLAVPADSTDIENVPWGQKATYNAH REDVLSLGYLAKGSSLMPSSVDDLSEGLSHPPESPTQQQQPPARPGLQSRHSYTSYED RKEARTAANERAPQPASRRPHFLSRSKTSHAAPTLEVHDDLDDHNTTALNRQALEEHD ALEEQQQQDIPSWEAPITAPRKGNGKILNVLQALDYEADPLIDHNGVMRVLERGRVVA LTRNYPYLAPGSAEYKVPEPKTPARPKSPEGTRKSGRRPRSDSASKLSSLLSSATTFS PAMNRAPKVGLDKKGSGAGSRMDEDRPKAANPRYEEYEQTPPSPWSQSPAPSPAVRAD PNENPFFTDAMVDEDSFNPASAPVDYTDIRPPEAIGMDNSWTVLHIPLTHVLLSKPNP TFKLDPSLMEQKISARNRSGDASAIPNSSPERPPKEKHAPIAILSILSPIIPYPSNFR HSLDHLAPHLATSFSLCRHYTNLEIELNGLQKRRPQTAGFGALGPDGRPLADPTALAS LAYAEDATRGSLAGSITSPSDYSGPSRSTAGSPAGTPGWEPTAMGLLRGGPTASPAPV NADSYFSSVSKMGAGTQRPTRDSREAERRSSSRLSGGKQDAALLSPGQPEVIDGARRS SEESRRPGAKELKDSSNEKISAGVGDKKQQFPGTPGAARPHTQLHSYGADFAATFQSL PPSSTISRGSGSVAAPSRAGSLSQGEMTPPSDKLKGLILDSLPAHVFVALPQTGEIVW VNSRFLSYRGQTSGDLAADPWGSIHPDDRDEYLKFWSQSVRTGEQFSRTVRIRRFDGA YRWFYARAVASKDKRGVIMQFLGSYMDIHDQHIAELRAARQEEIEVSEAKHRLLANLI PQIIFTATEDEGITFANEQWLSYTGQTFEDVLGLGFMDFVHPDDLAKCQIPPERSPSP LFKNENKGHAHSISIDRMSIKSAKSTRPSSTAPDANIRGIHQALSRHNSSSSGSVYEL STANLTELARKGVIRVSTDSSGRLSYTTEIRLRSKSGEYRWHLVRCVEIDNVDFGNGV SSFFGSATDINDLKLLETKLKEAMDSKSRFLSNMSHEIRTPLIGISGMVSFLQDTTLN EEQRDYTNTIQTSANSLLMIINDILDLSKVDAGMMKLNFEWFHTRSLIEDVNELVSTM AIAKRLELNYVVEEDVPAWVKGDKVRIRQVLLNVIGNAIKFTSQGEVFSRCKVIVPEG VELGEAEIMLEFSVIDTGRGFTEQEAELIFKPFSQIDGSSTRQHGGSGLGLVISRQLA ELHGGTMNGTAVPGKGSTFTFTARFGLPTDTDHPDGSAVPQPTPGLMREVSVSSTESA VRDSFQHVQAISPQTTDSAYRSPTMASSGSSELSGASGRTQTTERSSLSSVSGLARFS EAARASGQDLSQMKLEMPAHRISPGTTPIPLDSKRPSVVANYRPPLYSILIICPQTHS REATTKHIEQTLPKDVPHQIVAVSSVDEARGLIGGEESVNFTHIVVNLPTPEDIISLM DRISGSSMLGKTSILILSDSVQRQAVIKQVAGTKYDDMLSDGKVTYIYKPVKPSRFAV IFDPGKERDLSIDRNRSTAQQLVEFQRQSYVDMERRMGNKGYKVLLVEDNPVNQKVLS KYLKRVGVEVELAADGVECTDMVFGRGRGYYSLILCDLHMPRKDGYQACREIRAWEIQ HLMEDERTGRSFVPTNQNPNNKNRTLPIIALSANVMSDVQEKCVEAGFSDYVAKPMDF INLNQALSKFF QC762_0057580 MCNPTQCSHSLPPYLVKRHQLLREKPEALSSYPKGKCSYRPGSQ GVSQGFALSRMSPPP QC762_308890 MADSDDEYVGDLSGDDAGVDSVYGTRSKDGGKGKGGQKGKGTRK AAWEEVHRAWDEVAIAEDGSITVAELIEAEKRRRLMRDTTPIQRGIIRHMVLVLDMSI AMAEKDLLPNRFALTFSYAMEFVNTFFQQNPISQLGIIGMRDGIAVRISDMSGNPVEH IEKLRQWALKDPIGNPSLQNALEMCRGHLYHTPSHGTREVLIIYGALLSSDPGDISDT ITSLIADRIRVSIIGLAAQVAICAELCARTNDNDDSQYRIALHEQHFRELFLAATTPP VTHEAEQSNASLLMMGFPSRSLASKDFVSLCACHNKPTREGYTCTRCRIKVCRLPASC PVCGLTLILSIHLARSYHHLFPLKSWVAVPWTEAKKSVACFSCQTPFPPVPKAAPPKI KLKVKESSGVGGQTAANIAKAKGRGEVPAKTNTVTAPTPGLLPEAIKAGVSESGRYKC PVCEEHFCIDCDIYAHETIHNCPGCLARLVKSQQQQQEEVVGAGEAMEVDS QC762_308900 MGGNSSKITAQDQAIYDLKLQRDRLHQYQRRITLLTSRETEIAR ALLAQNNRPRALLALRRKKYQESLLAKTDQQLEQLEKLVSSVEFALIQKDVVFGLQQG TKVLKEIHAEMGGIDKVEKLMGETAEEVEYQREVSEMLGGRISVQDEEEVEEELAALE RELGEPKKKKEETPSRVVVEGELPDVPGTELPAGEQRQEGVKKPVAERREAVAA QC762_308910 MSAPTDANKVPPQPESPTTARPLEMDDDDIQEAGIINNDDNTTT TTRNVPTTSASATSPVGEVPPPQPPRPAVNETQQNQQMLKEAFPTIDMAVIKAVLTAS RGQIEPAFNALLEMTDPDAVAQNEQPPPQPPRPVAAAHGPTTTAQEQLEADERYARQL AEHFENVGAYEARTANRGGERGGHPGRGGGPVPRGRQQTGLRPSQDEREHSFLDDDLP VIKEQLKKGFLETQTKVNTWFTDFKKKIDEHFDEQEEERRRAEGSAGSSSNPLAGGRP TRDQNQTRRSADYDRYDADPELLSDDFAGMKFHSDGTPVQNQRQFGSNANVFRPPPPS KSPRSHEGRKVAFSDKVEDIDAYNASPKVKAQDAAAAPGGSKPSKWQPLSAVEPNPIA DNDPFSLGDSDDEREVKDKKEIKLEDSERLRQATADAMADSLVDDKTKAGSGSK QC762_308920 MKFGKQIQKRQLEVPEYAASFTNYKALKKLIKKLSATPVLQSQN GATGVQATGFCAATPGSISGGLEHLDSQAALQANKATFFFQLERELEKVNAFYLQKEA ELKIRLKTLLDKKKVLQSRHQQGGGNNLSRRSAKFTTLQEGFQQFANDLNKLQQFVEI NGTAFSKILKKWDKTSKSKTKELYLSRAVEVQPFFNATVISELSDQATTSLQELGAWA DGENLSFHETGTTAGHIVSSQHLLGTDEGDADTLLLDTVLSGNLEGLRDLLGRMRAAG GDERQQQQQNMVMMERVTRTFLASINEGPLEALHVLLETGLVDIQSEDDINERNCLHQ AAIYGNGFVLEYGLAKGVAVDRTDVYGRVPLHYASIHGRLDMLDKLLAGAPETINLID HDNYTPLIHAIVHGHLECVGRLLEREARLDPVSDTDHVPLNLACEHGSLAVAELLLKH GARILADAEGLYPQHLVARSGQTPELLLLLQNYGADLDQIDKLYGWTPLVHAASEGNV PCLQALLNVGADPNILDEKDLPAMYYAAWEGHLECMKLLTPLSKDKTSSPLARQVAAP LAPMASSSAPIPMSLDVDAIPVLELPPPIIPLRRYGHNFLDNKTVVQINFDGDQPLVF FHDSKYPAARLTISSKVSDVIPKNIMLPFQEDTRLVSFQIDNLDSFTLDFDVFPAYGA KVIAKTVALPNTFRALLNSNSGSCCLPLFDPRLRAIGQISFHAQVIKPFQGKPLEITD FETYWKATSQFDTPSMPATTPAMPNTTFVTGSSLTGDFVRIYVQHTSDGIPVLWPQWT VPCTAGIDIPVSRLTLSQFRTVTGPSLAAALPDDIPSVHKILASAGGTTLHEALSLLP KGMHVNIQVLYPTPAERERMLPLTGAGHGLSADLNAFVDAILTVVFDHARAQRSGPGR GGRSVVFSSYNASVCTALNWKQPNFPVFLCNDLGKGGDGEEGQGVSVKDAVRTAQSNN LMGLVCCERLLDMVPALVDAIKSHGLALVVDKSAAAAAAAAASDSITPPTATGGDIFG VVADPFPRLPKGVDGVLKRDGILKFCESIDV QC762_308930 MDEKRGTGHSAGKSRVNANRRHHTDGVVGRLSYTPAQRDGAFGE LRKNRVEDETPNFQQVTPFSQWCPPSKVAAPQSNLGGPWRIARAQGNWLTEFLPEASI TEDALEGLFMEEIASAKDSTEASPVSTTSLFSVGEIADVRTGRNGDAAISVMAVSSGI SGNVLRLISLAREEWVWEEADVTARIKAPDARFEGEWCQDATPISLVKFAINASGSKN KQGKSVDMIRWLLVQKESSTTICEPEVRDLPMPTPGLATTSSPTSQIFINPLATIPIS QTGGSPQTDVCFIRGPDRNIPQLVIIDQCGYWSIWDITGRRDNRPKSLTPVLTMCGNM LAGSIPKLPSSSTSLDKPHRVVYLEVEADESSSDELGHPRRPFLLLNTDQALHLFDIE SQNSHPVALPSFGIDHQRVMEVAPARLDPGQFFVLTNKSLLWIAAKKGADGTVKPDFL AICPHQKDSSDPTLRIEVSAGTFVNDVSACFVCVWSAQDTEMNIFWFLLPESGTTTGY HREVVSLKSRSNFVGLGMLPVKRKLGKRDTIMPSGKRLRDASMRFFQFLTLSQDMEVS SALCVWSDIEGAEIPVPEVLDRGGKSRDAEKELLEHLHKRLVVPDGFDELTVLGKRKI EEPDSGDEKPKRLKLNDCSLVGLRLGMDPSEWRNRTLHLEKIEPATGQDLRFLREAVE KEKEDGYMPRHSVLELAKPSHQQSDDGLITLARTWADIQPELQDDQEEEEWLYPPEAS RPIPGFNPDDMAQNLGELFPKPRKRAPATVKNRRTHILQKMAAEMFLSNISVSAVPPS WGSTLITPNDSQSQSQSQSQSQSQSFSFYSSSQPTLPSPSFPPTPSSPSKPSRVPSQS ADPEDEQPQEDVVALRLRKYGSIPTSSSRKGELSVDISPWEVGGDPDNISWHLGKDKE DIEAEKRKDKRLKKMMARRQRVERLSQRYFGEESSVLEESASQQLLPGIQPSSQLVFS QGVVVPGSPAAFLRSPTRKGVVRPTSPLRREYRMGGGGGRESSSQQGVGVSGGSSQTP SQQQVPPTRSQVLPGLFGGRQSFGAGAAGRVRESLSPFKKKKKRKSEGRLSGFR QC762_308940 MSSFDPSLSTTTRPSPLPPPSTTTNLADSLPQINFDFDSLRDRM SKFTLKFDSFIESGRKRVLSERNQFRLNVAELQEDHRMKKKDIEILQLKTSSYQQTIA KEAAETREMQQAIASLTAQRDRQLAQRDSLRQQIEAAQREIEERLQKQREHQKKLEAQ ARYNVPELDFWVTNLCLRIEGAGKEDRLKFVYTHVDEKDWEREAWFELAMGGREYDVK HCRPKLEKDKVERVLDRVNETRELVGLLKGMRELFVEAMKS QC762_308945 MADRTEKQAAAQQAVDILHEISTLLNCHLDRRTLSICISMIENG VNPEALAARGSQGTQNRRPKRAIRGCRSCWGEPEEMTEIPEGGLYVEISK QC762_308950 MLIPKADRKAIHEYLFREGVMVAAKDYESTHETGIRNLYVIKAC QSLTSRGYVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEIVPATHIKQQRSHAPPRGM LGDEGRREGRFGGRGRGDRGDREGGYRRREAGEGKEGGAPSDFAPQFRGGFGRGRGGR GDAPPS QC762_308960 MASPPSKINILITSFTGSSLPPTLSLALPPSTPISTLITTLDSR LPSSSSSSSSLLCTRQLLLTTLSSTYLPPSSPSPISSLLSSQKDDFLTLRLSAPLCGG KGGFGSQLRAAGGRMSKRKKTEEDNGSSRNLDGRRLRTVTEAKALAEYLAIKPEMDKK EREARKKRWEQIVEMTERKQEEIKYGSKKVGLDGKWVEEKEVGEERMREAVAEAMRRG LVVDNLLGTSVGSSGSGSGSGEEEEEDREMGDGSEGGEEHSQGSKETTPPSEVEPEAD TAKVEGKGKGKEKEMVKPVEKPQARTFFGFDEDDEFMSSDEE QC762_308970 MSDEERVTKPFKFVTGVDARFPNTNQTKHCWQNYVDYHKCILAK GEDFAPCRQFWLAYRSLCPSGWYERWDAQREAGNFPVKLE QC762_308980 MFATRSLRMFRATPRMMRPIPKEEQSAHTVSQRLRRLKNIPAEL IPLGVVVGFAVCAACYSITRHLVVDKTIRLKRQNRAADSHAAAGEHH QC762_308990 MSDATRWKATIYVGNLPPQATLQTISEAFLPFGEIADISLPKND GRGPNGADNKSNNNDFLGNGPQQQQQQQSTHRGFAYVEFEDEADAKEAIDNMDQAEIF GRTIKVSAAKIPKSAQTGGLGSKTAVWEQEGWLAENAVSEEDRLASEQAQNRADDPMQ GLEGLDVAGPKPE QC762_309000 MVRLREIPRTGAFAWSPGSDALVVTGTRSGAVDADFSDETKLEL WDLNLDSQEQGLELQPIATISTESRFYDIAWGAPSDEHPLGVVAGAMEDGSLQLWDAQ KLKDSEDALISRTTKHTGPVKSLQFNPLRPHVLATAGSKGELFIWDVNDTSTAFRLGT AAAQDIECVAWNRKVSNILAAGSAGGFVSVWDLKTKKLSLTLTPKDRKPVSAIAWDPN NSTSLLTATSDDTSPVISLWNLRNSQVPEKTLQGHDQGILSLSWCQQDPGLLISCGKD NRSLVWNPQTGERYGEFPEATNWAFSTRFNPVNPNLSAIASFDGKITIHTLQNTNPST APVPQNSLDDDDFFSKAPTQLQTTSFSLPRAPNWFERPVSVSFGYGGKLVILRKNDTP VGQPRSSKIQIVGFSVDSDIGSATEKFEEAFKSGDLAGICESQIESAKTEEEKAEWQV LKTLSASDGRTKIVEYLGYSKEEEEESNGAEESETAETTEAKEETEETGLAPPQANGD GKRKHKRVTSMWGDVDDGEDFLSDLPATKGARTDNPFHLLSEGNTHLEDKITKAIILG KFEKAVNICLKENRIADAFILANCGGKDLVDKVQTAYLAQKKGAPSYLRVINSIIGKN LWDVVYNADLANWKETMVTLCTFADPSEFPDLCEALGDRIYESGSRNDASFCYLVGSK LEKVVDIWIAQLKEAEEAGLKESSNDSTFSVHARSLQQFIEKVTVFRAVTKFTDEEKN LTGGWKLEALYNKYTEYADIAAAHGQLAIAQKYLDLLPNEFPAAEVARNRVKLATQKA APQPAAAATRAPASRAASRAPAPLGYQQAAPVAPVAATPANPYAPPVQAQQRAPVQNP YGPTTTSQYAPPGASPYAPQGQGYAPSPPVGGGYAPPVQSFTSAGPPPRSTGPPPQIK KDVGAWNDLPESMAAKKPPPRRSTPSVAPIASPYGGPAGLTSPPPVGPYQRGAPTPPP PPPKGPAPPRNTASPLTGPPQVGQQLPYRPGSASSHASTNPYAPPQPQVAPPLPSPMA VPRTASPYNPPPAGAPAPSRYAPAPAPQTYSQPPTSTPLAPPPSNPYAPAPVAHQSAP PVGQYAPPPPQGGRPPVGPPPSAGPPRAPVGPPPAGGPPRASPAPAAAAPPPPAAAKP RHPAGDRSHIPPSAQQLVEIFSQDMQRVAAKAPASFAPQVKDTQKRLGLLFDHLNNEE LVQPDTIAQLAQLADALASKNYDVASKIQVEIQREKTEQCGQWMVGVKRLISMSKATP QC762_309010 MSHHCHDEHHDHSHGGEGEHDHSDDITPALQFSLYQHIDFDGVA TLNEATYGSGKEVLKKTWAERLRVEPEVESDGDEQLLVNVPFTGQVKLHSILLRTSDS DSAPKTMKVIINRDDVDFDVAESATATQEFELARTGEVQEVAVRRARFNAVRRLSLFF PDNFGDGDEDVTRISYIGFKGEWMQLGRAPANILYEAAANPSDHKVKGVGVNQMGSDI Q QC762_309020 MVYYFTSTVVDPPAYIYVGKDKFENKLSSAHIYLRLPEGQTWDA IPDPLLTDLGQLTKANSIEGNKKDNITIIYTPWSNLKKDGSMAVGQVSFKDQKKVKRI LIPQRENPIVNRLNKTKVEKHPDLRQEKEDRLKELRKRDHSAFLARKKEEARIAKERQ EKKYQKDHAYDDIFTEENLAQSSNQDRGSDWEDDFM QC762_309030 MAPRLAPAGAGLTLRLPLRTTSPASLLRTTQFHTSTPQSVKLGW STLPPRAKPTRFNQVTSGLPAPTAGPAAALKRKAQSTPVRAGVLAIKKGMTAFMGLTG TRIPCTVLQLDRVQTVLNKTRKDHGYWAVQVGFGERDPKNVGAPMLGYYEAKGIAPKE QLAEFKVRDEKGLLPVGVQLMPDWFYIGQRVDVRSNSRGMGFAGGMKRHGFSGQEASH GNSKNHRTIGSAGPSQGSGSRVLPGKKMPGRMGNQRVTVQNLPILLIDNDLGIVVVKG AVAGPKGCVVKIQDACKKPPPPEEHIEKTMRALKERFPNAEEHLQQARERHLELKRAR REKRIEEILAGGWEPTREQAEMMERAAAEDGHESLGGEQQQATL QC762_309040 MLLSRLTQRAALFRRIPSQISQRTQPLRQQIQKRTLIPAPKRGD GPLMERRADRELPPLPSSKWMKTLPLFALCVGVASVAIFNYQKFSSPVVGATLYALRT SDVGREHLGDNIYFAQQIPWISGTLNQVQGRIDITFRVKGTKGEGVMRFASFRPSPRG VFETTEWSLEMDGGKKIDLLDGAEDPFKAMTGADQLGIDLDDDEGDSFAAKRGFRK QC762_309050 MVAATAGGWLPPRLPTPFVYPSSSVGTSTSSSGRRGGGGGGVYT ELNRSHSSPSPHPSTPNPSLTTPTPELDNNSRGFLLPESESRIPPRKPIIMNGREKYR HHNFPPAAPKSWIKYIILVTVLLAMDGIISLALVSSTMSFLHNYGKGPFEMGYPLGVS GFLLSGHPAGLVTNHGHAVNAAGGTAVVVVGGGGVLGLWGLWRFHSRRRKDYANYTRS PLPRVFQAWAVVVVLSFLLSLGALAYTFVETRRTSGQSIDPNVAEEYQFPRLYPDDRW TPETWFEAVIALPMEDSMDEEVIREKLRLMRGWKWNTIPMFLLGLTLAGLVVREVAVF GGWGSKGRRVRKEKRQEELGMAGLNGMSGYRGVGDGPL QC762_309060 MPRRTPTPNLTTLTSKLESASTRLRKSFKYTDDNSTDDDIPEVM DEQEQETLITTLTTRNAHSNTLTLRLLLTLPVLSSLPYLLLFPTSPPIFPLLALSSLS STLYLLYTLPVTSTGFNALDKPPPSIQGKIIQPPVAKSPLEEYLPWLNLLLVTVLALM GLVQEKTGKGGGPHPVLLGVLPGVVYGVCVATKKTMAGVDVEKELGRLRYGYKGA QC762_309070 MPDIRSFFAPKGGAAAAPSKPAPKKKEEEKKGRGKARKVVEDSD EDEEVVEVKKSTRSTPRKKAAAPIEAKGTEISTSQYFASTKAKLAATTTSSTPKKAAA KPTPELPVRSSPRSKPAAKPAPETKTKKPVTTYKKHTIHDDDAFVDNEDEDAGDDIFA ADVRGNKRKKDDYEEEESEEEPQPKPKRIASRSVKKVKDESEEDDFEPAPKKPVAKKP AAKKPAASAASKKRKSPVTDSEESEEEVVKKKPAPKKAAPAKPRATKAKKDADAEPDD VKDILANIPTIQAPDAPPKDPNWKFDFKNKGGGGNAGPAPLAGTVDIPEGEEDCLVGK TFVFTGLLKTISREDGQALVKRYGGKVTGAPSSKTDFVVLGDDAGPSKLRKIKEHNIK TIDEEGLFYLIKTMPAGGGGGKGSEAARKKLEQEEQKAREEAARMEEEEKIKRAEATR AAKAAAAARGTAAPAPQPTVPLTQLWTSKYAPTALNQICGNKANVERIQGWLKNWPKH KKYDFQKRGADGMGGYRAVIISGPPGIGKTTSAHLAAKLEGYDVIESNASDSRSKKLV ENGVMEVVNNTSLLGYFAGDGKTADAAKKKIVLVMDEVDGMSAGDRGGVGALAKLCKK TEVPMILICNDRRLPKMKPFDHVAFDIKFQRPTVDQIRSRVMTICHREGLKMPLPVVN ALIEGSGKDIRQIINMLATAKLDQTTMDFDQTKAMAKAWEKHVILKPWDICQKIIGGG MFSSASKATLNDKIELYFNDHEFSYLMVQENYLRAKPSAIGGVGMHPKEQNLKHLELV DMAAESISDGDLVDRMIHGPQQHWSLMPTHAVFSTVKPASYIAGQFGAQPTFTSWLGN NSKYGKLSRYVREIHSHMRLKSSGDHNEIRQQYLPVLWRQLVKKLELEGKEAVEDVIE LMDSYYLTREDFDFIKELGVGEQDEEKVNIDTQTKSAFTRIYNQASHPVPFMRATNLP AQAKKMSRDVPDLEEAIEEEDDDEAAVAEPVDDEEEELDLSKDKYVKASKKKAAPKKA AAPKKTAAAKKKAAAAVVMDDDEAEEEDVPVRAKAKPAAKPGGRPKKA QC762_309080 MPPRPSPPLSSLLSALTSLRLTTPRPSPLNHATTTIRTFTTTPT LQGSWLEPNLLRKNKMMKGRPRVPTGGSTKGTTVVWGDYGLRMCDHGRRISAKHFKMA EDTIKARLRGQKYRLYKRKCCNVGVFISGNEMRMGKGKGSFDHWAARVAANQVIFEIR GVLHEQVIRDAFRLAGNKLPGQYEIITKNDPPVVGITKLENGLTLEDLKRPRKKLPAP VVEETSTSATEATSSAPPPS QC762_309090 MGALKYLEELSKKKQSDVVRFLLRVRCWELRQLNVIHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKKPVPKGATYGKPTNQGVNQLKYQRSLKATA EERVGRRCANLRVLNSYWINQDSTYKYFEVILVDPQHKAIRRDPRINWIVNPVHKHRE SRGLTATGKKSRGLNKGHRYNKTKAGRRKTWKRHNTLSLWRYR QC762_309100 MSSGGGKTGPPPKQGQGPFSGVAPSSQAILLDKLGRRSTPDSEA LASSDDEPEAFRQDNIPPTVQPPKPMRRASWLNDTTQQQPLRQRKESFASSSMSPTTS HPSTPAADPGASVWGASAGVLGRNHSGSGTFTWGNTGIWNTDRKEPPSRLTEVLPSPT SAAPPGGTASSFFGNDFTQTSPGPRETPNSQLPFPIPLHPTPKTYRSQSYSVGQLEPS ESAMTAGVPSTIGSRVRPMGHSGLQHRPSRPSMLSEMANDSSLGKVNEDDDDDSTGSL QGSQHQEQAKTIEMLTRENMMLRQQQQFQNQARLRPRASTSSWGLGNGYFTQEPVPEE SDYAIDEHDEANDGSEMAARRSLARRMSEYGPGTLRTPYLIENRKLENVKKGIWQSSL GFGGLGEPPQSRRHSFADVPTRQASISSISEAALEQASQDLSHSQEFPSAYNDNANFN TGNQVPSYFLSGNVASPAQQGLGQVGPYHNQYASPYGGMPGAYANRPISPHRGMYGVT QPRHTQSLYIVLFKCSRADVFYIQEGTGLSVKPGDLVIVEADRGTDLGTVAKDNVDWQ TAKEYKEHYAEEQYRWLMMYSQNATSQDGAGAGLMAASNGLQGSAVGGMGPPSQNHMQ EPNSGELKPKLIRRLAQPYEIQGLREKEGQEAKAKRVCQQKVKEHGLNMEILDAEFQG LEEAHILLLCRLIHQLQLSRHRPFQNLQNSHLDVGHQSGIFREPVPWASGSERYWSWS GR QC762_309110 MPSTKLLRGIAPLVAQPSSAAARRRTTTSLLRLRHQPPSQQPPR RAAHTTTSGLRPRLQPQSWHPTTPSPQQPKRTIFIQTEPTPNANSLKFLPNHPVLPES ISTPFVEYLSPRSTISPPYPSPLAANLMNVDGVTSVFYGQDFITVTKSADAVWAHIRP EIFSLITEAITSGQPLVNISQQSASSPSTAEQEQESGERDSLEYDENDSEVVGMIKEL LETRIRPAIQEDGGDIEFRGFENGIVMLKLRGACRTCDSSTVTLKNGIEGMLMHYIEE VQGVEQVLDEEEEIAIKEFAKFEEKLKAQKGAVPESTGKGGLDSVPG QC762_309120 MMMTPLHPQNQRQNSAPYVTPNQANTNAPAPAAQCHTAQSPATN PTNPTTPDPPAPTSQPTPQSTAPNPSQQTNNDPYKILLDHAHVFQRLVKKYPSLPFVL DSIHSQTLPPPTPSSSTTTPSFLQNNNRKRKEPPWSKDVGLRKGASALKKARTDPTDR GDGVREFCDAVLYLLSLGEEEKGKGDGDGDRDGGPRPVAERAVKEVGEEVRMEERRVV EGLLREEGGV QC762_309130 MQFKMGYSRAQQGAVPRGFGGPSKPSSKHVEGQLDPEDLTRRLL LVLAEQEEHEKRRQRRAEQQSRHRREHQSSRHGQSQSSKTQPDPRCSDHSRRRPSQAN TSTSSQHPEPRSTDDHYVPKEAARQFTRTTTVEQMRSNDFIHQLTKRAHRYHKDSNRE GDPIASTTPADLARQLRQSQAERDRALERERQQRQPPTSGPSTSSLSSQQQSHTFGAE LARLNTNHNRVSLTGHYPSHQPRRNSTGGADPLSADTTPTQQPTTRRSMLVLNPTLPG GGGGGSEDTTTPTSEDPTPLQRFPIHAPEHRVDWSQSDERSKSTGTSRPRLMLSPLLK RADSLFTLRSSKGKEKGGSSSSAGSGSGSVVTSPTGAGAGGEWGGVEFGIGDYAAQSY QC762_0057840 MPRMGLSLRDPGQAAAVRRQRRAATPNGKLDLIATVQGWVAWGL LCDGGWRPLLRVKRGTQEVNKVELSLLRN QC762_309140 MRAHTHPPSSDRSGGLPFPDFGPQRSFLILDDPPPPPTTTTTVE EGGPRDSVASIVDDPFFFGYHSTAANTISAAAAAAAATATPGIPGALTTSAGHRNEER QPWIPPRKDSLKDIGPTPWFDRNKPAMEAINIAIIGVEGVGKSAFVQRTIRSTRPPTQ NMITFRHVLDGTQYSVTLVELDLEGFELDPRQPIQWPKQVAGHMVPRIDGALILYDVT NKESVRGLDSTMAALANSSLPTVLAATKCDAPDEARQIDVADVASAFPTCAGHFRTSF NVPGSAQDCLQAALKAALANKRGEQPEGSMTRRRAASASLDTPQEMINGRPISQHSKH SRASSDLSLLRGFPPPPNESHYRPQASRSPRLDYSSVAQHSNSNLGLAVPEDGPQTTV SAMLRQPGIRLDSGAESFLDVSESDGESYRYSDDIPILQRNDENFLDRPAKVAGVSFD DLVDRLVAPKMAKADQNFADIFLCLYRKFAAPSELLNAIRTRLDQLREDKTTHILIKA EAQVRLVESVAKWVSLYPGDFARPATKRSLEEMVGELSSDPLFVTAAQQMRVHLEHKV VEDDDTGWGISDPIDETDNMFEGLSRPHTGITGSMNSLQLDDPSNPPSSHHRRPSQSS ERSGSDIHGRTTARYQFQTLEDYEREAATLVPMPSLALNKFRWHQFMELDTEEIADEI TRIDWVMFSSIRIRDLVRDVSLNREQKEKCKSLKNVNRMISHFNHIAKWVANIILIRD KAKHRAPCLEKFMLIALKLRQMNNYNGLAAVLAGINGTAIHRLSQTRQLVSAETQKRF ARLVLLMGTQKSHFAYRLAWENSPLPRIPFMPLHRRDLVSAEEGSKTFVGEGGRRVNW KKFEVLGEVLLPIMKSQGMPYGVGEGGGGGRRGEVRELILDGKLMGDEEDIYQRSIQV EASSAAGAAGAGEGSTKKKFPWLANDRQPGGDGCFQSGERKRGFSGGWRRLSTTTTTT TTTTTTATATTTTTTTTDEEGEHREEQQETEETGGGGGGGKARPHSLGTVEEKKPNKK MAGDYPEVLRKFSLPLSVQGIGSMGLGFPMP QC762_309150 MTTFIKEHKWVNNHGQPPSKRRRINAACLTCRKRKTRCAGERPV CSTCAKNGHTCLGYNDLDEKKRPANGGPSDAQDYRDDNTKHEHEEDYLNGEKVEVKME PQQMWQNRGEDNYTNDGNKKGDQRFWKSRSQSHSQQQQQQQQQQQQQQPQQKPRMAGF VDADALSRLDSVSTATVTSGRRRKHSNDWDQDDTSQKSNNRSSSNRSPVEHQHQHQHE SHRVPYFRYFGPTAIVPGFKQMVVDISREIHRDRRKSRGSSFSTTSPGSLYGCGAGQH HFTNPGTEFDSLEDIPVYDVNDSNPVHPLILNLAITFFTHLGCNYQFMRKDRTLRMLK EKRLEPILVDAMCALAARFSDDPIFINPHDGKEKRSEFGQVFARRAKAATVDTFPCPS VAAVQACLLMAYEGFGANQDSALWMYLGLAIRMAVDLGLQKLEGVKYQGERDPWYTRS WSRKSNDGSDEPEGKRNDEEVLGPHEQREVEQERMDTFWAVFVLDRVISSGTGRPVTF RDDDFELSLPEHAIDPVSGWPDPFPPFIEIIHLYGRVSDVLNNIRDANDLTEEKMQKL AQMEIDLSHIYKKQDSRLHFDPANFRKYVEAGKGTIFILLHFWFHALIVVLHQPTLLT PFYSLRPTQLLPNSRELSMSSAKTIADILAFADLIDPKSFIGNPFTSQPIYIAACAFL MESGANASHPPSREPSPSPEAKSRFFKGIPGKLGPSIDPRQKHSLLVSAANSNYTRCY KSLQQLQQYWAGVGYILNALDQKSKGIWDCETFTKEEYEPITLARRRSLERLPRFEHP ASPNVPPIAYSLTGTTNSPNSNLTVLFQNPANTTLPPIPPPPPLSVPVSAATPPGNMT YDPVRQSLPDTPSAMLPPAYPQANISTLRYQSRTPKLSRLPQSPAMGKSLLKYESSPS VDLDLHTPPPADRYQRQNHHPTHHQTSHNNNNNNNNNQNHHHIPSYASSSSSTTTHHH HHHHHSSNTSSYETSTAHEGSPSTTPTDSGLHHYQHNSHDHHHGNHHDNNHHDDNSVG HNEYETDFSHGGLISGGGGGGGGGYGYLEINPISEAITSNSFEVNFDMLGLQSDLMMP PWLEILPGEVLGGLFEGGLMHHGQHM QC762_309160 MASEQKQHPSPNHYDIVIVGAGPVGLMLSTCLARWGYKIKHIDN RPEPTATGRADGIQPRSLDLLRNMGLKSAIMAHKPARVYEVAFWDPPTGGKGIARTGT WASCPDFIDARYPFTTLLHQGLIERAFIADLEKNNTTVQRPWTITGFTSDEQADPEYP VSVELQHVDGTFKESVKAKYLFGGEGARSFVRDQLKIGITHKDPIAYVWGVMDGVVKT DFPDIKMKCTIHSEHGSIMVIPREDNMVRLYIQIASSTDPDFNPRKTATVEEVQASAK RILQPYSIEWERVEWYSVYPIGQGISDKYTLDHRVFLGGDACHTHSPKAGQGMNTAFL DALNLAWKIHAVEGGLAHKSILETYEPERKDVAETLLSFDNKYAKLFSQRPPSSNEVA AATAQKASSGAEENEFIKTFKESCSFTSGYGVAYKANQLNWSPEHPAQSHVIAKSDAL VPGRLFRNADVTRVVDANVVHLEQEIPLNGAFRLFVFAGKPSVTGQALKDFAAGLAKK NSFYSAYQRADIDSVSHHERHNPHSKFFTICTVFAAKRNEIEISRDLPALLARYKDNV YADDRWSRNFPDAKAMAHAKMGLDEERGGVVVVRPDGYTGVVVALEEGSATVDALNAY FGAFASKKLGEAQAQL QC762_309170 MPNGINRSLFVLTKKRRPKLSTRIQERNKKKCDEKRPTCSRCSE QGVECVYGTVKPRQRKRRESAPHAAAGTSSEHYSGARRLSELSYYSHNSSYLGWGVEN RGQDLTRYGEPPAVIFNTHFSLGEYPPIDILDDYPNEAPQESPNGGSPEADAPADDEG ASPAAGPISPRAASKAPPDLAMIAPCSVASPLQEFHAPAFTEFTERPNRRALIDHFCN VLSHLIVFREETGNPFQQLILPLTRKSPPVLNSILALSCAHLEYRGIENSEKSLYFHN QAIQGVAQMIAQKEKANRTDILAAIMLLVYYECLVQKGRSNIVAGHLKGALTIMCSTD DLLDPAGVFLERAFRFYDVITALSNNTSPISTTPSPGGLLPFSPIGATPTSPLSNIDT LLGMSTTLWPIIHRLSGLSSLKSSLNHAISSDSSPTKIAVLRTEFSSTAQAIEAALNN WQPQLPADFTPPEGNDDPEADPVPVVAIRGKSSNIPSIYHNSLAYRHASLLYLYRTIL GYGRGHGLVRRHTRLTLKNCVATVGHRGPMSALLWPLFVAACEAGEGRDRELAREAFE KVERRQGMRNIGRAWEVVGEVWRRVDEEEKGKEKEKEEGGKAKGKEEEREELWRTVCR EMEVSLVFG QC762_309180 MRGQGLWECTSGVVLTFSALLGQDVLVSASHMGRNPLQQIQQLQ QQRRDADVIHRVPKAQITPPPVVRGDVLRRLEGATCATEHHMCPPEMNGGCCPDRYAC AFDSCYATTAGPTTACGKANYFACADSALGGCCPVGYICGERKCEPPADASAQETNCP ASYTLCPASASFGCCKEGYACAINGCYKTEPYGTTVVRTFTSTSSGSILLTTATITST ATPSAPVGVPASENAKTVVKFIPTSVPKIAAIQPTEPASGGGLSGGAIGGIVAGIVIL LVVVVAAAFFIIRRVNKVRDEIVESKKGGSDMPKSHSKTASQVHQMEVDGGQLHYNPP YEDDIGIDSLIQSNTSAAGTPAPFYDPNSNNRGRSESNPAGGFTPSPNMFPTYDEPNR SRHASPEPDPGWFDSGNVPPGAGVQNNQPMRPAPIRQSSGSQGDAYGNYQYTQYTPYS HNRNQSDASELSADGGSDRGGASPHIIPELAASGGFAAELPGQHGVRSRSSSNTSARG QYRNHPMHHSRQRSDSNMSDGQQGVPQGGAQGLGLSPLDEEGTDIHGYYGRRDQQAGQ TAAGLGEVEWDMSSPVDPGYVPRPYPKDQPGGGR QC762_309190 MSPKGTSKTLPIHIPIPCLSLTPSNLSPTTSLSRCITPSKMKLL PLVLLPLPAATALFNPGGHFYPLLHRASCHGNNCNRAVTGTGAHLPPLTQRSADCRSF LLTTVTPAATTITKIVEPTPGARLLRRDELEEIEKRNEVMARQATITPTRVPSWVGGN CGSGPDEFRTGCLCFGVTGGVSTAPRRTVTVTETLDWCEE QC762_309200 MAGATAAKVRVLPYKDFLQPALQRRFATSGLVILVVAYLEALLL ASWDSFLWAWFPLGPAGIRTFFFFLCGVLIITLRIAQHHPGVRTTSSPFATFLDSLRS FNTLETILTYAFSAWAFSQVYLWCLSEDAGLEYISYYHADRARLNEKAVFLTTHCVLV GVWHGLRHLFSDVDRLHYGIAKPPPRDADKKADKKVNADDGDFNTQLQKLAQKLPEIV VFTLTQALTSTTVTMITYSVFLRGFVWRFTMSLFRPVYNLPKTNMTPMTLPFSLTIIL RCLWTSVLLTFVWTLGNEAFSLFMVKNPLKNNKPLTSESRDPNGSLLNGLKAKKLSIQ CFAMWELAYIARDFPDRRKAIYEDIDRKNGPMWSQIYKICIDVLKQIETRIDNYEKYG KPTVPNPALDLDRNRAPEKKRLTETVPRDEEVFQSSPQRRGYREAIERTVGKIATDPG QPSQLSPRARKIAEFAKGELIKAQKQATGTDDTEGLAKDFATKFVESPFGWPFRQTYR RGVAKVLLGEPFGEVSLYANAAFALSGLAVRSLQEDKYGYVQRDVAGLVRTLTGLVRK VEGFREGVVVSWTDVEGRRESREVEEILEGLKWSLRGVVDGFGDYARDVGLSLGDLRL AREAAGVKKAVREEEEKEVKGRRLRLAGGETAAAGVKITEEEVMGRRLR QC762_309210 MSFDRLSAAEQGRSGRNYNNNQTPARSSIAGGSYTDDPDFTRLY QSLTTNLFKLNGNNQRLSGEISHLGTRRDTPRVRERVHELIEESRDLFKSVGEGVKKI QTWDEDVTPTQKYHQQKLSRDFTTSLTEFQSLQRTALEKQKASVSVLQSALPATSPSH QPQVLSSSPGSQQQLLLQEQELARLAPQDEVDFQEALILEREEEIRNIEQGVGDLNVL FQQVAQIVNEQGETLDTIVNNVENVRDDTRGADRELRSAARYQKNARSKACCLLLILV VILTVVLLAVFLG QC762_309220 MAHAMRAIGRLRAVRSSGALSISPLAIRAFSLAAHNRAFTSPAS STPLRPGTRLLQHKLTSQPQARSFSLINAFDTAIYNAQEYLLFLHTSLNIPWYLTIPL FAISLNMVLRLPTRLYVQSTFHRQAKLRHLSEIFAVQEVQRQTAQQKGKASKMDHLLQ KLPVLHTKANNKFRKRWKLQPWRIIAAQLATFPVWLLGIEAIRWQSTATGGLLGSILN WFREKPVTAKAADPVELANSAIKPTLPPPPLDNSLTEAISSSTQDIATTTATTTTTTQ PAMEGILWIPDFALSDPYHILPLTLSAVLVANAIPKDKTKLARMFGKAPVVNPDEKLT GKEEFRRRLMLTGARLNFWFSILVGPLTIGLPAAMHLYWITSSLGNWMAKRLFDLVWP VEKPKELMRMRLEPYFIYPMPVEKKKPVVEVVKTAETTTRPPVVTSKRAATAATVVKN DAEVPPQTKPAPAKPVSRFSAVRGVKKEKKGK QC762_309230 MPSSISSLAVVPTLCLIPILALAGPLLFRAVGALLGLYLRKKTD GRLSHILELTEQDEKKWREGRRSSGSSSNSGKDEEWEEVDTYTVGTSGNGGKGEADWD GVVGFFHPFCNAGGGGERVLWAAIRATQQRWPKAKCIVYTGDHDVNKDAILSRVENRF NIHLHPPTVNFLYLTTRHWVLASTWPRFTLAGQSFGSLIVAWDAFSLLVPDIFVDTMG YAFALGLSKFLFPEIPTAAYVHYPTISTDMLESLDPTSTVGSQGVHAGQGTGTRGKAK KLYWKLFAKVYSHVGASADVVMTNSTWTMGHIQKLWGPLRRSNRPIAVVYPPVAVSEL EQEVEVSPESEKKREKVLLYIAQFRPEKNHQLILQAFAEFVKTSKSPAAKEAKLVLVG SVRDDHDSKRVYQLRLLVNELHIKARVEFHLDASWPEILEWLRRASVGVNGMWNEHFG IGVVEYQAAGLVSVVHDSGGPKMDIVVDVGGGFTGFHATTPSEFAEGFEKALSLENPL EVRLRARESAKRFTEEEFARRWVREMEPVIGLNKLKVTTEKRRV QC762_309240 MRFGAIRVFSKGPSLGTFCKTSASLRYSSQSYSTRFCFTTSTGV KMASTSAPAAAGGGEGVQQIDSYKLQHQQQKQQTGKRPFQNGQGGGGKGGRGGKFKKK GKKAKPPAEGGHDEVLRLDIEALMAKSRNEAAEGAEGEEAAAEEENLPEQGSEVLVEV VALSSTGDGLAKMKGSDRIYVVPFAVPGDMVTVKAYRHEETHTVADFISVVDPSPLRD DSRIQCKYFSKCSGCQFQMLDYAEQLRIKRETVVKAYKNFSQLTPELVPEILDTIGSP LQYNYRTKLTPHFDGPWNNPRRGPKKHLESCPPIGFTPKSARKVMDIEDCPIATPAVR KGLTAERERMKTEFSKYSNGATILLRENTIRVPAGGEAPSDIPSDAVVVGTPGYTDYK THITDNNATSTEYVDDFIFTNPAGSFFQNNNSILSPFTQYIRDHILPPANKEGIKYLI DAYSGSGLFTITQSALFPGGSIGIDIAEGSIAYARKNAKLNNLDEGQCKFIAADAPEL FKSVEGYNPDETVVVLDPPRKGCDASFLSQLLRFGPRRVVYVSCNVHTQARDVGVLVR GEVEGVVDDTEETDGTERKKTRYEIESLRGFDFFPQTAHVEGVAVLNRVEDR QC762_309250 MAPDEQNQKRKRGRPAGASKPDEDVSLRGGEAVLQANRNDNAEV RMTTKRRGRPRKSDDSSPEEPAPEPSPAPEGAPKRKGRPKKMAETAGAGEGSIAEEQS TPPTDTLEAAPKRRGRPARAREVEPETEETATQTEEISSTEPKKRGRKARSGRAEEVV EEASMEVDESAATAPKRRGRPGRTKEAEPGQESLVEEAEASTATEPKRKGRAARTQEI KQPAGETSVVAEAEETSDPARKRRGRPARSELEADPTTEEAEESTEIAPKRRGRPARA QEAEHEEEATVEEVEEPAEKAPKKRGRKAKAPESEKEPEQAAAAAPEEAPNTGRKRGR PAKSHDVEPEEPEPTETRPRKRAKAAGNAEEQGQQEEPKARGRPGKNQAPASQEDTEM RDAEPAETDGRRRRKGRGSNEDESREEAAAEPESQQKGKSAKNASKSRGRSGRVVGQE EEDAQDESQPSARQRGRGRKSAETAAEPSPQPSEPQKRSKKGPRATLVEISVSEAQNQ TTNHKPSKKKDRPQPQPQPQPETEQEEEEEEQEQEPTDPLSSAPYRHLAPKTLHIPRS TIRSKWTPLDQPAISTIDSLILDSYIPILESIGGNNTARYTASQTILRTFAGRLHSKL VKGIPFPPATIGTKTTRGVAISAQEAEVNFEKVIDASRHLERQLEPLVHSVEVLRREK EREEARLERDYRDLRLLEENARAQARGWRERGRRDHVLAPGRRERAAGRGEAGGEKGL EVVKRERVEVKGRVFEGIEEGEEEVMGLARQIGSHMESLRGNLGQIEGVVPAIQKSKA VLQGVLGRYLSEGEYEGVVLG QC762_309260 MRFSTATAALAALGPLSAAAAESPFEQYKAKFQNFLSSFSGSAG IPEAADNVQVPIPKPKSKTVEPKKIDTLTLANWKDALYAPVHAEATIPEEWLVLITGG NKTCFGRCDKLDTAFSQSALKLASLKPTPDNLHLASVNCDDEPVLCNSWSASTGVIWL FEILPAPTETGLYVKRLNTTTVTSQDIVDAYVAPKEEWTKVESDSYFHPIDGFFAKNG LAVPLGWFFWGLNAVPSWVMMLGVSFVSRSMMNKRVEGMAGGPRAGAGAPAAAAPRGA APGDARS QC762_309265 MPPITETTILTNYLLIPAQLPAIISLQEFTSLFPKPMQHSPHIR TLYRDLQSQRNTLLDTISEEISSQARQGKALRRHVLKAGREAQAQAQEQDDEIDIERM LGTLPPSQNKNHTLQSILPPLQDAISELESQLQLLRSEEASLLASVQKTVGDLSDLRY GRLANPKLPEQVLEGLQGLQETCKDKNRS QC762_309270 MPPLRRSTRVVPPRPAPTTRVTRATKAKMIQPKEDETIEEKLAR YAAEADLHDNLTVLPTPATSVYVKNGRINFDDRYVMKSQRSDTGKIGINHPKILKARQ QGVPPQAMAQIERNWEYIDPSFNPPLAGLPAEVRYPDRVPHFKSGQPGAADTLLKFEQ ATRVFHCKLQRMVFNIQQFILSCGEVVDEASEDTSPLAFERVFAVPRGWFISSDKVLA PRAYRLIPDSEDNFHNRPSINIPLPDHMKALLVDDWENVTKNQQLVPLPHAHPVSEIL DDYLAYERPHREEGSSAYDILDETVSGLREYFDRCLGRILLYRFERGQYHEMHQLWNS SDPNHTCASDTYGAEHLARLLVSLPELIAQTNMDQQSVNRLRDELETFTKWFSRQHSR YFVNEYEQPGNDYVDAAKST QC762_309280 MSYFRITLHRSAIGLPQRTRDVLSALGLHKRSQTVYHPVEPQFA GMIMKVKELVKVAEVDRKLEKWEVKAERRPDSGFYLEKMAPRMEDGGQKVGSRVLARL RGEEDGEMTVEGVRTEGGGQVRL QC762_309290 MSPAASNGTNGANGASNPTGGLSANDNIRRFAAPSRPLSPLPAH ALFNDKTRCFVYGMQPRAVQGMLDFDFICKRATPSVAGIIYTFGGQFVSKMYWGTSET LLPVYQEVPKAISKHPDVDVVVNFASSRSVYQSTMELMEYPQIKTIAIIAEGVPERRA REIAHIAKKKGVTIIGPATVGGIKPGCFKIGNTGGMMDNIVASKLYRKGSVGYVSKSG GMSNELNNIIANNTDGVYEGVAIGGDRYPGTTFIDHLLRYQADPGCKILVLLGEVGGV EEYKVIDAVKQGIITKPIVAWAIGTCASMFKTEVQFGHAGAFANSQLETAKMKNESMR EAGFYVPETFEDMPALLRQVYEKLVKEGTIKPQPEPVVPKIPIDYSWAQELGLIRKPA AFISTISDDRGQELLYAGMPISDVFREDIGIGGVMSLLWFRRRLPPYASKFLEMVLML TADHGPAVSGAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDKGL SPREFVDSMRKANKLIPGIGHRVKSRNNPDLRVELVKEYVKAKFPNHKLLDYALAVET VTTSKKDNLILNVDGCIAVCFVDLVRNCGAFTAEEAEDYLGMGVLNGLFVLGRSIGLI AHYLDQKRLRTGLYRHPWDDITYLLPSLQQSGPPGAEGRVEVQM QC762_309300 MSAKSILEADGKAIINYHLTRAPVIKPSTLPPPTKHNPPPRLAS LHFPEDQDVSTVLDQAEVTYPWLLQSDAKFVAKPDQLIKRRGKSGLLALNKTWPEAKA WIAERAGKTQQVEHVEGVLRQFLVEPFVPHPANTEYYININSVRDGDWILFTHEGGVD VGDVDEKAEKILIPVDLSEFPSNEEIAATLLKKIPKGLHNVLVDFIVRLYAVYVDCQF TYMEINPLVVIPNEDATSASVHFLDLAAKLDQTADFECGVKWAIARSPAALGITAPSS ANGSVNIDAGPPIEFPAPFGRELSKEEAYIAELDAKTGASLKLTVLNPNGRIWTLVAG GGASVVYADAIASAGFADELANYGEYSGAPTESQTYHYARTVLDLMLRAPLSDKGKVL FIGGGIANFTNVASTFKGVIKALREYAKALNEHNVQIWVRRAGPNYQEGLKNMKAATQ ELGLQAKIFGPEMHVSGIVPLALIPGKWEAAGIEEFKA QC762_309310 MLMQSALLALGATAVAALQPLEVKGQDFVNPKTGNRFQIVGMAY QPGGSAGYDPKKKRDPLSDPDVCMRDAALLQILGVNAIRVYNLNPDLNHDECVSIFNA AGMYMLLDVNSPMPGEALTSFEVYKSYYASYLNRTFAVVEAFKDYPNTLAFFSGNEVI DKEETTEFVPSYVRAVTRDLKNYIKNWSDRKIPVGYSAADVREVLWDSFNYFTCSLQG DKDDMSMGDLFALNSYSWCGDSSFTESSFDKLVEGFENTPVPVFFSEFGCNTPSPRIF TEIGSIYGADMYDVFAGGIVYEYTQEPNNYGLVNMTEEGPATLMSDFYSLRDQYAKLD FKKLQSLKPKGSTPKPVSCSPKLITVDGFQNNFTLPVLPPGAKEMIDNGIENKPKPGK LVEIKDWKVKYEVRNADGTVIKDLAVKPLADDEVNAPGSNTANLSAGSGSSGSSGSQG STNGGDGDDKENSAAGHGVGGGVLALVMGVAALVAAF QC762_309315 METTDTQPHIDQHANELEPSSTDSPAVEITIKFPPEQHNQTWTF ESQDTFAHLVQALGLEFPEYDWAKSKALLEKRPPTLKKGMLTPSTDPDLPLSTLHTTT LRFLAPKSTTLDSLKTAATEAATVQARRALARSRLARLPPSRKKTALSDATFTFHALS ALPHLPNSQKSLSFLQRLKEDPGIVHVMKKHEYSVGLLTEMDPIANTSASEGGVTRIL GLNRNKGEVIELRLRTDRYDGWRDYRGVRKTLCHELAHNVYGEHDGDFWRLCRQIERE VEGADWRKSGRTVGEGEFAPARDGDGEGEGGGR QC762_309320 MASTPSNRLKLTPNNSPYLSRPSRSPIRPRGFCEPGLSLQRVIG TTCSSPTGFDTVHSSFAYIAGGAVVVVDVSGEHYSQRFYRARPSAVPVFSVSPVSNSS STLNNTPKANDSRNRAAPSPREGSYGGSDWLDAPSSKTWTSRERIKAATCLALSRDGR YLAVGETGYAPRVLIFNLQDVSSDTPLVSISEHTFGVNAVAWSSDTKFLASLGAANDG FLYLWKIDPRTGAAKLFQQNRCTAQVKGLFWMGSNLITLGVRHVKVWRVDEGSIATPV KPRFGGEQAAQAVAQQAQKPLSGRNILLGTMLDETFVCAIAISDEKAIVCSETGHVCL LDDTNKQMKLVKVLDLGFNIGCISSRNGSVYVGGKEDGDFALVSLEGILSGTPDPTLR SSQTSEGLAAMGFLAENLVTVNSKQSIDVWGPDYMPGTTPDDTKRIPIPGPGDPIVGT QRLSASNKLGAAFFTWAGFGRVNIWDLDGMIKSAFDVPIEQLDMGNEVEVNQVVVVRA TGDGSLFVAGDKLGVLRIVDSSTGGCVMETKAHSSDCQDITIHEGQSRTLIASCGRDR TVQLFQRLATGAFEHFQTLEFTAKVVQVLIPTEDKLMTCSLDRTIQIHDLVTKENEPD AMAAIPSKVVSLKASPSSMTVTPDEKAIYVALLDRSVCHYDFTTGRLLGSFKCNDEAG LESVVLESLTFGQLGNGDLSFLLGISNTDKSVRIYDAQSGLFLDREWGHTEAINGVVL IDGDEGGKRVVSVGEDGTIMIWTLDFQDPVAGGSRTRDPSPDKNISTSTRPPLRKVLS KAELAEFQRPTTSPGGRRSPPRASHRRASKFHVTTNTARTPNTEKQQLSPGSGVAEET PSRRASSGGSRSDSPPPPPDSPKNRARMFRRPSLPALNMTPTASIKSKKKSNQNMRSG YGFGSLSMATEQTCRQLRAYRKKLNSSDSINSDVLSELDAELRLTAAALGERAIRSRS SREPDEHKPVSESMLSGLLDQYSERLVSMLDEKLRLRLSEEEKDSLVRDRPRTSGQAS SSSEGSRGGTFTDAVLCEEPGSA QC762_309330 MNSCCRTAALRIFVRNISQIHFPPPTSAYRIPRYHSTLTRLSTL QRTIALGSTRDRLLHTSCPEGREEGAWPPPEGLPAHQEPSPPKIVFETTHDAPFEPTE VTIDAQTPTQNESASPQDSGEVQPKPLGKWARKKLKKAQQATAMKPEGGEEASAVADA EHDITMVVGEENDEAPSSELPAKDQDEVPSSDRPVQDQTTVEREPDTQPAEKEDKSKS KRPKRDRPEKCAPKKEPKKYKIKRLKKEREEKRRKKLEMMESGEVTMAKVELQKALKE KKEREERERTNPMALKLEAARAERLARKAELKLARKERRRQEFEEAKRKAEAEAEAEG KEEWQIQKEALKAKFPEGWMPRKKLSPDALAGIRALHKQFPEQYNTATLAKKFEVSPE AIRRILKSKWTPDAEEEEERQGRWFNRGKRVWAQWAELGKKPPAKWRAEGVVRDPKWN RGRKKMGGFLG QC762_309340 MPPPYVLEPGRRVNVWDYEFEWTSEHYTPEQLKPLIYTYDELAS KALDRLDEIAAESRSKISSPPYSDSPPPSTSSPSSCPSSHAQHLFSLLQTHAPHDPVL SPLWSQLTTTPPWVSPPQITSGQQIFYRYLGPSIVGLTFQALLGGFGSPRIAATLSLT GGFTPRFARRRLLETFQHVLDITSSPSALHPPTGRGFVSSVKVRLLHATVRRKILSLS SSKPEWFNVQENGIPCNDLDSIGTITAFSTMLLFIGFPRQGIWLSDQEKEDYLALWRY VAYLLGTPTAPFENVTTAKVWLESLIVSEICPSGVSKQLAENMIASLALTPPTYASRA FLRAEGYWLNGPALSQKLGIERPKWWYLVLVGGQCGYFIAVSYFKKYLLPRKWEEWQV ERLKRVLREVTVREAGGREAGFEFRFVPSWRQLHLTEKGEEETQKQRKDRFGNRWTEW RNLMAAVLLLTAVSWLGWLSTRMVCRGVVRMVTR QC762_309350 MPSIQIPTAMPSSPDGLLPSPSSMSSSPRSGRSRGASLNLKLDL SNLPPLEKPTTPTNTLLITDLDPDCFRPENLAVIRDALNKTAPVRHFSPLKFAARIQV VFSTEQEAIAVRREWDEREVMGRPCRVCFGMQINLETINNKEDQHLALPDAGRLFFIS PPPSPPHGWESRTEDAPNTMVHAEDLADALAKLRHSNDPNSGVNINQRGDVSPVSPTT QGGGQRTKRSRSSTLIFQPQPVIGMGGESPNLPCVTVDDMTDEGLEDDADVMDISPVN ITAPRPIMAHTARPPVELMEH QC762_309360 MIGPRGMACAGGLLARVGSRSVISRAVRQSSPLRPLPATVTAPA ARWWRAQYSTRPELPKEVTINSKTYPVDAEWFNVSSTILNLTSRKLHLQKDHPVSITR QIIESVFPSPTYLSYNNLDPVVTTHENFDSLGFPPNHPGRAKTDTYYINSTTLLRTHT SAHEAELFAASKSPGYLISADVYRRDEIDKSHYPVFHQMEGARVWDRDTVPNGDIVAA VHRDLDALPKHEMIIEDPNPPSHPERNPLQPSHTPEEAEAVGKHLKRSLELMVAEIFK RVKESHARAGVKEQDGEPLKVRWVEAYFPFTSPSWEMEVWYQGDWLEVLGCGVSQQHI LNDAGVPNQIGWAFGLGLERIAMLLFQIPDIRLFWSQDERFLGQFRGVEGDLGKLRPF VGFSRHPACYKDVSFWLPAGSTGAAAAGGKGREGGEWHENDLMEVVRDVCGDVVEDVV LMDSFTHPKTGRRSFCYRVNYRSLERTLTNEETNGLHERVKGEMVGRLGVEIR QC762_309370 MSKGRVCLAYSGGLDTSTILKWLILEGYTVVCFLANVGQEEDWA EVEKKALALGAERMVIEDLQREFVEEIVFRAIQCNAIYEDRYLLGTSLARPIIARAQV RVAEQYNCDILSHGCTGKGNDQVRFELAFKACNPKMKVIAPWRMPEFIEKFQGRADLL KFAAENNIPVSSSPKAPWSMDDNLVHCSYEAGVLEDPDHSPPKELWTRTVDPTDAPDV PYNFTIHFEKGIPTKVVTPEGEVTDSVALFKLLNKIGHDNGVGRIDIVENRFIGLKSR GCYDTPGLTIARLAHLDLEGLVMDAKVRKLRDQFVTIEWSHCLYNGMYFSPEREFLEN SLVYSQENVTGEVRMSVYKGAAYVLGRKSDASNLYSQEDASMDSLEGFSPMDTSGFIA IQAIRLEKYGLQKIKDGKPLTK QC762_309380 MDAKNSSVPTPVGPGTETTSKRDTTNKMSLSPKLKQKPIHYPFW FGGSASSMAACVTHPLDLVKVRLQTRSGSMPTTMSGTFLHILRNNGLTGLYSGLSASL LRQITYSTTRFGIYEELKSQLATRSGVDPVTQKPKPPSLPMLIAMASVSGTIGGIAGN AADVLNVRMQHDASLPEHKRRNYRHAGDGLVRMIREEGVGALFRGVGPNSLRAAAMTA SQLASYDIFKRTLIKVAKMEDNLATHFSSSFLAGVVAATVTSPIDVIKTRVMSAHGNQ GLGQLLGEIYAKEGMGWMFRGWVPSFLRLGPQTICTFLFLESHRKFYRRVKGLEEEEL PAVNKS QC762_309390 MDYDPMAMDDAESLGPVVKISQADSVRVKFELQNADISFANSLR RVMLAEIPTIAIDLVEIESNSSVLADEFIAHRLGLIPLNAEGVEHLLYSRDCDCEEYC ENCSVKLTLHAKCTGYENMSVSARDLVPVGERINQSLGTPVINDKDGTGSLILKLRPE QEIKLECIAKKGIAKEHAKWAPSAAIGFEYDPHNKLRHLDLWYEKDPKSEWPPSEYAK WEEPPVEGEPFDFDAVPNHFYYNVETAGNLPPDGIVTEGIKVIQQKLAGLIHELTEGE GEGGYDGPRSPDYNSGPDTGYGYSTSYGGNGGNQSAWGGGGGTTPFGGQTSYGGGGWS QC762_309400 MLRQTIANTSRALRSTARAGAQRQLARPQFIQSPIAAAARTVAP RARWYSAEAEKKEAETKNGEEKAAEETEEAKLKKQLEAKEAEVRDWKDKYLRSVADFR NLQDRTAREMKAARDFAIQKFAKDLVDSVDNFDRALTMVPEEKLKSEEKSAHLQDLVN LYEGLKMTENILLETLKKHGLERFDPHGLPFNPNEHEATFMTPMQDKEHNTVFHTQQK GFKLNGRILRPAKVGVVKNK QC762_309410 MEDRSSTPSQSTSADVKSPTQRPLYSSKLGDQRKEVTPSGQTAR QTPPVTKAWTPASRNPVTGRSQPPQAGFNSQNRQSVTNSLREGQRVRVRYPPGAEFSG TCSNDFDSGSFRLTNVLQKKLPNSADLANGARRDQTQMMAQKKDVMDARVVPGNAGKN DGKGANGNRSGFKTDASISNSRLGAGRALQPWTAPPDTTTDLSLESSKEDVRGWDQFA THERMFGNKSTYDERIYTTEIDRSHPNYEQRIAQADKIARQIEGEAPKFAHVAEERIM DFGGGGDGRDEEENGVARQDFPPLSSNRENKYTPPARRAPTGQSTVKGAPVDPAIISS QLKGAPASTQPTPKVEDVKSPAATPTPAQPAVDKAAEPKVESKTSEKAPETKPDVSAA PAKADPKETVAARSSTATARVGFPGASRAGGPSAANNVEREVLNAFKQFSIKERKQAD AARHSKARVDKEVKLTELKKFSKDFKLSTPVPKDLIPIIAKDPVKQQEIQEKAIQNAA EIARKKQEAAAKEKESAAAKVNQAKTPAEQSNASTPAATTDSRTSSRPTAPQHSNSSG APGRHPGGRASYHNSNGIQPPYGQYNRNGRGAQLPPGAQATGQLAQRLRNVEQQKMQH PHMAQHPQPDMRLPPTGPANNADPNYGRRISGVPPTYLGPKLNPNTQEFRPNAFAQPF NPMNPINPINAVIPSQASSPRASVNMMEVPIMAPPAPAKGQLVRRKTKAIDIKKCLVL SNMETIQPPTNPKKTWEENDGFRPAFDHPPTWRAVDPEKEEPKDSTMSMTYNEFFEKV PRAGATVATPNPTHAMPQIPHQHQLPPYLQHGGQGMAPRQSPHMPPMQMQAGQHGHGP HGPYNPDDHRMMHSNSAQSFASPRMGQVPMAYPPSVNAPGQMPYGQPVMPQYMNPGAP QMGQFRSFSNNPQFMPQQPHHMGAPMMGQPPFMPPNGMAVPGPMYPVSGPPFMPPGAV APQPMVGSNGFPSPGRSAAAPMMAHQGSHQGQPAVYGMSPAMTYQQPAYTPQQGQGKF SGQRPPQ QC762_309420 MFSNGFATGAIAFLMAGAQLASAHMEISEPAPFRSKFNPHATNI DYTNTAPLAANGANYPCKGYHSDLGTAAGAPTASYRPGGSYQFKVTGGAPHGGGSCQV SLSYDKGATFTVIQSIIGGCPLASSYPFTIPDDAPEGEAIWAWTWNNNIGNREFYMNC APITISKSAAKREVEAPKVEEAAVQKRASVGFSSRPALFVANIDNGCSVAEGTDVVYP NPGPDVINNGGSTGAPSGNCGPAGAPAPNPGNGGGDAPAPAPTTTEQAPVVPPTTTAA PVTTKAPASLPGGVFITVPTPDKPVTSAPVDVPAPQPTTLVISTRPANTPTAAPAPVP TGGAGQQLGYPAGTACQNEGSWNCLGDGFQRCASGTWSVVQAMAPGTKCQMGEGTELL VNKRASRRSFRWRQGSRLQLA QC762_309430 MSLRPTLRLAATASRRGCSLASTRLASTATVPKYKEITHPDIMP GSSKSQSLIDSTSPYMVTTYSRPPIVFTKASGSLIWDAAGREYLDFTGGIAVNSLGHN DPQLCAIMADQATTLTHCSNLYYNSWVGELSKKLVGLTREKGGMHDAAQVFVCNSGSE ANEAGIKFARKVGKVVDPSGQKVEIVSFRNAFHGRTMGSLSATPNPKYQEPFAPMVPG FRVGELNDVAGIDRLVTERTCSVIVEPIQGEGGVTPAEDEFLVRLARRCREVGALVHY DEIQCGLGRTGQFWAHGHLPKEAHPDILTTAKALGNGFPIGATIINERVGERIKVGDH GTTFGGNPLACRLACNIVERLGDEKLLEGVKRKEKIFRETFERWRGEWPELVKEVRGK GLILGLQLSEDPAGIVKAARERGLLVITAGTNTLRFVPALTIEEGQIKEGLEKLENAI RATRE QC762_309440 MVHLSTIPDENQVVVDGKLAGGIKKAHLQLVNDDDSFTTSVYGS RFAARDLPKHEMPEAEMSKDVAYRLIKDHLSLDGNPILNLASFVTTYMEEEAEKLMTE SFSKNFIDYEEYPQSADIQNRCVSMIGRLFHAPIGVEDDVGAIGTSCVGSSEAIMLAV LAMKRRWKNKRIEEGKPYDRPNIVMSSAVQVCWEKAARYFEVEEKLVYCTEERYVIDP EETVNLVDENTIGICVILGTTYTGEYEDVKAVDGLLTKKGLNTPIHVDAASGGFVAPF VVPDLEWDFRLEHVVSINVSGHKYGLVYPGVGWVVWRSAEFLPQELVFNINYLGADQA SFTLNFSKGASQVIGQYYQLIRLGKHGYRAIMSNLTRTANYLSDSLEALGFIIMSKKS GEGLPLVAFRLPPQEDRNYDEFALAHQLRVRGWVVPAYTMAPNTKNLKMLRVVVREDF TRSRCDSLITDIKQSQQLLGQMDQDSIKKQQDFIHKHNTSSGKASHNHPKYRKEKHSL QGKTGKTHAIC QC762_309450 MWRRLSQIVVKVRRRHGFDCSGSASSGFPTAAGAQSKSDKTTTA TMPSLSEKDQLAWASVPWTSVVDEESGKVLGWRKSQVPYIPGGVDNSGLSLQTLDVWL PASGTPGYATPQAPDALSLPSQSGHWIVYIHGGAWRDPMIDASSFSPTAINLLQAAAS SFSKGSVPIAGVASLNYRLSPHPNHPTKGRDPNREARHPDHISDVLGGLAFLQRLGGA TGSWLLSGHSCGATLAFQAVMAPSRWGLDTAIVKPTVIVGLNGLYDLAGFITMPPPEY AGLRDAYDEFTRGAFGDDETVWKDACPATADDWTSEWQEGKQVVLAQSREDSLVPYDQ LEKMGAYLSKSSSLNIREMEAGGDHDDIWKKGDRLAEILYDVVSGLM QC762_309460 MAAASHNPYSHPPSPNPSSRPYESSGVSSAASPKPLTQYLGGLM RPSPSASLHHHHHHHHHHHQPPPPQTHQHSPSHQTAPSQPLGYSTPLPSIHRQQLPQP GSHSFQPYTPVTATSSTMERESMQSGESVAGTPGPSHAQLPLSSSNNNNNNNNSNNNS SQAQKRAYRQRRKDPSCDACRERKVKCDATETTSCSECSSRSVKCQFTKETNRRMSSI KQVQDLEKQMERVRRENNSLRRMLQERDGQFDMDVDGVEQLPLQLPEIASAPKRKKRP ASIHDLARARTNLRSFSRGIWKPPAPYRPVAAPDPRDFTSMLPPRQTTDSLLRAYFTS AHTMTPILHWNSFVQTVDGLYRQGNPIRVTQAFMSVFFAVMAVGRLFTSENEHNRAYS AAHLLETTRSLIDPWNNEYELDNARVFVLITVALNEMNLKLAAWNWLGNAVRVAQDLG LYTELGPWQFLEGEMRRRTWWAIYLLDRSLSIELGRPMMIDDSDCDVSLPAGVDDCFI SEQGPRVPVGAEPLTHSLLAVIHVVRSYTALGRALSSPVIAPTRLATFDQHFSSCLRT FPQACDPTSNAPMTPALLNPLVYLLHARLLLHRHNLLPSCPPDVRRTAFEQCTHTALE TAALLLRVTPDLPEGATALLTTHIFRCALFLLITGWFDQAATCVRALASINEHRDVAM PCGRYLGFFVQVLGNRRAEITSYLAQSPSPSHPPSPYGPPPPRPSQSAIQEALFRDEE LVAYVSSDLQASPDTAWVWAGSDREPPSPPPLVPSGAANGKHTLFSIDARTNLTSEQR WEWGPTGWERLENSIRCLASGASSPTSAAAPPPPPPPAALAPARQQTWGPMKMELTPG PPPPSLPPVKMEMPAGQGHEMKMAPLPMPFSVPPPRPMEMGSGSSSNNSPTAAASGVS SIKSESQKRISIANII QC762_309470 MIDHVLGRPSVKSRRLQVLAVLAFWTAYLLKGNKNGPPIVRFFS KCLSRRLTFWQTLTITMLYLYSARNFSTLVGLASPDPLANMYDATYFRATWILTALDA GFWTAMPIKTKWLRDAASIVFSLFYMVAAEKADEKVRKVRGNITVDHLRVSWNKGVES PYLRTLQGLVRPRMTKWPPRQVRIPRPSTSDYKEPVEGWLYFDGPLSELKEQGHNQLV LDIPGGGFVAMDPRCNDDKLFAWAAKTGLPILSLDYKKAPEYPFPYALNECYDVYCTL IRSKGRCVGMSGEQVPRVVITGDSAGGTLATAMTIMITESGSSPIRRFQGQTDLPIPE ALMLFYPALDMNIGSWMSDEQMALIKDRRMRGTNKRVLQRKTMQYNDLVGTPHQSDDE DDGTPDNNNNNDNNNNNNNNNNNNISSGILPDSDSTAVNFDPANLNPDEHAILRNREP CLSPAPQYAHAGSTTFHLPPVTSPLPLSPKSLPTTPPHSSTSLDQTASSGKKKQPSTS HHPSPLKTRLAMSSMISYFNDRVLTPEMMRAMIILYVGPHNRPDFSQDYLLCPILCPD VLLSRFPKTYFLTGERDPLVDDTVIFAGRLRRVKAAQMASNGTRYEYRDTDALTEGFD ENAFAEVALIPGISHGFLQFPSVYPPAWGLFDKTGSWIEEGFREGERRRRRREERGRG SSRNEGGEYRVHTQEMNNRTPHHQRGRRDSGATAVTDGTEGDGGLEMRMSRSRPGPAV SAAPGKTRERASTTSVLTNGKVNGTGELPKVMVSGDGADSGSGSGSGSGGQKKKKRRV RTARADGDNGGLVKRLASSDDLLGRRMQGLAGGLTGLAPPE QC762_0058210 MLCSLTAMHAVGVLDFTAFLLYKKTDTNQREWRWLRGFGRGSAQ PQNPTPTQAMDKAAGSQFLAESHAKSTAFSQLSMIKSSDTP QC762_309480 MARMSAASFLFVVVYNFFYYALYLVCIAFLVVTPADLIQQAFAK KQNWNILVVTVCYVVTVLVIFFIYVTRIYISRSVLSSIPKSWIPIDKGDVPRSVREMI VEGLSRSAAIAYEARPRVPHPMIQPVTTHTGTVEEKQKSSWRGKWRSKSSGSGGAVSV IEGGDAIGLEMGMLPDQRQQRAVWGDIEHPGWSSPVSTGLPPNLQYSTVVSELPNLIE AKALTLAPPDPDSRTLPPTLDPEAVAMLQRPEGGVGLREYLGLLTEMGVLTASPTTTD FLSQYERARFSARPLTGDEFRELMHLFAEVLRSMQPFDPALAYDDYDDDSFDDGIPQG EREGEQTQSESDIDNDAPRGTSPSSAGQSLHSGLGLGIGIGQNAGGDNESLGGKSSSA STTWSQGRGSRHLRPGMGVRNSSANTWLYQTAPTTPKSTRHAGVSDSSGDNDANSFAQ TRHPYQVDGTSGSGSGRSVRSVGTNGSGGSVIRLAADGDGTDLPYVFTGVN QC762_309485 MKRKEQESQRVKHQTAMLSKSNDARATRAINHAWTKSKSSYCPK PSEHEADAKDSRMRKGVCVLPSQGYLPGLRPDSKMEQKAKGKVSVLVHPKATLPSPKQ QKPKEKGRNLPVKLLTSSSLSTLALSLSSHSRLFASSAFLKSSSTFPIPWSHPGVRKL LRLAAPPDEDDPEPSASASSSSSSSSPMSVSESESASAADGPVCSPASTAPVAIPTVT TTAAGGRETKTATASST QC762_309490 MDGRFEQNVTSGILSLAAGDDDFTRHRPDPINVQAAQAHASRSG SQRPVAVHNPPEAVERADRGNNNEMSQTSAAEGFGRVGGPGERPGSIAASSVYSDDLA REEGQPWGPTGDDADPFTASYTNEEGERFPSEIQPLRVGLAGQPTSGLKRFSALQNYS YPATSARHQSILRDYSAWAAERGPGHDDEGWSNWDYEEARANDLRIYGEEDSSEGPSG EQSDSYESIYACSSPDPGEVSPSGEPQALPQPTRAHQHHQESADSSRLLPPRADLQGR DDSPLDQYMPVEAQAGPSAPRRTGTKNLFGDGGWLADTSSSAQQTPAQKKLEKITGPR RSPTKPKSRFLGGFMKKARGIVESPSRTFGPPLRRSPASMPQPPRSGEEPPTPPPHIP RPSQLVISLNPREQSLIYCELDFAIADALNDYIMSQFNLGRVDHATIKKTADEWAKKG LPKVKGFRYDVDTQLSILRAHIEQFKFYGQAATTVPSMLGIIDTMRTTAREMRLRTYC VPDVVISKWL QC762_309500 MPRSYRSDSSPEREREDYYRPSRHRSVTPGPNTRGPSRPRDYSP SSRGGGHADEYYASGALQERHPHFEYEDHTPFYRPDPRDRDTLQIPRDGYRRSSRPRT TRTSTDDSLTTGTTYTGDTTTIIPRSSRGRSRSSSSSSSRSSSPDIHHRKRGPIRKAK KSLQETFTPSTSGLGVGVLGAIVGGLAAREAVEHLPPKSRSKSRSGSHSKSKHDKVAV LGTVLGAAIGGLGANAIERHIERKKGIEESWGRREGELARLEERVKEAEGGRDREYER GRGY QC762_309510 MPTPLGPSPQPQRAGYMKSGFAYTPLGLDPAEVRLLTLEPSEDD TAPIVCRVDIFEFDPDLYGHFDALSYAWGTKDDEQFITVNENTQFKIRKNLWLALRRI RHKTEPKKFWIDAICIDQGNPVEKSEQVGKIGDIYKYCGRCFIWLGDFPKPADVTATT PPSPASALELLNLFAALSQPTTHLSDLPCFTPLTKGKRADIKDSYKPHFESFAALLDL EWWKRTWTIQELALPSDITLLFADQELPYLTLQNAVDGLTRHSTAKCCKTHRLALRGL GFDTIVTIEERISSMVTTRQQKLEAKTPITFTQLRRKFCGSQVSWKRDSFYGFLGIVT NKNFLRPDYTLSLRQALTEAVFACVKGESDGVELLMGERLFRPQDGYRRLHVPSWVAD ASFCTFPPKWALMERRRLAVYSSFVDEGGEERARKVFVKYLKMTKNGILLTKSRRVGV IGRVGEALVDRGRWLDVPRVLSSWMELAGVKREGWGEDPGTNDERTDAFWRTMINDST EIDGDRLSYGRPTTASEGGEQSDYSRLRSLWDLVNPAPKSPGPKSPAPATEGTTPQTQ TEVGVDPFNIQPIIDEWVPTWVPGWISDFASDFIRAMALASNQDAVHDLVVSHDSKMI YHLLACLWERRLFVTGNDDKIGLAPRDAQKGDEVHVIPGCPAPFILRRLDGPNVNTNW QLGDWESDTLPQYMVVGNGFYHGFMGGDGGLGKGAAEEKIALH QC762_309520 MSRLATLPRRLALLRPLRRTTCTARSYSTVSEQRLDNRVKIVEV GPRDGLQNEKNIVPLATKIELIERLAKTGLQTIEAGSFVAPKWVPQMANSSEILSHIL TTPPPSPHPLTYSFLAPNMKGLSNAFSILDANPSSFSTESNPSPSKPSLEMAVFASAT ETFSQKNLNASIAASLETFRAVISAAKETPYNLRVRGYISVVLGCPFEGYDVSPHRVA EIATELLEMGVDEIALGDTTGMGTAPRTKDLLNCLRSAGIRNEDVAMHFHDTYGQALV NTAVALEHGIRTFDASVSGLGGCPYSPGATGNVATEDVVYFMESLGMDAGVDLDGVAE VGEWISKELGRGNGSTVGRAVLGRRKRKQE QC762_309530 MDSNLPPTAATEAVLVQSVDMPSDAQKVEELDFNAFKGRPITVD DLLQGMKHMGFQASSMCEAVRIINDMRTWSDPSDPSAKTTIFLGYTSNLISSGLRGTL RYLAQHSHISAIVTTAGGVEEDFIKCLGDTYLSSFAAEGSSLRKQGLNRIGNLVVPNK NYCLFEDWVVPILDRMLEEQEASKGTDDEINWTPSKIIHRLGKEINDERSVYYWAYKN NIPVFCPALTDGSLGDMLYFHTFKASPKQLRVDIVEDIRKINTIAVRAKRAGMIILGG GIVKHHIANACLMRNGAESAVYVNTAQEFDGSDAGARPDEAVSWGKIKIGADAVKVYM EATAAFPFIVANTFAKED QC762_309540 MTRYTGQYHPDRRSRSPRDRSPYGGERDRGPPQQYPDNDRRRPI DARGNPSGFPNREGFRNEPPRGPKALLDAPNGPRGGFAGDYRGRGRGGGGRGRPTWGR DDRPDVGRDDPRDRPHFRDERSRERDYPRDREWAPRDREHSFRGRRTPPPRGRSPLRR DFDVGLNVDAERARRGSRDGPLSAGSSNSDPPFGGPFRGSYRGRGGGRGGGRGGGDWD RGGRGRGFHGDDRPDHRFPRSHSQDGRYGREPDVRDHREPRYQEFTRDIRDDRPMGRD REHDLIRPKPIDRVSNDPPSAKDVSPPPLAPSAPAFGTVPSRPPATTDIQSMTGKPPP TGPRALAVEERPPSAGQGVSNDRAPPTGPSKIHGEASPTIPSGPRAHRDAKQPQRSSK QWINTGAFNAKKTQESPKSARSMSVVSQHPRPFGGFRPESSHTDFHSEYNKRPRSPDA KSDSHTERYGGFRGTGVNDIAIAYQRGSHSARASLDRDMRLSLDDGDLKVGAAEPVVE RAQTERQPDRVQEPTPSPVTLEAVEPSEDKIEEAKQSTVPPPESHPLDFDVPSSGVRL QEKHLPASAEETEESDEDDEDLNDYFSQQVSKAEAELKKLHEMVDGSTIQIVARYTTV ENNAMVRVVVSPVTVQNKVKPIPDGFTFPPAKPQQVDPVEPEAALPQPQVQDEEMPDA VPEAPASPTIEKADEVAEQQLPEPQPKVEDMDVEGSALPSVPAVQDANLHDEDVSMED VSEVHETVEPPHPPASVNGEPGRNGIHAPFMQTAHTPSHMDDDSEDRTEDDGSIYDTV EHAREFSATPPTEDLPIYNVKPWEQSRRAFRTEESSPNFGNFVLASIQRETATAETAQ DVSRRQYAQDYESYLKFTQSDDPVAIKSRAHFTNEVSVKETKGPQSENKPEGRGTRAR FATEYDLKKALEQSQREFAERQEREDRSQKEKYRTEKEAVIPDMLWTTAEKEQASFYD TAGKLPLEKLVATWDVVPWHVNFTEEEAEKFEKAYLEFPKQWGKIAKELPNRDTGTCI QYYYAMKRELGLKEKLKKQPKKRKKGGRAKQRSSALVSELGNGEHETEDATQETGENG ERRRPPRRAAAPNFGGNEATPNADSDGATPAATPARRRGGTAIEGAKNDSGAEKAEGK RARGRKQVKDKAGQDAKGIKPAPSTQTPVPLPLPVPSNKGGRSRANSKAQGPEWASPQ TPVDIAARPPGHFEAPPGGMQPPLAPVQQPPLSSPERAMGPMQSTMSEVMAAPSLRPE PPLSQTSVPTFDIPQPAGPDRTRTPQQASSYWSVSESNDFPGLLRAFGTDWNAIAAHM QTKTATMVKNFYMRQTKEGGRRETNVDWEHIATEADAKLRRGEKRPAPPTPTQGPRKR YDVPTGHRPLAAAEPEEHTPTKIEPMPANNHFTRFQIPIAQAAPVSHPMAQTTQPVMS APLTSSAAVQQQAPPTGPVVTQAMSPHLHPLRPPAPPFPFQQQQQQQQQQQEREPEPT PPPVLSQHPHPHPHPQQPQPQQQQPSQMPRQTPQPVPISQKAPVSAAPIVPVSDAVPP PAGWPSSSTFSLLGQPKDSRDGRHAAERERQPMGLGQREPPRQAERAPPLRMKQDPDQ PPHTPEAYPAYQPPRTAPPRSEPIPLARQPEPPHRVTPAAPMYGPSIQGQPMRGLLND PVPTQQAPSVGPGMERPLSTAQRPAAVSMQEHFAPIPASAPPVPPPQPAPAAPRPPEP RKTSNLNFLLNDDPPPAPKRIADVSSMGVKPSSTPPPQPMAARQPPPPTSAPAPPRRE EAGYPYARNPPPSHQGPPPSAIPPLKPSYPAQSPRSGHSRAPSANIVPSMDPALEPSR AEYYPRHYAQHQPSATNSPQPHTAHHYGQTPAQHPQLSQQMPQHQHAQQQPPQPPQPQ QHPQQAQMAYPPQGYQGYPVSQAHAPSPTPQYAPHPGMAVRREPQSQTGRESWSQPPQ VSTSQQQQMIQQEQQERERQRQQMLQQQQHAHGHQIPQQPPQQHQPPSNWPPSQQGTP QKPSQPVPAHTAWGVQHGVQAKPPVTSSVPSQQQHAWAPTGSHQQQPQPFNLRESRGP PVYPHETQSPTAGIVHHQHQGSIGSGRYAQPQQDARREPGPPTQPFPRYATPGPGQTR DPGARSYTPVNSFDPRGPPPPQAYGQPDMRDAHMREAQFGRDPREMGRDPREMARDPR EMGRDPREMGRDPREMGRDPRDIAREQAQAQAQAQHHAQVQAQGPPRGPVQGQGTTQA QAQLQAQQRILRPQEGYDRPPPRREGY QC762_309550 MTPEFESTVQKAIENDVLPGAVMLVRSKDGKLNYTHSLGPLSLS PSSSPANAPLQPDSILAMASTTKLLTSIAVLQQVQSGKVALATDISPHLPELAAQPVL SGFTPDGQPILSPRTEPILLKHLLTHSSGCSYTWNNPDVVTYLTTITNKTVPVPLTPV GGSTVPERCSYPLSFQPGKGWVYGSGLDWAGLLVERLSGVKLENYLQDNILSVLGIER GEITFYPARYDALDGRRAGMTTRGKGKLSHHKLADSPAENEAMGGEGGFGSMKAYVTI LADFLEPNKSRLLRPEWQAVLFGGCLTPESKKVLNESLKGEGRDWMVGWVNPVEGGAE YDWSPAGLVTAEPGTTGNRGRKRGFVQWGGAFNLAWLIDREAGVCGVFATQIVQPGDL QVRPLIKEFEEVIYSKL QC762_309560 MTSIRSSQRRSPGAELGPPPNLQQRSISPAVGVGTNRPVAPRAS TSSRPMQPVSGSLNRSDGTRRTNSSASTSVPLSQIEKSVTHLLVATKQLLETLTQWSR GNATDTQVSDVYVRLGYEFNMACRAFTAINVDTSDLGNVPELLRNILESTLSQEASVE SLDRYLPQIRDIIINLLHGLKRKQTRLRQRQQRERENGQPSDQGGSGDGPTAPNPPGR TTSTSTMGSVNSGLTTLLNEGLEHGGYRPQSQRDDGRNNINNSPTRRYLSQREQSRGS ANSGESSLSSNTMQNIPVMPPYPGDETMPSGPSAGDMSSLDNFPPPPPPPKQSQQSAL AALQRGGDLERRASRRYSAYQISKLVGAQGVPMLPPQTTPIPNRGRGEMRESMRAIST RDNARHQRNKSSRAAPMDASSPMRVPSRVLEESESGPVELPASRPETAEPESPEERFR PSATLTSPPADVMPAAGLDEEEEEKPPKAPPKSAAVARAPTPDKPSSSFGMDNTPPAT KELTLFLQYKSKVKKFVLPEGYEELSIGRLQLAFIEKFSWNTQQNGADLPDIYIQDPI SGVRHELEDLSDIKDRTVLVLNIETLDEVKKHIDEGIGSLKKIVEEVKQNVDDHGAAL LRVAERQNETARDVARLAAAPPPAIMSPAMDSPKSVASAAGVAARKLSTSQITEIQSL RRDLAVLRQTYSNFQSDIQSSMSALRSKANSVKATAAKIAVPDIEGDSGRAYVINGRK QLNTDSDRLVNKVDDLQDLVEDLRKDVVHRGVRPLPRQLESVTKDITQLTKELNKMEE YMKQEKPVWTKIWEKELEDVCQGRDELRVMEDLIVDLRDDLEKASETFALVEQATKEQ MKDAGSNGGAVEGRPVLRQFSKGLNSINSNAFVDPRDAKEGVLGEVRALQPNHENRLE AIERAEKLRQKELETRMQNPLKKELTNFVEEGRLKKSGGVEEVERARKAKEERIRREV WERQNGIIPEDPVGEEAAEGEVNGTGAGEEGEREKENGEARDEQL QC762_309570 MQIKFIILSALLSLAAAKEDHSSHGTESVYTYTTAVPSTHEYAH SSTLATSTHINANTTILPPKTNYANTTTTATGFTNSTISVKPTSTLSTTTSDLTTTTE TLVESTTTRAASPSSTNAATGGRSSQFGLVVLGAALAAGFGL QC762_309580 MASLGTSVLKPPLTSSTPASSRPGTSSANSSAPELTTTIAILPS KPDFPPFDPKLPMQNDFNPNLEPPPPYSIFSPRQKLVVTLLVSFAAMFSTLSSFIYYP ALVPLSESFGVSLGLIQLTITSYLIIAGIAPAFMGDMADQSGRRPVYMLMFSLMISAN VGISVVERWEGLLVLRMVQSAGGSGLYGGGYGVIADVAVAEERGGLVGVLLLMTDVAT SLGPVVGGGLTQGLGWRWIFWFLVILTGSWFIVILIFLPETQRGLVGDGSRRVEGWVY QSFWSVFYVKERKGKKGTEAVVVGEKMEREGEEERKFRFPNPLACLPVLADRGSLVVI LITAINYAVKAALQTSLDAQATEIYGLSYLQAGLVYLPSGVGGGFGSFFAGRFVDWNY RRTVKRGGDEGFDKNSPGFPLEKTRLEGVYTLHAITVLGIIGYGLALKFRAHLAVTLL MQLLTGTSTAATFVLCGTLLTDLNMNRSATAQAASNLVRCLGAGGAVAVLQPMVEHVG PAACFGIYAGIVFLCFPLAWIVQRFGVAWRVANSDKQE QC762_309590 MATDVRNVEEKLDENLLAALPEGGKVVSVTPSGMSDYCNTFRIE VSMPDGSSQVFFEKEASGKEGLELMESAWASENTTYEFIPEHVPRPVKKGSYKSRPDR HFFLAEFIEMIEDDIPRPESYMTAIAALHSRSMGKSPDGKFGFPVNTRFGNLEQDNSW TGTWEEYWTRQMKDFLQREDAAHDGEHHAELERLRPLFFEKVLPRFLRPLESDGRSVT PCLIHADLWPGNVKYQTDGETVCVYDACAMWGHNEVDLGVFRNPRYPLGKPYLKEYWK HVPISEPEEDVDSRNTLYMLRNQILLSTLYPHDHKLREIVVSNMKLLVDKVEAEEAAK SGPQTSTYQSRL QC762_309600 MFASHAQTWQASSTWSTEDRDRLGVPNLPGPGDKDRLPSPSRLR GRLQSLRRASSPGARSNTPSPENSKGALGLTLLHDPSEPRVDFVFVHGLNGGSKRSWS ASSDPTTFWPKEWLPSEAGFKHVRIHSFGYDSDWSKSQQSSLTIHDFGQALLADLYNA PHLKKNGNTPIVLVAHSMGGLVVKKAYLLARRDPIYADIAGRIHSLYFLGTPHRGADS SSFVSTFIAMSLGSGSKAFVKELIPGSGTLQVSLKSASILGMLMLTTQAINDEFRHVC SDVGLWSFFEGIPTAAGPTNVVVVEKESAVMGLPGEHTQYLQADHRRLVKFDSTEDPN YNILLRCFNTTIEEIEKEYISDKFDNHRAQIKQIASTFDIAERPDGDFMRVYDRLHQG SCEWLTSHPSFLEWLELDLMDANPTVKAITAGPTKVTPRFLWLNGPPGSGKSVASTHV IKYLESFNLDCAYFFFKNNEKPSLTQLLLSLALQMAESNFQVRHTFLSMIEEGEVVDC HSDHVMVWNNIFLGRIFKMAFSQPQYWVIDALDECQSRLLTTLVAMLARIEPTVPLRI LITSRPNGHVERLLNQERVLRSEIHTGQAASLRDIEAFVRARLSPTIIEDFHEQDQDE SDLVADIIEKSNGIFLWASLIMTRLDDAHSIEAMRNTLNQVPKEMSGMYNDILKNIIE SPNAELAQCILTWVVCARKPLTTDELREAVRLDINQTLRTSDRFAQICGNMITVDNNY VQVMHQTVKEFLTGEQSDYYIPRAGSHARIAELCLTHLNGRNFNPPRTRRVPSFKNNS AGANDTAFDEYACANFSYHLSHCSPSEETLELLPLLGSFFSSNILTWIERIAKTGRLA LIMRTIQNLKAYLKKQVATCSPIDSDYQLVSRFVEDLLRLSAIYGPNLVNTPSCIYSL VPLLCPTSSIIHWKFARTQFRQKVICNFNTDWDERLSSLSFATRVMSIACGDQFFAVG LGDGVVKVYRQSTFELLNTFRHGEPVRKLANGHLTGILVTAGLKTVKVWGPRQTLLWS VNVPEQPLSIEFSPDDSKIYVPLRSGEVYVYRSKGGARLDCLALTDEDGSSSSSSDSE SDGEGGERYKPNNQKKTNPMLVKICPSLGIAAVAYRSSHLQVSYYDNEDGMEAFEKEG YEDGQGLPSQVLDVAFNPNVEQSLMAVAYQDGDLVTFDPWTLQQKNTHHLNAHTLAAS PDGQTLAAGDSECVITLFAFYGLRQLCRIESMDERIMGIVFASNSLRLFDLRGNTCNV WEPSVLIKKNLTDDGSSDVTDDYFTSTSSNLVCTRTFEGSNEITVMAQAGDSDFVFCG REEGAITLHDITTGKVCAEFQFHARMVEIRHLEWHAQSKVLFSVDASRRCIATRITLP RLSSSSKSTSPVEKLKQELGQQSPQFEHILDFRASDHVLQALISPDGTSFLVSTQSGE EMHTISTVEGEPKQEPTVIQTTNSMGPARWLAHPTDSDRLLLFDHDMLHVFLWKTLER QSPPNGIIIHPPPELSHSHDFILSDDWLSRPGLSTIYQTIDMPTTSSKLSSVPETGFL TLDLSKISPVSPAPSVEVSLVTRKLLSPIKSILGLHKSTLYFISGRGWVCSISLKNLP SSKSYTRHFFIPSVWQTADGQGPMAKVVSKGSSVAMVYRDEVVVLSGFLEFEHKTVFG VCDDITELGGSDEEGEAAGRDGVPVITRTMS QC762_309610 MSLPSSDFRNIRHWIHNCDRLHTDCPPTPNQHRQPHEIPSWVLD LRNGCIVPGSTASRYVALSYVWSTIESEKLTLELWTGNLRQFQKSGFLDGMKQGFLPE VISDAIDLVKQLGERYLWVDRLCIVQDGRMKRSEIENMDNIYSGAYFTIIAAASPGLY VNLDRRHRAPMGVSFFHRYLYDKLLKSKWATRGWTFQEQVLSRRSIIFVNGDVFWDCR NSLWSYESPGPRKEAGEGYDAYSQKQIDNHEMAVTVPSTAFADFRLYRELVCLYSGRD LTYAQDVLSAFMGIINELSHTFPGGFVGGLPVLFLDAALLWQPFSKGKRRVWTQGRSE DADMPKSNLPSWSWCGWHAVVDPESLHVRSLEWLRPQMRTKPVVTWSVLSEDLRLERK LPESRILEDYCRRFLDWGDWDTPPGWSRHDIRYTPPRNSTWTYCDTRSPKLDPNLFYT FTHISDPSKLFCHPIPMVNPGRKPTAHPQNWPYLHCEATTGCFKIQSILSRKSSAQVK RKESRISLLHVPFTSLDKFHGGPKGEELCRVVSLADADGQPAGLLRLMDDHTEIRPGQ EIELMAISLGSMGWDETFSSYEERVDLLKSADYPRMEHSFVRDPPSDDEDGTGSETAP LTFRPRRAAAVETAHSRAARPWGRKGEDYRFYNVLWIERRGGVAYRKAAGRVAREVWE RNCGEKLSVILG QC762_0058380 MPLDGICRHSDKLHGLVLILMQNGMRDIDYEDYDTGVKTQSGSQ DRARLAIQRSSPPRISQPRDLGFQNPRQ QC762_309620 MVQFQTLERRLSTITRTGEASYEPDYIMHRFLNPRREEPSFRRE ISWILIWRRWRRAALGGHAVPFGSPMPTERLVARSRARGRARMRAILQDLEPRLP QC762_0058400 METNTSSDFSSQSSNPILTPRTTSATHNTGNFGNNDKHESTASS HNNSPSMPTTSPKPEEIQTEPTAARNSDILASAFTSSSFDADSSLHGRFQDAPHQHPN PYSRIGCYTVKAQQLIDNFDQRFDPEPNTIDKPV QC762_309630 MASAQDVLISLPVDNLIDIISCLDPVSLIALSQTSKGLRDFINP LEHDFQQRLLALEPLPKNGGPEPDDYPGYPFRHPRFRLLHEAIPQMTNRGRDWWASSR YACFGCRKLLSNAMFDDSELFNTATRKPWMRSSEVQKMALTDWKLATTTESRLSSIQR RAEQDRELTEQYRQQYQTSFDWIKAVNRELNDDEDVEEVRRKCENGIEETSPHIVGKA RHERRCVECKFQRHLYRDRPAHQLLCGNTDAPIIFSRKDVGLGLDFNRLFPGLLPKVL VPPKLKRILAHLCSMQEWHSVDEPLHPDILKTDSEDAEPEDVESAAEPQQLPLRVRCP SCEKWQPTVAFGMIVLWPFRHFSQLRLDHQGSPLCHYCHVKAHGKAATAARLYQPAEK IIDTEIYLQLDHLAEGWEQNLGLIRKVMAKTDLEGTWNKLVTLHDDLDSDELRRALDW SALGPSPTINLKDRIEFKHIHTLSLRKRLARDSLVPFWDRINGLGTAETIFGLHFFLE QWDGYTPAMSS QC762_309655 MRTSTLLATVSALALTATAAPALTSPSNQMNPILKERQQCGTIY PNLRNSPTAPPPYQVFISSADASGIEIGFSIPSSVVSAGTGPCELVLDLSSSQAAVYG SAQVNVYALDGPDANALVGTTQFYQGSKASISSWACREQMCFRLEVAEESEGKQVSFE EVAVQGAGFWMKYGC QC762_309660 MMFKSLLGFAAMAQSAFGASLQQVQNFGNNPTRIQMYIYVPDRV ATNPAIIVALHPCGGTGQQWFSGTRLPSFADQNGFILIYPSTPNQSNCWDVHNPASLT HNGGGDAGGIISMVNYTLDRYNGNREKVYVMGFSSGGMMTNVMAGSYPEVFEAGAAYS GTAHACFAGAGGATPFSPNQTCAQGLQKTPEQWGAFVRNSYPGYNGRRPRMMITHGNA DTLVRPQCATEALKQWSNVLGVSLTRQVQGVPSSQFTQHIYGDGTKLQGFFGNGVGHA PSVDEQTLLRFFGLIA QC762_0058440 MENYLSGADTPPQKRGANKAGHILGGIMKTSGNKLWAATDPLSS NMAAHAWKPSRRSFLLQPRSALVIPGIPPPPRVIPICNLSQTMYSQASMYRRLQHVFG LVYARYGIIRHHGSP QC762_309670 MAQAGISPLSISISTIMPADMMAHLGSGQGQPGLVMYGLYGLDT FEHVEIDEYQVPFPINRRRPCSSSFPKPCVFDSMASSPSPGSVTTAPSREGSRIGRPP QWTVSRSRKLARLYLYTTLSIERIIRVLEDDVFKPRKNSAQKTIHKMLDNDPRYLRPE SRIEMNQRISNLAASVTRRRKKTAVPAYESSLHGATIDALYGEKEHNLARTEISSVSG SSRRVEEGPSFDFAGSPDALLSPIRWSIPLSAQTTDVTDFAGSSDKGSAMVQDLKRRL SDCSTDFAHQITSLIRDFTIAGSSQDELSTGRRPSAALSDRSGHDELSELGISNEPFE AFPEPAFAVPGDFLSAHRRNCADFPGQQHGVGDCWCSIAGDTSLDQNSWLMPTGELSV RARHVLNHPSPGSLSLLDSFGNTPLHLFATLEGYQDTLFRMVLNCDVETLKIANTAGQ TFLHTLNLEWFLNLTDPSTLLKQLLSHIRDSVPDLVHETDVYGRTFFHRAHSLVRDPE ALANLFSPFDSLRAARRDAFGFNPLGSGITGDQGPYIPPRRGNNLSPQVEYLSSSAGP SRGHSASPSDNDSFLAYHARLVEVIQSSYNNPQVEDAEGRNGLHCLAEAILNQQSMNR HVSSSVGAPSIHQRPSLKRKLDSSKESITSFPSPSPSSTASASTVSNESTLTTRLRHL TGLLHHSHVDVNAYDKSGNTPLMAFITHIPDDQDDKSKTLLAILETIIRAKGCKIEAR NRRGETALLVAARLGRKVALTTLLEHGANVHARDVDGRGVLEVVDEVCKGAGRGDRGK GAGKGDISLYARAEACRVLLTGRRDWGVVGRPGVRREWRV QC762_309680 MPKRKVAALEKVEADLVSLQYKIRRDPRSYAQEFYDQWLAYDAQ RQIFVSSPATASSEDVKKFHDLVDLVAHVANLYPEITAPFPDHLKELLNQHHAVLDKE LREKIVGSLVLLKRKDVIDSTSLLTTLFPILINTPSKTLRSLLYTKIISDLREANNKT TNHKLNRTIQTVLHNLVTSDRTSTKGMWACRITRELWRRQVWNDARPVDVMKEACLSD NEKVVVGGVRFFLGGDKEREEALEDDASDDDLDLKKVKHQGTINKKTKKRSKQYEKAI EKIKKQEKKKHAPHPLNFSALHLIHDPQGFAEKLFQKHLQNTKNKFSLENKLLVLQLV TRLVGLHKLTIISLYSWFVKYLSPKQANVTSFLASLAQATHNLVPPDVIEPLIVKIAN EFVSEASAVEVCAAGINSIREVAMRQPLCMNETLLQDLVMYQKSKDKGVVMAAKGLQS LYREVYPELLQKKFRGKQATMGLRSGEIKLRRFGEEEEGGIEGLELLARYKEEQKKKK AAEEAEEDENGGKKAKKDDDEEDDGFNSDEWEIGSTDSESSGGWIDVSSDEEDDGPAK KKARKSKGGDDDDDDEAPDLVEKEDPAAEAERITKLATTTILTPADLAKLQELRREAK LDKMLGTTQSKRKKELIEKHIEDGVTAEDIELPAMLGKKSTKEERVALARDGKPGREE HKSTQAIRKSKKEAEGKSTTNKEKARKKNFLMTIGKARAKNKRSLVETKKALTNHIAK SKQGGRRRNGV QC762_309690 MSGPMSTSPAATQPGLIDTLDSFVDFSDYDTNNLYQSPSLSPAG SNKGVFARPIKAETTTANTLLQTNQTLSGPSHQYDLYKQQTGIVPGAIATTFSLNQPN AHLQGYNTGFGSFDYLNMGTNDELFDFNTAPSQGSMTSPELDTMDFDSPSSDPTFFYE STINPSNIGPQEPSGLSSPPPQVFQPGRVWPGMHQQAALAKQQQQQQQQQQRQRAQAQ QQPNKSAQKAKSPQASDPIVEQKITQLLNSMRAKTSEEPSQNNTVLNIPRPKKDEDDM DEDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRKEYITQLESEIANKVTENGDLR AQNRALVDENKRLTDLTRMLLGSPSFSDFLNQLSANPQMLPQPQPQQTSQPEQQRQLP KDINPYAAQQQLHNQQIGLAMVPEQVDFSSLVNMEPSDGFSYQPQVFAVLETPEPIFD ANLLSGKTSNFVGQQFDSVDDDKDMPVIEQAPTLAEAKKQEPAPINEEFENNPDFVLY HDSPAPTESVTSPVELDVEALSQSVSELDTFSGIEPEKALSRYELVNTTEDEAVADRA VARIQRLTSKLDCIASRLEMLGVGL QC762_309700 MMVGLSTSAMSHDRDISLDNDTEAPELPCTICEGQHPPNPRLCG NCQSWNDLPHLRICDEPSYLKLDAYRFMNRGSQGPRGVHPHTFDDIAYQDLNAFKGRR GCMICQLVSDSVQHFGQQHISNGSKSALKFGLWRPFLMRHVASTAYTDSSTLTAAPSP NPSLTGRICILPGVILTQSNGTSSFTPLVLKLVLYYRHDSYDLQHVEKWMPNPISLPN LTAWLDDCRHNHGDECNDLLMPMVAPPGLRLIDTQLNRIVDWTTPNADYVALSYCWAA ATPETTSPTPADDLQLQLDNIDQLSRDHGLDISRLPPVLADAIQLCRDLGKRYLWVDR LCIIQDDAKSKHSQITAMDRIYHMADFTIVALSSKPGLPGVSSRPKDTSPEALYGLWD GPFESSIGLAYGPAADRAIRGSRWDARGWTFQERKLSRRLVFVDDQRAYFSCYQGARW EHDTRVGADTVHDSSTSGLQVEPSFVAYACCAIPYSMRELSFRSDILNAFAGVGNVLS SRMETEMLFGIPERYLLQGLLWRSDDFAVGRDETLGIPSWSWASWDGIVDYGPGFRAT FGLRGEGGGSAGTPNLYGPGLRYFRGYYPSDVGNLVTFWYSDKKQVRRVVEDKTWFGL EYMTEEEFWDYLDEEWMRGDRLAETGEWETRAHSWRGCWHNPWKARRYEGISDEARGK GERTPGSLVFTTTCASLWLHPAKQTLFNTPATAVCFDMMTSAPKPDTNIDKLPFVGRT MLMDKQWAEQIFDDPSRTYRVVVIGAGVAWDVRSYGQPGWKDFAPGAPWGLCVLITEE IDGVLYRLASGVIDLIAWTDLRPSWESVVLG QC762_309710 MRLINANTLRFEEFFEKPLPPYLVLSHTWGEDEVTFRDMQQPHL HLGKSGYAKITQTCRLARSKAIDYVWVDTCCIDKTSSAELTESINSMFQWYQRAVVCV VHLADMESGVDFGTGVRFSRWITRGWTLQELIAPKRVEFYDSKWKLCGQKPRDSDVLS AATKIPEDLLLGNHRSSEYSVAQRLSWASSRTTTRVEDEAYCLFGILEVNMPLIYGEG KMAFRRLQEELIKRSNDITIFAWQPTREEVQEGHCGVLAASPQAFALCEHVCVWRPST SHNPQFVLTNRGIQLQDIFFHRMPLKNDQPAGADESLKREYVFMVGFLSRGSGAYLGI QLRKIGESLFLRKSRPLKMISGTENKSLLKTDIATCHFVTDTRPLDNDTLLAFRKRCT EVSIPEWSMKHTIPSGYWDDQDMIQFHLPTYLVGAFLLEGDVGGKRTRFTVLYRREGE DQVRIYILETAKHTAATAYIFRRRAPGDMLHWEDLGLDHPQVLEASNQTQVVIDRRQF TVTASLPSKALDFFGRTKIGRSLQLEVVENRSKGDPGAKGRELVPYGQGRTNRRHRST DVSFPRIANTSLPRSRPGFQSIPS QC762_300971 MLGQLLVAALAAGNALAAPTAVEKRQTSNKCTNPRIRKAWHKLT DTEKQTYLDAELCLMNHPATLGLRGAKTKYDELTSVHILESEISHFVGAFLPFHRLYI HAHDVTLRSLCNYTGPHPYWDETHDYTSFTTSDMFSTTSPSFGGNGVGATQCIETGPF ANYRSVLGPGFRISPDNPKCITRNINNFAASGASPEIVAGCLGQEDWLSFWACAEGRP HGAGHGGVGAEMGNPISSPSDPTFWMHHAWLDRLWAQWQDLRPEVRLGEMGGNNRMRN GFGAGPPNGGFPGGGEGGGGGGFPGFPPGNGTFPGFPGGGGGFGGGPGFGNPEDLTRP EDVPEAIVEGDNGGNVTTLGHVLHMHGLIPDATIADVMDTRGELLCFEYD QC762_309720 MGGNPERTPSPSRREPTPENMTMMQGFEWYIPADQQHWARLTKA LPQLKEFGIDNIWIPPGCKASSKNGNGYDIYDLYDLGEFDQKGTISTKWGTKRELVSL CNKAKELGVGIYWDAVLNHKMGADHKERCPVVEVDENDRTRVVSGKYEIDAWVGFEFP GRKEQYSRMKWHWYHFTGVDFNAENGKKAIYKIAGEQGEGWASEWDGDVDDEKGNYDF LMGSDINHEHPEVREDIMRWGSWLANEIPIKGIRFDAVKHFSEDFLREFIKNMDKEFG PGWFFVGEFWKDSLQDMNDYLARMKYKFSLFDAPLVHNFSDISRANGADLRKVFDDTL VQAQPVSAVTLVMNHDTQPYQALEAPIEGWFKPLAYALILLRDRGYPCVWYGDLYGIQ GEHPFPPSCGGDLPRIMLARKLYSYGQQADYFDYPTCIGWVRYGTWDRPFGCAVVMSN AGPGSKRMHVGEMHAGEVWTDVMGWNDAKIKIGDDGFGEFVCGQTSVSIWVNEKAKYR ARFEKEFDADIYRIPYDESGSTSS QC762_309730 MPQKLKEPPPLDFAIFVDPSDRDMADDDTRAAQVPLSTELEQDP EKRETVAPETKPRATTAEDIEGEESDATSTYSVRDSPNRRESTSSLLRQHLHSRTASE DTADNSSHHGDDVFSEHGSRSHSSVGSVDGGHDSDSKTPQGKDSQSRRQSCASSRTSE SRNSDRIVSGVSTRSARSSIRTPSEVRALQTGSPTPSVFDGSSARPNKRHSGTPIMFP TVSRVGSPTVQVQYSPKGRTTPSRFKVRTEAPLVLLHVTLLPLRWVWGETLNGLDLVS GKTIDEGGLPYVASDEIKALRDSWRDLQDRLGDTVLERGILLPHPQNDFEVLEERLLE ALELPFKRRARILECGHYLGPANVTAEDDEEGELEYLKSTEDNRHWCKECKTEIRYEK LGSSKIYRVKVYASNGLMKSGAWEACWREMERVDCEVELILTPAMQHELEKVAVFQLE QEEQRQRDLLLDAEAAAKSGLTPEAQADAQRSRPTSGLHGSRPSSGLHVEVQPSTPEP PMEPFDRVTSPEFLQPPSSSRPRAAQDGLDTSEERRRRDEERMREIYGDMPPPEPIPT PEPAAERAPFARPAPSVGEGYGSVPVSQALVAITPTSSLDQSSTLRDRHPDSYIAPPT PLSPSEVIRKRRAEYERRQAERAQKRQRELQNAGFIDLLTEAFRVALDNGNETVKDGW TYVKAGALVARDFFNDPKNVAIVVLVLLVVVIGLGNRQQDLAPATYKSEPRPENYAVE KVPEAKIEASVPEAAAGLASTEDGSMGLGGVVYGVASDPPVQAQSVVVEETPAVEVEG EVPSIEVAEEEEKVPVVETVEEGSVAAEEEEKEAENNVSEAPVAAVTEASAELVLASA AVNAVAQSAQDDVAVPLPAEPAAEKPESGVEVYGAAPKSDDETPTGAVADGERGVEVF GAEAPKPEAEEYGLEQVVAAGGKKADAPPQESGLEQVVVGKKPGGSEEYGGLEQVVIG KRHSTVIDFIPGPFVTQHKTVRVFETVTEIVRVSVVTKTQTVSRVVTAIPQTVEQTVY ETETVKITVSLPVEGEEESKTTTTETKKATATRRRFW QC762_309740 MTSRSRYRLLFAVSCVLFTYSFLNHHKLPLNFDILWPDQQSSRR TTPPIEERQQVPLASLESSFFTWSDPDAPPIPKPPYKPELEESPPIPDPFPLLSEYPA PPRKFLLQHVPKPPRGQSKEEAPLLIGFTRNWPLLLQCVTSYIAAGWPPSSIYVVENT GTMFSNRQGRLTLQNPFYLNHTQLGMLGVNVIITPTLLTFAQLQNFYLWTALNRNWDY FFWSHQDLLVFSPPGDPSSTLYSNVLSVMQYLKTPAAPRWAHHFFAYDHLTLVNTASV LSTGGWDTQIPFYSTDCDMYTRLMWAGFWQGESPDVGRIFDVKTVVDDSAALLSLPGY QASFNGTVYEDPVELGEVMQDVKYNHSNDNSGRNTWQLRQRGGQDEPFYRDAVGFEVG TQMTIDLGRKVFAEKWGHRGCDIALMEGIESGDAWRLERDWEEGDGGEDSW QC762_309750 MPWQPLPRIAFAVATYPFAASSPADLPLELGDELYIIEETPDGD WFRGYLVSPPSLLAGLTSVKGHTLEARVFSGIFPRSCVEVREVLGESDETDDNEADSE DGVATDPLYIGSDSAKGGLVPNGEKKRRKDRNRGLQKNGLLSVPVRRDPNAPRQPAPV PMLKIGDETPTSASEPLIDEIASCLREWHSTNLHELLLTRQYAKLDNLSQLIVTLNFS RQQFLHDVLTTWEYENLREKTVWDLVRVNKLCGGEVIVRDPHARGRVLTGDDSVVEIT RLQSIMSLLDETPTPQIELTALHHLLVDIKGLAGASTEATTLVLYLATKTGGGKLTTI SESYIVEMPGGGQMGQLTRNNQMRTLFSDLTAAEIGDVSSIDSELFLIVKIRAPQQVV AAKPSSRSGSMSQSLPQFSKDPTKPPLSSGNKSMRRSLMWAGKSTRSAFSRGNNKLDS LSEQPEEIASPTTAGAESRDGHPPSTANSKNGRSSVDGYVTQTADRTVGVGLLRLNSI MKQEDEVEHIVTLWAPSARFATERGDGEEWDPVIREVLDSKPGSYEKSRRAERLQVHL RAFNNPDADVLIKATPTVLAGICKTNKMGFSGAPTKPRSDIYLTIDEAALTRQTLLSR YRGSPASLPNSIHGNNLQLTLEVRRHSGERIDNCIFPSSNTECISTWKTAAAERGEPW KQTIKLVIAPADVHQAHVVMQLADAPNSAFAVAYMPLWDQQAFIHDGSHSLVLYRLDE NTVSAQQNAQGKGGYLSLPFAFRGREEHQAEVTGPIAMVRVETYLCSTRFSQDKIMLR LLGWKDSAQEAIPDLLKQFIFVAEIEVVKLLNDVLDALFGILVAYSGNDDYEDLVFTA LVRVLDIVHDRRFNLSPLVDQYAESKFNYPFATPCLVRSFTRLLSKPTEPETARKLRA TFKVARHILKFITHARGQQKVKEAGIGITSTNPGFTRHLRSIFKALDAMMRSTAPVLV GSQTLAVQHFHTWLPELAGLLTTEEILHIAIDFMDSCSMVKGKLVLYKLVLIINYAKL DIFSHPEQRSALSANTVRWIAPHWGYTEEVTEQWKDQVRLCCSVLASQVEHLGPEIPD YIPKIIQSYLALNAIPKKPKDRLSLLFPTSYPFPSKAITGEVVFDEALIELSAVLSAL STSPSGMQLELAEDDLNIVVENSLRVHMSILQGETFPSNWLSVHIFHHKSTMRTLQYL ASILLESFLPHPDEAENFSTELWKLFFTTLLKLVGSPSLALETFPEQKRRAVWKIAGD VREHGAELLRRTWEAIGWETSLEERARYGLSKMGGYQVQYVPTLVGPIVELCLSVHEG LRRMAVEVLQTMIVSEWTLSEDLSVIQTEMIDCLDVYFKEKPLTESILQKLFVGELLE RFEPLSRLKDEPLYQAIRELMGTVDDFLDLLVAVHSGDGSGEASHLIHRLRLMEFLRD MQKEEIFIRYVHQLANLQAEARNHAEAGLALRLHADLYDWDPLRTTPPLSDPEFPAQT HFERKERIYFDMIKHFEDGEAWSSALAAYKELQQQYETNIFDFAKLARTERAIANIYE TIAKSDRLVPKYFKVIYKGLGFPANVRDKEFVFEATPAERTAGFTDRMQEMYPSAQIV LSDQIDDVEGQFLVVSALSPHRDLGHHVFQRARVPQIIRDYLISAHPQEFSVSSRRNT TGPVEEHCAEKIIYTTAEPFPTILRRSEIVAMREVRLSARETALERIVRKTAEMSILE RKISDGEGGDEAVQLLFDAVGISVNPNSESSVVCYRDLIPGMTRHGAQSPAKDSELDE EEFEPPELNPQENAIKMALVDHAILLKRCLATLSKTGVEVLTRHVEDLQKYFETTYAT EIALFTPAAPPVREASTTPSPTWPRSPPSTVGGIPHLSKPSISGMSAITGVEEAAVIR PVSIRQGRGARLSFLGGRKKELSKDGSPPLPTINGDVQEDSPKDSAASTKENRRSFIS GFRTQTSASNDARPSLTVQTNGMDGAGFSISPGGITGGSHLTTATTTTNNDWVTDSAG GSFMGGLSGTATAVESPHGNGEKTPRESTNSSSTMGGSVRKRLSLLRLGKKSSKDNAK QQAGVVGLGGVDEE QC762_309760 MAEVANIVAGVFQLIPLCNAGFVLIKDVVQLEQKLSEQRIRIQN HQNNFRSWCEIWGPSETRERKFKHYAQDNPVSAKAVLRQLALNSRLFFDIKGLDRYGF EIKKLIPTDHRIQHLDDFHFETNADLDPQNINRFETKCNTNLLVMRRIQFLLVSGGKG VDELIVRFREFNEVLWGYGQPLELARLNKGIYDNLNQLTGDQLNKLLAAYTRESETSR DSVGAGNYRSLAKMVNLRAHAIQGAPGRPHVFGASSFHMTDNYRVDSRGTFTMALLYD YPKKGDHRVALIEWAQNAQISGKRREIEKLALLLNVPKPDEMSMLDSYGILDDLQRTN RLGFVLKPPINIRTNLPQPLPPGAISERRMPINLRQLIKTRDGLDLGVRFDIAKKLVD AVHMMHAVDWAHKYVAPSHFKPDAANRLHRNIRPNNILFFPLGSIGDESSTQAAHRVF DLSSPFIAGYSNGAASGINLKLDYYEHPARRNDPQIAYRRLYDLYSLGCVLLELALWT TIDKQIEHDPGSREASYKVIRALSLKPTLDRMVGKIFADVIRDCIALGEKSSLENPAK FGTEIASRLAQCVA QC762_309770 MDGNTFGKGFTVTTWGESHGKSVGCTIGNVPAQLRITEADVQPQ LTRRRPGQSAITTPRDEKDRVEIQSGVQNGLSLGLPMMMVVKNEDQRPKDYGNKTMDM YPRPSHADFTTQAKYGIKSESGGGRSSARETIARVAAGAVAEKYMMDAFGIEIVAFTS SIGGIDLFPPTPEHPTPVTDPKFHEFLDNISRETVDKFLPVRCPDQAISDKMVQLITE FKDRNDSIGGTVTCVVRNVPVGLGEPAFDKLEAMLAHAMLSIPATKSFEIGSGLTACT TPGSIHNDPFVSTKGREVPPSVAKSGAYLKGFTRPKLTTKTNFSGGIQGGITNGAPIY FKVGFKPPATIGQDQLTATYDGESEGVLAAKGRHDPCVVPRAIPIVEAMAAITVMDAL VRHFGPKTLSQYLPYYREPNEKGEAQVAAPDDQL QC762_309780 MATPALRNAWRIRSILSARHARPITTKAISQKFQIYTSSSANPY LNLSIEHHLLEKSDPDSTILFLYTNDPCVVIGRNQNPWTEVNLPQLAQTRIKFGQLKH HLVRRRSGGGTVVHDHGNANWSVICPKDVFDRDKHALMVVEALKNMGIKGVKVNERHD IVQEAQDAEGPEPAPSTYKISGSAYKLTGKRALHHGTLLLNSDLGDISGLLRSPAAPY IAAQGVESVRSPVCNVGVEDNADFYKAVIDQFMTMYPDSQSAEVKEVEQQEALNHDNI WNGVQELLSPKWLWESTPKFRLASHPTPEDPRPRPHPPSNFFLTLTARHSEILDLQTD SPLFRSEDHTASLNFLLKQKIHEVPKYCDLREWKHYINFRIENGKSLTLRNESVGSIC KWLLGNDMLATGPIRGINKSLKTKLKELKHCRDGGKPLVLDHAAFMFGKPEYGWYVVD KQ QC762_309790 MALTWRSFDFFESTPITPSDPTSRSFFDNPSHFSQSIASVCSGS ESLFLGGFDGTVRIIGPNFKILREFTAYDNGSSVTHMRQVEGTSLLVTIGEGGAGEDL EPGQPVVRVWSLDKVNKKDGNPGLLSSVVVNNGKRPFPISAFTATDDLTQLAVGFANG AVVVVRGDLIHDLGTKQRVIYESDEPITGVEMHVDGGVGLTTLFVATTARVLKVVISG KGHGQPPKTVEDEGCGVGCMVVDRRTGEIVVAREDAVYYYTLEGRGPPTAYEGAKKLV AVYGDYVAVVSPPTPAGEADTTRRRFWGGAADSIYTFTLIHPELRIIAHSETVLADVK HIFALWADLYMLTLDGKIFRYHEKTLQQRLDMLYQRNLYTLAVDLAQKCGMDAQQQNI IYRKYGDYLYQKGNYDEAMTQYIKAIDSTEPSQVIRKFLDTQRIHNLIEYLEELHEHH RATSDHTTLLLNCYAKLKDIDKLEKFIKSEGDLKFDLDTAISMCRQGGYYEQAAYLAK KHGEHDLVVDILIEDSHAYNEALDFIWHLDPETAYPCLMKYARVLIEHCPSDATQLFI DYHTGKYKPRVDAPITAEAAPVTTNGGFAAGAANAVSNLSSLLPLPYMNTAVLASNNN ANAKPTVGDMSLKQEAIAIKYTPPKPRTAFSSFIDHPDEFIVFLEACLQDDALSKEDK TDIYTTLFEMYLHKSNEKKSDAHREKWEAKAKSLIEADKSLPATQEKPQIENSNVLLL SHLSNFRDGTTLVKEQSGLLFDIFRSYTSAKDTKGAIKALAKYGPEEPQLYPAALSYL TSDAKILDEAGPDELAAVLDRIDKGGLMAPLQVVQTLSKNSVASMGMIKPYLAKRIEA ERLDIQENKRVVSQFRTETMARRQEIADLGGKPAVFQATRCGQCGGGLELPVVHFLCK HSFHRRCLRVTGGGEGDDNVGECPICVVDNATIRALKKSQEENSERHELFRADLEGSE DRFKTVAEWFGRGVMGAGGE QC762_309800 MSIQQLPGDVVAQIKSSTIITSLNTAVCGLLRNSLDARSTKINI SVDYGRGSCSVEDNGVGIPPSNFREGGGLGQLHYTSKYPPSADCHGKHGEFLASLGAL SLLTVTSHHRDYRSHNSLTIHKSNVVTRNVPALPEQRILDFSSGTRVVVRDLFGYLPV RVKQRATEVERLGTSRYFDQLIHEAVALLLAWPGEVAVNLQDSIARRTVSLQTSPLAD IEKHHLLSTRDVLLRTPKLLLAASLLDQENPKSWVSIGATAPGITVRGCVSLEPAATK RVQFIALGIQPSLNEGHSNFLYNEVNNVFANSSFGTIEEAGVDDDGCPQKMAGFTRKE LKPRKGVDRWPMFFLQIILEHGLGSVDADDFLDERRPNLSIIVDLLQVMAYEFLKKHM FRPRSVHAIKQLKTGTVSGSDFAFETNRKSASQLPVARSASRLSVRTKASRKSTTRDT ETRSASPFSSWPKTKHVVQPQTELKRAVSLPIGRTSSGTSLAGCSNAISDGSETVEKP HDPVADTSAEPMIWIDPVTKIKSIIDPRTGFAMRPKQGSNKKPSLDRRRSSTALRDWK PSTNTRGRSFFLPTERPIPRLPQESDTLGCEGGEHGCHGLGVITLENSNNSVSTALEG RISKDTLRKAEVVSQVDEKFVLVKVFNQAGTVHRRDLVDSPLLVIIDQHAADERYRVE ALLKEYFVPDPDDGRSLVAARTHLDKKLFFDLSRQEGELLLRFKRHFAYWGVIYDVTT QDQASRVTVEVQALPPSIVERCRLEPRLLVELLRNEIWKLHENPSKQAGIVPRLPIGD EADHQWFSRFHNCPEGIIELIHSRACRSSIMFNDVLTKEQCFQLVQKLATCAFPFQCA HGRPSMVPLVHLGPSSSVGSFGMAREKNGKKRLLCDIRKWKDSVGRANQT QC762_309810 MTETATASHGRSGRRRPFSTLMKKLANLKSSSNGEGHRHTKDSA KLRPSKNNNPYPESGRLPPATTNRESQYTESTDPSRRSFSIVSGDQNASVRISDEGQP PPTVGARSMAPTVSTEHDTSRSMTATSRGVPSITNTTYTVNGRRGGDSTFSSPAPSVR SLTTTLTTIQTAAPNTAGGGAQNTTPASNGNQSNSHIIHFNQPFPTASPASAIPAHLA TAGANSTTGHPTTYHTATANNLLTDNASILTLASSSKRGRRRSFDTDASVRALAPSSL WGGSRESLPLSVLSANLENPGIPTTPGLHRTGTGGVGGERASIYSATTGNFGVSSERN SFYAKQGLGSVVGGDRDTASVRSGLLGHGRAESINGSIGFGRGDSAVAAAPSPLTSAR EVQGYVEEEGGSGSAGAGTTKE QC762_309820 MSPATIRLGTASPSTQASTPETIAQLEQIARRAASKKIDILLLP EAYIGGYPRGTHFGCVIGSRTAEGREEYLRYFQNAVDLGDTVGDGAGAGEAWIKRALP GDAVPGSNENSKEPAANKRGDGTREQLERIARETGVFIVTGLIEKTGGSMYCSVVYVC PKQGIIGKRRKVMPTGTERLVWAQGSPATLRAVSTTIRGVRINLGAAICWENYMPLVR QSLYSQNINLYLAPTADGRDTWLSLMRTVAIEGRCFVISSNMAVPPPSIPQQIPNSHP DSSSAILTEEPDHENPHDDHTPIFSDHHPSPFAPKHHSNRRASCLTEEGFEIALPRSK SPSTPTTTTTTRRATPSPTRTTTSTVNTLSPSSKRRTSVFDKDGNEIVLCCKKPSHVT NSQTQPMVPPAPKLTNSSSGEWVSRGGSSIISPFGEVLSGPQWEDNTSLICTDVDFED CIRGRLDLDTAGSYSRNDSFEFGVRGLDLSPLPY QC762_309830 MADQHQHTQQQFAPPTYASLEVPFAPPVHRNALPETRIAEPGPK KKGKRKSNAAEGDANGTTDSPKEKKQRISRSCDQCHGKRMKCSGFKPCNNCTKRDRAC TYDRPYIRGIAKTPPPPPADGQARNLAQPVDEFGREVRDRSWALRACDLCRTQKVSCS GKLPCENCFNSRVACTFKLRAKQRDSMPPGEGGEEGEGEGEASEAEADETELQENDST EYGGTFAVRRIPLQDHENYMLTNRYADPETPPLAFLHKAWERMARYQKIPTSYGQEYG VPGDLVMPPSDQPFDTTLPLAFPESPAKWFEMLNAFQNGWTETFHFLHRPTVKSWLER VWKNWTANSPLEKDLGPAKATIALMCMSIGTMFYDRPWREAVRQKMWDRLWTLNMGDQ LFLATIRLTDSEPGPPKLESIQARLLQVLYLLCTCRLSQAWYIFGNAVHMLTQLGLHR RRGRNRGLGRDMTVNPDYAKIQCERRTFWTAYIIDKEISLMCGRPCHFSNEAVDQEFP DPVNDEDMGPEGPFRPHLGDCYLEAGTEQAKLNQIIDKIMRDVYTFREIPDDWRLESA TRLGNELEQWKEQLPFMMCHLKPSMLHTIFRRQSTLLTLAHCHAAILVYRPFMTAPYS ADAEKKQAADSAVRKLLDAARIALNMCLALARDQEKRDKSHFQSIFFAHHVCFCAAAV IFLLPHIRTRQAMYGGTHFRGYGKMDSLLTETAEKAIGALLKQTNRYSPSRRWAIILE ELRDEAARQVTGAGRTPAEQSQAQAQVAGQGQGGSDDADAQSPNEQLLEDALRAHWEA DLARHALPNHPPVEVAEPPPPPLVYRLWDKWKTTDWIDLDSAAFGPISNFEPLTPPPP PPPPPAPQPAPQPGQQSANEPAQPPPQPAPQQGPVQNPVQ QC762_309840 MASRGSSHPTPSELFLAKKKKEILDRSMALILKKLDECLENPVP TAPRRRVAKRARDDQDTAAVDDRVAAGAATTTTDDAIDTRNKKKLKQDPAAGRRFACP FFKHNAAKYKHVKTCCGPGWKDVHRVKEHLYRRHSAKNSCARCFEQFEDEAALKDHQR SEEPCKLEKHNIPDVITEEKDKLLHARAKAGLSEEDKWREMYRILFPGERVPSPYYDD SDGTGPDNENGGSSRNWEEFKTFARQEVPRLIKPLLQQYIDKYFEDFAEKMNQKSIEV AKVVESQVLRTWIFREEQQHLFPPGGAAPSSPPPSVSARASSPEVDVKPASYNEVMDE WRDNPHSGEFWADMMSGPLSLDNFLADASHMGLGCGNDIFSADSAYFTNPVSDREITS SSGLHQVAGAVMGAAVGAAPMYPRYL QC762_309850 MYQQTATAEPDPSTGFGFNQAQPPPEAPDWAPGLDPQDQQALYE AREDHDAIVEEIPSEKDSLGRFSVLCLIFNRMIGSGIFNASSQIFYNTQSVAVTLLLW LFGVAVALSGIILYIELGLTVPRWQRPDGTKISTPRSGGELPYLNYFLKLPRFLATCL FGVSFLVFGNTATNSIAFAAAVLQASDTSQTAGRMVAIALAVNTFSCLLHSMSRKWGI RLNNVLGSLKVTMLVIMVIFGLRWLNLDVARDNFDSSTSLKRADNTQWGVYKYAEAMI YAIFPFGGFHQANYVLAEIKAPHKNFARTSGYGVLFICCLFMTITILYAANIPKADLF TADLDIAFNFFKRTVGSGVAESKIKAACGSLRALSAIGNVIVFTFTAARVKQEVAKEG VLPGSIYLASSYEFTFRHGFRRIPDHQAGGFLHTEKSPAAALALHWTVTSVLILAAML STDSVVFSHLPGYSLLLMAYAYGLDVIWFSCIGVGMLYLRLWPGSNWRYKSPIPHVVG VIAAVIFTVTNIVPLVMIWVYDPAQRYIAHSSDRVDWFAPQMTAMAVLVAAALYWVGF RVWLWQKRVREGVVWEVVRTPIFWAGSQGQGQAQQQGGAQGQPQLVQIYEIIRFKWRV WTGDEEEGRGSGKPEGPQQGGFWEGEVPVDDSYGNGGGGYATGNNYGSGSGNVLNQNQ QQGYQGHGQKY QC762_0058680 MASLVCTEFNRLPEAAHACALSVSMAGMASFALVTRQGSERPLE VNKTELPRIQEEELRRCPVSHKLWVPQMPKIRSP QC762_309860 MSLPFEISQIHGALALAYRVIEIGWSNIHDAHEQYLEFGQDIKD LRISLLNLAGAIDQADRGSLLNNRPTTAAANNFHHVLGNFTGVLEECLRLFERHSTYG RNRGPIYNWRWFLLVKDEVMMLRERIAFLNIKLSVAFKALEIETSDGTRRLIVGVGEL LLQRFDLLEARLSVMLGQPRPAEPERVLEIPQPLEDLLVAIAISKHGSLSSMPLAQGI DETIFYLDRATCWHARRRVEQPTEQILASQIANMIRAYWTLQATRSGNECMEVSSRAT IDDLEAHFPRLGMTVQRYLHKLGERIFDAHDALAQNGSQVPTWAQVRDALHREQHSWD EHCGWRPPQHDENDPRRGEKIFTCRLQDHNTAEQYLEIWQHDAKNNQQLTMIICVANR DTVYQVDRTSLMVIPSDDSLVQGNDFYSIIVNPSHLGGQAGFRLAFHTKGALFDLQQF FTGYRVVDDLFGAKIILQQAEGVLRGTQRRSTGRVQLWSSTVRPTGRALPKEILTSST STLRNLPGMGFNPSTPPPVLPPLGSFSRLTLSQEPALTPATSPTSSSQSVETPPPIMS SFQQTPFPNGNNRFSGNSCNSNSFWQHPMLLAQVGGATQSSPVPQRYSTQSDDFQTTL TSPVSPVQRSNANTNRPLPRRPSVAFSVTSQSSAVSVASTTQSAAMIQVGPRGTMGCI IQAPEPPRLVLFIRGRTSAEPSSLLAIDINSNIRINPDLCKCRQGRSEHPQARQQVAP SQCCQVVLQPAGGRDKIYAKETAAPEDTKSVNNKKKNNGGGTEGVSTWNLASAGRFQQ YNDLSKGGMKQVKKLKLVTIEFGSVQARQRFVTNFNDLKELYSKYAVV QC762_309870 MPRKVRYNLAASLDGFIATPTGSYSWIVDDKTIDFDSLYAQFSC FVMGRTTHEAYLAMDPAENPLRAYYKTGNVVVVGDTLDRDLETQVEVVKLGEVESFVR ALKGREGGKDGDIWLMGGGKLAGEMLRLGLVDTVEVAVMPVLLGEGVKMFEGKGREDG WRLELRGCERKETGILMLEYDVLYEEPWK QC762_309880 MKFLLHPSLQAISRRLPRSRRVNLVFRLSALILLLSVLEAFYHS QVSLSIPRPAEHHNLDEPFSIGCQDVSKLVTRPRESAVLVMLARNSELKEARKTVENV EERFNKWFNYPVLFLNNEPWSEEFVRGMKEVVSGEVRFEVIPEGIWGPTAGLTEEVVG EYLRKQKEEGVYKGEIEGYHHMCRFYSGEFYNLDALKEYKYYWRLEPGVSYGCSLTYD PFVMMADRGKKYGYTVALWEEPNTCPSLFRTVDEFRTQNNIPMHPNWKAMIDEETNWL LKPAPIRWLLGKVLRREHYDIKGEKWNLCHYWSNFEIADLDFFRGEQYQKLFRHLDKT GGFYRERWGDAPIHSLAVHLLLGAEEMHHFSDVGYYHEPFWQCPQNAKGKQLLDNEMI GKAPKGMGEEQEGGHGCRCECGLGKKGDWRRRNNRGICLEKLQRPAAYVRPSWWDLRR RRWPYSVGFDEEGRYVM QC762_309900 MDPFSVTASAVALATAALQSAKALHDLVDGLADAPHSVSHSKSL LSQTQTMLGTLARTLETNCAPDTVDAVLKEIELNKVLESTKSICQGFTAAMARVTSHS TDSHFSKRDRLVVYFKDTKINKLNRDLADCQRTITMVLSSITLIVSSRTSGNIDQLRT QFATQEQALANLSAQLSIREPEPQADDNSIAAEGDSSARLTDSLRDVCQKTLSATRAW RTGQKFGDMKTDDHSIAMQGIVGVAQPGVDQSFGSLTTTKSSRAFQGQMDAGSFSNLF SK QC762_309910 MSERIPSTNAMARAGQHVEQCFGNAEASHGGLLFQGHLNGSLHI HHETRAKQHAAQRIIPFPRNEDVVDRYVFAALDRLLPPSPDYQSAALWGLGGSGKTQI ALEYAYRRSRDPACSVFWVHADNETTFTQDYKVIAKRLGLADGLDGPELLMAVRERIE ASPCWLLILDNADNIAVFGVGRTQSSRDQGQDTEEKQSLYDFVPRGPAGTVLWTSRDK RISGSLVGGRRAINVASMTEGEAKILLETVMCREIAEEESHNTMALLAELDLLPLAVS QAAAYMGRTATPIGEYLSKLKRRIKRWQLLSETEFDRHRRADVSNSVMQTWGISIEHI RQENQMAYNILHSLAFVDNQNIPLELVAKAAEMMARPTRYEKTTSAKSVCIKDQDEHQ DEHQDDDDKVLAAVVLLQHFSFLRPRTSGERYGAYEMHKLVQEATQYSLSQEDRRTDQ WHFSEVALRAATSLFPETRRELWEECERYLRHAQLAAGWAGLCRGGVEAAALLTRVSD YLYDRGRWGEREPVDLRAYEYRRELLGDKHPDTIWSMAHLAATYLAQGRYEEAEKNYM EVLALQRDVLGDKHPDTIWSMAHLAITYHAQRRHEEAEKNYMEVLALRRDVLGDKHPD TIRSMAHLAATYHTLGRYKEAEKNYMEVLALQRNVLGNKHPDTIRTMADLAATYHNQG RYKEAEKNYMEVLALQRDVLGDKHPDTIRSMAYLAATYHAQGRYKEAEKIKVEVLVLR RNVLGDKHPHTIWSMAHLAITYLAQGRYEEAEKNYMEVLALQRDVLGDKHPNTLQAIH NRARRPEALAIIQDCFQLQRKVLGQAHPSAQRSLRALNLWGPDKKKSSRLITSEL QC762_0058740 MGQQYSRLRQRRNADRLRDLAPRRTSGREDALPNLDYQTLWNAL NNVAAYINRRNGNVTVIAVGGAVNTIHLRSRNATHDVDFFNNQLTVNDYELLINGAKD AVRRDRRLTEEWFNNRTIFFIPQERRDELTEEALLIHEVIFRAAGLTVLAAPWQYSFS CKVDRLSGGGLNSAQSYDLDDAVQYIHRYLLQRGGRQVNKSTVRGWFVHYQLQWTHAN ETVIARVNAAYRARFHVNYDVIV QC762_309930 MSNLARAISKVFRREPNNATADTTAPTVAWLLAHRDERKGQPAH SKGKTPTASLYRMYEYLVTGYITGLRSEIEYFYNQPSWAVSDIPDPIDPDPERYAILA VLPSYLVTAFNRLIERGLPRGSPAIITGAAAENALKAREIVLETEPAWVAKVPALRQT LIIPDKSGKRPGEESRSKRFLDMNIIAEEPHVLFV QC762_309940 MPSLGGTARLVVARALSTITTNQNAIPGSFQSECFGSAVYPSHF PPFFWCLAREYSVYIQNFSTLVFPRRLPQATSQFPASGSPLSLMSGLDALLNRPRPSA WQRFVQQPCIFLAHKLYTWRQIIPIQPIHPVSVVCISDTHNSQPALPDGDILIHAGDL TQSGSLQELQTAVTWLRAQSHPVKIVVAGNHDLLLDESYTGHRGDNFNAGKAAGKMIN WGDIIYLENSETTVTCANGRQLRVYGSPRSPRHGNWAFQYPRSKDVWTGATPKGVDIL ITHGPPRAHLDLQRLGCDYLLRELWRVRPKLHVFGHVHEGAGTEWLQFDGLQRAYEDT VITGGGFWNLLWTLKAFLLTLFGTAAEAKHLLVNAAMVGGLRDDERRRPVEVMI QC762_0058770 MTTTEACRGLRRIVPVNDTPDDATIDIIAIHGLGTESPRTWEFK KRNGDGVVNWLSDADMLPAALPKARIYTYDWNANYFANAPVQTLLGHADTLLGLIAED IGSQTRPIIFVASCFGGLILAEAIIRAAQEGSAYKHILLSTVGIVFLATPFQGSDAAK QARWQVLVKGIMGEQASDQLIKDLEQSHDFVHQRVQKFAEIANAKAVQLSLSCFFETR KTEMLRRILSPGWAKRLSRSVTRKILVTESSACLHGFPRQGLDATHSGMNKFQGPECP NFKLVKDAVRKLAGDASVVLKLRKNSSVKGHWIVRFGRNKEFVGRESILEDLFKRVLP SGDEDDCQRTAIEGLGGVGKTQIALETAYRTRDVQPECSVFWVPAVDATAFENAYRAI GQQLKVPGIDEEKADVKALIKSALGRENMGNWLLIIDNADDEKLLFGDTALTDYLPFS RKGSILFTTRNHKLGLRLVESENHIIAVEEMSKDEALKLLGKNLKGSQMSDTRSNSAL LEFLTNLPLAIRQASAYMAKEQISTARYLKLCKSSDEDMVKLLSSHFDDRHRYKNIQN AVATTWLISFQQISDHDALAADYLRFLCFLAGKDIPHSLLPPAGTLETVEAIGTLKAY AFISQQNESDSYDIHRLVQISMLSWLDGKGERQEWTAKVLERLDDIFPWPKHENREEW IRYLPHTQHALQLRKRTDDEEATTGLLSKVGESFRSLGKYKEAEQMHRQELQLREKVL GKEHPSTLTSMNNLAGVLDSQGKYEEAEQMHRQELQLCQKVLGKEHPDTLTSMNNLAG VLNSQGKYEEAEQMHRQALQLREKVLGKEHPNTLSSMNNLALVLDSQGKYEEAEQMHR QALQLREKVLGKEHPSTLTSMNNLAGVLDSQGKYEEAEQMHRQELQLCQKVLGKEHPD TLTSMNNLAGVLNSQGKYEEQMHRQALQLCQKVLGKEHPSTLTSMNNLAGVLRSQGKY EEAEQMHRQALQLREKVLGKEHPNTLSSMNNLALVLDSQGKYEEAEQMHRQALQLREK VLGKEHPYTLTSMNNLALVLRSQGKYEEAEQIHRQALQLREKVLGKEHPSTLTSMNNL ALVLDSQGKYEEAEQIHRQALQLREKVLGKEHPSTLTSMNNLALVLDSQGKYEEAEQI HRQELQLSEKVLGKEHPSTLTSMNNLAVVLRSQGKYEEAEQMHRQELQLSEKVLGKEH PDTLSSMSNLASVLDSQGKYEEAEQMHRQELQLREKVSEIR QC762_309950 MALSTLSLSTRTTARAIQRNLITKQPTASRLSLPVCGDVIGPLT APFATAYMHTAASSRTNTSPSSSRNTSPRLQFFPRYSQVNKFPLAATMATIASSNPQH TMQTSGTADSVWVHKVPYDQYPKFHTLDRNLETDVAVIGAGISGITTAYELVRRGKNV VMLEARDVLSGETGRTSGHLTNDLDDGFVEIAKKHGEGGAKIAAESHAWARDRIGQIA QELGIECEYRRLPAYDISQFPVGHEKHDQEIDELKQETEMQKKIGMHTRFDPNLKVKG WSGNIDQRGGMVVDNQATFHPTQYLVGVLNWLKQQPNFQCYTRTRVMSVAEKGVEVLG LGHKRVEIQTENGHTVKAENAVEATCVPLQKLSVITELEFYRSYCIAIRVPKGSIEDC LLYDNAEEYKYVRLTACDDKDDYLVVGGCDHKVGQEETTPRYAELEQWTRERFPQAGK IDYQWSGQIFEPVDFLGFIGKNQGCDKIYIVTGDSGDGLTHGTLAGKLIADEIEGNTV TNDWATLYAPNRLASILKSLPSLISHDLQINAQYKRFLQSDITDIEDLAPGCGGVLNP KTKKPMAVYKDENGQVHTYSALCPHLKGVVCWNSAEKSFDCPVHGSRFSKEGICVTGP AKANLSPMDKGGEVDQGIAVGAE QC762_309960 MASLTFLLFFLAILTNHRVLSQQAVSKCFYPNGDEAPGDFPCNQ DANGASVCCNGDKGYTCMTNKYCRGPRQELVRGSCTDSSFPSADCPKPCGNGTPGDIV SCSNVTNTDTAFCCPEDAGCCDSGNGQFDVFPSRPKVWAEWSKSSKKFVVLDALATST TSRSSSTRVSTSTTPIETVSDTKTDSTSNRETASPSPSSTPDFSVPPESNTSPLPVPP ASEGLTTGAKAGIAVGAVAGTILLIAVVFMAYKLKKYKQGQGGSYEHHTPQSNWSTAV TEANGNNGTGYVYGTAPKELPSAWERPVNAQEMDDGTNQRYNNRPAEMDGQGYR QC762_309970 MIRPNKAKASPDLSIHLHAPTPDRTVFFPGEKITGQIRRQEHIV RPEVWLILFLHGRSVARIEKTTGSGESQTTHHYNTEYTLFPRQQVTCINGSPVHIPPN SPEGQAWNFQVQIPPHCLNPKLANATKEDGWWARNKQDPEMAFVPLQTPKIPLPCSVM SHQGHGSSKQATWVEYWLEAFLYDKAPFHNSNLKHVAKSRLPVAVYPMPLAGVQTVKM LRFWSANQVIRSQRLLAGREGDKLSVKEKMLKMVRSSRVPRLGFRVLVDLPAGIQLGE LLGGLRVGVWKLHELTAGLGDDSEEEDERHPEEKNKGKVTKTEEAEGERLPGYEQGES STIRAARPSHEQPHAASENDIKIRLVSLKIKVKATTSVSVKGSVLDKHDMTKETDIAR LELSTPSLGLPGGDGNGIEIPFISEETRYEQRLPQTEDAPPPAYSDSGKMMDLGASLG IRFSMKWLEICGKRYSIEQYRGGTWSWGGQGDLVPDFTTFNIQRGYMLVVKLGLEVVK ERVEVRFARKVEVLGATGYSS QC762_309980 MPSSKLLSLLALLPAAALATDNASVQPSGLVRHSLTAQEGGSLF SRHSKRQLVTESSAKRAGTFYTINVKFGTPGQNVPVQIDTTQSELFANPRCATSSNPS FCQSQQRFTMSSSLIDLGVQGSMSLRNGGYVNWQYVSDYIGIGSSRITQQIFGVAYDS SGPTNAILGLGPSLQGWTNGYPLVLDSLKTQGHINSRAWTLDLKGSTSQSGSVIFGGI DTNKFIGDLVKLPIVPAAQSPDGYTRYWIRLDGLSVRQADGSVVDAWAKPEGAAGQPF VIDSGASLTALPTSIYSQFVAAFPSATANADGTLAVDCPAEGEGGSVNFNFDGKVISV PFGDFVSRVPDTDTCLLGVYEDSLPVLGTNFLRAAYVVFDQDNRNIHLAQSADCGAEA LVAIGTGVDAVPSVTGACPAPVTSTTTTESATTTEEVTSTTTEESATTTEEATTTTEE ATATTEEATATTEESSTITESATITESATITESATITESATESATETATEAEESECET EYESATETATESATETATESATETVTESATETSVAEPSITATQTSAAETSVVETSSFP ITTTAAPLLTITYTETSTSTITSCPPSVPKCPVGSVTVVTQVITATTSVCPQTSATYT FPAANPSEAPVVVTLTPVKPLPTPVTVPGCSCTASPFPTGLAPGQPTTLATVPHIVAT GVPQAPGAGVPNVPGTDAPHVPGSGAPHVPGSGAPHVPGSGAPHLPGTGLPHVPGTGL APAPTQPAHGGHNGTNPVPTFVPPQAQPSEPVTAGSAKLSSVMNWGAAAVVGGVIAAM L QC762_309990 MKQGPILLSGLTWLQVAAAACCRSNKCLKAVVSSGLDGLQDCST NLAVTVTPAQSTVTETVTQLPTEHNTFVHTAFFTETVTTTAETETELFTLQTTVTDAT YTQLITNTETVVVTETAQQTVTATNTGAAYPVKARGDTLLAPEPEPTSSLSGSEPSTI PEYASEQCPSWEAYVKACSCAGVEPTTITAEAPSAATETVSHTADPITVSVPTTLSVT DTVYVSLTETTAATDVETVLETATTQVTQTVSAHTTVTVTQTTTTVLPAATCLPPSQV KAFRGLATDYGGQPLAMYANMLNALTGGMIWQPPSTSTSTSVQYKYFFKLDDQGRVVL AKGIPPYSYTYALYVATNSNGGLWPQVNTKDAIQNSINVGGSVAFVKGCVNSVTGELT LDAAGRKNILWCGQQMWMSTGKGEEINRGTCTVMHPKATEIEAFWG QC762_310000 MQCFLCEKPSSPHHGKPMGRRCVKCNDSYCISCTIDDCRNIPCS EHHSCWNEHIEQFTNEKLKALHQPANPTAEMFIRAITCSDLDDAQIKALHGHDKLARW FSVKKGKEEDDKPELWLYDRFRRLCDPHQTGNRITGNHYPSIVSFIGNTYAGKSTVVR AMLLLGLAEQRAGNSSDALGRENALYNLLQSVLDRPEKHELPVSQGGDDPATFGVHLY RNNITTQPEPSPDPQYPLLLADCEGFTADFALTNAEKAVPDTEDPDEQVETRTIKADD YGRGKAGIDLFYARFLYAVSDVVVFVTQNPKTKGHDFPRILEWAAGAMLKTFNQPSGK TLIVVRNKYTPDKKDSTPEMLKQEFLESQSPTLWKRTGVIATFVERFNASTSLGLMIR SNNDLYRQLFRSIHLCYIPDNNHVDNHGKPEKLLEHFGNLKRVLDRAVVEERDIRAES LTRYNVAGLSLFLSNTFRHFSESSTPLDFYKATCRDNHTPTNLREHIANFLRLAYDRE NRVNNNQLAGMDSMIENVIALSLLILARRRDSATFTPEIKFDHDLRKYWDGGLALYQL LHEQCSYTFLNSQGALTPYSCQVRGHGGKRGKHQQHVPPPSGPGHRHNLQPVPGDFVP GHDWNRDVWRQRIRARFCELYRKVYRSPLPPHQDSYEPQPAGQFQQQQLQQQQLQLRD REFNHHGNQQVDTSILKLRRELTPLNKPLFAQLLSTKTCLSCLLSSPDHASSCGHAFC PRCIQELSTPSRWKAAAFELDSCILCDGQDGHQTIQLRPRCSGVRILTLDGGGVRGIV ELALVQALEQEIGLTNVRLAEMFDLIVGTSTGGIVALALTFPGKLPSDPAAVTSSPLA RRGSGIDTRMQDMIAFFSKISTATFENSRLGWRALTRGAMVFRRVESVYSDRPLRSGL EQYFGDKTSLFAPTFNSERVLASGALGSGVRVAVTSTRDDGDTEVVIGNYNRPLTTYA TDSVGGGSSRSGFEREDDLEKDFKIWEAAMATAAAPFYLPVFKREWGVGGQEYIDGAV YANCPARVAMDEKTKIWSRESGEGNGVVLDALVSLGTGKQGPKSDKMPFASNFRGFTA LQKMIKRQLDTERLWEGVVEGAERSQRGRLMRLNPELKPKYVQLYQCEEVPRLLKETG EWAGVGGQGRDKIKNVARVLMAGMFFFEVPDSYSPRSTLSHQQASGGPDGRLLRGAVR CRLRHQAPAVGELLRKVTRFYHTELTTEEAAEEEKSLASADRQWRTLTDITSGSVNPR DMVRVRERNPDGTGIEQFRLDFELRVRDKAALQAIVVEFQADDESDARSINEQENERG RGKRFAISGFPVTLADLNARGQRIWLQ QC762_310010 MERMAAPILTRADVTLDKADIAWLMICSALVFLMVISISMVYSG LGSRLFAITLFKQPLLTGAMISFQWYLWGYALTFTPGNTWFGSLSTANGLQMSPSDVY STNQGSSVTTSEGPSVQHLPELAFALFQGMFAAFTAALICAGTMQKMHSARYLLFVSV WSAVIYSPIARWSWYSEGWSHQLGSMDFAGGTPVHIASGAAVAAMSIFYFFESNGWQR AYLHLSSVTKKRINENLPWAAAMWVKSWLFNTSPWLPEYKQTDIISGRDYEVYDVNQA IFGTGLLWFGWFGFNGGSALGANSRAVSACLATHVAACAGGTTTVFFHWVLKQIYMRS ADYEPREFRRLTAVHFCDGAIAGLVAITPGSGYVPVSTSAIFGIVSSAVVYMLKPLTS EYLPDDELKVFLIHTVSGFIGMFLTGCFASQEVVESDGFSVLTTASVSERLGNQMKDA FAGLGYSFSGTIIILMIGRSIMFAVKWMRSDETKDRAAKAWSKANIFKFGTDGSPAIQ DRLRARERHTWEDDAMEGGIPLSTLDNDRGPSPRQWNRME QC762_310020 MAAPVCSRLLFRHDDNHTERLISWSPDEVTRVKKRSAFLKRRIV YLEVDSERRPEEISFQRHGEPPHPTALTPGVSLSDFQGFLSDLASTGNIPSTFEHLIS FCKCAWVCECPPDPATLPLQIFSRERGPDGRRDVIACKFDPISRDDRPWGLTRECEVY HGDSCVCSAELANIFLWFGWEHHFRKHLKLAIWTGNKESVAILTPVAPLQNLQDIRDR EIQSMRSDLRRYLDSNQSDYRHCVKDSTKDAIERYLANMEDLPARVGRTLEKLFTGSG EVNGAASTSPTRPFNEHRVSLSPSVPTSLSSGGSGAESSGPESASGSQPDRTSFYNPE LHGFYHPHAAGVYYSQPASVNLPDPSPPTKSPARAGSLIEIFGFAGKIYKNIEKKEGK SDEFASKVLAHLAKGIEARQKELEDSIWAVRETKISAWKYELGIE QC762_310050 MALLLALYVVLIITLTGLAIYQHHTSTTLSLPLSPTLTFLTILL PLLSLLTTSLSFFSSSHPRKPLLPLLSNALQLLLTIILSTLFGATLTSPYLPCALQTT WRSLWTSHSATPIRTIQDSLSCCGFKSTKDMAWPFPSSGNNGDVSSCEKQFNRHTPCA GPWEDALNKTTGVELGVVVVAGVVQLLSLVVFKTQRRGGQGKKVWLGRVVEIFTGQDD EGQGRRPLLTGGRGHSEEGRYIDRGVEEEEQGGHENGNGYGGTSRSAQTQTQPDENNS RGGPRVEVSHHDPWAGAERV QC762_310060 MARPTLSPLSILLSAIFLFSSHVFAVSAVLGVDLGTEYIKAALV KPGIPLEIVLTKDSRRKEISAVTFKPSQNGPKKGTYPERAYGSDAMALAPRFPGDSFP NLKPLLGLPVDAAEVKEYAKRHPALQLVAHKVKGTAAFKSAGAFTQEEEAWLVEELLA MELQAIRANAEELAGKGSSVRSVVFSIPPFYTIEEKRAIELAADLAGLKVLSLITEGL AVGLNYATSRQFPNVNEGGKPEHHMVFDMGAGSTTATVLKFQSRTVKDIGKFNKTVQE VQVLGSGWDRTLGGDALNYLIVDDMISKFVESPKAQKASVTAEGVIAHGRAIAKLTTS AEKVRHVLSANQVTGTSFEGLYDDIDFKYKLTRADFEEMASSQIERVSIAVQNALTAA GLEVKDLDSVILHGGATRTPFVQKQLEKALGGSDKLRTNVNSDEAAVFGAGFRAAEIS PSFRVKEIRISEAAVYPAGMRWNTDEGKPKHQRLWTASSYFGAPAKELTFTNKEDFSV NFYQAFESAEADTKVLATQNLTATVAELVEKHKCDKADVKVKVGVRLTNEDGEVDVTK VTVECETETAEKAGIVDGVKNLFGFGKKEQKPLEGDSESESADASSSPTDSSSSTTAS SSAASSASASASASASADGKPAEPKRELIVIPVKFTLEKSGIPQLSKADLTAIKDRLK AFQASDRARRQREEALNQLESYTYKVRDIVENEDFVRVSTAEERAKIEKQNSEDSDWL YGDGADATEKVLKQRLTNLQKLVTPVQQRIEELAKRPELIKDLKDALNSTQHFVADIK KQIADHEAFLSAQASASASSSTTSTESSTVTEAPASSADDFDGLEDEPSSSTKTATSM EDLNKDRGPVPPVYELADLKESEELYITISAWLEEKLIAQEKLGETDDPVLLVKDLVD RREKLDKAGMDLAMKGVRNFEKREKAKKAKTKSKTKTAKAKSTSTQKNGKPAAPTLKL QPGKDGKMPSQEELNEMLKEFLDKQQLENENQQEAKEPVFEDPVEKKEEGGHDEL QC762_310070 MSTFFAPVSDLQPNKSLILQLFSDIANHFNDIWDFKYSNMYNRY IPPPKPKASRPSDIPVRPPPVYRDSEPEPLPQWSEYAEPAPVPVQTSSWLQPYAKRID ATAQPTKIVFGDDEPPAPSAPSSGPVDDTPKVATEEEEKTPKKSKSKKNKKSKGDEGW VEEKPKKRRRVEEEEQEDKEEGTPTVAEEAESAENIADEEQQDKRKPKREKKKKKPKA EEPEEDDDAVRSRHKSVFEKVAKALQAQAPEDDEDKMDVDGAEPEQEEEPVVEHGLEP IPQPAPVAFDESKLTYETLPQWIASPIRVTESTTKSFEELKIAAEPAKVLQSKGFKEA FAVQTAVLPLLLPNPDRQGDVVVAAPTGSGKTLSYVLPMIQDISYGRITNLRALIVLP TRDLVQQVQQTCEACAAAFASNGGKRVKIGTAVGNKVFKEEQSAIVEKKARYGAAEDA HSMEALSSWTASETINPEDYASKVDVLICTPGRLVEHIKQTPGFTLDYVRWLVVDEAD KLLAQDFQQWLNLVLDKLSVERRPSRRVFPKSNKKGVRKVILSATMTHDISMLNLLKL SRPKLVVLEGTKAGDQSLPPLLKEYAIKVREPSLKPLYLVDLLQSELLAAVPLVEKVA EEETASASESSGSDSSSESDSSSGSDSDSDSESDSDSGSDSGSDSGSSSSDSDSSSES EADEPKPKAKAPATKGKFASSALIFTKSNEAALRLSRLLAILVPGLAHLIGTLTSTTK TIRRTHTLRAFTQAKLRILVASDIASRGLDLPNLEHVINYDLPISETAYVHRVGRTAR AGRAGAAWTLLEHSEARRFWRDFAGEGEGASTNILRTTPVERVRLDKKEEKGVGEKEE GAKVEDFSEERVQAYERALAQLQQEATFSRGKKGKKEGR QC762_310080 MAPTYNIAMVSDFFYPQPGGVESHIYQLSTKLMDRGHKVIIITH AYEGRTGVRYLTNGLKVYHVPFLVIFRSATFPTVFSFFPIFRNIVLRERIDIVHGHAS LSNFCHEAILHARTMGLRTVFTDHSLFGFADAASILTNKLLKFTLSDVDHVICVSHTC KENTVLRASLDPLMVSVIPNAVVAENFKPLSPSENPSYPPPARPLGPHDIITIVVISR LFYNKGTDLLTAAIPRILENHPNTRFIIAGSGPKSIDLEQMIEQNVLQDRVEMLGPIR HEEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTQVGGIPEVLPSHMTVFAK PEEDDLVAATGRAIASMRANKVRTELFHEQVREMYSWENVAVRTERVYNGICGAISEG EFYGYYEGDNANNSWSATRGRSGVQSFALIDRLKRYYGCGIWAGKLFCLCVIVDYLLF LFLELWFPREVIDVCPDWPRKKRKDLSRE QC762_310085 MASRVKGWLDRRKSMSSLKASSQAAPNNDNPYGADYNKSAPRNA GMNSQRYSSMSVSSRYSGALPGRNNLGPIEEDNNGGFLPYRPPPQNPAPPAASQYDLG YGRPAPTPVQYSAPPVPVQYQPAPSSYAPPTQSFNQHLGPNQYQNHPIPPRTPPTPNS QHNSLTNIYTSGSSNPSSYGGGVSTPDTRYSSDSLGASNRGYNNFPPQPPQQQQDSKP PPPRPFTLRKPQPQPQPVNNPLPKPSDFSKQAKASPVEVQRCIKLLRALFKLRMKIWS AQESHWSTHPKTIENMAQADDLLRDIQNMVGDWQNSIAKGQMYWDEEERAELRVIMQS LSMLRPYGMGGGHGFRQQ QC762_310090 MASSTPPPPTAKPPPPPPLQSGSTSEAFGQFTFTGLPPGLFSNK HTAPQRTSSPAAQSTNDAPASTTSPSSYKLSASAYGSQKNPRPPASAPPSQWQPPGPA SFSSPMPPLTAPSFGGQVNVKTQGGQGQRSVQLQFEGQRTPDVMPKPPPPAQPAAVPT GETRLQIALDYGTTYTGVAYLPVSANNLKHRGLEEFTEDIKVITAWPPKEEQEKVPSQ LSYSVTPKGCAQYGYDIDDNSLVLKKTKIQLEAMGTRLDELRTLSELLKELRDLDLSE EEVIENGIPEHLAKEPEEIVKDYLNEVAEKTEKIITTELGRHVLSNVAIDLAVTHPAI WSDRALNSTYRAVRAAFNHDLFPKLENISFVSEPVACAHFTLREAWKNNRVRFRKVRA RSLWLPWPVLNLTNKAKQNDCFIVVDAGGGTVDLACYKVVDIDYEKKEMKLDQVGNPL GATCGSTCVDDDFEAFAAYQLGDKAWQQLTTEGVKHAAGGHTIMRPTLRGIQEKFQVI KHSYDGKESGLTAPIYLPKSFRISEEMKGVSNGALSITPADLKKMFDRSVNKTVYLIQ QAVTQIKLGEELPVKTVFLSGGFAQNKYLIQRVKEFCTKQKIELEEGNGSWAAVARGA IIKSLGVYTEKPKHVISCPRYYGIKVRRPFASYENHARSDIDVDAQGIKWATDQIRWF IQKGDAILPNKPTVARYELHFSMNASEFPGTQLQNKRGGRGVPQQPAAVFRDVVFLSS SRDKAPTAMSMVKEESEQMYTLKVNLTDVPQAHIVPVETGNKQQGRQLQFHVVVEIQV FDEVTVSIKSGETTLVSRKIALE QC762_310100 MANIPNNFATPEYPDLRPDVPPRGSSTARNIFDKTKKKVSNLAR KDRPTEKLKQKNDPDILNKLSRERQDQLPVELLNEIDVDRLAHLHSHILKRVSESRIC QIPEDRVRYIANHHPHRLAVVLTKAPGLMGYLNNKEVGILSELDAPTFLKLPDVVKER LSQACPEYYQRMMSQPQHALPHQPAPNTHSGDPHARAQQPVRSASVQPSPSDAPVLPP VNFTTGPRMDAIQDAYSPVNATLQAPFSGSHPEHVIQFPPPSTTIGPRTYSLPHNTYH SQFSRYPLSSSSPPPSSSSPNNGLPVSQTSFGTPAATLVTPSISLGLSRSSSPTGYFK PNPQSYSGLQGPLNQNGNRPTLQDSAGPPPAVPPKSIAHHSFEMALPNTQAQGELSLP IQNPDPQSFRARQQSQIQGEPADQLTKDERIRDLEAQVEAWKIEKTTLTQKVASLTES LESERERLESERKNTGTLHQNIGSLKNEKGRLENEKKLVEDEKQRVEHERDVLEREQI KLEGGIKKYLAAKKWKGLEQQKTAFDMIIDYCNEQLASVADWEDYDKKQTARVEDIKL ENERLVQSLKDQDELIAERNKWWGEFEALDNRLKQLNPEHERRLQEEKDRHQLQLQEE RNRHQHRFNAEMGKLNTEIEALKRKLDSHKADQLAATEKVRTTLQAEIDTLRQEKNRM QTQYQSYKDAHDQKLQRLTEEHEVALSQQKIDHEQKMQAFHAQCQDLINQVGIQWELN KQQAVKDLENKVRLLESSLVDNSDDYRPATDDHLQVDFDQLNLDISKITHNLPAVDFF QVNQLDSGGFLEREGRDQLRFLLQGIFWEHMMYGFFSAPFGLGALGPQQGKQRLLEVW IAYRKLLGTEKSEVRLPIGEYDMATIEEDYVNFLRNDKETNKWRSSTFQAVMAALLPK KDKAHSPMSDYLSSPFFQNRNNVRENILTELRRICVGGIPQAIEQTVEKMVFKASELA LQFGMQRSELGLMFPRRNTLVLLGQDFVLCYDNDAEHGMQKPVFLSVSPMCYKTGDGR KDTTTTKVISPGQIYPHAQ QC762_310110 MAHFLRSPREIWHRQIIDTGARLQLGSFDYFPFDALRDATDAFI NRQGLVQGSSQCDSLLRELVLEHAARENGSERVGLVACLHALSHSVGTTLLVAMREKC QLEATSPKFLSCLTLSARANPLLINRTDSQVAEILLSLLAGTDFLPAIKQLFQTLEEG PDAYILPPSYIIDFLNATDFSTAFRTHLDMLQQERKYMSLCTAVSWIRSVSNQPETST AKIVASALVPDRIFWANWRPDQERLRNWEEGTFSETQRQKLCYVFDLEGPDITGSGYP CLKDSVPGCFNVVPVVNRDVLLLHRLLANLDNAQRIPGPHAINLVIHLCINSSRPLDN DLLSLTEAVLETDDDESIHSILMWLQTYEAGFDIRMTALTRTLPILEVYPNLQRLLSG YVSLDVARVMQLAREEYNSILETDVAENLAMRIHDFGVAIVNANWLHGSLPLNLWQSL QQLPPKETLDEIFEALGTSRIMNEDIRAYLRVVIGGETHGDSPPAEALLAIVRQTIRF YARGVEPERAKLATEIEPLRHHDPKVYDACIERILNEDIVLIKDMLPLVRSESHSSCV EFARLLAQRQQLRCYTHECWHQLLFFRLLQQRRDLLAWSAAELPLQNFVQWVHDLRAL FSQQRVGGSSGISRMSLSDLGFTPERYQWWDVLQHHYGRAVGILAYLHQEGKGDLKWL WLQEIPDTTVLLDILQDENKVLPQDSVLMSLFQPKPDTLSLICLALAGLRRAAPQGKV ALESICAREKQLDAGKWNRQATQVLSYCWRRSPDINTDDMSRNALWALTALLGLRDDV DDQGLQVAGECLMADYANLVLAANNLLDMQVLLQSSDAARTTMLMEELGVEDAPPVEP FTPAAAMIPTHLTSFIEPVGEMQWELCFPLKKISAQKRQAIGIDPSPRLLLVRISLLD QQPAFCIHYHPSTTDDSNTRPHGLWHVSGLNMPDGTICFAKPSLFAYLLSRRLSAFLS SLSQDNHISGTIQEQLQLDRTYTFISSILASPLSHCLACLEQLARPGPIPATCGSQFC DEIFLFAPLEVRAHHLLSDPPALDFLLACVYSANVSVPELRNGLRAVIDSFPVLAGVS SSPWETLSRILSRENPGSDDGLSADRETLLSWMSGQFGGSGSLISAPGGSKLPAMQGV VQFILRTGDFIGGAGCSGNIKFIGSGLGTRSMWEILCKGLVVGGDGEAEERGEDEPPM DLRTCRKTKTTWKSSLLMDRRVFVACEDFGGVKGLVVRYVLLCPEGFVPPRMRVIGDA LRQSFGALRAGRLVKE QC762_310115 MDSIEKRLCNRSVGTAELAVQLPLADTYTPANTDLSRHTSLQSH SSWSPGGLPQRFLPPQNPRPQTVTPEQFTSLSQQFSTLHADLTTQASLLLGEILALQQ TLPVVRLSETSTNLDITAFLQALLHGKLSSALTPPVPSQHEETPKPPRPIRALSREIQ ACLSKNDYFAAIGTAIDDLRPSAKGDSPDAEQEARDRMDLLRSAMPEGETYEQFWADV AMHLDHEFSVSAEAGYVNSTTFKSGVGVGEIPRERFLATSDGFAWDVEELVGEIVRGK GEFKNPVTGRVFEGGDVELIRRHPLGRGVDEAVLALQREQGQMEGKAEMDGQEKAGMD GLGKGEERWEAKLSAQWARQMHENIREKAEKERKLSALVSGFGRLNLEVTSPTTAAVE LPATTAVRSDDVPSKTASPFIPGAFPDYTILAELPGSNEHDNIKKEEEGNKANAGEPD YKSFAWYNKYFHSMYGQH QC762_310120 MTRVTMDTSSFSSFTPREPQTDRPPREPAPPTPFISFIKPQGQL WNYNRHKTHEDQPGNIPKAFLDAMSVREKVYVEEQGVALENEFDSDDHRSCHWVIYAS VLTTILPAILDPRTGRLVRPRVTRTTSLPIGTLRLVPFPHSAHPRNGGIYLNGLLTNV GDPVRPRSSETVQALQPQEIQGGNRRNSLYIRDFPTTFHNGQEPYVKLGRLAVLKEYR NKGIAGQLVRAAVTWMQTNYTIFNPSPSVLGFDRLGMDVTGQLPKWRGLFCIHAQEEA VKVWERYGFKVDEKMGKWWEEGIPHVGMWLRVPVGKGGHTVA QC762_310130 MSDAGVEVQAYEVLPKEVAAEVGSIKLFNRWSYDDVEIRDISLT DYIQIRAPVYLPHSAGRYAAKRFRKANCPIIERLTNSLMMHGRNNGKKMMAVRIVAHA FEIIHLMTDQNPIQIAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAISLL TTGAREASFRNVKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR QC762_310140 MAPAATGAKKQKKKWSKGKVKDKAQHAVILDKTTSDKLYKDVQS YRLVTVATLVDRLKINGSLARRCLADLEEKGQIKQVVSHSKMKIYTRAVTAAE QC762_310150 MSDAKPHVLGMPPFVVDFLMGGVSAAVSKTAAAPIERIKLLVQN QDEMIKAGRLDRRYAGITDCFKRVTADEGVMSLWRGNTANVIRYFPTQALNFAFRDKF KKMFGYKKDKDGYAKWMAGNLASGGAAGATSLLFVYSLDYARTRLANDSKSAKGGGAR QFNGLIDVYRKTLAADGIRGLYRGFGPSVAGIVVYRGLYFGMYDSIKPVLLVGDLQNN FLASFALGWCVTTGAGIASYPLDTVRRRMMMTSGEAVKYKSSFDAFQQIVRKEGVKSL FKGAGANILRGVAGAGVLSIYDQLQVLMFGKAFKGGSG QC762_310160 MPPKQSTGAGGRGQPAGSVNKSSAATKTAANSSKRATANTTTGA NKKRKATLSDEENDSGRRRTQPEVEEEEEDEDDEDDEDDERESIPPELLSKIVHELFE HKETKITKDANNALSGYMDVFVREAIARAAAERKGVFLEVEDLEKVAAQLVLDL QC762_310170 MQFKTLALAALASLASAQRTWVVTVAQNGSLTFSPDNIKAAPGE FVQFQFLAGNHTVTQSTFDKPCQPIAMHSNATGFHSGFQPVAASASMGMIPTYTIQIN NTNPLWLYCAQGRHCENGMSMVINEPATNPNRTLANYKALAAQAQTILPGGSASGGQT GSTDSPTTPPTGTTPDGETAPGTETSESASPSVTAGAGMLAAPGAFVLFAAGAVAMLL QC762_310180 MASPEPAVRRRKPEAKDSSASETEQQLPLKRVQTLSSSESEFTS KKTSQPVKKPKSKKKKSKDDVDEYDTANIALDALRVISFLFLASCGLSYLVSNGETFF WGMSNPPKYLTKAYYKELFQGPIYLTPAELSLYDGTNPDLPIYLAINWTIYDVSSNRR TYGPGGSYHYFAGCDAARAYVTGCFAEDRSPDMRGVEEMYLPLDDPAVDKHFSRAELK EMKKKEREEALKKVHDGLKHWVDFFAKSDKYRKVGYVKMPKGWPGTEPRRPLCEAAAK GRKVRKIPGQKDE QC762_310190 MSMPSSPAGGGTTTTTPLQPMSHNQQRERDPALFSPTQTRGRGL TLGASTDNARDSSVHEKINQFNSLAVQSKQLERKTADAALKRAMLGREEAESEMRRYR DECRMLRRAVEEGRERERKVGERLETVMESYGRAKETHEHTKELWEKEIRRARKETFK TQSAFVKLQEELKNSRNAHRSTEEELHREKQLCSERAQDAFTAKYQLVALQNQLEQAL EKIKTLEQERDSLKTMVEQEKQLRLVAEEGKRPASDDERITPRKRPRTSSISSSSSDV PDFEEITRKWEWEKQRADRAVQQVQFLETECRLRVCAAAKAFRRSSSSRGSTSTSRRS SPRRKRPSLLRIADAADAVIIGGNAEPSPDLASPKRSKTDMLREELGSRNDRFFTPVE QPVRYSRTPSVDPPDFAMLDKDRTSLLSLLDAPHREESPALTFSIPTVPAPAPAATVK QVVRQEEPESDVEVHRSVPHPVPEPVVPVHQEPSPRSAAPSTDPLPSVKTLSNELPAP APRPHTSNSFHRFREAAAKAALTVVTTTTKVPLKDENKDPNLASKIMKMQKEQQYQTP NRGNISAGSENEWDKPSFDVTNPALTPTMTREEALEQIRDRRVRARSAGRSGGAAGSQ GTLSRKVSTETMKSGSGPRGREQERRDVSVQSAPTVMTGNREPSRGAVVGGRRVRG QC762_310200 MSTGKLATLSSSNTTASTTPPSLDDIENLFTTLASHPVVKQVAS IGAENKKLRKEYSDLERDNTHTLRQIARLHASLDAARKQGDDASKKLQDALKQKQTLE CQYLEAKKKLADNETQLGDERGKMQWQIDSVKQELSEETAKLERLSTFSARLVPITEN IKHIIMDSIRTSAVKLAETYFCDDLPLNGVNWTTIKSHPALSRTDRILPLPVSNSATA KHMRIATFLAILGYELRNAIFQPIYLLHKSSELNKFLDHLAEENEEVEAHLRSVLFRT LAEYRNITDSISTECTDSVVRSVASCFESLVLEAKRQPFVSELKTYCAKACKEWEYIQ RLERRVEFETDPEDDDLNNKKFWLPLSAKSSCSLETSPSKPHANDSTKTALKGIHKGA ASSGTSSPPFHSPVEATYFLDVFVVWPAVTTEQVRGTAILSLGYFVSAREITAAMMEQ RELSATKDQHRAARGGRRKSRAMSVAGHSLGENGNATGRSVSFLSTQVGNGPKGS QC762_310210 MARPAGDQLNAHAAPATNGHMGISQPFSSQPLSTTQLISHIPKI LPHERVFPIQIGSELFKLSGASLSSDAPSYFSQYFLCQLKQAEENGEDISNAIRTLSI DRDPDTFKDIALHLQGYHVKPRDATHFVRLFADAQFYRLPKLMSQLYEESIFTTIGER QFEIPRELFNDPGNSPNFFSLGFAVFFSSPDELFPGLDREGLLRPPSIVPPAIPTRNG DIFAELLNLLRGYPVNIRDEGHRAELLRDCRYFNFKGLEQRLIPHQISYNLSRRRHEI VLRLRDILKSGITVASEPTALDPAAGWVNYARPFVDEKSYELVLEIGDESTRLQFGPN GSIRAEFFGEVKTRVAKLFEVISTKLNLPPTTQPLGLLMAQGGASSQPATPGNTPLSE DLVRVVMDPEASVTLDGRAWSLEEAGNSSVPSSAGGLGGVSGGGEQNSPSALLLSVPA SQPFSRKRRRVDMNGGLEEWIVRTGQWRLRIQGVKNGKAAVECCLVAVKLDAMSSEHA RNLQRSFLGG QC762_310220 MREIISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAAD PDHGFSTFFSETAGNGKYVPRTIYCDLEPNVVDEVRTGAYRGLFHPEHMITGKEDASN NYARGHYTVGKELIDQVLDKVRRVADNCSGLQGFLVFHSFGGGTGSGFGALLMERLSV DYGKKSKLEFCVYPAPQTATSVVEPYNSILTTHTTLEHADCSFMVDNEAIYDICRRNL GLERPNYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPV ISAAKAAHEANSVQEMTMSCFEPNNQMVKCDPRNGKYMATCLLYRGDVVPNDAHAAVA TLKTKRTIQFVDWCPTGFKLGICYQPPHQVPNGDLAKVNRAVCMLSNTTAIAEAWSAL SHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVAADSMEGEDVEAEY QC762_310230 MAESSSAAAADAGAPNLNLTPEEKRVYGQLFRAADTENVGVVTG EVAVKFFEKTRLDSRILGEIWQIADKENRGFLTPAGFGIVLRLIGHAQAGREPTPELA LQQGPIPRFDGFTPTPAPIPPPPALQAQATGAPGPIRIPPLTPEKVAQYAGLFERQPL QAGGMLPGDQAKQIFEKSGLPNEVLGRIWMLADTEQRGALVLTEFVIAMHLLSSMKTG ALRGLPNILPAALYEAATRRAPVGASIPRQQSPTTATPPISAVPRQLTGPAPLQQMRT GSPLGRPPIVAQTTGDWLVTPQDKARFDQLYEELDKSKKGFITGEEAVGFFSQSNLSE DALAQIWDLADINSAGRLTRDEFAVAMYLIRQQRTKPGAHTLPTTLPANLIPPSMRAQ VVRPPTATGASAFDAPPRPQPKPSALEDLFGLDDPQPPAPAQVALATGGSAGGDPFAT SMSPVAPTSPARPSPNTSTFKPFVPSSSFGRGLTTQPTGGSNASAAGSVTSLPMRPPA PSFEDDLLGDAEPEVSKNLSSETTELANLSNQIGSLTKQVQDVQGQRAATQNELSQSS IQKKNFEQRLAQLRAMYEKEAQDVRSLETQLTASKNETKKLQTEFAMIDASYQDIQNQ HRTVVAALQADQQENASLKERIRAVNAEIAQLKPQVEKLKSEARQQKGLVAINKKQLA TNEGERDKLKTEVEELTKSNEELARQANSSSPGLAQVGSPALSTTSANNPFFRRTGST DITGAFASPPIRSFSNQSFDDVFGPSLNVRDTSTPPPQPASAIPAQFTGTSTGSGSFA TPGSSSPNVSRQATVATDSPTVPEPRQINSTFLPFPEPTDSLSTSRQASPALSRPETH QESQPIRAASPIEALKTGQSFASGSGSDRPRAPSVASDKPSNVFGSVSEETIQRPATS GNVDPFAVDQQKAKEDFESAFASFKQAKAAAPASSGADATKAFSTFNNEFPPISELER DDESDSESERGGFDDDFAPVSPATKPVEKSIESRSASPTITTKSAPDAAAAAGSSQSP APEQQAASEKPATATTASSTNLTKLSSSNVDDIFGSALAAQPAAAATNNRPSTATAPA PKGAFDDLDDDFEGLEDAKEGSADDDFANISRSGLDDFNPVFDSSPPPSQPKSDSAAT SGAFGGESSFDFASLSTTSAANSTAGPVSASGPGKAKGDAHDWDAIFAGLDETPTASS VTLASGNENAKEAPARPAAGRALTEEGEHDDPLLKNLTSMGYSRTDALAALEKYDYNL ERAANYLASQS QC762_310240 MADDDEQLSIYDEVEIEDMTFDEALQTYHYPCPCGDKFEIALCD LQDGADIAVCPSCSLMIRVIFEVEDLPGGGGKGVEGGKAVAVSA QC762_310245 MRFTSSGLVALGAAALVSGQTTTTSTETGSVSTITSATTVFPSS TTTTATPAVTTTASVVPTNAAGSNVAGLEGPLAGLVVFAVMAVGLV QC762_310250 MADTTLPKAMETLAVSSKTKELRGTEKRDTLIEIEKRYQQKWEE DHVFEVDAPSIDEFPLESITADELREKFPKFFGTIAYPYMNGRLHAGHAFSFSKIEFH AGYARMQGKRTLFPLGYHCTGLPIKASADKLVKEVQMFGRDFENYKEEDEVEEAPVAA KGPKDDLSKFNAKKGKAAAKTVKAKYQFQILNSVGIPLEEIHHFADPQYWLQFFPPEC KKDLTNFGARIDWRRQFVTTDANPYYDAFVRWQMVRLKELEKIKFGKRYTIYSIKDGQ PCMDHDRSEGEGVLPQEYTALKLKVVEWAPKAAEAVKGKIPEDAKVYLVPATLRAETM YGQTCCFVGPSITYGLYKASEKEYFVITERAARNMAYQGIFEKEGVPEKAADVLGSDL IGTVVNAPLSFHKEVRVLPMESVLATKGTGVVTSVPSDSPDDYAMVTELAKKADFYGI KKEWAELEIIPIIQTPTSDLLAPYLVKKLKIASPKDAKQLLEAKELAYKEGFYQGVMK VGEFAGEKVEAAKPKVREQLIKAGEAFAYSEPENKVVSRSGDECTVALMDQWYIDYGE DSWRTIAYDYVENKDGKGLETYSPDTQHAFKGVLNWLKQWACARTYGLGSKLPWDPTF LVESLSDSTIYMAYYTLVPWLHTDLFGREQGKGKIAPEQMIDEVWDYVFARTQLTDEL VTKSGIPKETLQGMRRDFEYFYPLDLRVSGKDLIPNHLTFWLYNHIALFPREFWPKSV RANGHLQLNGAKMAKSTGNFMTLDDVVKKYGADAARVALADAGDTIADSNFVEDVADN TILRFYTNKEWIEETVKDESLRTGELNDFQDVLFDNEMNALVAEAKKHYEETSYKLAL KAGHYDFLNARDTYREACTAAGIPLHKDLVFKYIRLQALLLTPIAPHWADFVWQEILG EKTSIQFARWPDVPASDAGLTAAREYVRQTSSNINSAEASQLKKMAKGRQSDFDPKKP KKLTIFLTEDFPTWQAKYIELLKEVWDPATNSQKIDDKELNGRIGKMGEMKKAMPFVQ ALKKRLRDGEPASAVLERKLAFDEKKTLLAMVPGLKRAAGLESVQIVAVQEGSKQGKD LTANGAEVEVTAPVAEAALPGQPSFFFTNV QC762_310260 MFPTLIRRLAQAPKPQILESAAKAATEVPKTKLKKVWPPDMMTM SPQQQLRFEKKYKRRLKMATLRPGWDKGVRLAQYFTITFVLVYTALFMDWKEMPNPYG GIRESFWGFFGSFTEDTRALEPAQQPKPKRP QC762_310270 MINAFLVFNGQGQPRLTKFYTQLETSIQQRLISEIFTLVSNRAP GSCNFLPLPPLLAASGTSSSSSSATEQNDVPSLVTYRHYATLYFIVISTSTESPLALI DLIQVYVEALDRLFENVCELDLIFNFETLHATLGEMIVGGVVIETNMERIVAGVRAQG AVAKRPVNEGSRGGGGAGLGAMAAAGFQGMGGNFVWHGR QC762_310280 MVRSGLAKLARVVASRGIQTTRASRATLPSLSAASTFVPALPRA SALSARFISKSSATHHQGITPDNQDVTPKEETPKPIQTPAEITDSEYHALADEYMDRL LHHLEDLAERRSEMDVEYSAGVMTVDFGQDTGTYVINKQPPNKQIWLSSPMSGPKRYD YVVLGEGQHEKQDTAAGDWVYLRDGTTLSELFKKEIGVDISMSIGQYGEQPH QC762_310290 MATLFFMLLMSIFSTFIHAIPTSTGQKVTKRSVTVDLPRNPGYA PNGRLQYSRALKKWGVPMYDELDDATNSFRGGGETGDVNAESIMGDREYLSRVGFGTP FQYLNVDLDTGSADVWVYSSETKTKTRREDIFELEKSSTAELLNGSEWRITYGDSSYA WGHVYHDSIDIGGIPLHNAVVQSAVDVSQSLSSDKDIDGIFGLAYNLHSQVRPKQPTV LSTLKSHLDKPVFTADLRYQSDEGAYTFGYIDHHRHIGEINYTPLLPNSSFWEFNFTG LHVVGHNYWYISQWRVIADTGTTLMLLSPDIVNMYYDAVPNATSDRSFGGLWHYPCNT TLPDFEIGFANGWVARVPGRYMNYTTYDDLPGRCMGGLQPFMSEEFGILGDIFLKAVY AVFDIGGGKVGFADKDLGL QC762_310300 MSPTDEELDRDWKPNGRRPQSTIAKVFSEELMNIFRIDNSVADL DEQVDKRKKEIDSQTSELEALERRIREMEERLKGGKPQTGAGDSSRTAASAAEKDQHK YGGGSRPGTARQGQQAVPGALPPTPVESEDGDRERRQDS QC762_310310 MVLAHPRIVAPVHLLPQRRDNSLGPPPGGVWGMNTPANPTTFPV SSFTSLELVFLFRGVNKFGIDPAAFPQISNVLRDNSGLKRQPTFDAQRLSPEALQKVF LYLVGQEIRADHVGSMPGPDGPLSPASRKRRLDTLPLPSWKDVHQHIDKVQQAYDKVF DSYIESAVQEIDHLEEAYRKTEAELEQLQLAESQASEEAKQQKEEVIEDKGVQVNGVG DIKPKPGPAVVNGIHPSPKASPKPSPQLPQSQLPQSQLPHHAQSQPRQHLQQHPQQQH QQQNLQQSMQQPLQRPQQLTPQLHVPQVSQLSQPPQPPQPPQLPQLQQLQLPLQSPQT LHQAQPPQHGHVPQQTHVQQGQLPQQAQQGLQQGQRLPGQGQPPQQLHQGQPAQHMVQ GQPPQQVQPLQQPNPLPHVQQSQQSQQPQPPLRPLQPLLPHLAPRQDVRNALGSPHSQ HASLDKAGPAPRPPTLNHPPTTRSPQLGHPDVSRGPSALPSEPPKSLGGSPQVLQAPQ GVPSFQPLSQSPAPTHAADGLQRPDGVARAHQSPGPLPASPHMPPSQSQLKWEPPYQP SSGARPPMNSPLQHSPNVFSPPPHMVASQRPPPISSPLQGQANRPLPQQVLHPPHTHT TGQFVPLQPTPVRPAIDAANRQPPPVSSPGPNNTVQSPFHPGPYHNYPVPSSPHPTQP QQHAPKAPQHGAVTHPPSVRSPAVASPASASGIHLPRPNQGLAAPSQQRPQSQPHLQA PSPYPQATHPPAVSSPAGPQNGGYSSSYHPPRPAPVERIHPRAPATTTPVPPARFGPA PSAPQTPAVMQQQPFVISARMTKWKTESTPSTPKGGLEFQFGWDEKPSPQTEPISPVL EPAPLPSAAPHESPKKAPEQSQAKQTPSFSGKPGRSRVPQSTRDATSPSPVASGLRNT SVKATEEVVLPINEPDAPKIKDEAMTPRPTTETGDTAADESISNRPNPARSTKRKRDE STPASVESPRGRRLTDASRDDSMPPNMPNVVLWTRSFHKVSGSAMESIVGHRSANMFA APIREKDAPGYHKVIKQPQDLKTIRSAIAHGNKAAAQAAAALPGGDPGGSCWLPRTEE LVPPKSIINSGQLDRELSHIFANCIMYNPDPWHGPGPAFLPQEDDSEGLEAGAHQDNV VGYKVDEFGIVNDARAMFIEVEQHVSELRSAEKRSAPPGGGHAAGAEGVFTGTSTRQA SVAMQHGDGGSKDDVSGAEEQDEQTATETENNDGRSKRRRTGRA QC762_0059170 MEESKPFSFPFYYRQRVENGWFTELKAAIMVDRKKGREAMLEKD ETLARPADMAYVFYLQGKRDDNIEVKCEYA QC762_0059180 MGPPTIKPTTIVAVDMGTTFTSIAWASSAKPDEVTILREWPTAD LSNKPGEKHPEGKVDGIRHYQRVPTKLSKAGKWGFDVSDDTPADQVMEWFKLGLYPWA RRLTPRQLEFINAGPSPESLVTKFLTSLLGYAKTKMNFKSLPTDFEYVATVPANWNND SKEKTRTAFHTALRAAGMPSGTIHLLSEPEAAAIYAINEKAERTADTDTKLEVGDTFV IIDAGGGTVDLVIYTITNLNPLKVKEVGLRDGDLAGSAQLNMRFREYLHNRFWCVPGY ALLSRNMRERHISPAMAKFEFEASTKRCFTKSSGTHEFPLNLILNNEQAGVYNDKIKV SSDHLEDIFRPTVGIIVKKVNEQQDACPTKPIKAFILVGGFAGSPYLRGEIKQAYIWR KDSQMRTEVPLITPPEPDLAVTRGAIMKGLSLVCDGSLTKVHVVSRKDVPPHGRE QC762_310320 MVGFGTTNDLQNSFFGLAHFSLAFPLIFHSASLPTAPAASWEMR LFLVRHGETVDNVAGLYAGVRDSPLTAHGVLQARRLGEHIAKHHRVTHVFSSDLQRAV FTAVKVADAQLSQRQRCDTDNGDVPETIEKLEPVPLVDLRERDFRSAEGKKFGTAHDD VETHEEMRLRAARFVQGHLVPLLASRGSETVVVVVAHGLILNSLFRVLQARFGTGPRG SETSAAWSNTGYLEAVVKAVAADTEGSNAEDSGERKTKQPQLTMTVVGVNVLRHLEGL KKTKGGIGSAQFDKRQRTMDSFFGPASKKQRVDRESGIS QC762_310325 MPRTPEAQAFFYAVYAAVQEIPEGKVTTYGHIAKLIGTPQRPRQ VGTALKHLPADTSLRYHHDNVPWQRVINGKGIISPRSQPDGARNQAASLQAEGVVVTT GALGELMVDFAEYGWFPRLLPSDEAAGVVPEDSDGDGESSEE QC762_310330 MYQEDDSQTGAFRWAWCIVCRQSFTPPPRDITAATTAEVCQRQS TSSLYYTTCSIVCVQLLRSYSFQLGLSALTLEENNFPATTTELNDNLHDVSSHQSPDP PLVLDNTDSRESSFSPPQPLPLPFTLDSFAYGTELFPPLFNIAPPDQDQPGHQVTGGL DQWSPGPLHREKKESSSVTKKPNPIFQCLFPGCNLNLRESRALNRHIWSEHREWAQAN NVERREEIKCPHPGCPRRGRKDNIMRHFRTKHKETGAS QC762_310335 MNMMSIYFLITYLVLRKQSRSLNPKFQCPVCAINCGETRARNRH IWAEHSEYAKENDIPSEQEACPFPGCRYRGRKDNVPRHYKTQHSQ QC762_310340 MARALDLITLSVTVALSAYLGPILLSHLVSKDVIHHHLTNFNLS FLPTPLQSLLQSPQTTDKTCPKHTYTTHLISLDPLLIYIPNFVSQSESQSLIDLSTPL LEPSPIVSRGADSAGSQARTSWSAPLPSDSHLVNCILSRSSSFLGTLLSPGRDEMGPA QVVRYTDSQKFDLHTDWFSRPRITDEDRETGRRRLYNRVATFFVVLQSNVTEGSGETW FPKVRPITPQAGEGDKVWREHEDGGLAFRPVPGNAIFWVNLLANGTGDARTAHAGLPV KGGVKTGMNIWPRMFFGPDA QC762_0059250 MLPSQVEAARIFTCDWPADLLQPSDLVQKTDDEIALLLFEGIKR DLLRTHDKKKVDRPILFIASCLGGIILAKALVGADYKSSSYYSLRTATRGIIFLATPF GGTSFEDVAIWADPGLTVWALIRRRELVDMRSAILQIVLEPLPLDRTHRLMNKFDGPK CPDYKQVSGKILEFLTKICGHQDSQCALFAERLKIERLSGDLLPMDRCYINLAIVEWP SDNATHGGKGDTAQQSSPFSLLARLNVETPDKTIEVTLPTLFEPRKSQDGQKKQPSRI LIRGRAGVGKTTLCKKIVHDFKYNNLWQGLFNRVLWVPLRNLKVEKRQSAGYNFRDLF YHEYFSQDLEGRELSEALWRALKDTKSGRTLLILDGFDEVSQGLGGDMSVFLEELLNQ PNVIITARPNARLPPRLDPLDLELETIGFYPDQITAYIENTFTDRETRERDLEKIKEV QSFLQRHPLMQGLMRIPIQLDAFCYTWNGFPREGVPETMTAVYKAIEASLWKKDILKL QKKKDGEPLTGDQIKKASRKKIESFVEQELRFLECLAFLGFYDDVIDFDWELRNAVSD RFASDLLLDKDLPCLSFLRTSDPSSNDQDRNYHFLHLTFQEYFAARYFIRQWKDKQQL NCLQLSRDNCNNMEPATFLEKYKYDPRYDIFWRFVAGLLDADDMALDFFQMIEKEPRD LLGPTHQRLVMHCLSEVERKESNFTGLRARLENQLEQWLLFESDSTGNSKLVHEMECP GQVLSNVLTQASEGERTVLLESLSKRTAVPFNVIKVVFPWLTNRTSARQCAAILRMLG NQHNNLPDKIHQSIAARLKHENGSVREAAIEALRGRADLPNQVLQSIAARLEDKDRGV REAAIEALRGHAHLPDQVLQSIAARLEDKDGGVQWAAIEALGGRADLPDQVFQSIAVR LEDEDGGVRRAAMKALQGRADLPDQVLQSIAARLEDEDWHVQWKAIEVLLYQSALSLD VLIPHIRSFYDALLQESFREHLFWYASERSFIGTNLRHIYLTSEQHNGKEVVRKLLLE KGAANVNDRRRALLSILSY QC762_310350 MALSILTTSLLLLSGTASANIQSQPPKQHVLPPLRSHVNDCLPG PVKHDLLPSCETAFVSNETLKSGQEKPWTHTPFCLDTKAGTPYCVFTASKFQGPKRGI SIIAPLSNNASSSLAQIEKLILASPKAKDDFITVGEDLEDPPYALRTIDGKGFGLIAT RKIPKGSIFMTDYATLMADKDFAANLRMEQGRLLLSEAVVRLPYPDRVLKLARSSTRP GDVPAAEDVMKTNSFSVEAGGHGYVALFPDVSVRVFCAITRFNAAALSKTITAFRDIF PGEEITISYTAFNLQSKIRLPRLKKLWGFDCSCNLCAGPPELLAASDARRQKVDDLGP EIVELVEEGKFDEAVKLNKEMIQALTEEEILPHMGDYYEIMGRLYQAWGKKKEAVEWF EKALDEVEGFKADGADDLKRIIKALKAEKKQA QC762_310360 MVSFTSIFTALAAAATVVASPLELALRGIQPGQGTHDGYFYSYW TDGQGSVDYNNGPRGSYKVSWRNVGNWVGGKGWNPGPSRTVAYNGTWNNYNVNSYLAL YGWTVNPLVEYYIVEAYGTYNPSAGTTKFRTLQSDGGTYDVYRTRRVNQPSIQGTATF DQYWSVRQVKRVGGTISVQNHFDAWTASGLKLGSWNYMIMATEGYGSTGSAEIEVREV R QC762_310370 MSVGIEFGIDNPLLSAVSGLLFDPSCYLFPVFFGQRFKNPIPFL FYFVLPTMAATTTVTVPHMGGIKVGYRLSSPSGSIDKTKPTVVLINSMCTTVSLFDDQ FSNEELTSAVNLLAIEPLGHGSTFALKRENFTYWDTAEMALQVMDALGVEKAFALGTS QGGWMVVRMALLAPERILGLLPLGTSMDSESAYSRTQGSWDPAAVLTPFLEKWSVSES TPDFVVDEQWRGMVTGLGFGAAPKPELLAFWDETLKQVYVGDEGRKKVRMALTNLLER DGLLLRLRHIRCPVYWLQGEQDPVFGVKLPAEHIKLFTSSPEATLTLVPGGGHFLNAT SPREVQEALLRMVSKYSA QC762_310380 MHQRHQQGFNLLWSHHSGDTTFRLSLNQQLHNTEYSRPVRNMSE AKNIIITGASGLVGPLLASRLLNSPEYRLVLTDLHPPILPPNVTHPSNATLLQGDITS PAFITTLLTAAQPLHAIFLFHGIMSAGSEEDYDLSLKVNVDSIRLITDQLRLTNPGCR VIYASSQAVFGQPLPQGKITDDVLPTPESTYGCHKLMTESYLTSLHRKGFLDVFIARF PTISVRPGRPTAAASSFLSGIIREPMRGVECVVPIEDRGFKSFLASPRTIAENLVRVL GLESGCLPVHRRVIQFPGVSVSVQEMMDALGKFGGEDKLGLVKEVRDEGLERILRSWP TDFDLSTAERLGLVVDEGPGAEGIVGEYVRSLGKV QC762_310390 MQLAHTLLLGFALSSPVSAMFTCGNENATLFPPPPIDRNQLGMR RRQIYLLPPPIELIVHVVARSKRRQDGYLSDEDIHRQVQVIKDSFAPAGITFNHTKNH WIVTKAWSFETPGSQDWVDMQSLLHEGDQRTLNLYFVPWKNATKGGFCTLPWSMGGPL DGCVIKSNTVPGGGHSYWSQGKAAVHEIGHWLGLAHTFEVRDPENPCDPTDPDDGVID TPKFDYHHYTQEMKGTCHLDLNTCPHLEGKDPVHNYMSYVSDECATEFTRGQVVRMYE MWAKYRAILPENEWEKTVEGS QC762_310400 MLSFLRRDGLHVVIIAISGIDNLLTTLVSNENGQILISSRNDGP EPAHARVLVAVDKTCEEAIAAAMRPVREFVRGHRSGTFAIGLRNAGSASVGETTRLQA WYDGFAYCTWNGLGQYLSPSKILDALTSLDKKGVKLTTLIIDDNWQSVQLEPGKSDFY RQWSDFEANKEHFPGGLKSLITAIRSVSPYIQFIAVWHGIFGHWGGMAPSGKIAKVYA MRTFKRREGIFLGGGDMTTVDRSDAERLFDDFYRFLSDAGVDAVKVDTQSFLDYADHA DDRLALITAYQDAWRLASLKYFGGRAIACMAQIPQTMYYSFLREDLPKPMVRTSDDFF PDDPRQVPPSDGAQRDWDMFQTRHQYSRFHATARCVSGGPIYITDTPGEHDLDLIEQM TAKAPDGRLLVLRTEKLGRTVGMYTGHSETQFLQVRAEHYRVVITAVFNLDNVPRTKL VSLSYCEPSLAGDEAGYLFRVHSSGKLLRHADGSLAIMELHFGPHDCHIITRYPVRRF QQTDVAVLGLLGKMAGAAAVIATTYKVLPETSEIQADLELKALGNLGLYVSANSRPLF GPVKVIVGGGPMAKVEPLGLDPFILEFDLEGLWPGENRCGKGNSQVLVTVIVPLL QC762_310470 MAAVQLDLAAVQQLAAEQRALLDTIDELRKLGLGKFVQLPQLIV VGDQSSGKSSVLEAISRVRFPIKDGLCTRFATELVLRQASQTKVNVQIQNDAGDVDDH VFDRTGLSNDELPAIIEEAKDFMGVGSGSSTFSEQVLRVEISGPAVPQLTLVDLPGFY HNETENQEAGGVAVVDRLAEKYMRQKNSIILAVVSAQSELAAQKVLNEAKKHDPTRGR TLGIITKPDKVDQGSHNEGQYLRLAENKEGSHKLALGWHVLRNRTHMEITSTDGERDE AEKNFFRNGVWATIKAQDRGIEALRDKLSQVLLAHIQRKLPELIEDIEHLIKQRQSRL KELGEQRSTPQAMRTYLTKISSRFRELAGEAVRGNYLDDFFGGLYPDPDSTEYTDARI KKLRALVRDLNRVFAHVLLVKGNRRKILWDGDNHDRDSDDGESDNEENECSEPELAAH LHQLLEHYPFEDPATVSLANLKGELEAMASENQGTEFPGSPNDRLTLRLFRDQSQPWE DIAERHIKLITKICQGFTEKLIDHIVGRDPKTAQALLREYVAPFFQEKASLLGVKLQE LLYHYMNGYDPMPLLKNTRSRKGGERRVQQTARQLEKVYPNLEGLTHEHVSRAVLEAA SEATPSSDFGTDKIIESMANYYDRSLDIFIDNVVVLGLENCLLRHLPTILDPDMVPNM TDEDVEKVAAESRPVRQEREELQVQLTKLQSGHAACRAFQPRDLPSFSASSRNGMAIG GTGLVLRRGPSQSPAPIPSIETERTATPGSRQSTATLGRTPSSSSTSTGTPRVSGSSA PVSASAPAPRPIFADMKNPPAPRSGGLFTTTPSTSGVFSTKPATPGTGELFGTSDPAP TASSLLGPKGSSAFGNPSTPNSASLVSAPSGTNPFTSVAKAPAPSPFSNAPTVAFPSG GLFGSSNNQSGGAMYSTKPGQASDSGFFSSPSAKTGGAQSS QC762_310460 MMPDQFKAVQQRLAGLVQMVAPDLQELRQEVEELTKALAAEEEA SDINRKMYITQRVALNWELQQRTSEITNLHERQLKLSQELAACQQKIACSSTTHAEQI AAIEKDYDEQISTINSLHQREISDLQLRHQLSRHRDAVNAMHNADLLQTQLAWERLKV GDLRRDCAKLEEECAQSKEERDQSRKDCESWKTKYDESMKSNEQLQKRFRWETATVDS LCKNCIMLQEQGDEWKKKHNQMKEEHGELQDKHQNLEESDLALKSRYHAQSAELNVAL ERNHSLEEEVQQMKEQLKEEDRRATEAESQKLFFLAKLQSLQNDHAEQKEMYLKRRQA YKELLVLHVRFHGDHHKQSKVVKALRYDIKGLENIQKRLIEELEDMKDDCRKRRQECE DMMFSHNVLAARNDELLVQCVELQTKCEKLDDEVTGAQGFEIIGSPVESYDDEESDEE SEEEEGDEESEEEEGGEESGEEDKEEDDDFDEVDDQLSDLDGLVEVEDQVSDDDCEFP DEDK QC762_310455 MQFPNNVLAFGSLFVAAGYAQDTDISTSAVDTTLVTSVSIELST TGTTLSSATDIYSGTVTDALGDSTGLIKPRPTTEGGGPLDTVYACGDTTVIDQFSYGS PLVKDCWDVYNGLDGKTDPWILDHMWEQRAFASSGTCVIGAQIVSQTDYPSYVGSEDF RIAIKKSIEQFAKKDDKSQELVGTKGYMQCGTFFLCYSLACQSMVS QC762_310450 MSFPVDNTAGNNNANTMASDPRLIYYDIAFAVPREKNTAAPNPW KARYALNFKGVPYKTEWVQMLDITKVRKGLGASACRKFADGADYYTLPVLKDSTTGAI VGDSFDIALYLQETFPDSGSGDLFPEQPDLNYVCPGAEEVLIPLSKREDVQHKDYSKF NTNVDWAFTLHTALMASGMKWDKEFEQGIKDEFVRRLGAKSWEDMGVYGEKRVHMLES LKQTLTGLAELYKRNENGPFLLGDRASHADFIVGGWLRFMERTLPADEWKQAEGWHDG VFGKLHAALQERFRDVK QC762_310440 MDHSNHNMTPTSTITSVIATTTSTAAAAAAGGGHGHGGGTGCKI SMLWNWNTIDSCFISSSWKITSNQMFGGSCVGVLLLAITMEALRRLTKEYDRYLVKKH REALAGQHNVDVTQGYRPKIWEQAVRAALHMVQFAVGYFIMLLAMYYNGYIIICIFLG AYLGSFMFHWEPLVWDKQLPRRTPHSVAIDQAWRGVDWFIFDTLDMDTK QC762_0059370 MADQDKDKADSNKLAVPKGGNGGGGGGDNSDGNGDGGDEGLEPN MEDLFKMVSDVIDGGW QC762_0059380 MSPAFQNIPRMTTTEACRGLRRIVPVDDAPDDATIDIIAIHGLG TESPRTWEFKKRNGDGVVNWLSDGDMLPAALPKACIYTYDWNANYFANAPVQTLLGHA DTLLGLIAEGRGSQTRPIIFVASCFGGLILAEAIIRAAQEGSAYKHILLSTVGIVFLA TPFQGSDAAKQARWQVLVKGIMGEQASDQLIKDLEQSHDFVHQRVQKFAEIANAKAVQ LPLSCFFETRKTEMLRRILSPGWAKRLSRSVTRKILVTESSACLHGFPRQGLDATHSG MNKFQGPECPNFKLVKDAVRKLAGDASVVLKLRKNSTVKGHWIVRFGRNKEFVGRESI LEELFKRVLPSGDEDDCQRTAIEGLGGVGKTQIALETAYRIRDVQPECSVFWVPAVDA TAFENAYRAIGQQLKVPGIDEEKADVKALIKSVLGRESMGNWLLIIDNADDEKLLFGD TALTDYLPFSRKGSILFTTRNHKLGLRLVESENHIIAVEEMSRDEALKLLGKNLKGSQ MSDTRSNNALLEFLTNLPLAIRQASAYMAKEQISTARYLKLCKSSDENMVKLLSSHFD DRHRYKNIQNAVATTWLISFQQISDHDALAADYLRFLCFLAGKDIPHSLLPPAGTLET VEAIGTLKAYAFISQQNESDSYDIHRLVQISMLSWLDGKGERQEWTAKVLERLDDIFP WPKHENREEWIRYLPHTQHALQLRKRTDDEEATTGLLSKVGEGFHSLGKYKEAEQMHR QALQLSQKVLGKEHPDTLSSMNNLALVLDSQGKYEEAEQIHRQALQLREKVLGKEHPD TLTSMNNLASVLRSQGKYEEAEQIHRQALQLSEKVLGKEHPDTLTSMNNLASVLRSQG KYEEAEQIHRQALHLSEKVLGKEHPDTLTSMNNLAVVLHSQGKYEEAEQIHRQALQLR EKVLGKEHPDTLTSMNNLASVLHSQGKYEEVEQIHRQALQLREKVLGKEHPDTLTSMN NLASVLRSQGKYEEAEQIHRQALQLREKVLGKEHPDTLTSMNNLASVLRSQGKYEEAE QIHRQALQLRQKVLGKEHPDTLGSMNNLAVVLHSQGKYEEAEQMHRQALQLREKVSEI R QC762_0059390 MLKHLWFAGAEPPWTDFHIANETRLLPRNEDDSPIKWADWKALA RELLQVHERDPDVVHPRFLRAELRLSRINTIHRFTRLPPFHPYVRDWHSYSSLFHDNL AWMATAAVFLALVLTAMQVGLATERLQQDTTFQQASYGFTVFAILGPICAFGLVALGT LFNLVNDLLLLIGRRRNRAVHETSGEVSHSSTIGPEVILFRSSCLNKPLVILRAGLNT SAEAYT QC762_0059400 MPTSDLRLHPRGYKTHKWPDLDATYLIVKPKFSLRFRHADELRI ESAMSDSYRFGDYNNGSQVGTNRGTIYNTFPQTPETPPRPFATIPFSRDPDFVNRGDI LEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVHAGVYERVED GFRTIANTVKLAGRNEPKANIPQLVYSWLSNERNGRWIMILDSADDRDVFDKANIAHG TTSGNERERRPFATYLPQSQNGSIIVTTRNRELAFRLTGRRQNMIEIGPMAQTDALAL LEKKLGSPADLDVAADLVQALDLVPLAISQAAAYIQARAPRSSPEKYLAEFRKSEHRK SSLLQYDAGDLRRDGGASNAVLTTWQISFDYIRSKRPSAADLLSLMSFFDRQGIPGWV LKPRRVTKEDIPGRRIDEDGDTDFDSGRSATDGAVDDDMDGDTDSDLTDDSADTTDDG FEDDVAMLRDYCLIATTEMDEFEMHGLVQFSTRKWLEQWGQQETFKQKFIERMAASFP TGNYKNWATCRNLFAHVQVAVAYQPSDDRNDLWATLLYNGGWFAWSQGRYEVAQRMVG KARRARENRLGKEDTASLDSMSLFALILLDRGQWEEAEKLEVQVMETSKTKLGADHPD TLTSMANLASTYWYQGRWEEAEKLEVQVMETSKTKLGADHPSTLMSMANLASTYRNQG RWEEAEKLEVQVMETSKTKLGADHPDTLTSMANLASTYWYQGRWEEAEKLEVQVMETS KTKLGADHPSTLMSMANLASTYRNQGRWEEAEKLEVQVMETSKIKLGADHPDTLTSMA NLASTFWNQGRWEEAEKLEVQVMETSKTKLGADHPNTLTSMANLASTFWNQGRWEEAE KLEVQVMETSKTKLGADHPYTLSSMANLAFTWKSQGRHSTALALMKDCAQARQRRLGA EHSDTLSSLATVTKWGN QC762_0059410 MDPLSITAGVVGIVAPTLHCVRLLVEDLQNIADAPNTVKALTNN LQSVELALDSLGAVTDSQWESLGDAITTQSKATITSCKTSCERFKTSLDRWTRHSTDG TLSWRDRATLGIFRQDHIKSISKQLQQCNITLTSVTSIATLHSSLQQAQAAEEIKTII STKETAVNNAITATNDQSAEVSAQLVALTLAEPGEGETDADQASARRSRLRWKRKHCM NRACCLRNCSS QC762_311030 MDEFEERGPRRAHGGPYTSKHPVPTIRGYREHRAEIKQRQEQAA ANEIEAIPTGDNNPDDPDDNTTHPAAGGIDSANGNTDLKSKGKRAYQSIKTIAKDEDQ NSDKQTPYRSVNRHTIPQDEREALKQQSDADETSGQETATDGDDELETDPKEKSATET AAATISPKEKRKAMKKAHKGKKRRKGRKVTDPVTHLPVVIHDMTDGDLKAVGSDSGVS GLSSDDEGGDEELMQGLFPPPDLKHTKKELGRVYRKAVSVYGIAIGVILGLVVLGLGW GAVLEQRQNWTQSAFITATAVIAVTALATIGLAQGLSFWISKKVDQILEDQVWDADRE QEMSLNNRSSDNLPESVAWLNSLLGSIWPLINPDLFASLVDTLEDVMQASLPRVIRMV SVDDIGQGSEGVRILGVKWLPTAKAAESVSHDDGDGEEEMEAEEGDFVNLELAFAYRA QKSGKTIKSKAKNAHLYLKFYLPGGLAVPVWVELKGLVGVMRFRLQLTPDPPFFELCT LTFLGQPRADISCVPLSKHSLNLMNVPLISGFVQSAMDAALAEYVAPKSLTLNLKDML VGDDFKKDTVSRGVVAVHIDRARGFKEGDSGLGLIKPGSSDSYVSVSWGKFGKPVGST RIIKSDQSPNWNEWIFVLVSPDEINAEETLRIQLWDSDKHTADDDLGKVEVTLKELMH GQDTRNKMCEREDDLHADDPDEKLPGQLKWSVGYFSKAHIQQCQLDRQTVDPSIRTVE DLKKKTKEQTKHKLREALDASSKEETDELAQQEVQDLKEMEDMMIISAPPPQGYPSGI LSIQIHNITGLEVAKLNRDRSTDSNSGGNQIEEEGEAGDGDAPDGYCTIILNHVQVYK TRTKPKNAHPFFNAGTERFIRDWKTAEVMISVRDSREGESDPLLGVVYLPLRKVFADR SQVMGTWPLAGGIGYGRVRVSMVWRSVKLNMVKEIGTGWEYGTLEVKAPIKPAGGLDQ ELMKKWNKIKIKTKIGKEKMHFSSEIDAWRPKRQSKENVFVGVSNRYATSLVLEFKDK SKVMGEDAVALAVLWLGDIPDEEETTVRLKVWKGGKKQLKRARACADYEGLEDGEEPF SEVELTVRLWRGLSGYHKHIGGKSSNKSSCIRSVLEVLDTVNDEKMIDYYDDSESGSD SSSDSESSSSSDSDFGEDGGQGTPRKGDPNEEAEKRKMLRKAGQDSTTEYSSEEDGQS GNGNPIKPVKKAKTKIKKRASSLVDGKDGSDDDGKRGPISQLQDYKRHHKQLHRKHRG VMQWKAARSVGWMADKVKDGKSKVQGLVHHSDKEQGIETEV QC762_311040 MDSSKPQYSLRRVGHPFTKDYQVYFERNADKIAVSPFHDIPLHH DEARNVFNMVVEVPRWTNAKFEISRGKSMNPITQDTLDGNPRFTRSCFPYKGYIWNYG ALPQTWEDPHYTDPDTDAKGDNDPIDACEIGRAIAKTGDVKQVKILGVLGLLDEGETD WKLIVIDVTDPLADKLHDISDVEKHLPGLLDATRDWFRIYMVPNGYPPNEYALEGKFM DKAYALKVVKECSEAWRRLVHGKVEKGGISLHNTTLSGTPGKLDPKDVGLPTDENLSP APVPQELEEWFYVDRERLEDDKKLVHGDDSLCITLDLA QC762_311050 MANMFADPEIVKLWNEAVAAHREKTKVDLESTANKEKTTIFVSF WNSLRPKKTSSLVSTKGTKHQDHDDDEKPIKPEQQQVDELVDKLTAEHEQFQSLRNDG GKIDKIRHGLGKFASAMAGVGPVLERLAEDTPAAIVAVAFTHIMTSFTEVSADLDLIQ NLFVIMRSFAERLGLLKRVPEEQRFRNLILKTFTHMLEFCTRAHMRLKKDQYRVKEWA KALFRGQDNELKEAYDRVVTAIDDMGSAIVAQTLASVLEFEDKTERMLQRGFSRMDSG FRRVNSGLSVVLSNQRYESQRGQRRDEEQRGFREEIRQHNDRIFNILVGKNAKSTGSS DARRYNSLAIITKSLFTGAEELIQQRWVKMEREFVKNTFAWFNDDFEKLKTMDGGVWY IGGESGMGKSFFSFAIYSRLALEFENDPFTSVASFIFDENFEKLERVDNVLYFCSAQI ASTDNSYRQHIQSLVDDDEKGEWKKDAWDKLYGSTFAKTAAKGRRLYLVLDGIDQLEN DQLSRLSRYIKQAAGLRITFVISGTRDLKRRLPRVDHTITLDQAVLSGDFKLLTQSRV ELYPGLVGLRSSLKRKLCSAMEMSADSFFYVNYMLRQLNTMKIASLIESSLRPVALPK NTDAIYHQLFQECEQYYTNINEKKALGYLFTWLAYSYDRVSLDAAQKLVDLVLVAVLG DRKLHLDIKTEILGRLAKILSFSEPEDNTLYPESPDVDDDNNEGIPRFPNRKETTVPE VLVSFQQHSLRDYFIRRHDPATSSDLQPTEHQARIMMFKLTTTILTTPKAAQNPSLVS VATKYAFYHLVEAQPLSSPEEVKAVAEDLYALLGCGDGALRTIEGQFEFQQDSEDVED FCSIFGFTRDEVNEVLVALLAIGSQVSKLPAQHQLSEKESHWVSSTLRNSDSIFITVA MGHVRNWLDSDKTVTSSKAFAGFRFAHVALCSLTAPALRSLIQGPQLKLKRGEIDSGV NIWPDEDFEAVATIGRYPQPLGPQEYKQISKALHYDLRNMGAQRAAEKGLKLAKDSRD IFDLRYRIARARFDEWVYPEHESSGPGVTPEAVLAAWNLCLSDIPPVDPKDQPFKNML NAAYQMKARVESTLDAHHRDALASMQMAATFQTTESCTRVFEELVVAFGDKKLYAEII QLLKALSEWSNPLTIRCTDVTHRHIHRAAMATDEKSFVRKLYVEAASSDTLAEDTSTE ESDIRIWLSLFDRFVMNEPRKAQNQLLKLVQNPPSKISFFASERAARHLADIYLEEFR ISRSLSYKLGVLTDMESTVVQMLKDRLGLEFKPKLSKTSTIVLAVMRRKVGPAEQFYN DLNDSFEACCQALTDGTEVNDAPSLRLLAKVLSVLVLPPPGRIGESLLKEDAQIALSC QFYLLPSAGGVSTERPSQQQVTKVDRALSSKRITSPKGTNSSRRMDSPKRTVSPAKAT VPVQKPEGKYAFNKSAGLECAMCSKILTDFSQGKVYTCVYCTNVDLCEECYRVRENHY DTTGILENGDVISPSAVGVNGKAGVIAKRPVNDYVEVCPWGHMLVESPVKGWKGVRKD GVLEYGGEEIKFSDWLEGLKGRWERAWWRYWREVEQ QC762_311060 MDPAKLPVHALNDHHRHLLIRAISRVLVTDIAETTFAQILDGLP TAEVGDDCSDGELPDDHPLREKHRQLCPGVLDTVRQFRDSFRPETLEIDAEVLHSYQT SLPGSRAFKTRLVELVAILLHQAGVMFFKLDTSLHKHDAITEWAPPKDDDLYWRFYPK GPFPTLFRHPWYVDHDQYPDGVADMVGYRAEARIWGGVVLFDRRSSDDTPEADEHAIY LHPNRDLVTYRICRLLEDQKRALLAFLTADTPEECPLPILPTDENTHRVDPEEPLGLT GIYRNIWERKDLLIDGPDSRNRASQDFLNFPTRADQLAAKRRLRLRRQREAELMASYD RGDVEERPETFD QC762_311070 MSSPFHLALPPMAPAVPLARVPGPKLQHFTPTGQANIEFIEERP DYKVWKVSSDGHGPYALKMFLFRTWETLSETSGKYAASLAKPEFYVDYLTPFSCECRA YGRSKQEGREDLAVKSHGYLYRHANRKPRSRRPWEWMTNSWMSLTSEQHRSHPIYAIV KDFVSPGVKHFTQSQVSHLWSDVEALHRIGILVRDLHVRNYMDGKLVDFSRAWTMYHT CLDRNPDTFNWLRVENARELLEGISSWLENTPLDLPVEIPPVLKRCVGWYGGDGEIGA DPSKYDWQKWGDNLDMAKDFFEEDLYGPASEEESS QC762_311080 MKTATVSLFALALSATVSAGDGKGKGKDKTPKKPLVSSAKLQSY VNKRDLLNDANKLQSFAKAHGGNRAFGSGGHNATVDYIYNTLKRLNYYDVVKQPFTEI FSEGTATLTVGGADIPAAIMTYTPGGEVTANLVAVPNLGCTPTDYPAEVAGKIAFVSR GTCSFAEKSLSAKAAGAAGIVIYNNVAGSLAGTLGSPFQDYAPVVGISQEDAAPILEA LSAGPVEASLDVDATVEQRVNYNVIAETKGGDHDNVLVVGGHSDSVSAGPGINDDGSG TIGILNVAKYLSNFSVKNAVRFAFFGAEEFGLLGSYFYVKSINSSETELAKIRAYLNF DMIASPNYILGIYDGDGSAYNLTGPPGSDVIEKDFEDFYKSKRSPSVPTEFSGRSDYA GFIQNGIPSGGLFTGAEVPKTEAEQKLFGGEAGVAYDINYHKVGDTVDNLNWKAFLIN TQAIAHSVAKYATSWKGFPAVNLNERRWAGDRAKAMKRSLGVHGHGVHTHSGPCGGGD EI QC762_0059480 MGQFQSAEVCTTPACIQAASHILENLAPNWKDMDPCTDFDKMVC HGFNQLHDSPTVGLFAQEKTNNRLLREILERPYEEATAVQPYVLRRRDNAAEHNFDMA HRAYAACMDSDAIEAAGVKPLMDLVNRINEQWPIEMDYADDLFDEADDTAGLHKVIAA LTKLNVRTWNGAPDGARGNRKPDPIDQKKQRIIISIPDFSLNYFDSEGRAYLDSNKTS ELKDQIAGAFRVVFPVSLNEETAKQLAGEIVEMEISMLREASKTAQDGGNSSTSANIF ARGEGSGTTDPQEALKKTIIPLAELRSFAPVLGLDVLVRELVAGGSTPDAVVVLLPAI WSTFEQAVKKQRKIVVQSWLLWKVIKQFSANVESNELKNLDLGGDAGSNKYDTCVEHV DDSLRWILDQLFVRASYPELTRSAAAKMATNIREEMKVHVEKLSWMSEETRKRTVKKL DNMKLNIGFPEHDPDLRSSDDIAEFYSGVNITSSFFDNALEALRYQLVSDARMLSKPT SQSEWTSAYSHQTNAAYESTVNSIFMPAGVSRPPFFHPDLPEWALYGALGTVIGHEIT HGLDSSGRQYDENALKTNWWDDKSVAEYAKRQQCFEDQYTKFELVGPDGKKYPGNGNR TVGENISDAGGLAVAYDAWIKERKSMPNTWDQGLPGLGDFTHEQLFFILYGNVWCDAL TAQERVEKLRGNPIHAPNLHRILGGTANSRAFKEAFKCPKKEPECEIF QC762_311100 MNSRSPSSSGLLPLPFPGTPSSSWRLYRARLSAFMRDPNTSVLV AFWLFGLINNILYVLLLTAAQDLVGPSIPKALVLLADVMPSFLTKLVAPYFIHLVPYS IRILVFVALSSGGMLLVALTPNTQSVGVKMVGVIIASISSGGGELSFLGLTHYYGVNS LAAWGSGTGAAGLVGAGLYVLMTDWLGMSVKNSLLVSALMPGVMLLSFFVILPRERIK QQTGTTGYEPLPGLDRDDSPESEREIDASAASAALLSEPGPSSVTAYHNNHPGDKSAS FWVNLRRAKSLFWPYMLPLLLVYVAEYTINQGVAPTLLFPLEQSPFNEYRSFYPFYGF LYQLGVFISRSSTPWFRIHHLYFPSLLQVGNLVLLTLHALLNFIPSVYLVFVVIFWEG LLGGAVYVNTFAEIMDNVPVEDREFSLGATSVSDSGGICIAGFIGMAMEVWLCDWQVQ RGRDYCRRVEVS QC762_311110 MRRAPLSRISVYSTHRNLSRSCPALSAKPAASDPSNPTSDTSPK SNDEKELGPLTRRLQEATEEALLEGGRAGRRAVLEDAGFSEELKAKLLNKLADAKFQG EYSAAASVLAHSKIPDSAGLGTRSIAAAQPWTGTESTEDAVLRMLNDAHKPLAPGLRG KSKIVPEPVDMRFKTRSNVSAGQRAAEARDKASLYTSLSGDKQKDVGLSDEEREAMKR EFRERFTPGARAMPNTITGLAALANERIENAIARGQFKHIPRGKGVERDTRADNPFID TTEYIMNKMIKRQDLVPPWIEKQQELLKTATNFRLAIRTNWKRHMARMISAKGGSLEE KLRRAREYARAEEVHNPRRKRKVEEMSVSTNSTDDPVMVKMRQQAASDATPVTEGVKE STEENMPVGRPFRDPAWEAAERSYMELAITNLNTITRSYNLMAPELAKKPYFSLEREL NSCFADVALQVAEEIKARATAPAKSIVDYNFLGSGKPLQRGGLRGTFGMGEQSRVWER NEPRYGFKEFWKDLFKKDK QC762_311120 MAALKSLGHRAFRESGLQSVYTTGLDAYLIILSRSCRMFAYGAS SLILALFFAELQFSDYQIGLFMSLTLLGDVILSLCLTLVADHVGRRRTLFLGSILMIC SGTTFALCENYFVLLAAAVVGVISATGGDFGPFRAIEESTVSELTNPDTRADVLVWYV TTASLGSAVGTTTSGRIIDWLSAREGWTLLDAYHGCFWLYAIMGLTNMACSAMLSERC ELKKKAPEGGSGEIAPLLQETTDDGVAKPKEKASWVSQISRETLAIVGVLWFLLMVDS LADGMVSMSLTTYYMDKKFHLPKSTLGDMLSTSYILSSVTNIFAGPLARYIGLVNTMV FTHIPSSTAVLLFPLPKTVSLTFALLLLRVGLNNMDQAPRAALIAAVVKPEERTAVMG ITGMLRTLASTTGPSITGVLAGNNRFWVAYVVAGALRLAYDLGLFALFINIKLHKHEP AGEPTGEERREADEEEPLPTLTSI QC762_311130 MSGALWVVAQLSMLRGFSEGPSVTTTTGMYLLLSTIRTHLAWSN NTRAELSGVNDSSWNWDDLQPYFRKAIQLGSGPHPDIARVYNMTYNAGSYGTNTSKHS IFATFSRGHTPAIIPIYQALRGYPGVEIPIDHSVGTLGLAWTPRSMEPISFNRSYSRS GHYDGLNRDNYHLLTATRVEKVVFKGKTAEGVEISPRWAEAHEGKGKGKGKINGKGSG KRVIRARKEIVLSAGAIHTPQILQLSGIGPASLLKQAKIPVIVDLPGVGANLQDHAYQ PAVQFNWTTPPPYPEVNTTLPPIEAFLFGPGLNLMLGLPGISPTRYQSLAASYLSQSP SEFLPKHIHPTIIAGYAQQQRLYAAGMLDKNFAFSATGVGALPVAAPQLMHGLSRGTV TLNLSSPLSEPIVDYRFASNPLDLDIVAENIKFYRRFYTGPDSTLRQYVDLTLGESQP GKGYQSDEGLKGWLRENLVPSVYHPSGTAAKMAREWGGVVNEGLEVYGVKGLSVVDTS IFPTIPGAATSMTVYAVAEKAADLIKARAVVVVGF QC762_311140 MAKYGARLELKDETKQTPLLLACGYERIVGLLLCHGADPTTFND AGETPYSLASHHMRGIFHRYAYNGRYNLAMLRTEN QC762_311150 MSPQLGALLHRSLRVYQVWGANTEVGKTVFSTILCKLTSGYKPD EKTAFLKPVSTGPAEEADGRHISKFIPGLDSQTLYQFDLPVSPHLAAQVSQQNTPSDE DVLCKIHEFASRLASTNPGWLFVETAGGVHSPGPSGTTQADLYRPLRLPAILIGDAKL GGISSTISAFESLKIRGYDVELILLFEDKTYQNHSYLTPYFQEKHSIPVQTVPLPPPR LSPSNDSSNMQTYYTTALGLPSLSAIPSHLSSLHQARIARLQSMPETASKTIWYPFTQ HQQLTPDKITVIDSAKDSHFDTFQSSSPSKSLLRPMFDGSASWWTQGLGHGNPALSLA AAYAAGRYGHVMFAEAVHEPALALAETLITEMGNKRLKRVFYSDNGSTGVEVGVKMAL GAARGRYGWAGEEKVGVIGLKGSYHGDTIGAMDCSEPGVFNEKVEWYEGKGAWLGSPG VRCEKGVWAVEGGGEFKTLGDVFDVEGREKRGEGRGYEKEIRGVLEKLVGEEGKKFGA LILEPVVLGAGGMHLVDPLFQRTLVKVVRQSPELFGRKHQPNDELDWSGLPVVFDEVF TGIYRLGRFSAASFLGVDPDISVHAKLLTGGLLPLSVTLASESVFRSFLSDDKSDALL HGHSYTAHAVGCQVALESLKTMMKMEKSGEWGWAISSQQKGDDQTQHASSEQVAAIAE SRVWSVWSHELVEWLSWQKGVEGVWALGTVLAIHMGSAGSVVGVGYKSTAAKGLQAAL LKASEDDGSVHSRVLGNVLYLMAGQTTTEKSVRRVERLVKQGLEDGT QC762_311160 MSQVNQLDASLNKHLDRRKARDMFRSLTLVPPGTADFSSNAYLS LSAQPSVKQTFLSRLQDAAHANTPSLLGSGGSRLLDGNSPKAEALEKTLAAFHSAPAS LLFNSAMDANVGLFSCVTQPDDVVLYDELVHASIHDGMRLSRAAEKLSFAHSTVWSTE ETVEHGKGKPESLETVLVGLLSRPGGHLFKSGERNVFISVEGVYSMDGDVCPLKDITN CVERWLPKGNGLVIVDEAHSLGVFGERGQGLVSELGLEDRVWARVMGFGKAMGCSGGL ILCSDITRSYLINYARTLIYTTAMALPSLISIEVAYEFMMSGGAEPLVHRLRNLVKNA HCLLMEMYERLKPPSHLLRVSLVEPKSSIIPVFTDHPRSLAGHCQQNGFMVRPIVSPT VPKGTERIRLCLHAANTVDEAVGIVSAIESWVVSQMNVSKSRL QC762_311170 MLARSSARVLLRCPPFPTGLGGQTPIVGASSRWLTTLGHRRQQS TAIADATANANDQDVASIHDNVEHQQWARQVLRDAVAAAAPRYTWSKEETAAIYHQPL MELAFQAAQVHRRFHNPSEVQLCTLMNIKTGGCSEDCSYCAQSTRYQKGTGLQAKRVE TVETVLEAARIAKANGSTRFCMGAAWRDMRGRNNSLRNVKEMVSGVRAMGMEVCVTLG MIDAEQAKELREAGLTAYNHNLDTSREFYPSIISTRTYDERLATLGHVRDAGINVCSG GILGLGETDKDRIGLLHTAATLPSHPESFPVNALVPIKGTPLGDRKPIDFTSMLRTIA AARIIMPATIIRIAAGRKTMTEEQQAMCFMAGANAVFTGEKMLTTECNGWDDDAVLFE RWGLQPMKSFAKSAAPAS QC762_311190 MDVEFKPNNNSITSESAPLIEPVDSASDQDLFMVPLKRPSPKLP SSRYRNVRPRSPPRPPATLKRTPQLPTEEQINQLIAMAYQSPPLSERAGDKMDAMVKT PPTTMFGSPMMSPEQNQGPSLSMVYHSPPMSSRNINDNLNSTGANSTPAIGGILSPNP SDDNHHPGKSSPNMASTTNDNPTTARNTSRSASPQQSTKASSVYDAPDDKKEAQPTTT TQLPPPIPPLSASRPAPSRPYNLSLTKANLGLALQLNPTQDSNLLAPPIDPNWALNPD SPMERASQMRATPDSAYDRARQMEIRRSEWKHIVYRFPVKGSGHWVIDCDLTESSHAR LSDVAGRSSEGFEWNGDYELANIYRVLAQAHRKVGEEMRMERIGERKKGVTVQTAVAA QC762_311193 MISSKIVFFLAAALGAGSALASAIPVLEKRQRAPGASITYYKDE NFQGDKQFFDKDRKDMQCYNLPSDWQNTISSYTNHNQVDWCCRWWTELDCPEKSEPLS TQTADALGAGKWNDAIKSYRCEANAEDFYYNPRPVAERDAEPALPELEVKAQDNEGYG SVTFCKDPLFQGECSSFGPTDKTLPLGSCVNFADEWKDAIDSFRNDDNSTCCSWFTGL DCDDNRFQATIATNITDTYFHDRIKAITCWDVADTVSCMRDDSPAK QC762_0059590 MTTTEACRGLRRIVPVDDAPDDPTIDIIAIHGLGTESPRTWEFK KRNGDGVVNWLSDADMLPAALPKACIYTYDWNANYFANAPVQTLLGHADTLLGLIAEG RGSQTRPIIFVASCFGGLILAEVINRAAQEGSAYRHILISTVGIVFLATPFHGSDAAK QAQWQVLVAGIMGKQASDQLIKDLEQKHDFVRQRVQKFAEIANAEAVRLPLNCFFETR KTKILKRILPSEWANRLSIGITRKILVTESSACLHGFPRRGLDATHSGMNKFKGPECP NFKLVKDAVQQFAGNASDVLKRRENSIVKGHWIVRFGRNKEFVGRESILEDLLKRVLP SGDEDDCQRTAIEGLGGVGKTQIALETAYRIRDVQPECSVFWVPAVDATAFENAYRAI GQQLKVPGIDEEKADVKALIKSVLGRESMGNWLLIIDNADDEKLLFGDTALTDYLPFS RKGSILFTTRNHKLGLRLVESENHIIAVEEMSKDEALKLLGKNLKGSQMSDTRSNSAL LEFLTNLPLAIRQASAYMAKEQISTARYLKLCKSSDENMVKLLSSHFDDRHRYKNIQN AVATTWLISFQQISDHDALAADCLRFLCFLAGKDIPHSLLPPAGTLETVEAIGTLKAY AFISQQNESDSYDIHRLVQISMLSWLDGKGERQEWTAKVLERLDDIFPWPKHENREEW IRYLPHTQHALQLRKRTDDEEATTGLLSKVGESFRSLGKYKEAEQMHRQALQLREKVL GKEHPDTLSSMSNLASVLDSQGKYEEAEQMHRQELQLREKVSEIR QC762_500320 MITIGTLALALLTATQAVEAQSSGCGKAPPSSGTKTMTVNGRQR QYILQLPNNYDRNKQHRVVIGYHWRDGSMNDVASGGFYGLRQLAGDSTIFVAPNGLNA GWANNGGEDITFTDQIVTMLKNDLCVNEGEFFATGWSYGGAMSHSAACSRPDVFKAVA VIAGAQLSGCSGGNSPVAYLGMHGAADNVLPISMGRQLRDKWLQTNGCTSKNAPEPSS GQQNHIKTEYSCTRAAVTWIANGGGHVPDPSGSNGVKFAPGETWSFFNAAVGGGGGNP NPQPQPTTAPTNPQPTQPTNPGNPGGNCAPRWAQCGGQGWNGPTCCESGSTCRASNQW YSQCL QC762_500315 MARIGLLARLVVTASLYPIAAARFWTATQIYVLNPVALPSGCDE SGATNQPCTTTRLGYPWLATHTTQPPDATPIKTSTETYNGWDLEVLEVYYPAGALPPS ELEAGSFDYYYTHTAEGTTKWLVNLTYTAPTTCPTPLEYTTAINLEEFGRLPRELTTI LGPKASAEEPTIVTSTRTTWALSADNYVTLTETSYYTSATLHVQPTDIAPAPIEALRD SYAWGIEYYLKQCYLPGEEDPRIKNCPHQAFGRCSKIEEGTAVMITIVGALFVLGFIE NIFWFRRLMLGRWALRCGTVCWWFITTLLMIFVTKYEVGRNAEDQEELSKQWKEMSFW LKIKLWLLWGFRHKYPERWLGPKKPVSVEERIEMGNAGGDNGGGNGGGSGGGRASANG GTRAREQVEQDDTPLPVYPGPPSSSVSDGHSMNSGTTAATRGPVLGNLNAVLGPVVGS GTVIIGPTMSPGQQSPASPRRQDTDQGHADGGIRAV QC762_311197 MTILDKFSHRVQTSSLNILQRLPRPCNVFSEHLVQEAQPEAVAQ IPEGEEKECLGHELEDNEEEWAIHRDGGACNIEAVTCNFHCQHHEELRQALQRQINQG KERVEGCYQRLPFEELESEHFPGGSGEALETLNFHNSVIARRYLVTMIFYPNPYPMWC EPEDGHFQYIRSLQWRRDALVDFSVERWVADLAEEHHLFDQPERDLPREGMEYGCDLK GVLAKIVERTHEHDPRQKKPQKPKPYVRTEEEWNEWIEKTNREYCLDTSRDEEEAARQ LEWAEESVDFVLDETPW QC762_311200 MHLAQHAPGILGGLRRDAMQLDTQTIPLDSVTRTLPVPESESAL DALLLAWGAFLQRQRGDDDRVEQFSWGHKSQGSPSEISTRFSLKALGLELTRSRSESV STFLQAVKTSTENIARPGLLYLFFNDERETSFPQKETAGPSQFDFQLRVSKHASALTL EGVYPTTEKPDSLNSRQAGDRLDTLIQLVNIITTQPETPISALLEPLGRDLDQIWAWN AEMPPLIDRTMQDIISEQAAARPDKIALSSWDGQWTYAELELMSTRLAHYLVSQGITV GVNVPLCFEKCRWTIVALLAIMKAGGAFALTDPTSQPEGRLRAMVEQTGGKLVVASAA QTELAKRLVPEDGSQVVTVNEELFQSFSTIEGELPPLPTIPTVTSPLYIQFTSGSTGK PKGVVVSHANFTSGAIPRAEAVGYKSSTKCFEFASYAFDVSIDCMLCTLSVGGTICIP SDADRMNDLGGAIRASGANMAHMTPSVARVLDPAVIAELDVLGLGGEAISASDAAAWS KGKTSVIIAYGPSECTVGCTVNNTFAKNKDERKVFTTGNIGRGVGGVGWIVDPEDHDR LVPVGSVGELLVEGPVVGLGYLGEAEKTAEVFIEDPIWLVAGHKEIPGRTGRLYKTGD LVRYDADGSGDFVFIGRKDAQVKLRGQRVELVEIEHHLRHHLPSRVKIAAEVIKPAGA EPTLVAFLAEPRSKSGTTEKCDEAEATFSDELTKALTGIEEALGVDLPRYMVPAAFIP LRDMPVLPSAKIDRKKLRALGGAMTREQITGSARKNKSSEGGAPSTEMERILAAVWKS LLGDHTDITVSDSFFALGGDSLRAMRLVPAARAEGIVLSVADVFRYPVLRDMAVVAKK AEAGSGGAAADVPPFSLIDKAWSAEAAKTEASQLCEVDKADIEDVYPCTPLQEALMAL SAKVKEAYVAQRVLKMNNAKDAKKLQNAFEAIAADSAILRTRIVQVSDYGLMQVLIRE PIQWRTASSLARYLEDDRDEEMGLGKKLVRYAMIREGDVYHFVLTMHHALYDGWSMPL VVDRVNQTYQGVAPRKPAAQFKHFIDYLNNRLDRAGCDTYWREQLDGATGVQFPRLPF EGYQTQADSLLEVDIKLDGRKLPSVPGATITLASVVRAAWALVASQYCSGNNDIVFGE TLTGRNAPIVGVEEIEGPMITTVPVRVTINRESSVEHYLQTIAEQIVGQIPYEHAGLQ HIRKLSDDALQACELRTGFVLHPAAGEVEADDKTPANGLVPAGDGEAAQEALKFNTYA LMLVCSLSSDGFFVMASFDSKTVSKDTMERVLEQLRTVVHQLCEGNAKEVKVGDLQCL TDADSKEVEDMSKKFKLEGADLDALGLDRADIAGAWIVDAADHTRPSPRGAVGELLVE TTKTLSAPAVAVQTPLPLWLKSTTVNGGQIYRTGRLASFEFSVDTPVLRVLPKSAQIK PDFTVPKKKAASSGPAISASSAKQKLLRGIWSRLLKVDEDKIFLGDSFFNRGGDSIAA MKLVSEARQHGMQLSVAQVFANRTLYDMANVMQPSPTVITNVQRGQGSSSPASPSSPS KADYQPFSLLLTSFMRRMQRSLADKSWKIFDVLPTRPLQEIAVRGTVELPRFSIRYEL MHFEGMVNKKQLFRACQELVAINEVLRTVYVRLDDVCYSVVIENPFIVDIVEYEIDGD DVEEFAGKVSRLDAQTKMPYGSSFVKWFFVTNGTKSTLVFRLSHAQYDEICLPIFLNQ LQQLYQDPKSVSPSYPFSTFVDYTIQEGIPAAIPYWRDLLAGSEGVSLLRPDIPITDR RHFAIHKPVNIAARSRDVTVATLPSAAWALTFARLLKVKDVVFGEVASGRSVDIPGIP DANAIAGPCWQYVPTRVKFDGDVPIRTGYDLLEALQTQHMMTSSHDCMGLEEIVRNCT DWDPEEVTWFDTVVHQDVAHVETLSFLDRKAKFETLYAYEEPLREWKIQAFHDGDTLT IEVITFESWKEEAVKLLDDVCASLEQLVNRPGEELNIA QC762_311210 MPYLVLPALIPDIRPCYDAYFAAFTTDPSGSLLLDILFPSGVTS DEFREAHTNGTLQWWHTSETQYTYKCVDSETGEIIGMALCDVFVKPRTEEERKMPEIG WLHGEQRTRAERVLDGLWGARERIMGGRPYVYIHAFAVDPKHQGKGAGSTLVQAIVDL GNTIGLPIYLEATPTSENVYFRKGFRRVPAEIAQVVHEAAVLRTKEDVEVPLMVKLPQ GVYGVKVDGRKLGEVWAEWQEERKQQQQQQQQQQRQQQVQQQQQQQPDVEVRP QC762_311220 MVVEASGEKRPHSAAASDAAADKEKALAPTTTGSASDAPAAAEQ APQGGLLVWLKIFASASPTWIDVCLLITGVISAAGAGIPFPLMGIIFGELVDNMNDAT CAAGDSDLSSTSTSINGVNDPFAYEASINDKVLKLVYIAIAALVCIYVYVLSWSLFSQ RLAQRLRGQYVQALLRQPPGFFDARSAASGEVSTRLQGDMTAVQAGTSEKVGVLIAST SFFFACYVVAFIKQAKLAGILVSLIPAFLLMAMGGGFFVTKFMVISASSQTAASNIAG EALQHVGVVQSFGMAGRLEKKFAEHVAVARGAGVKKGIAAAMQAGMLYFIAYSANALA FWQGSRMIVDTIRGEGTETVGQIYTVVFLLVDACVCLGNIAPILPILGSASTAFSRLM ADINAPSTIDGTSSEGAFLPVETTTGHIQLENVSFAYPSRAEQPVLRNVNLVLPAGKH TALVGLSGSGKSTIAALVARLQDPDSGVITLDGTDIKTLNVSHLRSFVSLVQQEPSLL DRSILENIALGLVNSPKPEHQALKAVVEGGELAELAKKGKDAVDDSNVANPAVQEVIR LVKEAAVQADAHNFISNLEKGYGTFAGPKGSLVSGGQRQRVALARALIRDPKILLLDE ATAALDSTSEKKIQLAVERAAEGRTVISIAHRLSTIRNADKIVVLEAGEVVEEGVYDE LMAREDGKFFAMAKLQSLGNQEAAASAVAEISEKKDVVVVDEEEEEAAKVLAASISSR DESAAEKAANDKEEKEKEAGWGSVFAGLARLVKPSFPWLLLAVFAAVIVGGTFSGSGL IFGFTVGALNPCENSPEDILDLGKFFGGLLFMLACIELLANFFAWSCFGLIAERMLYA IRVLSFRSLMEQGVEWHQSGGRNPTALLDIITKDSAAIGGFSGSTIGTVFAIIVNFFV AIILSHIIQWKIAIVCLSVVPILLGAGFMQLRQLARYEERHAASYAKATGVAVEAVQS IKTVAALSLEKEVMGSYARLLKNTRDEMVRAAAFTNVWLAISNSMSFLIYAFAYWWGS QKIMSGEANQTQFFIIVVSMLVSAQLWGQMFTLAPEFSRARTAFSRIMNVLALGTNNE IDSKRGPHGKPGPSSDPESALSPAAKAATGGEPGMKITFTNVTFSYPSRPDHRTLKNV SFTITPGQFVGLVGPSGAGKSTIMSLVQNLYSPSSGSILLDNVDITSSVASIKDSIAI VPQDPALFDGSIRFNVSLGSKPDHVPTQEEIEEACKLANIHDVIMAMPEGYDTQCGAS AGRLSGGQRQRLAIARALVRKPRLLLLDESTSALDAASEAALQEGLDKVARGTTVLAI THRLHTVKKADVIFVVEGGEVVDKGTHEELMGRREGYRVNAMQQMLQ QC762_311225 MDTHMDHIKTEDEEDQGHGLPHPTPSLQARQTPAPTKRQPSLTE SSRGGRRTASSLTANRKVCDHCRTRRIKCDYQFPCQQCLNAALVCKRDQVPRKRGPKP GHGRVLDRIRSKEEEVLGIASRESSADFETTPSSLATPQSTPPDTPFPNPSADAQHGR SGRQRYHHLIPLCVEVYTAHLYPLLPVVHLPTLQSYCCQPSVPPSQRTLLLALSALTS LHVASLPPPSRPPSGSNTDWISAAKSFLEECSATRQAYDYVAHLQLNDILTSFLLSRL YIEMGNSRKAWVYLRETGALAAEFGLDREDGYAGSEEDDDLARRRLFWAVLISERNRE FAILRNKPITSFRRKPELPTFGYRYEDPGVHAGLLQLAEVYKPVGEDFVAAWNDTSPQ NEDSPAAHNMKAAKLLELQRRLSQVTESGEEATEMQRVHLTITRQWLKLVTWQLSFRA HLLSFGNVHESMRFTYPLIIAHETLSFLNSLPPGRCYGRISTMEKIYEIGIWWLNVVG AVDNLELGRHGVKTDDNLLDAFVRVLSVGEQSRVLFAERLKMFAAAGDMSDLSYMVGR KFSQEVREQGHKEIKTEEPEPRSVPRTAHSSSRYHHGGPSLAVDTPSPATNGPPTAPH TNETPPPPWFQGYNNSAITTPTSSGWASTTSEVNSPEILFSPDIVSPGPGATSFGYFR FPGPKPGEGA QC762_311230 MRRLNLVLLASLQLCRARQPSFSIHEDLLAHPQFEIVFSDQFIS EADALALLDTQLSSSPAPSPTPSSQTELASPSTETDASNGQQQNPEDTDDDSNPVSET YELINSAPWKYLCTVPVLAPPPTLNQTATELAKAEEAREMSRASAKGWELMSGLEGHC LYFMSGWWSYSFCYGKDVVQFHALPRGTEGGPPVRDDSSQEYVLGRAVPASEQPKDGQ EKGLAPPNSELQVKGDQRYLVQKFEGGTVCDLTNKPRTIEVQYHCHPGVAGDRISWIK EVTICTYLMVVQTPRLCDDVAFLPPKVTKRHPVTCKQIVSSEEEESAWRYQKQVEAGG VLEGAGERARLKVGRPNGGADSNPFSGMTIGGVVVGGQKILGGKVNADGTPAFKLMPP RHISALATPKAATVTHVLLAKEKEGAPIEKLSPEKLEELGIKDAFLDEMEAQLEAVSG GEGWRVEVVDAPGQERQYVVYQMLDNGADAIDLEKKHTLPPGGAEDQKTKGERQKTAT KKQKPKAKKTAGQQEKTEGKKPRLDDDEEGSKEEFKDEL QC762_311240 MSQVQRLEALLRQKEHEKQEIMSQLSKARTSRSSATAAALDFES VPGNYQIAPSRPVLADNGRSRSNTIPRSVTVAPGIAVADSVSLQLDHIQSQDQSRPMK RSKTTHNAGPSSGTGMARSSSNMSIQPGVPSGSLSGPSSAMLEQYLGQGQMQQPVNAF FQSHSQLQPIPTGREMDVADFLSMRDTGGDLSSVSPIAIPSSRMLSPQEASHYPDSGI PSACGSLTSGPSVGTTAMSRCNSNFNDNNAAILSQLEMVRIRSQHSTNGQARQDSFGP SQPPYHQPSLLGKRSATDLHMGTGPSAHFVGAYPSSAPTDSMLHQHQMKKSPSSQSSS HSISSAAIQELNAGYMNDDLGMERSVSRDSTKSNNSLKVRAKESLARQNVNAKSRQLQ PKPDVVKKEQPTSATNKKDPKAVIIKTKYERPKHPKVRCHQCNENPEGFRGEHELRRH TEAKHKSMVKKWICQDPGLLGIPHAETAAKPLSDCKQCSAFKQYGAYYNAAAHLRRTH FKVKARKGAGSKNGAKGASSAAKVEEEKRGGKGGGDWPPMSELKLWMMEVTVPMDQEG ALGPDGSDSVGQVEQEDIDNELGAQAYGVPPNLLGMDAYSNMAVYAGLGGGFGQDLSS QGLQGELGSQLSDLYPLDTSVFAASFHGLPISSAGFGDCGPSQGHQLQQGMPSSSMMS IDTSNYTSPVSSTATLTQAGMFVNDMLPPAMMHTRDDLHDMPFDLAFPVGGH QC762_311245 MADQPVAPARASTLEMERIRYDPEWEIPTNYPPRKKPENPLLAK IKSTFTTTTPSTLPPPAASAPAVVNIDSKETSLPPSTSHSANGVPLPPGKQHPGLLNR YLPPEKRCFGHLTRRAFLLLLLAILFILALALGLGLGLGLRRRQSESIPLPWTSAGKG VQTGSLTFYDPSSAAGACGWYSSDNDSVVAVSHALFDAGLDASGTKNSNGNVLCGRMI RVWEEGKEGDKKVVEVVDRCTGCEVRDLDLGDGVFGGVTGQGQDRGRVRGGWEWV QC762_311250 MLSHLRFHRRAPSNPSEPTPDQVATWEDAAGHHHDADNNTLQPL ARVSSADPDLGRTPVDNSTQSPPARSAFDGNSTGFLGGVALHQLRRTMQESTAPDNAQ SSLATSLPEKRFSRTKAPPPPINTGLAARPALTAVKPSKSSKSSSFFAPTDLQLGSGG SSARPSGARGSSDTALTQPSGAPSEPAPKGRKSLPFLRNSMSSLLLRRKTSNQPPESQ PVVKTPTPDVSIRGTRIHDFSAPRPKRVTPSTEGALSASTTASQVETPAIADTPGART DPGDVGHRLQEEVIPESTRSADGGWTLSPASEEAVPPVPPKDEPAMSTRTSSSATSAA TTIGAGAPLDSKASVRTTASRSFSISKLSMKSSVRSAIPKHMKSTSSRFSFDMIGAAK QEKLLEERHRMREQEKKPADDIGLGQRDSRFDDFDEDFDYDAMMDDDGFEEDIPCVSM DYDIEEEAEEEDPEAAGDPDNDQENFAGFVFQRSNPASAVASPHSPAGMLLPTPRDAN GTVIGYAMTKDTTPGLLTPAFPDPSTLPKLEEAMDGLSIQPGDEPGGATLEQPTHRPT SLSVVESAPEAVPEPALESVPESQPEPVPEAEIAPNPAPERRRLDDDIYFDDGLADEL DFTGDGFVIDESIFDNNDTDKYGRPIPGAFAQAQEAMRAAQLRASNRTSDTTSDPSGA SGAAASTGHTSISAGAQQPVVESEHKVAAEPLPAFQLAPQPPEMSPFPGQDLAYQAAL AEAAQKAAASGKFRRNSSPTPEAELTVTSPTDSAESANNLDAILDDYEKEVNFDDYEK DYDDYGGGYQDDYDFDDDAIIAEANAEALANDSDGWYGQEFGFYSAPMPQGGYGHHNN SSSGNNSNGQQADLSAENLFSYANGGYFGPAGGLGRSKSGRIVCREPNLTPITERSEY SNRNSIMSLTLPPAIGSDTGRNSLTINSPGLAQLALLGDDENSLSVAAYMKARNRAFG AGGGGSQVSSREGSPKSERGPSESPFGGHLHAGSIGHGRKGSAFSVWSSSDVGEGGGE GTASPAPQMAAFTAGGFGNNNQGANGANGAVVSPLPQRPPGIGGMDVNQMGMMGIPVG MGMGMGMGMGMFAPPPGVQLPVLVPSPGIAAGSGSAFACSPVLEDGEEEEQEERTVTG RGLAPALPVVEGVNVHGAAGEKGPGERSTGTEGFVIGEGERQRPVMGHRHKGSADSIS YTMADGDEGKRWVVERRRTGETGEVEILGREVLDGGRI QC762_311260 MSLRRPGFWARQAKSSYKNQINIPFSHHTQTPTPTSTSTSTSAS TDKMHLHHLALLGAIPLSLAHPSPSTPSITELFAYPPTNPIFLENLLPLPDSRLLLSS FSPSLLLFSPNSPTTPTALTPFPNCTSYTGLTSLSPTTYAVTGGVMAGLGLDPTTTAL HIFSLPPNSTTPTLLNSIPLNYPLPNGLLALPSNKSIILSADSLTGSILRIDTTTNTV TTILQSPQLLGGTVFPLGINGLAARPLFDGYLYFTVSGQGYFGRIKLSNQGYIPSGSQ IQVLAQIQDPGWVNAFDDLDFDHTGKSAYIAWQRGRVVKVTQAAWGQQWTQEVIVNGT EDVTLKGVTAVKFAKGWSGRKVLFATTGGLEGENDQVGGQVVRIDL QC762_311270 MTDYKFEGWLGLDASSAEGKMQWGEFEPKPWEETDIDIKISHCG ICGSDLHTLRSGWVVRVGSQVKHLALGDRVGVGAQSDSCCSRTGKPCEACESGQENYC PNKHVGTYNGVYLNGGKSYGGYATYNRVPARFAVKIPEGISSAEAAPMLCGGVTTYAP LKHHGAGPGKTVGIVGLGGLGHFGVMWAKALGADKVVVISRTNAKKEDALKMGADEFI ATAEDPRWGKTHANTIDLIVCTVSQTDMPVQDYFNLLKFDGVFVQVGLPDDGFPTFQQ KPLIFKRIKITGSLIGSPDDIREMFDLALAKGVKPWISTIPMKDANQAIIDFEKGAPR YRFVLENEPEAKASL QC762_311280 MHLHPTIPLVVFLARASAQVHRMEFADSSSPSMRSLAAECPATA EMPKCAISCIDTAASSNGCPTASDLTCQCNNWAAIQQAAAPCVIAACAAKAPDVLSIA SEICSQCAGVPVAQTMNGAANLQWAAAQPTGKASVRRSQEGQLRDWEDLWVDEEGKGW VTLPRRAVVGAVAKETGRPVVPREVLEQDGDRKMLAGADRKPLGPIDVNSLDLSETDP ELPDFGSGKSTDPKEPDFGTDGALSFHSEGPELLDFGSDESQGPELPGFGSEKSKGPE LADFGGEPTELHPCWPAGYRGSGSLRSCDDLPDHDGDNLGGAEEKDFERTRSRTWKRK TDLVYEKEEQERLRQLKDMKEQEKQGEQMKWTW QC762_311290 MTFHRPFLVSRKLSRPTLSTRRSTSGVGAYRDDAGKPYVLPSVR QAEEKVIASRLNKEYAGITGVPEFTKAAAVLAYGKDSPALDRVAITQSISGTGALRIG GAFLARFFPGAKTIYIPQPSWANHAAVFKDSGLAVEKYAYYNKETIGLDFEGMIADIN KAPNGSIFLFHACAHNPTGVDPTPEQWKEIEAAVKAKGHYSFFDMAYQGFASGDIHKD AFAVRHFVAQGHNVALSQSFAKNMGLYGERIGAFSIVCESAEEKKRVDSQIKILVRPM YSNPPIHGARIAAEILNTPALYDQWLVEVKEMADRIITMRALLKENLEKLGSKHDWSH ITSQIGMFAYTGLSPEQMDALAKEHSVYATRDGRISVAGITTGNVGRLAEAIFKVTG QC762_311300 MIPPIDDLVLQQNPEFAALYTTLTTVILNPDASTRKDPKAKKRA AVRKELDTHRLKTAKHHLLINAISTAHPTTEQPPPPAQEKPSLLRHRSTRSRSESQPL KPSGPLPPPLPLAQPKPPPLPQSLLDLLLLLPPLLSPSSPIPPPQLPLLLSSPPFSLL PSHVPLLSSFLSQTLHTSALTLARLSQPNTNPSFLHRSIPSLPAFTLTQLETLHHKKY SLLRSRLSLAVSVSNLLDQQILILSKLIRSLEAKHGPISRWLEYSASERALVAQKQEL EIKSVSRALKKEVYSPEVATALGNYSRHLRDAKSRLEERIKGLEGQLGEYHHQDASTE REKTRKMREMARVYADMGRQLDEVRKDLERLDTA QC762_311310 MGNRHRLPQPMHFGCSNFWSSTSSSPSPSSLLSSVACELGAHSV NCASCTNPTPANATAIVFPFFTPPNCPPWAAHHITMSALLPARTCLRAATNTTTTTGT FTLPIRSFSVTPSQLYNQNRPSGGPIPSPTGQAPHIPPYPLGPRLYYKQSNTGLYGHA TIRYGNNVSEKNEIKTRRTWRPNVHRKRLFSLSLRTWVQTRLTTRVLRTIDKVGGLDE YLLGIKSNRIKELGPWGWRLRWRIMQTRAVKQRFAAERKQLGLVDGVLEKQVREENKR YKMEQKQIQFYTKKKLGVTGSEASTGQHVLPDGRVVDEAGREAYIKETDAILADTGSE QILELGEVEVAKDEGFMKEKPAPKKAPKKAKV QC762_311320 MTYDEKGRAGGPTPVPPVPASLNANSWEIIDLDRSQQNQAAPKL QPHAHTDPTVTPYLGLRARLSQLWFNRWTVLLILVLVRVLLLTGSLNDNIGDAKVKAL SACTKVEDVGSAMASMPHYLSVGVNSMAAEGIEKAVSAMVQILMLILTGVENLVYFVI NMYVGTWACLIAALIHGALEVGAKGIEAATKFMNDAIGTLTGQISETIEDVQKALENV GDAVGNFAGNLIGPISIPKIDISRPLADLKDININSTDMVDSIVKLDKKVPTFDQVEN FTKNAIGIPFQFVREQLNSSFGNYQFDKTVFPVAQKQALSFCSSNSFLNDFFQSLFEI IAKAKIAFLVAIPILAILAIILMGWIEIRRWRKEKERARVFTEHGYDPMDVVYIAGRP MTAGAGIWLGKRFRMTGRNHLLARWTVAYATSLPALFVLALAMAGFFSCFCQYLMLRA VQNKAPELATQVGNFAEDVVGTLQAVSTDWSDGANGVILGLQNDINNDVLGYVTNATK AVNNTLNTFEDEISKAVEAVFKDTVLFNVARDIVGCVILRKIDTVEKGLTWVHDHAKV TLPLFRNDMFSAGANDSVNGDGELTSFLATPASVTTDEITDAVAKVVSKLQSGIVQEA LISLALLLVYVIIVLGGVVYALVRMTQNDKTRGLGGDRWGVKFGSRPNSFAPGDTAAN RRHQRDVQADEQEEPSAYHDYRQEVVYAGSVKRGKVESEKWNTHARKSSYPTVEDSGY SGR QC762_311330 MEGLKQTFARCKAQNRSALVTYVTAGFPNPAATPDVLLAMEKGG ADVIELGVPFSDPIADGPTIQTANTIALQHGVTTEGVLDMVRVARKRGLKAPILLMGY YNPLLSYGEERLLKDCKEAGVNGFIIVDLPPEEAVSFRRLCTRGGLSYVPLIAPATSD ARMRILCQLADSFIYVVSRQGVTGASGTLNAHLPELLARVKKYSGDKPAAVGFGVSTR DHFQSVSQLADGVVVGSQIVTTIMNAAENEINKAVQEYCGYLCGRTTSPEEEATREVG ILEAINGAQETGDVSVDAVIKETDDLVAQLDMINSDLPKRFGEFGGQYVPESLMDCLS ELEDGFNKIKDDPAFWEEYRSHYPWMGRPGHLHLAERLTEHAGGANIWLKREDLNHTG SHKINNALGQLLLARRLGKTKIIAETGAGQHGVATATVCAKFGMECTVFMGAEDVRRQ ALNVFRMKLLGAKVVAVEAGSRTLRDAVNEALRSWVVNLEDTHYIIGSAIGPHPFPTI VRTFQSVIGRETKQQMLEKRGKLPDAVVACVGGGSNAVGMFYPFAEDRSVKMLGVEAG GDGVDTLRHSATLTAGSKGVLHGVRTYILQNEHGQIDETHSVSAGLDYPGVGPELSNW KDTDRAKFIACTDAQAFIGFRLMSQLEGIIPALESSHGIWGAIELAKTMKKGEDVVIC LSGRGDKDVQSVADELPKIGPVIGWDLRF QC762_311340 MDSLAEEIGKPPYWITALTSVHQHGLDLLQQIQSGAAVDIPTAT QTIDKLEALASTCFNAYDNEFILFGTGSDASLGSLMTTIWELKRAVFSQRTTPLSEVS NVCPCCAWALRRVGNGIVASARGGCDLCRYLKDGMEKKGVDLNPLRPRSENTKVGVWI EFRYVPRKASGNDVRPVLTHALEYGSVFLSTTSPWYSTPVWQFMVESGNKQVADELQI FSPPQQEPWSAPNISFTQSILNAAQPATTKFKPTRLLSLPPSPNSAIKLTTTTHLPHP VQYAALSYCWGPPSDAIQQTTLTTSSLPSRMTSIPLSDLSPVMLDAVKVCRSLGIPYL WIDALCIIQDSKTDWEFESQQMARIYEHSYLTICAASSSSCLEGFLSKRVVYPEYRYT SPAKGIVNGVFTLRSVPSNSDETLAAKASSPPLEQDLSVTSWSERGWVFQERAMSTSK LFFGKSMLHVQTNSLVLSENGHQSEVKANNNHDDGNTSPNTTPLSLLPPLLLHDDKTN NPYDLWLSVVLKFVHLKWTIEEDLLPGLSGPASRFNDIMPPNDAYLAGHWRSDLHASL LWVAGRRGHHPRPNTLPSLLQSLQKGNPLHCPSWSWPGRRDDIFRFVHSLPDGRKCRV RTHLRPEFSLVKSNVQAEGVVNPFGRLSPEVNSLVVSGKVIPMSDFLPPDKPKWEFTT ESAEWRCANAEKGYLIMVSHDWDDDAAGGSNPAVTTEEELSKIKLLLLSSCCSLSELP AGSIKPGAEATTKILFPTEHSRTFLDDPDFQSGGGAGATCSFCQDQKRKRDVWGLLLY PADSQGRHYRVGIFYSRAQHGGSEVFNEAQTCEVELM QC762_311345 MTTLPITPSLIPPLTGRTALITGGSSEITLSTALLLAEKGCSKV IILDPEHNDSLLTPDPGIPSCLAFLRVDVRDWKELKAAVKGCGEVDYAFYVPGPERIL FEVGGEGDDDREGENGVGMDWAREVRTVGDFVKVCWAVMARSGVGRLGDEKGKGGSVV ICVPGGAGGYMSCHVLPPVGMPGEGVMDGCAGSAILGMIRSLRTVAIQDGVAINGVAV GPTFPFTSDAMLPTTPLPPLTPGVQLEKLPVKTADEIALALVFSATATQKRKVEVYGK EKDSDLFAGKKEDRKWNGRVIFTTGTSPMAYTEVEEGMADLRRWWLGKENVRMVRMQQ AVGDFRPFEVDHKREP QC762_311348 MPETIIKSANAKKDAINKLTDNGVNTLVATSLIESKFDAQARRR DAMAGQPENKKPRKRYAGVYVDIVDKNGRMVAKGTIRVDWDENKGPHINVSKGNDKFA FIAPSIPKTDTELQINQQKDALTDDVEKNMKKDKNGNLISEAAALGKATARFLHKDFW DVKSVSQTGKRL QC762_311350 MVSLHPLLDNGITPGSGSFPGGTLKCLCPSSPVEITLTTNVAHN HACGCSKCWKPAGALFSIVGVVPRNELSVTANGDKLVIVDESAVIQRYACKECGTHLY GRIEKEHPFYGLDFVHAELSEEEGWQEPQFAAFVSSVIEQGFDPAKIGEVRARFRELG LETYDSLSPPLMDAIAAWTGRKNGKLPAAA QC762_311360 MASDPTSKKRKLKSEKTSVTKKVKKAKRDPTPEESEDAEIEDAP VAPESVADEEVEAASTKDDSEDEDDDQNGSSDLTPPKADAPLIAPGLDTNATDFAQLN LSERTMKAIEEMGFTKMTEIQRRGIPPLLAGKDVLGAAKTGSGKTLAFLIPAIEMLHS LRFKPRNGTGVIVVTPTRELALQIFGVARELMKHHSQTYGVCIGGANRRAEADKLGKG VNLLIATPGRLLDHLQNTPFVFKNLKSLIIDEADRILEIGFEDEMRQIVKILPKDERQ TMLFSATQTTKVEDLARISLRPGPLYINVDEEKQYSTVEGVDQGYVIVDADKRFLLLF SFLKKMSKKKVIVFFSSCNSVKYYSELLQYIDLPVLDLHGKQKQQKRTNTFFEFCNAT QGTLICTDVAARGLDIPAVDWIVQFDPPDDPRDYIHRVGRTARGNNTKGRSLLFLQPC ELGFLAHLKAAKVPVVEYDFPKNKILNVQSQLEKLIGSNYYLNQSAKDGYRSYLHAYA SHSLRSVFDIHKLDLVKVAKSFGFATPPRVDITLSASMSRDKKPQGRRPYGSQPKQER R QC762_311370 MKVSFLTTLFLGVVLALETPVLERRARAGTLRKFNGAAPPPRAA KVPSSAPAGYKTSVATANVKLSTDSLTPATLRRREDQVESRQVSASDFFECYNANPAP RPQDCDVIVDQVSSSNDLLIVSASSCLVFSFGTCQAFFCSLCTTLTTDTNFIADQLDI VDALCVEGGQTGSIVGEDAPQWDAGFTYAGAGLPFYDVC QC762_311380 MEASLLKSTWPTMAGAVLLSLVGYFLLRAIYNLYFHPLKDIPGP KSWSATRLPYIWALLRGTFVHDIQKLHRKYGPVLRIAPNELTFTHPSAWNDILQSHHG RPPFPKDPTWWTTQRVHTEGLLTALNHETHARMRKALTPGFTTRALRSQEPIIQRYVN LLVERLSEMIDSPSSGEKDNKGVAKAEVDISPWFNFVTFDIFGDLAFGESFHCLENSK YHPWVAILFHTPAMATKVAAARFYPWLESALFKLIPPSLRKMQRDHWGQVVERVARRM NYEVEREDIMSPILRGNTKNEMSLDDINGSFMALVIAGSETTATALTGMMNYLVQNPQ YLKKVTDEVRGLGDDGREITLDSLRELKWLNAVLTEALRLCTPIPWILPRRVPDAGGV VAGVTLPGQTLVSIQAYAMQRDPNYWRSADEFLPERWLPDASKPESEFYTDKREAFQP FSMGPRICLGIHLAWAEMRLITTKLLRAFDFEAVEGKRLEWESLKTFMLVERKPVVVR MRHASH QC762_311390 MAPRTNGVGIRPEETRICVVMVGLPARGKSYIAQKAQRYLKWLS IPAATFNVGNYRRNDAPHPSADFFDTNNAEGERKRRAAAEAAVADMMKWFKSGGVVGI LDATNSTKERRKWVLDRINQEGVDVIFVESKCDEEELIMANIRDVKTTSPDYQGQDPE QAALDFRNRIRNYEKVYKTINADGDEDHLTYLKIMNVGRQVIINRIQDYLQSRIVYYL MNLHIRPRSVWLSRHGESMLNLEGRIGGDADLSHRGEEYALKLPELVLESSDRPLTVW TSTLKRTIATARHLPKHYNQLQWKALDELDAGVCDGMTYQEIADMYPEDFQARDEDKY NYRYRGGESYRDVVIRLEPIIMELERSEDILIISHQAVIRCIYAYFMQKTQEESPWVP VPLHTLMKLTPRAYGTEVQIYKAEVKAVSTWRGKGSTAKHEDPKPEGGV QC762_311400 MMISAWWWALACYISAVEGRVTSHLYRQLNSNAPPGITYTLPKG SNALISVKSTTSSSKPYIQKLEPIRSGSLTNKRSVAALLGTHQRSVGGVGYSNVTTTN AYGTQYATESFWDGIKVKLLLDTGSSDTWAVAKSFQCIDYAGGFIPQDACSFGPSAVE KFQYGRTEPVSHMFIQYGDGETVSGPMGFSDISVGNLTVNRQQVCLANSTYWLGNNVT SGLLGMAFPSLTNAYLGDGDDHEMGSAIQYSPFFTSLVEQGKIDPIFSLTIDRNSSSG ILALGGIAPATGLDFTREVSMDMIITNLIGVPAASYSYSFYTIIPDGWYYDHTTSTKK IPYIVDSGTTLCYLPPNLAEAINSAYSPPAVYMWMYGAYFTDCNAVSPLVAVILNGIK YWINPQDMIYRNMVDPISGLCMTGIASGGAGPYILGDVFMQNALSIFDIGQAKMRFIP RDKY QC762_311410 MANPTADLSSILQLLQDSQRPANATPPVAQPGQPGPPPSLPQAP YGATPAHNPYPPQVAPPAYQPPPPAAYSYPQPTSSGNIDLSSIRPDSTGALSFQEAIE RAKASATQAGLTPYDRHNAGYPGDRGSDSRGYQQRSRSRSPRGREGFRDSNPYRDERR DYGAPSRDYGRDRSFSPRRQGFSPRGHHGGGGRDRDRSPLRGSGDNVETMQVEKSLVG LIIGRQGENLRRVESESRCRVQFINAENEAEPYRVCRITGARAQREEAKAMINRIIAD SGMRSGPPGGGGGGHLGGDRGGRDAPRPDRNAPPIPKEGEDTLVIMVPDRTVGLIIGR GGETIRDLQERSGCHINIVSENKSVNGLRPVNLIGTPAATKSAKELILEIVDSDSRNT ATGGNARAPRNDGGYGGGGQDKINDSIYVPSDAVGMIIGKGGETIREMQNTTGCKINV SQSSGSGETEREIGLVGSRDSIERAKVAIREKVEAAQNKSGGGGRGGHRDGGGARGHH RDYDNPNYGHASNQQNAPQSMPASSAAPAAGAGGEADPYAAYGGYDAYVALWWQSQYG AQLGGQTGASQPPGAGSS QC762_311420 MASNTPTPLTKANNPLDFMTKVSPSAYVYTPPTSTNSTSQNNDP KLLLLATWMGARDVHIAKYLLPYQSLYPSSSIVLLRSEPRHFFSKTSAPNDVAPVVPF VKSLFVGSPTPGDNTKPEVLIHLWSNGGSLMLHHLRQALTPEALPRYVIIYDSCPGQY RYSSAFKAFSAGSKGVVYWLVAPMLHLFCAWGWFWHVFIGRNKTGPLAYLNRGHNDWG KLGGREVRRGYIYSEGDELVHWRDVESHAEEARRNGFAVVRLEKFRGTGHVAHGRGEV NQERYWRVVRGLWEGRLE QC762_311430 MCRKVVFAGMCSHCNGGPFEWTLLSRELPCLDAKNSGLFGGCPT GVERDEKQHEQECAACEALLGADEGYGPGMEDEETLGFVGYYTGDFGAIPPPTTTTTA SNPTGSGDGHPSHITHHHHHHVKKGSGEYHDWNVVAVGAAAAAAAADHDYHHKKAYSA DKSVAGGQASGMRRSSERRGSGGDESRRKKKQRKT QC762_311440 MESHVSEGPIMSIRRDTGHPTCLRLVGGPKESWLKFDCCPGVKA WISTLQAKRDVPFLPFDLPEFPFFVFGPTKTIQQISNIGPGAIEHEYKALAQQCRSSD PIEKLAASNRLQDLGTIINWLLVFLVRPCASPPPDIRSFFDHKLETGDPVTDSEASKV IQAMERSPQMNIQSLERFICSAHPLHVAFKVLAAVIIRCHQVRPLPHRVQPGTAQFLE HLAGIATSPSPTIMEESLPMGRHPYTQVFIPHAAGVRSNHPSLHPAGIMIALNLYQFS RLKELGTTNDVRGWRHPVYEPRLTKLRNDLIRYFLTSGRKVLFDELVRVQWRVDKWFD HIDSPKRPMPGSDARTMETYRLLRSGKSHQDPAKRLELLLANVPAEPSPVPAEVEEEP SPSRTEDEASSSDEMQDLNETQRTAPSSQKEPRPTTVEDEPSCSHEKYGEDDEDACED ELVLDIAFGMTISDAHQDFLPPRVSNMITCMKAAKSLLKGKAVMNSMKQETLEARSDE LQAQACKLALVEGSPVYETLASVPAAGGSSREQVPARLAELGMLELSLLPPGIARLSA EQKVEHFKSLCHRQELELADIVKKKKAGLEARPLPQPPQPSTSRQAELMPPPPSPSRF PDATNAEILRAVGEQRNAERTEANNQLLRIRMAELEPGRSRLEDEELERARQKAKTIE AELDAAQQQERKRQRKNLAKKERRQARKQLEKQALQVEPQPAETQKPEEGEPSSSQLA STSSHLQAEEENLSEAVTILALSEPEQQDVATPSELAQAQEVSLPESESKEDGEGAAG PPKRNLTGWDRRRLKKRERKEREAEEKRRREEEKARQREAAKAKAEAKMAKRLAEDKK RWDEEKRQREEEERLRIKIEAEQLARATEESLRQAKEDETLRKAEEVRKVKELAKAQS EVKPKTRRADVKSLETWRAKSVPAESAGPATSVLPVPSSSSSSAGPVPALPPSPVRAP VPVVSPARPAVAVSPRVLVAPSPRSPVLSPARKPLLRLGDVTLPEEDFAKTGESLLFS SHRPVISIPQRTTTPPVLVSSPTPLAMNVPSSPVAQAAEARTRTDGGSAHSQLRSSPP RVAQEIVDDAVHRSVERARPVHTLALSGRERDVARREEAVGQREYAVWDQEQELWREQ KKLKQQREAFRQQQRALDLREVAIRGRENNLDALFHAREIRLEAREAEVVRREKAANE RDTGVYHRIRQVGLREEEVYRREMAVYELEQHGSSSSPDITPLPESNSSSLEINSSSL EISSSPIRSIFTSSADTTWSTQPTENQTSVDMEDPESRYNRRFHGSGRSRSSEPVERR NEAVHGSGNVREAPSFRR QC762_311450 MADVEKEVAQQSLQERTATSKDGTSSDELEFDYTEEEEAIVRRK IDWHTVPLVTLLYMLCFLDRINIGNARIQGLARDLDMVEGVRFNWALSIFYIIYLLVE VPSNILLKAIGARFYLPLLVCGFGLVSLCTAFVKDFAGLMVARSFLGVFEGGAMPGMA FFLSCFYKRNELLFRIGIYVSAASIAGAFGGLLAAALSQIPSWGAAGAEIHTWRNIFF FEGLITVIIGLLAPIWMPTDPSTAYFLNERERKIAAERLLREHRSDPARKVTWADVKA AVFCIHNYTCALAFFLINITVQGLSVFLPTILNDLGWTNTQAQLYSVPPYVCACVVAI AVAWLSDKSGQRGVWLGSFACIAVVGFAILRWVENTNVRYMAVFFVTIGAFPGGPGFL SWAMNNSAGPSVRAVTSAYVVTLGTIGGIVATWTYIFYDGPKYHTGHTINLVGQIVTA CCALFGVLYCARENRVRAAGKRDHRLEGLTEEEAEELGSRHPRFRYWT QC762_311460 MDTKSSPLNHHHVGHAESPSKPPSDPEKPPPPNEDIQHFRSQSP EWHASFERTLVRKIDLRLLPCLILMYLLNFLDRANLAQARQGTLEADLGMSGTDFNFA TSIFFVSYLVFQLPSNLLITRVRPSIYLTGAMCLWGAVSACSGATKSFAQLVVVRILL GFVEAPFFPGAVFLMSSWYTRAELTRRMSYFYSGNALANMFGGLIGAAVLGKMEGAQG IAGWRWLFIIEGVVTIAVALIAMWVLPDYPSTTRWLSPQEREYASWRLLADINESDDQ RAKTVWQGTKLALLDYRLYLFVLLQHLSLLSQTFQYFFPSIVGTLGYGKIETLWLTAP VWFATFLISVCVTWTSARTKDRSLHIFGLMLVSAVGNAIATGTTVTGARFFAMFLMPM GAVSAYQIILSWIANSFPRPMVKRGAAVAIANMVGNTASIYGSYMYPQTAAPQYTPGG SANSAICLLVGLLAIVLRYLHKWENTKLEKAERQRAENAEAGAVSDSSVGDVRADGFR YVY QC762_311470 MHAGHTLAIAMWAMTALSLGLVGLRLYTRIRIVRFVGIEDHMYA WTGIFMLVFAACIQVAVHFGLGRSFWTLSPDESSRAIFWTYVANSFAISGNALAKLCM GFFLLRVVQLKWQKLALWFLIVVTVGTSVTLVVMLWNQTTPRKASWDVLRTPGEWNIQ IQPMSVGLGGWSSACDFFFAIFPWLFIMSLKMPRREKFLLASGMSLGVM QC762_311480 MVPVPAWQSCHARLYVPSVVASFVVAMLRDIPLIPEQSSIHVTT QFVAFVSATDRVGCKIATASYPEGLSWRLSLVAFVRSLLLEVDPLPVQDVYLGICRGN PMGINPLWCRLRVQSTSSHLAIHSLLSTQTRYILLDQTTNMGDARDLTSPNGHPPLTS PEKPVLLFMTLNASGHTAGAAQIAKHLHFNRGYKDIYFIAGPRFQPLIESTGAKYIKN PFEYDVPKVQEGSTEGEAFFEGMKAVFGESIVPSYHVLRKTLEDIRVAHPEPRKILFV HEALSQGLLPYQYGCPLPKGYTSLPKTINWHTSIYTSSDPDFPPFGLGLKYDPTPENK AVWKTMHAGGKTMWKPLIEHYDQKLQELGSTKRFTDLPLDVAMTGGDVTVMATTASLE YPNVTKDPKKFRLVGGLPVRALDKNLVYPPWWEELTLNSALSDSDPAKKKVVFVTQGT IHRAYHELMIPVIQAMADREDVLVVATLGEKGEPNPLPEEETPRNVRMVDYFPYEAIL PHADVFVSNAGYGGFMHGIMNGVPMVLAGLIADKGDVCQRAARAGVAVNLGVSNPSVE QVKEGIDTVLGDEKYRQRVKEIEEENVKADSLGQIESIIEELLSHE QC762_311490 MSDFEDEMDIDVPVSKDVTFSSSNAAKGKRSAANLPVEAEDSLP WVEKYRPVSLDDVSGHQDILATINKFVDSNRLPHLLLYGPPGTGKTSTILALARRIYG AENMRQMVLELNASDDRGIDVVREQIKTFASTKQIFSLGASTSKTGLAGFKLIILDEA DAMTSTAQMALRRIMEKYTVNTRFCIIANYSHKLSPALLSRCTRFRFSPLKERDIRVL VDKVIDEEHIKIKPEAADALVKLSKGDMRRALNVLQACHASSTPLPPKDAPKIPEDQI VRETITVDTIYMCVAAPPPDVIKKIMNTLLSTSDVTACLAAVNSVKVTQGLALADIIT ALSEELVKLEVKPEVMITWLDLLAQVEHRVAGGASEVIQTGAVVGAVRNGVELMG QC762_311500 MVVLIGRYLKRPLPRKFAKEGSQPEACPAQPYDGIEMMGEVGTE ERPLVSNPILRSRYSPWYPKQLRLCLPNMNGHGTQGHLDDPARAPPSPRPKAGSRTSS SSSSTAQTTSTHSHRYHHSRCGERAKSHLSRELSDGASLAVTPMSALLQERLERERRV ESERASSRTSNDLFRSTVDNRAIRSPSPADSRPISSQSSDSARKKGLGVKEMEQTLSN LHKQNFDLKLELYHRRERQTVLEESLERLELQKAETDKMNDRLVHELEKRDKAVEEAV SMIVVLEARVEQLLREREMVRQVEVQGLPGAEATSKHKVFLPSGSDEVKTLNRMPSFV SEHSESTENLRNVYLGARGNVPSLPTMPEATPETTRGSVRLDSPTLSILSESSFVSVY GRTKSPDAPSPKEESPSLMDTSCMQRMLALESPTRVRSATPKNRPSTARAISNEYTHF HTITDVIGAGSSPLRQLEKVEVKRRALQDAARAQTTANDFSPFSRPPSSMAKRKTKQE KREALEKVLTHGSLTSERGLPPTPDTISTTTLRLYKNSNDTLSHEPNLKNEQSYLALS ETTASHHSVPDEHGTSLEPRTQTTHTQPASTTAFDSRKLAGKNEDMDQLQRPQSTRDA LHQYKGADDAESTTSSVDTWLQEGMKPPRKAPLDPMSSVSQAHPNYRADRASPDLFSF PSSTKGWATNVMFGSLQGAGYMGAGGNGLPHPPMADTLDAISKSLTKPVFSSGVLTPT LDSLNSAPPPPNRRSSLQAKTGADLSGAASASPARPSPSSKIKMSVGKGSRARSNSID IRPPSRQLADMARSRAMTVPPKQAHQPPPPPRKVSQSHPDTQGTPSQSVSKQHHYPPT ASQAPAAATPARPRSRGLNHFFRRSTGSADPPVATPFAAPAADTISKDERPLIGIPSW GRRGSLVDDDRVNSSATPPPILRSKAPERKVEFEDDGGGVELELQGNEGAPVGHMHDS GGAAVERGGGAPIASGGAPVVGGGKRKWLNLARVGSLRNR QC762_311510 MAQRLVARRANATLLPAPRCTASVNPFISPAVVFACHQPQARTI KSTAVSKGVVAYTMQKLGDTLAENFGGAFTKLGSRQFKLDDCPDLTGKVGVVTGGSQG IGFGVAYTLLKHNISKLYIISVNKEVFEGAKAVISDELGQDKAARMVWMNCDLSDWRR VKEVAEMIKRDNNRLDILVNNSGRGIMSAELTSYGVDRHMAVNHMGHVVLTSHLLPLM QKTAEEGNIVRISNQSSNLHTGAPKDTKFASLDEINQDVGPNAQYGRSKLAGILYSRY FNRKVTQNGHPNVLMNATHPGFVSTKQSREDILEPYPLGGYVMKYGIEPIKKDQFEGA VPTVFCATKIKDSGQYICPPCIPEEGSPMSQDDELADRLMELTRNIITEKTRMDSVER GCPMDDVVVH QC762_0060010 MASRHLHQHYQRRASKARSQNPGVLKPGHHSVTRAFDSESAPPT CFSSEDHPPEAIRDHTKHYFGCINIADRASYFLDPDNSPIWQHEFLLEKEYLKSLPEN EKRSDDEITRAAKKRRDKTIESTAVAIEAQYRRTDILRQAVKGTVPSSPPVAGSSGPC LQESTNITRTDHFVTCVEESGKNWRRSTSYLAGLEKVQAWKRNRPIAENPPSTAARLP NVDPESRPEPGREEVGKIVERIRKSTPATDSKSAEYDLERDVNAYLIQYTRKKAEDWT STALNAVPTTDPRSRTLTSSPTRETFHNGTTSPAPTFSPTQSSHVQYLEPYEEELQDP RFKGRFPDQRLAMNIVLGADGDSESSPAPGPSILGRDVIKIDDPTRIRYFHLPSNNMH WVEVQSAFPFIDE QC762_0060020 MLLRPQFWRGQQHGARSGVVHARHMRPLCERISSEVTEIEDNPK NIVLFMPYLHWDTDRMRNKMAKMIDIESEQQRKRNENIAYEKRKTRIEQRKDLEPGAK RILHTDNRDQNDEKTTQSGDQKRSTAPSQGVNDLADLMDRLVSVGRSKQRIEIDDNGR LKINNPLGQYLIDAARLYEAISTYRDQRMLEKYLYHDPPLHPRRTLDQSYYWTLKTTK VRDRDQVVYRGTNMNLDFCHRLEPQPTTTESGPWSRLKGVFHQSSDNDNVDVTLKWTD HWDKTDKHGCEHCRSDIRKISQLVMVDQLWMWVLDEKTIITSFPKRYGFNKQDLSGVH KSIRQRLKFARKNQVRSVYDLALIILDECCNTFFDRTKTEDSQPQVMDIFSEAIGNVA SKIYRSRSKYIDSSDLHVPLLDIHPEGKLQREVKDIIDELDIMIHVHKKQREVIKRFC KHVEHILDPDGRWKEGAVQHDRGMSRRAHSTTENMEKYIKMEEKRDQLLWFRMQSQEL LAEVDDRLDELEGLKKGAESTAQSASVVQAWESVKQAEEAVRQGRAIMMFTVITIIFL PLSFIASIFGMNNYEFGGSDNPWSVWEQLKFILPISFGVIFLSVVIAFSNLLRAFIWS LFKLFTTSVLIYSGLYRFWLTFSDEWCSTSMMQKTEKMVQDMKEEVRRAKKLRRNQRS QKKKQKEDGKGKKGRDGKDSQNEDRDQGKPTRHANGNGIQTVHFFTEMGQEQRRNTTG RRSWDKRRRDKSPRLRVSTWPRDTPPNAFGSTSAHPRVSHHEERDNRSAQDMNGSQLN GDDGSGSPAGLTVRGSDNNV QC762_311530 MTVIFSPKVLHTTLSILFIPPLIVESPMASTDNSVRANRLRTLF RETVAGTRPIRTPQSAQLFLEAVRGQENPSACIETIVGSTAGVDSVRDSVRADLSLRF MIASTLPFLQYLSHPGIKVLADGQLLRHVLLAIAQPPTVWNALVKFFKNHEIPDASLC GFAWLALELALLPPTTNVNVIDDVRAISESKGLLKSESHVTREYGYLIQKVLRIRGSP EEGVVGNAGPGGRHDNDLADFRRISIYPTTDEFLSTQLPFYQTAVDVRETDLEKRPSV HLDNQFRLLREDMLAELREDLQVAMGSKKGGNNRRSFMLGRLDPVGIDVGNLQPRGRA KPCALLVRCFEGLHFLEKMKPEERKKYLKDETNLLRHQAFGVLCRDKEIFGFAFVDRD VDNLAKSPPIVSLQFTDENGLRNAILALTLPRREFVQFILVGTPVFAYEPVLLGLQRS ADMPLMDLLVNPALIATSGFSIPPKLRPLVAQLVEDSRHLAGEGTVDLDTPTGTISID KSQLVALMTSLTKPVSLVQGPPGTGKSFIGAQIARCLHKAGLRILVLSYTNHALDQFM EDLLDVGIPDTAMVRIGSKAKCTDRTSALLLSAQQGSYRRSRDGWAIVDDLRQKAAKL ATELQKMLQSYLHSPFRWQEISEYLEFSDPDAHFLQALQVPEGENGWRRTGQKGKEIE EDYLFKLWMAGQGPGIFKNNIPNISRGVWKMPHPIRLSHIERWTKAMAEERLHTLQEV ARQYGDLQRDLEIQFSQSDNQIVRQKSIIGCTTTGAAKHIRLIRAAEPDVILVEEAGE ILESHILTALSPTVKQLVLIGDHKQLRPKINNYALSVERGDGFDLNRSLFERLILQGA KHATLQKQHRMIPEISCFARELTYPELLDGPKTSGRERIRGLQNRVVFLSHTKPEDID RAVKDRRDPGAKESKKNQFEAEMVLRCVKYFGQQGYSSNQIVVLTPYLGQLRVLRDVF SKNKHDLEISEMDKAELIRAGLISEAAAKVDKKPVRISTIDNYQGEESDIVIASLTRS NSAGDVGFMSAPERLNVLITRARNCLVLIGNMDTFKQSKKGRAAWAPFFELLNKNGNL YDGLPVQCEKHPSTLALLKEPVDFDKYCPDGGCTELCDTLLKCGVHKCRSRCHRVDDH SRTECNQLISRVCARQHKVKVRCGRQNEGCANCIKEDKEMKRKAKRDLKLEEERRARE EEYARTLKEIQDEIEHQRRVNKYHAEERNRSETIEQQKADLEALKEAEIKLRQQNKQR AEAQARSKDTATSKSTKKTSAKSSEEWTSESKAEWEFLKQSEPGTYSKALDELMAMIG LEDVKQEFLNIKSKVDTALRQDVSLAKERFSCSMLGNPGTGKTTVARLYAEFLTELCV IPGNCFEDKTGAGLANIGVSGCTKLIDGILNAGGGVLFIDEAYQLTSGNNPGGAAVLD YLLAEVENQRGKIVFVLAGYSKQMESFFAHNPGLPSRFPVDMTFADYTDDELLRILEL KINHKYNGAMDCADGLRGLYCRIVSRRIGRGRGKEGFGNARAVENVLDIISRRQANRL RRERKKGAKPNDLFFIKEDLIGPEPAEALTNCAAWKELQGLIGLQSVKDAVRSLVDSI QQNYVRELEEKPPIEYSLNKVFMGNPGTGKTTVAKLYGAILVKLGLLSKGEVVVKNPS DFVGGALGQSEQQTKGILAATVGKVLVIDEAYGLYGGGGSQGSISDPYKTAVIDTIVA EVQSVPGDDRCVLLLGYKDQMETMFQNVNPGLSRRFPIASGFNFEDFSDEDVRKIFDL KLKKAAYQATPQAVAVAMEMIKRARNRPNFGNAGEIDILLDAAKARHQRRLSKGQAKS DSLFEAVDFDENFDRADKSTASVRQLFEGTVGCEETVKLLEGYQETVRTLKSLDMDPK ENIPFNFLFRGPPGTGKTTTAKKMGKVFYDMGFLSTAEVVECSATDLIGQYVGQTGPK VQQLLDKALGKVLFVDEAYRLAEGHFAKEAMDELVDSVTKDRYVKKLIIILAGYEKDI NRLMSVNSGLTSRFPAVIDFRSLTADECLKLLCKLLEKQRMGLKSRGKDFDLTCLVAA TATFRREVIAYFTHLAAQDNWASARDVQSVSRAIFNNMLTDKTGLASGRLVLTEVVIT TELRTMLNERASRSNFDAPKIDIQEILRRSQAPPPQGLPHRPATRTTTATEIQQTKVA VEPVVEDKEEEPPTVPEVSTTDPNNKDSWIKRDAGVSDAVWEQLQRDHRAEEEREDEY RQLLEAKEKATEEARERIVARLLAEEARRRKEEAIKEKLKAMGVCPVGYPWIKQDTGY RCAGGSHFIGNVDLGNV QC762_310420 MTSTWYKSRSRYTNRKYDDVSKAQASPPPPLGNLVQTIEISDLD MNLNSKIASPSIRDCRLITSYNWLEGKEAAPTILVPAKPPLWAPVASPSRLNEDNGTY FRDKNAARFPKHPLEPCVIAAMEADNNISPEVDIVACGSTLGNLLRFVRRQDKPFRIL VDKIHNTVFFTRRENTPTEIIPGVRGYGHSFPEANTIWEPDVKGSASHQRIVRYTFGG HRILVRFEADGYIKPHDQGPSSVNSTSSTTVKDQTSLADLLSEADMGLASQTTATLAE TTINVKFGGELIPQAQVFDLKTRSIYTKDKKDHLAEELPRLWVSQIPTFILAFHTQGL FKKADIQIKDVREDVQRWELDHQAELAQLKSLIDMIIEVVSDMPDQKMELRYAGIGGL EVREQLADVDDALSDAVKARWGLQDGALTDESDDGVGETETQGSDHGSFNPETDVNEE DSDEDHRYYHDNLYGYDYEKELPDYTACSADECGYCGKCPY QC762_0060050 MDPLSITAGVVGIVAPTLHCVRLLVEDLQNIADAPNTVKALTNN LQSVELALDSLGAVTDSQWESLGDAITTQSKATITSCKTSCERFKTSLDRWTRHSTDG TLSWRDRATLGIFRQDHIKSISKQLQQCNITLTSVTSIATLHSSLQQAQAAEEIKTII STKETAVNNAITATNDQSAEVSAQLVALTLAEPGEGETDVDQASATKQVAMEKKALHE SRMLFEELLSVIQTAAANARADQGTTITFGNNNSGQQVGVNSGTITATFGRRG QC762_0060060 MPTSDLRLHPRGYKTHKWPDLDATYLIVKPKFSLRFRHADELRI ESAMSDSYRFGDYNNGSQVGTNRGTIYNTFPQTPERSETPPRPFATIPFSRDPDFVNR GDILEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVHAGVYER VEDGFRTIANTVKLAGRNEPKANIPQLVYSWLSNERNGRWIMILDSADDRDVFDNANI AHGTTSGNERERRPFATYLPQSQNGSIIVTTRELAFRLTGRRQNMIEIGPMAQTDALA LLEKKLGSPADLDVAADLVQALDLVPLAISQAAAYIQARAPRSSPEKYLAEFRKSEHR KSSLYSTMLGTYDGMEAHRTRFLPHGKYLLTTSAAVCGGSLVTYELFRPARYPWLGLK PRRVTKEDIPGRRIDEDGDTDFDSGRSATDGAVDDDMDGDTDSDLTDDSADTTDDGFE DDVAMLRDYCLIATTEMDEFEMHGLVQFSTRKWLEQWGQQETFKQKFIERMAASFPTG NYKNWATCRNLFAHVQVAVAYQPSDDRNDLWATLLYNGGWFAWSQGRYEVAQRMVGKA RRARENRLGKEDTASLHSMSLFALVLLDRGQWEEAEKLEVQVMETSKTKLGADHPSTL MSMANLASTYRNQGRWEEAEKLDVQVMETSKTKLGADHPSTLMSMANLASTYWYQGRW EEAEKLEVQVMETRKTKLGADHPDTLTSMANLASTFWKQGRWEEAENLFLQVMETSKT KLGADHPDTLTSMANLASTYRNQGRWEEAEKLDVQVMETRKTKLGADHPDTLTSMANL AFTWKSQGRHSTALALMKDCAQARQRRLGAEHPDTLSSLAIVTKWGS QC762_0060070 MVNSSTIPLWTSPPSNDPEMERHDFTGHDPHFTQTIGINTSAPL SQSTQVIRDGAMESMLSFDDAMAPELKELDETDWLIELSSQDRMFLEELAELFRLDDP SLHQAVTPSEVEPPVGGTRPTQNIMPCGSGDAPRDDPGSCTAGSESTPSIKFALGRLF DGRFLVHDGGSKQHGSADVDMSAPSLALTKSPFSAGQSTAQLTEAYPLSPFPMGPVMD NQHDTQGHETDTVSLHISSRLEQRLDTSVCDGGVDAAIPPLNRTGVTDDNMSETQTPS LELKLEDQESADAGTLVSKYDAWEFISNNATDNHQVTITPTRKELKRRKASLDPERQR LAARKATMHQRHAQTPRLPPAVHQT QC762_311010 MASLSSRPQCGISLWDHRLWTHNKSALDIPPDNSDRLKDTHLED SEDDDVEDDGGDSGLNQDIKSHPDDAWLKHMDAPHSCHLCSNVVILEDRLPVHAFSQG GRCILRLSMSDKRMWKAGVKCPLFRYLCSVFQERRHLRPHRYSTYAAVASDVEVGKSA SAGTSPRKDLFIGVRDGKIKFLYRWPRFRCDDEDSPAGDALLPLPEALIYTRVMSDWA YSKAKAWIDDCTLHHVSCRLEPSSFVPTRLLDVTAIIDNKIRLVESKSVPNIGNHARW AALSYVWGGLQPVRTLKANIEDHHKGIPLAKLPLTIQHAIAVCQNIAIPHLWIDCLCI IQDDNDDLAVELQSMAQIYQYSTVTINAASADSVHKGFLQDRPIYFYEDTVHPVQISF RPSESSPNPNTTALLLKANNSYGLEDLDPIRTRGWTYQERKLPVRSLHYSTAGLEWSC RHVVATTVTGANKRDDPLSRDLPVVPGQALAPWSRIVSDYTNRKLSFPGDKITAVSAI AAIYEQEQKKTYVAGLWKEDMPACLVWSVMASGMRPRYGVYTGPSWSWASTDSHVSFK TEEEDEEGVVFYGTVLKAEAESVMPKARFAAVKSASLVIRGRVHRVSLRVDFETVESD IEDVVSKEKRANVTVTAARAYADKIGVNLDAWEDGWAGDSGFAVVDVLLMPLFERTVH DPDIGMPNWGGLVVVETEKGAYRRIGYFEEYFYKRTVGRVTFADFIVGAELGDVLLV QC762_311000 MDDSDPDQIDYNDPACFSRLLGPGLPVPTYQSPAAVRKQARERS QTIHDTSAALRDVVIRHEDTIQKRWMKKSKQQRLKILLECWPGMAAIHRPDFDAFRKE NGQLRSGGTRYRDHYLWPYINQEDLCKPKNILLLLNARARHHASAFAAADITAIRLGM TSTAIVPIYLNEYVMMLNGATDVDSYGKVIHWDDHEDAFDWMHTQKQFLPGEGLLVLE IQERILKFLLAFCKAVLRDIPVEALKSDTYQIQPEPHLKTEIETNGFDSLAVMAAEAP YRLPVRIDFARIDLLLEAKVSAAKDHIWALREDPDYFANRVNDIKDHRQEMLKDTKGN IHPALRPGRQNILGARVVGNLIVEAYSELEIFAELHRQGKELRLLHEKYASQLSPSEN LPKELLGHILTFRYFLTQAAKGPLSQLLHNVSASPPLRRLFVRQPPPDTTTSKIAIMS VNESKRTAVEGRLLWLLNTLWENGRTLFLAGMPLILDELQRFLEAEPEAANLVSSRIA EMISNASIISQCLSQLDQFQPWARSFENAEEDQLDEIQQKFAVWAKPLGNIIHGLKEQ YLLPVADLASTSQKRFFYPVEKRRTKDTVEALRQAEGNLDRFWQAADKIVDSRCKHLE GTAARALFSGNRVLQRTPEWVPQTSTETPADKKVGPVETVDSVEELSKPLSTLYFGST SGQRISQEPKPKTKTKTKGLPSSDQTAPLTTPLPIEASVPAPTIAVDPRSLKVFRTLF FNPGVTSSPGEIPWQDFVHAMTSTGLFTAEKLYGSAWQFQRLDSKSQTRIQFHQPHPR GKIPFTNARRMGRRLTRAFGWVGDMFVAKEE QC762_310960 MAMLNSQPARSSLQVAPLAIQKTRSNGSSVADSSDPYSQSQITP PATPNGSQEDLYTQAEEHAQMEIEMATEPPVFHNFLRAFYPFQPENTVNDSTVTLPLN EGDVVLVHSIHTNGWADGTLLVNGARGWLPTNYCEAYDPDEMRSLLKALLNFWDMMRA TCGNDSEMFGNQEFMKGIIAGVRYLLERTHCLTRESPLVQQYDGLRRNRKSLLSELSC LVKTARRLHDAQRMGNVEEVNEVVDEMILRAFKIVTKGVRFLDVLEEERRPLVPTIAA ITAAEDNQVPPTPPAESSNFDAGYGPAADAGSRAEDDGETAERAQYAASDVARSLTPA ENRLSSVCTQNTNARRLSQVGPLQIHRPSSTLSHRLSIAGPSPLAHSQNLVSEKLNAC HDIFLSHLGSFIGRLHLQSQSRPSLARAVKQSATSGGQLLVVVDVVCTHNAAVIDVLE QSRAAMYDRIQELVHTARDILANATLDMEEDVIVPQDNGRLLGAATGCVKATGECVAK TKWVIERIGDFEFEFDNGSLGVNFDLSALTLVREEKDSKYCPSESASIAGSTVSETPT ASTAISVTSSAPVPSVVLSIDKPLPDVPQVTSPITEQPVSHPLPSDSRPQSLPMRDRA SSVVQTASYNRADLPPLPRISTASLPMDTYSPLEPSSTNGSDFRSFRSESMTASSSGS GSTYLSRHSESSILSQTSTRATTPDSTQAPRNQPSFSDLSTTETTSQAEEVDDVESRL IEKTFAHELVFNKEGQVTGGSLPALVERLTTHESTPDAMFVSTFYLTFRLFCTPTALT QALVERFDYVGESSTIAAPVRLRTYNVFKGWLESHWREGTDREALDLIKEFAQVKLAA ILPSAAKRLLDLAEKVSVADGALVPRFVSSMGKSGATGTHYIPAETPVPAPAMTRSQT NALTAWKAGGSCPSILDFDPLEIARQLTIKQMSLFCSILPEELLGSKWTKLAGVGAPN VKAMSAFTTGLSNLVADTILQYDEVKKRALVIKHWIKIASQCSSLHNYDALMAITCAL TDTSIKRLKMTWDTVSVKRKDMLKSLQSTVDFNQNYKALRARLHDRVPPCLPFLGMFL TDLTFVDVGNPATKTSDTGLVVINFDKHTRTAKSIGELQRFQIPYRLTEVADLQEWLS SQIDRVREKDKAGANTQASHYRKSLLLEPREVQQLRTPVEPPTPAVTGSGMFAWMRSN SGTTTATQGLSAPL QC762_310950 MKLSTSRLAAFSSALLLEGVLAATSGNFDVLTINVAGLPAILND NGVPGDKAANAAAMGAKFSEYGIDVVQLQEDFNYHAHIYRTNTHPHRTATSGGVPFGS GLNTVSYLPWVDFRRIKWSKCSDASQSDCLTPKGFTFMRVAISSDSSTAAYVDFYNLH ADAGVEPGDLTARNDNVKQVVDYIATWSKGNAVVVAGDFNSRYTRTGDTGIRDLLASE NPSGPRLKDAWVELLYNNVIPQSPSSCGNPAANDLCEIVDKVFYRASPLLDLQATDVR YDTLRFLQADGNILTDHNPVLVNYTWSSGASLRQSSLFGGPHGTWFSDVPVLAGTNKP KTATITFRGGSRLDSVGLTLTDGTIFAHGGTGGSVVSLALGATEYWTQAELCSGQRNG QTRNFYIRAVTSSGRSLTAGSATSSCQTFTAPSGWQIVGFAGQDGSEVDQLAFVYAPR QC762_310940 MKFHVLSGLVAQVLSVSAGTILWDGRFNDMTSSADLNKWSWGNQ VGPYQYYIHGSSPVSAYVNLSPDYKNPADTGSRQGAKITLDNTAYWNGQNMRRTELIP QTTAAINQGKVYYHFSLMRKDINAPATTREHQIAFFESHFTELKSGWLSGAPGISDTL LRWCVGGQTQWSVEWAADVWHNVAYEIDFAAGTVGFWHSTGSDPLTRKVAPVKTSTSS NGADWHVGVLELPRSGYPDSNEDFYWSGVYIESGSLTTSVAGPGQPIPGDGGSSSSSS SSSSVPSSTSTRVSSTSIPAPVSSTTLVTSTTRVSSTSTSSAAPVQTTPSGCTAGQYA QCDGIGFSGCKTCAAPYTCKYGNDWYSQCL QC762_310930 MAPSLFGKVKSKGRKWLQAAPRESSTPSPQTLLPTSSRPASQLA TRPTSQPTSSPPTSTDTNTSSLPSLQERLWNEAYDGVKASEPKLVGAYEKILSTELHG DDPSSVTPESTDNEIGETPGTRSGQMQQLVQAGLDRTQKQASIKRGIDEGLQAVQEVR RVVDKAVHAAPEAAIAWVGVCLGLEILSNPVTEARDNRKGIVYVLLRIEWYWNLVSLL LDENKAEQSSAGLRAQLEKHVVQLYEKLLAYQIKSVCLYHRNWAAVIGRDLWKIDDWA GQLNEIKEAEAAVQRDMDQYNSEDSKIQLRKLIDVAGALEKQQEKRHQDDGDKQCLRD LRETDPRNDKTRIEDTKGGLLRDSYRWILDHADFQRFRDDPQSRLLWIKGDPGKGKTM LLCGIIDELKKGPNSLLSYFFCQATEAQLSNAASVLRGLIYLLILQQPSLISHVRSKH DVAGEKLFQGINVWVSLVEIFTDMLKDPTLKDAVLIVDALDECTTDRPKLLDFIIQSL TISSSRVKWIVSSRNWQDIEEKLGRIEQKVRLQLELNQDSISKAVDTYIECKVEELVG LKNYDKKTRDTVKNHLTSNADGTFLWVALVCKELADPKARKWHTLSRLQSFPPGLDSL YGRMIEHIRDSEDADLCKEVLAIASVVYRPVTLDELKVLAESLEDIDPDDLKDIIGSC GSFLTLRESVVYFVHQSAKDYLLSKASCHILPSGTAHQHHTLFSRSLAALSEALRRDI YGLSTPGFSIDQVSLPNPDPLSWIRYSCIYWVDHLVDSNPTSSHMDLQDSSVIHGFIQ KKYLYWLESLSLLRSMSEGVQAVYKLEALVVS QC762_310925 MQSKDGYADSEFSESEDGLDGSESHYQDENSPSLADSEDSGSED DDADGVHQGRKRRKVSVHSTAASSRGSRSSRQRRSTTHAAQLPSGTRTSGRAIDSPTP PQATPAPSEANMILARFEEWPLGDVFLKRITEGGKATFQLQFDWDSDLCQPHAGRSVS NPKKRRRPSKTLPSVAKSPGARWTPEEDETVRRMKQDGDYWATIQHALPHRSQGTIQV RYSTKLRG QC762_0060150 MPSVNLSQAMHLIGWTTALSLLAGAIAFGLWFYPTFARSKPAPS SQTRDSSGPARSTRQDVHLCQVNPAKNETDTDIDIIAIHGLDTKSPDTWTWADPNDPN NTVNWLADPRMLPSQVEAARIFTCDWPADLLQPSDLVQKTDDEIALLLFEGIKRDLLR THDKKKVDRPILFIASCLGGIILAKALVGADYKSSSYYSLRTATRGIIFLATPFGGTS FEDVTIWADPGLTVWALIRRREVSNLIGWVKGSTFPLEALVRRFTRLCQDNHNPCHVF CFYELGKTNLWRKVFPWLPASLPGWKQLVHMKSATLQIVFEPLPLNRTHRLMNKFDGP KCPDYKQVSGKIQEFLTKICNGTPLAQADARIRNVHYSLERLKIERLSGDLLPMDRCY INLAIVEWPGDNATRGGKGDTAQQSSPFSLLARLNVETPDKTIEVTLPTLFEPRESQD GQKKQPSRILIRGRAGVGKTTLCKKIAHDFKYNNLWQGLFSRVLWVPLRNLKVEKRQS AGYNFRDLFYHEYFSQDLEGRELSEALWRALKDTKSGRTLFILDGFDEVSQGLGGDMS VFLEELLNQPNVIITARPNARLPPRLDPLDLELETIGFYPDQITAYIQNTFTDRDTRE RDLEKIKEVQSFLQRHPLMQGLMRIPIQLDAFCYTWNGFPREGVPETMTAVYKAIEAS LWKKDILKLQKKKDGEPLTGDQIKKASRKKIESFVEQELRFLECLAFLGFYDDVIDFD WELRNAVSDRFASDLLLDKDLPCLSFLRTSDPSSNDQDRNYHFLHLTFQEYFAARYFI RQWKDKQQLNCLQLSRDNCNNMEPATFLEKYKYDPRYDIFWRFVAGLLDADDMALDFF QMIEKEPRDLLGPTHQRLVMHCLSEVERKESNFTGLRARLENQLEQWLLFEFDSTGNS KLVHEMECPGHVLCNVLTQASEGERTVLLDSISRRTAVPLNVIEVVSPWLTNHTSARQ CVAILRMIGNQHNNLPDRIHQSIAARLEDKDRHVREETMEALQGRVGLPEQVLQIIAA LLEHKKGGVRRATIKALQGRADLPDQVLQSIAARLEDENGGVRWVAIEALRGRADLPD QVLQSIAARLEDEDRGVRGAAIEALQDRADLPDQVLQSIAARLEDENGGVREAAIKAL RGRAELPDKVLQSIAARLEDEDGGVWGVRWAAIEALRGRADLPDQVLQSIAARLEDEN GDVRWAAIEALRGRTDLPDQVLQSIAARLEDENGGVREAAIKALRGRAELPDQVLQSI AARLEDEDWRVRRAAIKALRDRTDLPDQVLQSIVARLEHEDGGVREAAIEALQDRADL PDQVLQSIAARLEDENGGIRWAAIEALLYQSALSLDVLIPYIRSFYEALLKKSFRKHL YLYASERSFIGTNLGHISLTSEQHNVKEVVRKLVLEKGATNVNDGRRRLPSILAAENW EEAVV QC762_310920 MSHDGSLSGQLVPFWVHTHHESKSSAAVPTPEPVHQVNLQAVLG RRDAVLPGPPYPQRVPNPRRERINEQVCKDGELVPFERRKKKKNKIVILPIPFGPHVI PFPSPFKPATRTYDHDLVSGFIQVDLPMAFIKPSSPSVTPPSSTASSAPGAEETAKSS RVKSSQRYQQAVWIWAVARWAKEEKSETAHMVKNKNLDDRFTKDLEIWNQFRNWFYEE ANDRNFIYKRDFMHALIQRYQLWVPGTYLPEQCHPIMETKYTEWDNKKEFPCIVAIAR QHKYNMVEKTPTKWTWKSSKVDAPIPFILPVNRVGGYDYLSCEFRINTGGNIEIRCPG LFSTDGKRFEPPAPQQPAASSVPSVTYKSSAPIAPSAVSPPYRYYSPGSEPVGDTVSV KTIDNDSLPTPSKASTTRLPPLHLPNHGVGPYVPNISPSSPSTAARFPACRGPVAQPT PTPNSAS QC762_310910 MASRVASAALKARVHRPSMLNKLCQPEDLLHHFPNGSYIGWSGF TGVGYPKKIPVFLADHVEKNNLQGQLKYSLFVGASSGAETENRWAALDMIERRSPHQV GKDIAKGINEGRINFFDKHLSMFPVDLVYGYYTKDKPNGKLDVAVVEASEIKEDGSIV PGASVGATPELIQMADKIIIEVNTSLPSFDGLHDITMTDLPPRRKPYLITQVEDRIGT NSIPIDPEKVVGIVESDYQDQTSPNTPADEGSQQIAGHLIEFFEHEVKHGRLPKNLLP LQSGIGNIANAVIGGLDNSNFRNLKVWTEVIQDTFLDLFDSGRLDFATATSVRFSPDG FKRFYKNWESYKDKLLLRSQQVSNSPEIIRRLGVIGMNTPVEVDIYAHANSTCVMGSR MLNGLGGSADFLRNAKYSIMHTPSTRPSKTDPHGVSCIVPMCTHIDQTEHDLDIVVTE VGLADVRGLAPRERARVIIDKCAHDVYKPILKAYFEKAEFECLRKGMGHEPHLLFNSF DMHKALLEEGSMRKVKPW QC762_310900 MAEEKQEIRQTVEAPAVRSSTPTGTTHRPSGWMYKGFRLGKSEV WFASPIVQLLMVAMVCFLCPGMFNALTGLGAAGQVDPGAQNDANTALYSTFAVVAFFS GTVTNIFGVKPTLAFGTLGYCIYSASFLSYNHNQNRGFVVFAGAFLGICAGLLWTAQG TIMMSYPSEDKKGRYISWFWIIFNLGAVLGSLVPLGQNIDAIGATAVNDGTYIGFIVL MLIGAALALLLCNARSVIRHDGSKVILMKNPSWKTEIKGLVETITLAPWVILLFPMFF ASNIFYTYQLNDMNLQFNTRARTLNGLLYWTSQIIGASIFGYALDITRFRRSVRAKAS LVVLFSLTFVIWGGGWAWQKQQVPREILEDESLEYRRIDWQDGGEKYIGPMFLFMFYG FYDAAWQTCIYWYMGALSNSGRKAANLAGFYKGIQSAGAAVFWRLDGLKTPFNTIFGV TWGLLAAALLFAAPVIWLKVKDTVTAEEDLKFSDETIADVRATTDASREAA QC762_310890 MYTKTTVLLAFLAPLCLSFPVDPPAAVLPVDSTTDAQPAAAPEA APVPVVAVPNAAPPIEEVWTIQGARRVCEPDNLECVWTFTISTDSVYAPVPCIVKILS DPERKVPANQNNVEGLVCGPYEVSAGWSSAFGVENGFTVLYVVDMERKMGVWPAYEDK KVEKGEVVVPDLRLPVKHLG QC762_310880 MTSTPTPTSSWFSKGAESCQEQSRDPMGNSKSPLMRRSRWRRSS SKVSGEDILALQDLDPALNSKMHLVNDAIDDIGWTPYHTKLFFLNGFGYAVDSLVLLL QSVIAGPAYREFGNRGYQEGLTVAVYSGMLIGALFWGFGADIVGRRYAFNLSLLICSL SAIIAGGMPSWASLGFFVSLIGFGGGGNLVLDTTVFLEYLPGSKQWMLTMMAAWWGLG QAITGLIAWGFLVPTRWNCASVDTCTMANNMGWRYVMFTSGALVLVLSILRLTIIRLK ETPKYLLGAGEDAKVVETLQYLAGKYNRPCSLTLNQLGACGLVTGTHSKNRFSIGETM VHLRGLFATHTIAVSTVMIWLSWAMVGLAYPLFYVFLPSYLEARGAKLDLSQFEIWRN YALTNFSSIFGPLLAGWLCNLSFLGRRYTMLIGGLMTAGFFVGYTQVRTAAQDVGISC AIAFSLNVYYGTLYAYTPEVLPSAHRATGNGIAVACNRIMGILSAVIATVADTSTVVP IYICVGLLAVMGVVAALFPFEPYGRRSS QC762_310870 MEADMLQINTMAKPCQKPRKLEKPGSRLPRACKVPDAEWTKFRR IIEDLYARTDLKTVMATMTSEHGFDASKQQYKIQFAKWAFNKNRRRPGPQQGHMPPSL PSPSIACLPALREPSPSSQTSPPLNTPSLPLGPPEAQQSPSAPLQQKIPTATWAAPIG HVQPIQPLEASFQVNAPVLMRSKAPQNGTVTHSPVTKNDAITATNYELLSPWSFDQGI HDLELDATAFMSRNTGSSSATTPDYDPVHYPIRTGSLPTIMLMVDDNPQCVRAVTRSG KSCVYLAAEFGHLNILEFMIWYKVDLNLAIPRVRWYPIHIAAFKGHTEVVDLLLKRAA EPDACTVDGRTPLWLAAHQGHYEIVKLLLDAKTPIDIEAKCQDRRPLHQAAQNGHSQI VLALLEPRTFWIQGLTPLCLASANGHQEVVRSLLEQGAKLDTKRDDGKTQLFTASDRG HFGVVKLLLQHGASPQTNCGPHGATSLHIASQRGHQEVVKILLEHGAGVDAKGSDDIT PLMLASQNGHQGVVRLLLQHGASILAKRTSSEATSLHFASQNGHHEVVQLLQQSASSA NESLVGLN QC762_310860 MSLAEREAYNARLMPLMRGGDIPRDRSIPVEYITYDLWEGMRAH DRWMANDILEPVFVFMRAQTDKARTKPMGLKEYLEYRERDIGKGYTPRGTDALQNGLV YPLPDLDLARPVDMHCSKQLSIINDVWSFEKELLTSQTAHEENMDLTRC QC762_310850 MSTSIPFPSSLVPCTAPLVTQQIPHCLWFLGGRQPYKILELHNR YGPVVRTAPNDLSFNTAQSWKDIYGSRPGHKTFVKSAFYDGGSFASRGVGSIVSERNV DAHVQMRRYLSHAFSDRSLAEQEDIIARTMDIWVESLLKKGSRKEGFEMGKSFEIMTF DIIGELAFGENFKGVEHPWIATHLGALNQGALADTLKRFPTLAWLAQGLLQKKIWELT EDTKKNENFAIDMINRRIHRDELSRKDFMTHILQKRDSAQVSDLQLAAHASDFALAGS ETTGTALSAIMYYLLRTPQVMLKLQKEIRGSFKTYSEICFRSTIGLPYLDAVILEGLR MYPPVPLGLPRVVPDGGDTVDGHFLSAGVSVYPVVEGKSTKHNNIRSLFTPIQLRQA QC762_0060240 MVSAKYLLLAAASCLTVAANGSVWWHTCGGSHEDFRGVSPCIKL GSSFRSVGLTRDGNKSTTCSIYSDDNCRDEKQSVGASGSYSCTAFNQNSGSMRCYFNV QC762_0060250 MWIEGPAVSSSGSVLSQAAIEAVNTIANAGTPCISVFCKSRYNF ATRGLGHTDAAVISLYYSVIRQLAQIVPPEFEGSPGLQKHCFERLDGSLESLGTALAI IKALLKHAPPSIAWVIDGLQFAGGSQDGYAHIQDFIQVLRDQEQERTSRVCFTTDGRS QVLDRGITVRERVDASRLVQARGGRAFPGAVYI QC762_0060260 MNSSFTTTPETTTIVRSYSLKVGKENPSNVLSQALGSATKHDEE GDLRARVTAEEWHRWLYGPSPTSDASIFSPLEREREELIRVWQEFQRIFVNPGADNIS ALDSHRIPTIATLQAAVEDAHTNWEVKHQSGFGRAKTRFREFIETMNDHSYLFKFVPA QDKYLSLLTGVVATVVKTSLNYQKIAEGFSLALVEMSANLRYVEKKTHIANTAEMQRL VVELYVKIFKFLCHAMSFFHKRRKRFFASFDKTFYDRSVQAMVDDIQKTIKRIKDEAQ HTSELRIEEINSKVDWLVRLQELGTHSHGNSQQEIDDKNATAAIGFKRLGETAVRHLG LVEEQVRLCKCLFIFSVGKSAP QC762_0060270 MEWEDSAAIYCPKNGRSHVGLLEFGYWECPLCNQDLSEKPLKQA AGLEDEDEDDGTSGGRNPKISHSLEYLDSGENRIAAQAWSGSFDLQTARKGILEKKPS VFNIVTLLKTSHLPQKHRYEYEVREILRTGILNNPNISVNVLSTRVTINSQALINALG AVVSYYPGISFGGKVLELKEPYAVVAHHLSALEDYQKTSRPKPCDKETFDHLGLLLGF LRTSVYKDKIRLEQERYSRNACTFQMLWLLFKPGDTVYVESRGKLSAFVVQEVATDNG ILSDFKHTFKSYEILLWSLRYDGRFVGRSSTEMIIPHFDGERPITTLKVFPCRFVDDE DGGKMKSMLEESGKRWYDLLRGQQVHYKGEFVEKRKDNDVSKTPDDEDDDKPWYVAMV NYLKGTSRRDNLDAPRDYGQVCFSELHFSQVCDPDAMTV QC762_310840 MSPLPPVKMSVPGAALSEKHDGGSQSEEAERSEIERTGESFAHL NEKAILRKMDIRLIPMLALLYLLSFLDRGNIGNAKIEGLQEDLGLTDDQYNWCLTAFF FTYAAFEVPSNLMLKKIRPSIWLPAIMVAWGVVMTLMGIVHNFTGLLTARIFLGVTEA GLFPGVAYYLTNWYKREEMQLRQAMFFSAASIAGAFSGLLAFAIGKMDGVGGLHGWQW IFILEGIATVLVAVLAFFTLHDFPETATFLTEEERAFVVFRLKYQGQSKRNDHAMVAQ ADDFKWKYVRQAFLDWQIWVNIFVYWGIVCPLYGISLFLPTIIRTLGYESSTAQLMTV PIYITAAILAVIVAYFSDRVGRRSPFIIVPLLIMVVGFSMCIASGNPRVVYGGVFIAA CAIYPAFPGVIAWLSNNLAGSLKRSVGMAVQIGVGNLGGAMASNFYRAKDAPRYRLGH GLELGFIGAGIVASLILLVGYSTANKKRARKMEDGDLGRYTQQKLSEKGDKAITFRYV Y QC762_310830 MAGAPPSGAASTVGTGDTLADQHPYQDRRRSFMIDTDASELQRI ASVLSRHQSHVRRPTAKDDPTLDPESDHFDVTRWVRHFVGQLNKQGHKATNLGVVFRD LDVFGSGSALQLQETVDSVLLAPFRLGELFGSHKKERKQILHGFNGLLKSGELLAVLG RPGSGCSTFLKSLCGELYGLDVGKRSVIHYNGASQAQMKKEFKGEVVYNQEVDKHFPH LTVGQTLEHAAAMRTPSNRAEGMSRSEYCQYIARVVMAVFGLSHTYHTKVGNDYVRGV SGGERKRVSIAEMMVAGSPISAWDNSTRGLDSTSALKFVQALRLYSNIAGSANAVAMY QASQAIYDEFDKTTVLYAGRQIYFGPANAAKAFFERQGWFCPPRQTTGDFLTSVTNPE ERIPRPGMEQKVPRTPEDFEQYWLASPEFKALQEEMVAYDQEFQGERQIQSLSHLRET KNHKQAKHVRPGSPYIISIPMQIKHNTVRAYQRVWNDISATLVNVGANLILALIIGSI FYGNPDATVGFEGKGSVLFMAILLNALTAISEIDSLYDQRPIVEKHHSYAFYHPATEA AAGIVADLPIKFAAAVVFNLIAYFLAGLGRTPSQFFLYFLISYISTFVMSAIFRTLAA ITRTVSQAMALAGVLALALVMYTGYIIPVPQMHPWFGWIRWINPIYYAFEILVANEFH GREFTCSDIIPPYSPPQGSSWICASAGAVAGRSTVNGDAYISVAYQYTYDHVWRNFGI LIGFLIFFMGVYFAAVELNSSVTSTAEALVFQRGHVPSHLQKGRDEERGREGGAAAER GAQDPENSAIEPQKDIFTWKDVVYDIDVKEGKRRLLDHVSGWVKPGTLTALMGASGAG KTTLLDVLAQRTSIGVVTGDMLVNGRPFGADFQRQTGYVQQQDLHLDTATVRESLRFS AMLRRPKTVSKAEKFAFVEEVIKMLGMEEYANAVVGIPGEGLNVEQRKLLTIGVELVA KPKLLLFLDEPTSGLDSQSAWAICVFLRKLADAGQAVLCTIHQPNAILFQQFDRLLFL AKGGKTVYFGNIGQNSKSLLEYFEHHGARHCGDDENPAEYMLEIVAEGVNNKGQDWHS VWKDSDEFRDVLAEIDRIHSSSQQDTVAAPAAGETNSEFAMPFHAQVWEVTRRIFQQY WRMPGYVLAKFALGIMSGLFIGFTFYQADGTQGGMRNIVFAVFMVTTIFTTLVQQIQP LFITQRSLYEVRERPSKAYSWKAFLIANIVVEIPYQILTGILTYVCFYYPVVGTGQAS SRQGLILLFIIQLFIYASAFAHMTIVAMPDAHAAAGIVILLTMMSTIFSGVLQTRIAL PGFWVFMYYVSPFTYWISGIVSTVLHERPVECSVSETLIFDPPQGMNCAQYLSPLAGQ GSGSLQNPFDTEGCRFCGFSVADQYLAGVDIFWEDRWRNFGIMWAYIAFNIAVAIGVY YIFRVRKMTSKS QC762_310820 MQLITFLSLAATATAAASSHLTKRCSPMYDPELALGYLPPAPCW QTFDPACQPQLKNPMTLIANYKMAVIHELSSSCVGEIEEELAREAAGRKNNNWTRTQG NLHLIGDGTLVISNMSDAAVARYAGLRYPGVGPSGPSGISTIAVPTATTI QC762_310810 MQRVAALVGAAAALLGAVSAIDPPRPPHQPVGGGSKLLTYNVTT GSPGALSVSTTGITWAQTDNDGDFITLASDGSLVFENVASGNKTTFLASSKIPADYWD YSISHDQTKVLWAVDYTKQYRHSYFANYLVQDVTTGQTQQLVPGSKGDIQYATWNPAS SSQIAFVQGNNLFLWDNGTISQITTNGGPDLFNAVPDWVYEEEIFGTDHALWYSPDGE YIAYLSFNETGVETFTIPYFMDNQKVAPAYPRELELRYPKVGTTNPTVAFHLLSVKDK KTTEIPVTAWAADDLIIGEVAWLTEEHDKVIYRAFNRVQDHEKLVVVDTTTKTSTLTR QRDGSDGWLDNNAAISFIGSITEQKGKGKGKAKGKGKGKGKDDEKEYYLDLSDESGWN HIYLFSVDGKDKIALTSGSWEVTGINKIDTSRGLIYYTSTERHSTERHIYSVSYITKK KTPLVDDKVSAVWSASFSTGGGYYILRYAGPNVPYQELYKIDSKTPLRVINNNDRLLN NLKEYKLPNITYHELDHPSGFKLNAMLRLPANFSPDKKYPVLLTPYGGPGAQQVNKGM QSFGWNAYIASDPELEYITFTVDNRGTGLKGRAFRSAVASNLGDLEAQDQIWAAKWLA KNPWVDASKIGIWGWSYGGYLTAKVVEIGDPIISLGIATAPVSDWRFYDTMYTERYMK TPALNAAGYNKSAVHNTDGFKKIAGGFLIQHGTGDDNVHFQNAAALADLLMGDRVSPE KLEVTWFTDSDHSIRYNSQGTFVYKQLSKKLFEEKKRTGTGGSHQWSKKGMGKKWVA QC762_310800 MSSSQLLVTTAACAARRSVITQLAPAAVRTFASVANVNPTTRNH KVVVVGGGSAGLTISHQLLRKGKFSQDDIAIVDPAEWHHYQPGWTLVGGGLKNKEQLR KPLKSLIDPKLRFYNTELASFSPDSNTVTLDNGDKLTYEHLVVVPGIKVDFNSIKGLQ DALSNPDSGVSSIYGYDTCDKADRTIKALKGGQAIFTQPMGVVKCAGAPQKIMWLALD HWKKSGLYDPANPSSSPIHITFATGLPVMFGVPKYSAVLEKLRKERGVEGLFAHDLVE INGNDAVFSHGENQKLTRKFDLMHVTPKMGAHAFVKSSPLANEAGFVDVDEETLRHKK WKNVWSAGDASSLPTSKTAAAITAQAPVLVKNVLRSMRGKEADEEYDGYTSCPLLTEY GKVLLAEFKYGGVPKETFGKALRIDQAVPRRAFYHLKKDFFPWVYYKYMVKGTWGGPK GWLRD QC762_310790 MNTLQVQQCVENAIRCQATTRLLLTPLVISSLRLPRTQFFYHSQ HRSFHRAAAGRPCCRQSQNPPRLSQCLLRKTYSSATSPAPSEPTIHPVFEQRTGTFQY LVVDSVTKDAVIIDPVLDYDKCSTTITTTAADGLLSLVREKGYRIVRILETHAHADHL TASFYLQRQLVKQQDLKPPVGIGKRIGQVQTLFGQRYGIDAKEYEGVFDKLFEDDEEF SIGTLKAKALHLPGHTPDHLGYKIGDNIFCGDSIFHTDIGTARCDFPGGSAHALYQSA RKILSMPDNVKIWTGHDYPPDGLREPVPFVTVGEHRLKNKHLRDGVTEEEFVKMRKER DEHLAAPKLLHESLQVNVRAGRLPSQNDSGMRLLKVPVKVKGAGAWQ QC762_310780 MVGMPQVCPQLPYLWLLFGNPFPLEQPARPDIPLFQKSAAFPRD KHQQQQPRTLDPATRFSELEWRKGAGLVFPTEFTHPSASATPTDLFKVFRPKNGLLKY VHRTDAFTALIFIAGTCPDRRTPDPKAGWALVHARAPARPITQKSKRPFGDDGSQTSN RAELRAALATLRFEFGPNEEGFRTLVIVINSKYVVEGSTTWAETWIEKQWKTSEGEDV ANRDLWEALLGEIEKAKERNMAVQFWKIPKEWNVDAHDAAMKATEQPAADSFQDQI QC762_310770 MVVCPICQRGVKTLEINSHIDSGCQTFLLDDQATDRPSSPPSHT QSQPPSTQQKKRTAADFFATPAAKRQAITNGSAASIPRPVNGFGIKTNNGNTGGQKRT WDEANSSPTADNRPVGAQTSTLSTNGTAERDPGAAPLSKRSKTHRAAPLAERMRPDSL DDVFGQDLVGPNGVLRSLIETDRVPSMILWGGSGTGKTTIARCIARRVGSRFIELNAT STGVAEVKKFFAEAANELNLTGRKTIIFCDEIHRFSKSQQDVFLKPVEAGTITLIGAT TENPSFKVQAALLSRCRTFTLASLTREDLQRILVRAIQAEIVEEGIELSPLIDEELLT YLSAFADGDARTALNLLELALSLTTRPQGGGEAPLTKEDIKAALTKTLVYDRAGDQHY DTISAFHKAVRGSDSDAALYYLARMLQSGEDPLFIARRMVVIASEDVGLADNSLLPLA TATYTATQQIGMPEARIPLAHCTVALCLAPKSTRAYRALNNAFSALREPGVASLPVPL HLRNAPTRLMKDLGYGAEYKYPPNYKDGKVRQEYLPEELRGRRFLEDRDLGTEVDPDV EMEG QC762_310760 MPISATQFPCCHNLTLTKPANTLEISFPNFRMNASSLLARRAAC LACRRSFFRSSNQQRQFSSTPAQLAAAFQSYSLPSHPPPAPRNATAPDTSITHNVQSP ALPRVYETRPPKEPQAPLDPASSLPEREAQQSKSSTQLSAPSPRPRSKLRAPRKAAMK LTPAAVDHLRALLDQPDPKLIKVGVRNRGCSGLAYHLEFVEKPGAFDETVEQDGVKVL IDSKALFSIIGSEMDWIEDKLSQRFVFRNPNIKEQCGCGESFMV QC762_310750 MLDETPVGDLLHLSTQTRQLFIKAVQALRRPYGVGDLHALDTLS TFMICVLAKRYTNPSSDIIEVLAGLDQIDTVFGDFVAALEGLIRNGRGPGVECCPHGV GHAQDKRQPADGQEPQQQQQQQSKGKSNCTYTADLQKRAVELALAVSSGAYQTSLLTY FIQRDLFPAIISCIQSQSSLETSGDETRITRPFMLLGLLANYNKFEFQNPYQMRLNDF VNERVIKDIVKGVGKTCQKLRDQYIDVQEDLPEGWTLANTLNKIGLGAIAPGGKPSPK PVYDADTAKRMFAELPGEEAAVLLATYDFSHANKLFSLELVTGAPRSEKGEDSPFANF ISLTSYLLQHAHLSQRTTLYCHLNLMVFRLLIEDPVLCKRMCSDESKVPVRLCRQRSP YLPLVRSERVIATAVMDTMIDAINHNLRRRLDVGLYTLCVGILLRIISYMSRSRTRLQ YHWADLFRSLLSLIRFLTTYASDLKGLSHINTLLDHVVNLLALGLSAGETFLPTPAAY DDLFYKVVETGEVLVKFRDTYGLANRASNSIDTLVSVSVHYKEMLGTDGSKAVKGKRT GGQLTSLQVAEVIKQGYETLSIQAKEGLDSWEKYREADERTLLKKMGRQAVGDVGALI SG QC762_0060380 MAACCHWAWYTQELYGDRRTVETKKGACMYPNGRGGGGEKNKET DRTWSDHPYENEAVVGCFARTVPSPPRSAQK QC762_310740 MDHAGPAEFRSGFFAQCSDMGNAPPFIPLWGLPPTFCQLRAGVG CVAAAIVAHAPRTSLQQCCNTRLSSCDRLLQILASLCRLAPAHGIQIKLEAVWVCRAA GLRVLNAWCNSTRWFQSEPVMANTKDRPGPKFAPKLIETTFERYRKSIPPNELTPSPS PRSPSPPPRERRKFAPQLVESSVRRSRRVGDEGPATRPTDRTDITPYTNHIYAPKPRR KRSHGSIPASPERQRAHARRESCDDEIAGPLFDLVARDAQRKLQDIAMSAFPNSGQRI GGAEHFYVREGSEDDGPRGRPLTRGPWNPMHSRRNSSEEDISWAFKEMQEHAQMVNAH RQRDMSRIDTLDLDKMSIDVPSDAIDLTSRQRSSIANSPLWRPRPSSGSLVAIGETHM PLLRAESPLPPTGENRMPNMEPDSPPVRPIGESFMPYIPSAPAGKAGDMPYAPASHIP PETSFGHHAPFNPYGQERDMSLERARAAHRLRLKKSPPMLGQDLTFRKCPSPKQTKLE PDHLWDLETGTTLEDQHRDPTEQHGLWRGYCYTSNQNEKIAHVDRPLMITTPMPDASN TDPFDRAFGTAPVELSEEPTPVGSRTDSLHIPGGGSIQLQKKPHSANGSLVVPEHRTK NNAPKGLHMLHNLHNLDEKLKQEKAVADLEEKIAAEFDDKFVTQVYNYLSLGYPATAR LYDEELSKISRIPVEELERDDDAIMEDLWGAEAHGNSQSSGSQSQNSEDSGPRRADGK RIKATGHIMLDSEEQDNVKEEDRCPRWKALKLYIYEWARQHPDLNAISPLAWGVRERR GSWGI QC762_0060400 MAASRYNTLPAGQADDDTPDQHGQRPPFSPDSFASRLSPTSQST STSLASPTQSPNPMAHASLAEKTFTPLSMNESDYLSDHSATDRLLRKKSSFSLEHESM LSTSNTSRGETFLQVGSAIGRSNSVSSTSSATAAGRGVTVVSSMVQSFEALHAAVVEK ERELAAKKSSASLRPSLGPVSRTTSPAPNADLTDASQHQHQHQQHLGASLGGAVGAAD TTIVPQTTAACSNPDPPAQNAKAAPESPIRSPISVTAQTPLIRPTPTTIPSPTTSIES PLPRTSSTASISLTHPTPDLNKRSQSGAYLGNIAALEATAERLSMTSSIEVAIREEHN ELKRSESRKSSILHRARGTSAGSESGSALHLGSRKNSVVETNNAARFGGYVMSPQHSL SGASARLRSGSKASSSGRPAPESVALSSSLENGEDFPFMSRSGPGKASTRSAASKLSL AEIAELEHPIALTRDAMDRADLGVPDNDEEERRILASARQYIEPEFADEGEGARTPQN ENQTFLDDPAPRLQLHQPDQYSQYWDQNNDQARRPTTAASVTTFDQAQTAFGDFDGVH CDPEADQFVPHQEPELPPPRSQQQPQHHGINNSLGPRPTSYFDPSTGQQMLYYPAPVP AMLNLPPKLSKKPKAGPRTARRSQVMSAMPMVTTRESRVWLPDPTHTVGGGSQHNVPL MPELLGGDYAPQTSNADELAPPQAPALASGHSRHASEASTIHPAAPVVEEQREFRRPQ RLTDNRKSRATILDGLPPQLRASAFFELPSTHLPKIEVKDGSAMATLDSILDASAAAP VSAFTDHAFAGALGDEVYGTEKKRKSKKPPKKSDAEPVEEEVVAKPKRKTLVKRNSSS ALLDYENEPKKRSSRFSLFPSRGDDDDSDDDDERIRRNGDRSPGDGPLQSPNPLAPGA DEESSEQSSDESSENERSVYRGPPTTLLAELQIRKRQNQQRVKDGTQLPRGQHGTTLL ELDAVAQVEQKTRKGKRVNLAWEDPSANPYQGSDMDDEDVPLGMLALAKVTGDGTNRS TMDIGALMSEVNRPLGLMERREIEDNEPLSRRRERLQGRDSGHVPLSLDLIQKRMSHM PLTQTNSAPIGLMGMRSQSRLNLQLPQEERPVSMMGANADESDPEVEGETLAARKARL AAENPLPRTRPVSGMFSAELLSQFGGADEEAADAKSKGVSNEAAAVVDVPEEEETLGQ RRRRLQAEREAREREMAAGGGIYPPRAATPVGLFPSVSGLQANPQARPLSMANMLGAH PIDTPMGHMNPLEQARLNREAEAMRVQQEQELRMAALRAQMPTSLVAVSSGGRSGGYM NGMFNNGMGGHAVGQAGAAGMSLGYGNGSNLSLLTPQQPMMHGGGIPMNGVYGGGYGM QVPGQVGQVGQVDMVERWRQGVLP QC762_0060410 MATSMELVMDRRSAVASRAAMLPRFGAMAIRSRWWEKELSWESV GSGASGPSLKSGSGSGTQGRPSHFALGGRDLSMQRLSAARWSYRRRQQPRQDWPPGVA GAGAGAGLRLSGLHLELAMLSLTPDRETGEDWHCSFWPPVLVLSQPLPRAGLQTIGPW S QC762_310720 MDGAESYVHPLLSVMSFDDEFKLRANWVNKLSSQEQSSRSPSPS SVTPSEGSSTNFCEYAASTTSSWATSAGPGTEYGFHEEEEVKPGANELPKIPPQRPET PADRLNRSLGRSHSPSLPSSLRKSFSAADREADEADEIDEDVESDFDADESGCETARL DEEDDEQEDNSDQDDDDDEWSGENGNLESLVISAVDKDYSLAAFLIPLLHRDFNLALK SKVENWRCAASHAGAGDGAKHESSPANTSPSQGSGSGPSRKRRRTDSDEGARDGRDKD QDEEDQKDPGGGKMGPPSTPLSGNTREPLLACPFHKRDPIKYNVHGDSGSGKKHRYRP CTGPGFKSIQRLKEHLKRTHSPVQCERCKETFNPGKGGDRAESLNKLAEHRKSEVPCP LRDASLKEGVDEVQWAMLDKQNRKKNQEVHRVEKWFEIWDVLFPEVARPESPWHEIPS SFTSGTPKDGEDYFVDLFFNILDHKIQQGDIPLPGSDPADPNSSNNRNLDLLRDRLKT VVQNTFRMYVSIRENLSPETSSSQSQSLSHGHNRQPSSTYRSTGTGSLSASLLQPPLP STAPTSVTSGTPQQQQQSPAATYLPPGAAYGMAHSQFMPAVSPAAFTGMADDGTATAM TASPYFFHAGNNMFTPQGYWLHQVPAAANHPHAVSFPHQGHLQTAAETWLNWGHAGGD GEQ QC762_310710 MLFQALILGIAALEHIHGLPTSASQPVGARQYYPDPDDPSLPPS PEDCLRLSFSEPAWSIYDPALLSVNVSDGGTHGDVRFYTRNVATGQHADCRVTNIELN PKGAQLETWHNCNVTDLQFQFLLDDFQVRLRGGWKCADSDLSFHGHGVWEEPVVQGCL EEWNTPRGQETLCIMGGSYVAATLTSPMDLQPQWPLLPYTPFERAWRCVDRSWDPEFT VHSLEYQHHEGSYEFTLDLENHSSGERTVCKSETLDEKGLPTDGSTPWVKCLAENATL DVLLDRTYDILGIRQEWKCSDNVQDIEPEDYRATGLIKARLDCAKPTDKKHKDYTCTL PAASPLTFTGYPAIDKTVLPPFPHTFYNRSCTINSISNTHTLTLNEYKIETADDGKLE GTFSFYNPGPGETWSLSKIPVLNDATWHQCTPGKGTELPWQLARCSYALSLHEKSPEI RFDLAWYCDDRDPSNAILFEASAQADLTGKTACQGGICQFPSGITEVALQVTNLTWET GHGVMQKGPILPWV QC762_310700 MAARILNGFFSTVSQAGGLMFIKDMFFFHEQARKINIWASFIVM SPYVGPLFAAFMTETLHWSVPFWVYFGMNVLGMALVVAFLEETYYDRTIPSDQQPARG NRFARLIGTAQWKSRHLRNTFGQACWRTVSVLLKPIVALSCVFYALTFAWAVGINTTL AIFVTPLYGFGPKQVGFFYFTPVVAVALGEATGHWLHDALAKQYIRSHKGHFEPEVRL RAVLLAMPVVIVGLVLIGQCFENQWYFMATSVCWGLYVFGMMITTVALSSYCLDSYPE ASGEVSAWLNMARTVGGFIVSYFQVRWAEAQGTKQSFGIQAGICGGAILFIVALIVWG KRLRIWAGPLNFATT QC762_310705 MPRVADRKSWRKPAAPPVEAPQTPPEAAPRSHYHTSQHHGHHHD PDPALDVELVDHTERLPLRSKRTRRLEEKLARKKRTATTPLCLEDMPFEILDAILLCC QPRDLFAMSRVSKGYRDFIKQEGSRIAKSIIERRYPCLAACFLRPVLLENIQDLGVRR HMGHPKVTSRVKGHMFHHIPTIRGELVCSCPTCYHRWNALGLLVDFAHWQDFLDKGEA IPRIAFGRRPVWNKVLLNRNMQVVLKGIRDPLWYARILEKHLESTTRAIRRQVLNKSN KRQHYRLTDQDLQEGTDHFLEAEGPPTIDYPFHRDSYYMLEAYLPNRSWIDGKWVYLP ASLHERDVAGLINSLFPVPGKEEAEG QC762_310690 MEDVYQHFGRHSLWDKHDVFKSPTFDVTEIWVDLEGKNKSLIQN RTISSPDVDNWLDEPFCQSLPSLSGTGTRAVRIVWVGQDVVVGGGRSGPSARILDQLA ERWGLRSAMDYARSSFAGVSACPGRDNSAVFTVTYHPKLAVSWSYNITTIGAPRTHAV IFAEGEERAELSRILKSTWGPALATDAMFPALICSLLLAHELDSTLDDIKKVVREVEA RTGHHRFTSRRETQPAAGELGQLSAQMSGCAAKLANGARKLKLVEEINHLISHHSSSP PLPAATQRNQTQPPNSASYRFYQTLPLQTETAQQPAGAPTAWVSLLSHRATMQQTELT YTQSRIDIQIRALFHLIAQQDNAIAFDTASATRSIAASSLQDSSSMKMLALVAMFFLP GSFIAALFSTPLFKWDEAAAAESGSTMRVGTRPQFALFWAVTVPITVAVFIMYGVWMW VVKKKDKRRRKKGLQVLV QC762_310680 MVHLPSVGLAVAVASGLLQTVTAAPQASTDSTSTASTTSFLGGL FDFLDVANLTVTHHNGKLYGCKCSPGQLCWPQQWKWNQLNTTVGGNLKLHIPPAASCY NTFTGPLGTVNTYDAAACADVHANWEDEMWTVEKPGAALWTYFTNETCRPTLNPTDSC TLGYYGVYVISATTRNHIKAGIDFARRNNIRLVIRNTGHDFIGRSTGAGSLIINTHSF QDVNWISSYAGPGSYSGPAVTIAAGVQGRSILEQGHAQVPPKVIVTGECPTVGVAGGF IQGGGHGPWTTLKGLSADNVLAFEAITASGHFVTANEAQNSDLFWALKGGGPSAFAVI LSVTMKTFDDVSSAGATFYVNNTHIGFDNDAYWNATSIFHKWSNHFVDNGLYVYYELF PFTLRAQPFVAIGKTVAELNAIVAPMLAELTANGISYEWNPKSFPTFFDLYVDLFEAE AAGGSALTGGWLFDHNDVATNNDGIIEAFKTVFSPRPDIFSFIVGHLFNPGYGAPVSN SATHPSWRNATDFVITVLPVPLGASKAVKADYQNVLTNTIDQALRDASGSGATYVNEA DPYQPNWQSHFWGSEYPRLKQIRKKWDPLGVFYSIATPGTEDWEVIQDTRLCKKL QC762_310670 MDRSEVDCQTTLPNGCTMAEAADERTPLLSSTSVSHTTVSHSGA SPEGPGIPRDHQGEDDDDVIQPRKDRRRLKKIWTSLKPSTESQILLAGFLITLSFSFT QVPILYAFHLMQCDDYYSTHPPYTGPGDRCNIDEIAAGMATQFSILGMSTTFCGTINL FVAGWTAKKIGPKYALMIQTLVPGIRVSTQILGLMAGGAKGMLMIQCTQIITVIGGPA GYLLIVNIIAGEVVPPLRRTAVFGMLQGCIMLGQGLGYLTGGMMGDIWGISRPFEVAF CAFLLSTLYVAMVVPYIDASTISSAKKARGQGISQLFTPLRVLLPQRILSRNGTVRKH YGLMVLCAGIFLGVLATGYAPLLMQLYATAKFDFKQTENGWLTSGFAFMRGMFLIFAF PRIINRGRCWYMTRHPEAQQHHDHGNDHEETAHLATNPEEFEAPIGSFAEQEPVDAEP VKEDEGTEFDLYFLRISLVVDGVLTMCTAFATERWHIFLAAFLLPLASGSAPAAKGVM TEMCSPSQRADALNALGLVENIARLSTQGLFGFVFAALAEVGKPHLTFFVNAAIAILA YGMLLFSRFPPEGSKIVEDDADEDESS QC762_310660 MADQSNNKQQDETWFLKSKCPVLLPQLDALRLSQEDFSTYLEQT LQRNTKPDIKVENKKSYAAAAAQPTTNAFMDGLLAHITGVNDFHLSDDKMLTENGDVT FRSSNSILVDLFTELEEVIDSNRLDSILTAAWAEDPLATLKIIFNARSIHLGKSSRIS FYRCAGWLAEHHPLTLVSNIHLLSRPVISKPTSAKKQESEAVEEDLVMVEAETTEEDA SGLDVVTGMSHGYWKDLLNLLALAANGKLNVLASPRDVLNIKCETKPSVRYDQEKAKE QRAKTRDARHHQACILLESDATYRALHLTVSRLFAGQLKKDIALLRDGDNKAKKQISL CGKWAPSTDRFHDKHTFVVSTIAELMYPAPMFSSDIAGNRELYLRHAREAYRKDISAL RKHLDIVERKLSAKTLDKIKYDRVPSVAMNNYTPIFAAKDETRFMKYLDDVSTGKTQI SGATLLPSTLIKAAREASDRSTYSFGSQTKKKRTLKEMKADVVGAATSKVIDGQWKTL VQRIKDSGTLESCIAVCDVSGSMSSPVFQDGTTPMDSSIGLSLLVAEVTKPPFGGAFI TFSTDPKVEKVDLSEGLGKKYNRMVRADWGTSTDFCAVFSRLILPMAIKNAVKPEDMV KRVFVFSDMHFNSAQYGAGQWSSSFERIQQDFKDAGYEMPELVFWNLAGGRGGYSGSY DIGPKPVAADQVGTALVSGYSEGMLKVFLGGAGFDEIKEEDEEMAVVVTKDGEEVTVE PEAKRRKDPYDQLKQAISHKAYGGLVVLD QC762_310662 MKLSPFTALVPLLPSILAIPASSHLSPRQQRCIGDSEPGLWVVE NLEVEYTGDEVLQRGNATFTLTNTNTEVTDTLRCSLRANYICEFYGIPSDPNLDIWLQ LNLNVASFTLNKTLPDCLGPEWGDAWIVGQTELYLECPQEWTDKMVCQDDGIKGVADG DVVLPEGWPNGREDEKREVAADNRQDGVDQVVRKRISGGGSQAEHAQPHQQTERRKTR PVQPVGEEEAEA QC762_310650 MKLSSTFSVLTVATVVHGHGYLTIPSSRTRLGSEAGLDSCPECS ILEPVSAWPDLDVAPVGRSGPCGYNARVSIDYNQPRAGLWGNSPVARYSPGQTIDVQW CVDNNGDHGGMFAYRICQDQALVKKFLTPGYLPTDEEKQAAEDCFERGTLPCTDVSGQ NCGFSPDCSPGQPCWRNDWFTCNAFNAGDRRACQGVDNAPRGSCYTSIAGGFPVTKKI KLPNINVGHTLLSFKWNSFQTGQIYLSCADIAIGEGSGTVDPPASTTFSTVVTPGASC AAAPSVPVVFNEKATTAYGQNIKVVGSIAALGSWNPANAVPLSAAGYTNSNPVWSTTL NLAPGTSFTYKFIRVDSNGAVTWESDPNRSYTVPAACQGQSMAVDSTWR QC762_310640 MVLAKSFVLSLLALTAAAAPSGPGGKGPHKGKTPKGFVTVQDGK FKLDGKDFYFAGSNAYYFPFNGDQSDVEKGLTAAKKAGLTVFRTWGFNDKNSTYIPGG LPQYGGEGAGPSEVVFQWFHPNGTSTINVAGFDKVVKAADKVGTKLLVALTNNWADYG GMDVYTVNLGGKYHDDFYTVPKIKNAYKRYVREMVLRYKDSPTIFGWELANEPRCGAD GTRNLPRSPNCNPAVMGAWVKEMSAYIKSLDPHHLVTWGGEGEFNLPQGSDDWAYAGG NGGDFDHEIAIDTIDFGVFHSYPDWWSKTVEWTQQWIRDHAAAGRKAKKPVVHEEYGW MTPEARLEYLGKTHNSTRLEVIGSWQKIEVEEKLAGTMYWQFGYGGYSYGRNHNDGFT IYLEDPEAKELVYGHAKAMDKLNKKKGGR QC762_310630 MKLSAPILFFTFFAAASVAQYTGPCSVNDCGASHRVCARGWLCV PYPSFDPAKRQGYRTSNKLQHGIL QC762_310620 MGSDGEKPASPTLSDTGNHVSEAIPTLDRHEEKRLLLKLDAVFV PIIMLVYLSCFLDRTNIGNVKVAGMPEDIGASDVEFSTAVSIFYATYVAFESPWAILL KKLTPRVVLTGLCVVWSLTTIFSGFITDIGGLYAARLILGACEGGLFPGLNLYLTMVY KREEQARRVSYLFVCAALSGAFGGLLAYLLLKMDGIGGYAGWRWVYIIEGIFSILIGL LIWFGLPNDPTNAYFLNEREREMMQIRAKQRAQYMGSEEFSWEEIRIALKDFKLWISG AIQFCQDILLYGFSTFLPSIITSMGHSSIEAQYLTIPVYILGGACFLTLAFVSDHLCI RGPFIAFANVFGIVGYILIICPTSNAVKFFGTFLCAIAVYSGPGLNLTWLNVNVAPHY RRAASIGFQQTIGNTAGIVAGQIYRTSPYLLGNIFSVSALGLAQLLILIHWLYIRRCN LLKEQIASGKVEDKRRVKTGDWELDFKYHL QC762_310610 MSTKRKVATIAAPVVRASAKARSRAKIDETRAAVSTGLSKQPDE PIELSSDDEDVKDQQQIEQVAEETTQGDVDMDMDMGGDVDDAEPAAPAAFGDIVRGNS TVDVVASLAADTAGPSSRSDLHQRQAGLINAASLGTVINQALRTNDAQLLELCLQTSD LKIVENTINRMDSALALELLSRLASRMHRRPGRAMNLMSWMKFTMIAHGGALVTQPDL TARLAELSRVLEERARGLPALLALRGKLEMLDAQLKFRKSAKLAGGNRDRLEGKDELS ESEDEDQDEAQVVYVEGQESTKALTNGGPRAGPADDEDEFPINHSALPDSDEDDDEEE DEDEDEEDLAAIESLDEDEVDHDDVDEEEEDDSEGEDAGPPAKVQRTLRSRK QC762_310600 MAVMATQQSEGAVVGEKPVASSLELEKDARNGGAEVNGHTSPEA PNHTEKDETDSKKSHNQEAFSTNSALKSESADASDKPIPDVPTDAKEAPKDAEPVKMD VATPPDTEMPDAPPSPAAEVKQAETQIELPAEVAPDTQSAAPSKEDQPAKPPTPDALI TSDAKNEAPTKAMSTSVSPMTKTAPTPPATDKEEDVVMADAQVTAESTQETPVFATVP DVKPSIEKDPAPASPSKASPPSATADTSMSDLAASAKVSRERDIDSEDEPVAKRTKVD HSIEAKNNVNPQDRMDVDRQSAPRATPAQAANGRPKYLNDDSLNDNPITDWQNRQIRQ VLAGVKKTKVGGNFRQSVQHLWPMLWPDYSARIANPIDISAMERRLRGDGQSYKNLGE FKRDLNLLVENAVSFNGEAHEVTVQAKGCRSAILDRLSKVPAAEPARPDKKDSIKHHN IRHAEPRSAVHQSSTATPRPPKPAAPAPKPAVENPAFAIPPGNNGMPLIRRDSTKVDS RAKRPVKPPQPRDVFTDKRKKKLPPELRFCDEVLTELRKTKYYDCNGAFLQPVDVVAL QIPTYYKVVKKPMDLSTMANKLHSGEYASAKDVERDFDLIVKNAKAFNGDDHPVTIAG YKLQSLFRAEMNRKDEWLARNAPPEVINTASPRVKDESDDEPSDTEPEPEQSEEITKA QTKITSIQKRLDDEQKKLSEMINTGSASEEDVDISHSIISTLQKQLIRERNNLKELTA AVKPAKPNKPAKSKKTHQHLGGVTKKAAAAGGAGGGGHHAAGAVKKGPKRPPPKKKIS EAEKAVITEHLSELDGVPLERAIELIKRDTGQGENESGELELDIEQVSEEALVRLYEI IIKAHPHLKVEREKKVVDKTWGQAADHHHSNSNAKSKSGAASAASKSKKNKPMSKSEQ ERRIQQLNELRAQANRNQSGSQEPMESIEGTGRASAEPPTAVNADSEDEVDSEED QC762_310590 MAELTNDNPNRPLFFYLTGAAYGEFSQWYSCHFSVSVDEIYSVT TKPPPGDIDGAQKLEFKTAEQFMMYLKAVQFEDLAIAKKILATSDPKAQKRLGRQIKG FNDAEWDQIKQDVVVRGNLAKFGQNERLRGVLLGTGVRELVEAASNDRIWGIGFTEKQ VVEGPVDREWWGENRLGKALMEARRRLRGEDKVLG QC762_310580 MLGKKSIRVNGADCGVEALILGAITSIGGFLFGYDTGQISGMLL FRDFKDRFGQVDTPEGRDFQPIIQSLLVSLMSIGTLLGALSASYTADWWGRRKSLTFG VGVFIIGNIIQITAMNHWVHMMMGRFVAGLGVGNLSVGVPMFQSECSPREIRGAVVAS YQLMITFGILIANLVNYGVREIEDQSASWRIVIGLGIAFSLPLGLGILLVPESPRWLA ARSRWDEARLSLARLRGMKNDPQHQLVEDDMVEMKGILEKERAVGVGSWAECFVPKPN GVPKQVYRTILGISLHFLQQWTGVNYFFYYGATIFESAGIEDPIQTQLILGAVNVACT FYGLYVVEKYGRRWPLLIGAIWQAAWLAVFASMGTALDPENNRTSGILMIVSAAMFIA SFAVTWGPICWVVIGETFPLRTRAKQASLATAGNWLGNFMISFLTPLATDGIGYAYGF VFVATNIAGALLVYFFLYESVSLSLENVDTMYSQPDLKPWTSKKWMPPGYVTRMNRDE DFFHNDGAQHASGVDDLDDRTTAVPSGRPSRVVDEEKKADGVNGLGATESREERVNRA V QC762_310570 MPTHVRCCTVLTRAPATSTQLHRCHNRYKNALVRPSGTSQSLDT SAASNMIRSFLFVAAVASAASARVMDSLAAVPRGWESVRPALPEETVSLRIALKQQRA EALEQAVLDISTPGHPKYGRHLTRDELRSYTTPSRSATLAVTRWLEDHNIQTAVADND WVTFSTTVENASHLLKTDFAWYRNVENNIELKLRTLAYSVPDEVAPHIDLVQPTTRFG QPVAKRSTVFEMHHLDANAANKIKLAAAGQANCNLTITPDCLKWLYDIKYKPTDSIEN TIAFASFLEQYARYDDFQTFQSRYIPEAQGQNFTVELVNGGLDDQNSWRDSGEANLDV QYIHAVSHPAPILQYSTGGRGPLIPTKTQPKPPGTNEPYLEWLTYLLNQTDDKIPKVV SVSYGEEEQSIPRDYAVKVCNMFMQLGGRGVSVIFASGDSGPGTHCIRSTDNATFFEP TFPAGCPYVTSVGATYLTNPEKAISFSSGGFSMYHSRPQWQRTAVEPYLRTIGDTYAP YFDPRGRAIPDISAQGSNFNVIDKGYNSLLSGTSASAPVVAGIVGLLNAARYTLGLPS LGFLNPWLYNNSDAFTDVVAGAGVGCRRRKELGYGGASWNATVGWDPVTGLGTPKFGR LLQLAAPGVANA QC762_310560 MDPRQPPPPPQHPFSRNAAASPFTRPSFPPANANPATSQPPYPP APSSHPSSHGPQPHPPSHPAPHPSHPPQPTGPPYSEMHARKPSDPPPYYPGARQYGGP EPGPGPMPPSTHSRHPSTSSIASGPAMTRAMPPPPSSPPQQQGQQQQQGGPGVHQMGG PYGPPAPRPPPVQVGPPSAFPRGRELPALESLTRTGQPGSSMSISSMLANREPSQYAP GPPPNGPGPGSGPGSGPGPGPGPGPGPGPGYNQQPVHASPRMHQAAPPEYVPFRRPQT PEHHRMYDGRDPRAPAGASPQAYNSTPEVQRYGTPQAYPPRGGPPMSLNEQGRDPREP PRMPNTSVPPRPNSQPKSFQPVGHPRMEVTRPPNELYGHREEQLRPAPAEEYNPERPI RVLKYEEQRFMPDRERHERERQERERHERDMEFRERERRERAMSGGDPGRPPHGMHPQ EYARQMEQRAQQGYGRPPEPREQGNHWPRPGYEPARAPYDPAMHHGPPRHQEYPPASG PHYNNGPHQYVERHPMPPHQNAIPPPGPVHPQSYDSPDRQRMNHMHMDRQPHPQQLPP RSREDQAVPPPSVAYGGVGGPPMYDSPRNRSIEELNTPHGNQRNLLGIQEINRKGRIS PLPQAVQGAQPQLAGPAGEPGIKSEFGRMFSGIGSGVGAISSPVPTGAQLPFNAMGLL RREEPDGPLHEPVVEMAKPGRGKRRKLKEEDLRDEEGSTGRSTPVGGRAKKAKTHAHH HHHHHHHHHHHGMDQTGSPASAANAPFKHLKGSTPVPSPTSALGRELPNAHHHHHHAA PRPAQAKAVPPPRSPSPVVLPKPKQIISSKAVLEAVADYPRTHLGDVVYKPRLQPARA QDPQTGRPPRTPFKSTMEPLPFDMIRDKFNCTLTVKIGKEHLSSDVREEITRTRALWG TEVYTDDSDVIAACIHGGWIRGEWHRDVNISLLNLDQGYSISDVREETRRQAATDGRS STPTPSNLIVLDAPPKTGPMAVPENRDLHVRLVILPRLEKYASTTRFGIKSREFGGSL ASADDGRPRINATHDGISYMITEIRWLTNGGESQNRLRGKARRERIRKALREIELTPA WAKATSNGSAAGSERQGPVSKAPSEVDKENQPQRNAEEMEVDDSKTQQGAKEVVNGQQ GGDTGSKSASEGTTTGLAENGVSVTEKAPAAVEATESGTDVSVVPVETAAPEPTV QC762_310550 MSRLFSLGPFILRPLRASSIITPIATRTYAASFLQSSPSPPRLP ADQQAEFERLQRAAEAALSSHNQVPADQPLSTSRHVSSPSTDPAQQPAEAKTAQPEEE PTFSGGIRKGAPPEFEGDVNPKTGEVGGPKNEPLRWGDKGDWSYNGRVTDF QC762_0060620 MTFLPQYEDVAFGLSLTPKWRRWIMFIGQSRSEPAQSLFSASVD GIDPPGGRPVANGQLFGCVVIICDYSQRTGCISREEGGGGQGGLFVLRLPPHPETM QC762_310540 MMDDGSYSSTGPIFVLAPIDDAARYATSLSANYEHLHYDQDNNP VGLLVNLSSPEKTVYTLGRPGPNIDPPDIHISEPRPRRGLPHISHLHASFQLVPETGA VLLWDYSSTSSVKPFGAPNSWGYTVKFRSESSPRSVLVARGINTHVAFASGSNNTKWC QFEIQWQSEGMYHFHKDEPYFVGPQESRIKRYVQHEKLGGGSYGTVYSALDAETGQMI AVKKFHNLTGKHLTFATREVDNLKKKALRQHPHILRILDSAGGGEKDNWGEIFMPLKK GNLKDLCETIQTEEDRWELSNQVLYQILLALNCLADNDVVHRDVKPDNILFDFDENGD YRFCLGDFGLSNDPERAITAAGTEPFMAPEICYRKKQTTKVDIWSLFATIVWMRNSDF RAACSRLRAPDLHKWIISISKTAGYEPIRAMASHDPKKRPSAKKQLDIIDSGEFEDFG EEESDPGDLLSAQFVQAMSLQGDSSRSYGSVSIGYPTSPEMAYYEPYASGVYTGYGGA GGMKEGRYAPPPMGTAEAPRNQGAWVRPYDNPYGPPQSQDSDEGTVMPEIRTAVPTIA EDDVFAYEEYMEERRRMKGKNRSGI QC762_310530 MQLLSTLALLGSTLTALANPLPSPELVGELNNDHDITPNGTVLV SRDIRGSIPIGAHAMEHRFQPVIDFDKDGCYYTSAVDRSSNLNPGLGTGGCPHHNCRE LNRLENNNVYSRMRCNNGWCAIMYEYYFEKDLWACGSGHRHEWENIIVFVQNDRPRRV SGARHGKHDRATNSFRVKDDTRVKMVYHKEGAGTHNFRTANAGDDKIENHTGQWFLGR LVGWNHWPSVAMRNKVLDGKNWSKDGGIRPKLGDRDFADNLRQAAGNSVPGFNPSVDG QC762_310520 MASLQHNLRLATEADLPAMTEVLNAASRQDPIYPYRFPDRHRYP SEFALLCRQKCTEYLASSTVVVCEMPSATDAGAMRVVAFAVWDTPASQHRVQAHTGSL EPLPSQQPSSLGVPITIGHKDRMDAFKSACVRYKASFFDAKYKRGHVMLRILLCHPDY QRRGAGKALTEWGIQEAQRLGLYTTVFASPMGLRLYTKLGFTEIGRFRVEVEGDEEHL EIPALVLSPSAGIWESGRKATCGMVTGAGYNGVSSTVCA QC762_0060670 MATQLGILAYITACVAVANFRSKQARHVSFFFSLLFRTKIIMSD ASNQHRPSSSSQTQTDGFLMIQQMTQTPPRGAYTSLMRCNDKQIVQNTMESSSDVSIW LKRASHMTTNHLVSK QC762_0060680 MIRDTSAIDRFQQLYLRQNPAGPSCTEQLPCDKCDVLGSACTKG RFNNGNKASKKLQLEVGGNLVRAFLRHEWLLAGPGAVAALQGLFCLAVSTGASTYTFI PSIKYDQSGDVIQPSQVPSLNPKTEVTSELAVATNMGR QC762_310510 MCDSIGCVCWGVVDGRFGFSAPVSTRSAVGSFVDRFRWALPTGH DWPRPLHIPRDHHSGQGPRRVRPSYPEPHQDINSLNSLNFLLGYHLASLPSSDQQSPA FLAALTMDFSGKDLEDIQRVAGLLNLTVDELLEQSRARTQLAEPATTPTQQQQQSLPL HSLQPQAGHSTWYQAHPLQDEHYRPPMEIDLESLEFEDDLAQLPQETSVSHLQGTTVE LLNPRRSEYDCDVGIWDFEMVGDSFEFDNVRYRPGHEQSSSVSATPMQLDSESLSDNL REEPVIVDDASTDWAVVPSPPDSQSSAMSPSSGSGEKRYPALAPKASRSSSHSVSESS SHRVRKKRSPYEGSKKTDTHLTRQVHACVRCRMQRNRCIPDPSNPRGPCLTCQQRTVR MSRLPCLRYMVTDTILFRTGLDYMPFYRNHPMIGPNYGDFHLEREWVPGPSKYLCLGQ IGSMSFKVELRQFVPPLNSGDVDLKNRPMYAVPWAVADPEAVVQSIHEYIDRGITRYM DAYLDDSDGLVWGIFQAAYRASIFPVPNEMLRKTLKLWVACRFVESKWRCWAAAGWAD DDIKASNPQDPFYKDIDSLPPYLDYQVASIIIHRILSPLRKDVLRELQATFNVHSPND WFISFLASFILLQNYEMQMLFQQQFASRRKARYLDMPLVRATNSGAKTILAHFHYCYK GQQLFTEGFNWNAPRVRRMARLDKEQTDFMAQCRDIVVKKGPVFEAINHTDEYHKKYW YTSQLFDPDWTPRDTLEHAPPAEMEA QC762_310500 MGYWVGVQSTPPAVLPYPTWKTLILRSCDFLGILSRITSCYKFA RLRAPTSFARRAVGAYIDGSGAAGCPFSFRSITSNSLDSFVIISTTFTMGAETILSHT EHIPAWGDLTLTLKGELAHATKIPKLPNEICGPLAWNPSTFESDADYTITLDDREIKE VRSAISHFNEELDLSGSEVSTTTFPLPTLGPKLRQAAEDVHNGKGFVVVRGIRDMQPG EFSPEDKIIIFLGISSYIAGARGRQDENGNMLSHIRNAKLSKTPQSQRPTRYSSRAST FHTDTFCDILALQSRSNAMEGGATLVSSTWTVYNKLQKEHPELCELLAQPIWPFDSRG SFFPCSTRPLLYHHDGKVMMNFAREPLLGLEDVKRKAGLPVLSQEQKNALEIVERFAT EGQISINTEPGDLLFINNHGVLHSREEFTDAVENPRYLVRMWLKNEELAWDLPEDLQY GNSRIYDRDNGLGERWNLVDTPRIEFAVAERLTS QC762_310490 MRSPSFATRHGITGLPEWWKSHDLGVTCTNIMELSSTVVIDVLT PLAVYQLQKPVEQHSKSPENEDTARGQNLAWNRDDLIRSLTPSVDPKWRIDGVDIDGR RFFALPLFAIDKQPVRIDVWLPPFEEYPLELRSILKPEEAMYTPRDQLLSLPISKFLL KTLEEWSPTVPGFERDYLAAPFGSRIIIADIAASTRDMTIYLLPDYDIEQNMCSVDQL KKAWCNKVNEEDWPPTIDVSELSFKKQIHEAISLVTLPGQLGDRVVALKSLLRDQRYM YNEIKTLLSLRPHANLVPRPLFLVTKKAKFGGKRGVAGFVMEYFEGGSLKDLLLRSKA EGTELDMRQKLRFARQLTEVLIHVNQHRFGFYPDLKPDNLVIRSDGKTEEVMDLVLLD LEQRGGWFSWSPPEVLYVEYMEILATWLDYEHGQQKQRATERLQNCLGSWEATSQSTR YKDQDGGFSFAWKALLQERLETNSKRLERAQVFMLGKLLWCLFEGEARLRCGIDHEIL QENDAQDAIGFPHFDSTPKHVQDMIRECTRGAPEWEVDEKRGRKTGLTLKGGKLVQTK SISVDEVTDTATRKIARAFWERELEQANAFMDELLSCERPGMDATGCILPEAKRRPLL SDILSRLVEFEEVCL QC762_310480 MAPSIVSIATLAALLSPAIPNILAIAQLTAGCTTNSFTVSSWLI EDFESSGTTVRFQALNRATNTSAQLSCQVSGNASTWQACTSDSSPLLASVQLEAAVAR VRINETWVCNDLTPSRPLNFAAQGEGSLPITCNSDACAPLSTDPLLIKSVLSSPFPLS PSAVPGPSGHDKEGCAAGSRTPSWEVFSTQLNLRNVSGRIEGGNAFIQLRNTITDYRA SCFGTLTGTAPATMQCSAQSTGRPRAPKYNINTVLTFSPGSFVLSVNETWFCDDANPA QPIEIFGVGTARLPLECSAVGETTTYCAGDPATFTGRLISEKPIPPFSLGDPLPTAPS CTISSVVAPSYRFSDFETIVASGSSLGSIRFGVELNTGAAFTGYPSTFFRSGVSVSVT EGGSTAWYPCVLESVGEQSLTPTACAFRYDATTQSLSLSADWKCSDLDASRPVSFTGD LRTTVPSLTCSTTSGRTRCATAPGQAWAANVTSVYWGN QC762_0060730 MHPFDNVFVPLPRYRIAICSSCHNAVFPSSIKTHVNTHHCYLPV RHRQQIIQRAVELERRGILGSDVSGIQFPSPGDPAVPGLPVWPDGKKCIVPGPDGHPC GHIRRTYRGIQAHCRDAHGWTNVRARGRPSAGVSPGGEGDVWVDSIHCQQFGKTGTLQ RLFEVTPAQASTSTMEGSVHQSHPDSAKQILAQFNELANTVKDNDQKAAAVIGEQSRF SANMWVRRTGWPRHLQGFDREWLAGTAQPRDPEEREERNPRNTEDKGKDKGKDEGAAT EKALARVLLAVERVIWRAQRASRVEIVGSTAINYISRRGRVVIRTKSRFTQSKRARRW NGTPNRGRR QC762_400010 MAPRSRVWLITGCSSGFGLELAKVAAARGDKVLAASRNPAKVDL SHKNITAVQLDHNKPLPDLKKAVAEIVNVYGVIDIVVNNAAYVQTGTLEEATPEETYK QFEANFFGPLNLYRAVLPQLREQGSGTLVTIGSMAAWYPMNSCNLYNASKAALRWAGI GLAEEIKDFGIKHTLIEPGFFRTSLLKPGANLSGTPASTRMKEYDEINAKADQAFKDF NGIQLGNPVKGAEVIYDVVTSTGVAEGRELPGFFALGSDATAEIGKALDKTREDLAEW REISKISDFPEGK QC762_400020 MAVARKVWLITGTSSGLGQAIARAALAKGDTVVATARDPRKISD LASAGAITERLDVTASDESLSETVNRIVSKTGVIDILVNNAGYILAGGVEEVSRDEVQ AEFNTNVFGQLNVLRAVLPVMRKQKSGVVANLGSIGGWRGTPAAGLYCASKACAAIIS ESLRAEVAPLGIQVTVIEPGYFRTNFLAPGHKVWAKNKIEDIAPVVGATNDAFEAYDR KQPGDPEKAAQLIVEALTGTGRAQGRPLPARFSVGSDAYQIVSGILDSQKKELEEWKD LSTTTDHTD QC762_400030 MGIFEEREFVRPAFSPLPPQRRGGKPAKPDEISSLPGLIAFNAI NNPNHIFSHQVECHRRESNSNRERQSYIIQPVTFAQLDRSIGACAEWLRASLRTGHAD TSSSKARPVALYMESDIGLFIHLSALLSLNIPALLLSARLGAHSILHLLQKTNAGTLL VSQRTEVISSEIARGPSAAKIITTEPYKAFLDSNGDTKTPVPEVGDESKLGHTALILH SSGTTGLPKPIPVPHRYLLGYAACHQFPSSEVPSWVNLSTLPLYHGFGLLAPALSLSV GLTCCLPPSSIIPAAKSTLEILQASKARSLMSVPSILQDIFSLPSVEEQSTAFALLRK MEFVAVGGGAMSPDVANSLVEKGIRLLNHYGVTEIGAIAPIFRPTPGTGYNHRYLRLR SDLGLELRPASPAAKSYRLIGYPCGWGGKHFEIQDDMQLNPDCDAGEGMEVRVLGRVD DVIVLKTGEKIMPRTLEDLLTGDANVKTAVCVGQGRFEMAVLVEPSPSATTDLEVLRE QIWALVLEANKTLDAHARVSSKHAIIFVTDGKTIPRSDKGSVMRRETAEMFRTEIDAA YQAIDAEGSSDDGAVFDVDNVEDVLRMLLRDVVSPSFKAGSLGSEDDFFERGLDSLQS LKLARKITSSLRRSGNCQEESLTAEFVYRRPTLSLLSHAIRTLLVEGESTESLQKPNS RTDAMKALADEYIQRLDSSRPSTTNPLVVVMTGSTGSLGAHVLSRLSSFSSVTKIICL MRGSRTAASVSPLTGDPRKSYQTQTISSAGLPPLPEASWSKITFLDFDSKTHLPLDSL ASEVTHILHLAWPMDFQLTLPSFRTHLDLLCDLLSLCQKASSLRPEVGVRMVFASSIA AVRYFYGDGPVPEGPVEADAPVKMGYAEAKWVCESVMKEAAEVFKSQGDKAESVTVRI GQLSGPADTDKKGGGLWKTGEHMPVLVKACQKLGVWPDLEGTVSWLPVDHAAQALTEM LLSPQVLPPFLHLENPIRQPMRDIIAIMGREMDVQPSRVDGTLVPFEEWLVLATKSGA ISASLKDFFEQDFRVLGQGQIVLDTKLSRPVSKTLESESGVAKEVVEGYVRKWKATGF LE QC762_400040 MADVLLFPGQGSTSHFSDHETIHQILDQLGQEQAVFDGFVQSCK DHFQEEYNALSPEDQSSIGNPQDITDFVQDSKTFLTPPPALQSHPIFETTTLFIRQVL ELMLYQSRSDGSDQPVETAGVCTGVLPAALAASYPSYLSPQFVRAAAQSYRLAFWIGL RVSQFCSKAAADTWRDLPWALSIFGLPVDQVERILTQYIDEMSTTPTPRISAVFAADN ISLSGSGPSLSQFKTIAASQHPSAHFRSPHVHGYYHGGAESVCLVNQVLQDVQTREIT FPTWQCLQIPLRSVATGQLLDPIAAAASGSSLLEVVLHSVFVETVGWLYTIGSLTQSL REGLDKDPDTQYRVIGMGPNASSLVRDLRTSMLTSKVSIIESFGDFALNSPPESYAIV GLSVNYPKGNGLDEFWETLVRGESTLSTIPDNRFDITSYGNKDDGKGKPKPTPNHGNF LDDAFKFDAAYFNISPREAKCMDPQQRLLLHVAAGALEDAGYSPNSTPTFIKDKFGVF VGVATGDYVDNLREDIDVYYSPGTLRAFLSGRISYALGLKGPSIVFDTACSSSTVALH HACQSLKSGECTVALAGGINVMSSPYMHLGLSRAHFLSPTGQCKPFDVSADGYCRAEG AGLVVVKKLSDAIREGDHIHGVIRGMGLNQCGTAKSITHPDAATQAELFNEVLSRNHI DPDSISLVETHGTGTQAGDFAEITSLQSTFGMRAPDNPLYISSVKGNIGHAEAASGLA GLTKLLLAMREKKLPPQASFKTLNPRLKSIREHNIVIPTVLTDWTTRDKTPRRALLNN FGAAGSNAVLVLEEYTGNTQKPVKAGRNKAEKVSRSSHILNISAKTPAALEHLREEYI SYIKTYPNVKIEDLCYTATARKRNEGYAHRISVLGSNVQQLESQLRQEAVTQVANLKV AGHRKTLFVFSGQGGIYAGMGGELLLTSPRFRSAVERCDGILTANGFPAVSSYLLDSE SWRAEPKPVVEQSACFVIEYALAQMFIHWGLKPDAVVGHSIGEYAALVTAGALTLEDG LLFVAKRASLMTAKCPAASSGMMACALPAREIEFLLSQHGFPALSVACENSPKDSVVA GSLDELTRFGELARANKIKNKLLEVAYGFHSPAMDPILEEIEAHAATLRPCLRAEGAV DVGLSTYGRLLEAGEQITSAYFAKQTRGTVQFSQVAQKLATKLNGNNVTVLEAGSSPI TLPMVKAAFDPSTSLLLPSLRPKDKPWVALCTMLRTLFLHGVPVKWREVYDTSSRMLY PFPQYPLYGAEFLIPFRELKNEVELEGSLPASPEPLFEFLTSRGSSPPDKPEVTSFST PVKQLAPFIKAHAVGNTPLCPASVFMEYALEGAVVTDDSLAKATIAMEDITFDKPLVY DDNSPPSDLQLQLDRSQQEGQIFSFMSGANHVHCSGTLRQASTKFVSTIFSRKEAFVR RQKMTSFEFGGRENANSFSSRTIYELIFPRVVAYSEPFLTLDRLTVSSTGLEGFGQFR VSGLSLQGSFVCAPAFIDTMLHAAGFIANIKVNDQTACICTKVDLAILPEDSNDVYER DLDIYCSLVDLDDAIVADAYVMTEDGKVVSCVEGMSFRKINKAGFKASLARAAGKSVP ATSKRVAAPVPAKRAPGQKQEHAARVEQTQQSPDIEQTVMAMIKEVCGAGDDTRMSTT LSEMGVDSLLFIELADAIRRQLPSLQISTHELEACNAVGDLMNVIKKASASGITTTHS SPSVSEDGRATFSDASTSSISTPVTPPDGEETSIKDLLEDICGLDIAGVDKTAPLSTL GIDSLLSIELQEELQSRLGLTIDNGHEGISELRVVDLEVLYAKKLASHRSQGQLQGLG IRHTTGTTPPPHASQRGLESANDSDFPTQLQTQQSDSPKAPLYLFHDGSGLSSMYRHL DSLNRNIYGIYSIDGSYPAEKQAKTIQELATLYIDKAKLSRQPEILLGGWSFGGVLAF EVSRQLRAHHPNVTVKGVILIDSPLPINHDPLPQQVIWHVVGSKGRDSPLRKQIQAQF SRHAGMLERYSRQQLEDIKGGGVPCAMLFCTKTMNTERACGVTYPWLSDGEYRSKAVE RWETVVGRRLLALDLDCNHFEVFEDGNIAEVSEKLGGACALLEGRS QC762_400050 MSVDCSFSGLLSTPRAEGSPCIKVVVHSGFQMPHPVLDTIAFSM STPHIAIIGAGPCGLTLAQLLEHKGIANYIVYERDDSPNSNRAGGSLDIHPETGQRAL REAGLFDKFKECARYADTAFALGDKNGNRIFQMGEGRDAPEIDRAELRKILLDAVPEG KIRWGHILKEVTFGDDGRPRLRFENGTVLSGVRLVVGADGAWSKVRRVITKATPQFAG KAYLEGRIRRGNPLYKTMAGKFGPGMYLAIGDKKITITQRQGDGSYRIYFGFQTPEHF FRTLDLQNVEAIREMLLSSKYYGDWAEGTKDLIRHATDFWAWSLYTLSKEDLSWKSVS GATLCGDAAHVTVPNGEGVNLAMADALELATSIAQHGVEELNEAVAEYEKGMLGRGAE TIAQGEMMIEAMFVERPDIFVQKFGPIMGLNN QC762_400060 MTLLDIVVEPAPGLSYFTPAQNPPAGTAQDPQTSGKPVPKLFQP LTIRGVTFQNRLGLAPLCQYSAQDGHMTDYHIAHLGGIAQRGPGMMMIEATSVSPEGR ITPQDVGLWKDSQIGPMKRVIDFVHSQNIKIGVQIAHAGRKASTVPPWLMNQGIVATA KVGGWPDGVISPSDVAFNEHYCQAKAMSKGDIEQFKKNWVAAVKRAMAAGADFVEIHN AHGYLLSSFLSPHANRRTDEYGGSFENRIRLSLEIAKLTREAVGENAPVLLRVSATDW VEETLPEESWTAEDTVKFAQALAAQGCVDLIDISSGGVHPAQKIVSGPAFQAPFAVAV KKAVGDKMLVATVGSITNGRQANKLLEEDGLDVALVGRGFQKDPGLTWTFAQHVDTEI AMASQIRWGFTKRGGSAYIDPSAYKQSIFE QC762_400070 MARALPPHTWENGFKKPIQASATPWVWKNDEQDDDPHSFVTPPS KELLTSTAHNRVGINVIRTWPTLFDGTNNPHGTPDWWKPLDEVDVLIVGAGPSGLEVA LSLARQGVSFRIIDKAPTPLIAGRADGVQPRFLETVATWGLASEIAEEGPLIERTAIY LDGKKLLFNRSHQCDSRYRGLHIITQGQIERIFIRDLARHKSLVERERILSKYTVEGQ GEYPVRATVKNERTGQEDIVRAKYLVGSDGAASSIRKSLSIPFDGVSTDIYWGIMDCV FETDYPHAWVFGSVISSKHGGCVIIPREDGYIRLYTQLDVSQTGPIAAARQQSDASFA EAGGRVEIETVTPEEVLEQANRIFAPYKLKFAAPLSWFAIWKISERVARSYSSHDNRV HLVGDAAHVHSVMGAFGLNASILDAANLAWKLGLAAKNLADPQALLPTYSLERREHAV RVIEVSGAYLRFVTGSAMPVPNLRNFDALGSSSKANGHQTNGHTNGHTNGVNGHSSDA NGDSKQVHLHVESTSASADSPPRTQEDALNFLAQFFQAHGQFLLGVDCPYATSPIAPQ TAPLLSSPAPVKLNNGVRAPNPRLCFSDNQTGYLYDLFEGPPKFHILIFGSSFRGEQV RNNIAKLSSVMSSKTGFWTRYGGQDRFTLTVVVKRMPFEAGDDALLDDLTHKLGAKVV FDDRQPDEDAHTTYGANHTKGGVVVIRPDLWVGVTAFPHEAEKIGGYFDGFLVPV QC762_400080 MAITQANIDPTSQTLLQLSSLIQKTINSYISHRQSTIVSSTTED GLGIPSRPLFDAQRTLLAAAGKLTELVSSPQTRLIEVANQYFEARALHVVADKRIPDI LAKREKGVSVTELTQEVGIEARKLSRLLRCLCSIHIFNEIEEDVFANNDISRALVGNE PLRAYIMLFGLDLYTASDHLPRYLSDPEKGHSYAVEVTPWQDAVNTDKPRWDWLEEKA KAGDLKALCENGTNGATSSYPGSFGNTLQEGLTSVAASGKTNGEAANTLVARPEHAIF GLAMLGGGRVYGKAHLFDFPWGELGDATVVDVGGGVGSFSMELSRLYPNLNFVVQDRA PVLQQAETEVWPKEHPKALQSGKVKFWPHNMFEPNPVKGADVYWLRYIMHDWSDDYCV QILSAIKPAMGPHSRILICDQVMNTTFGSAEIEPAPAPLPANWGYYTRYSHQRDLAML SIINGIERKPAEFKDIIERAGLKLRKIWDCRSQVGLVEVVLPDSQLA QC762_400090 MVKTTSILSLIVVAAFRLPGADGKPCPPLGAVLPPPRRPSKDSV VAEAVKSLEAVFASMTSRYNASAVSIGVRSIHEDVPLVDLHYTPPIKNKNGTEEVTAD TVYRIGSCTKLFTVLSLLQQTKIRWDEPVTRYLPELEENQVHGTEIEAVQWQHVTIGA LASHVSGIGRDLAFDLANFPSFPAEKMGLPPLQEKTRARHCSGLGNTTACEEEGERLS GSEMYCEC QC762_400100 MYSSTRDMLSFGAAILSNRLLSPLATRKWLSPTTFTSSRGHVLG APWEIQRADRLVPDGRVVDIYTKAGDLGLYHTMFALVPDYDIVVNVMTAGKEVTDEFF VQSDVISQTLKAIIPALDAVTKQEAKKNLAGIYQDKESDSVVELEVDDGPGLAIKEWT VKGFNVLGNFTFYNIAASGRTLPGIARLYPSSLKAGSQKLWRMVFDQVDDERGEPFDE EAVYPDARCVNWGTMDRFTYDFVGLEEFVMTVGKDGAAKSLSPVGFGVSLAKQEYM QC762_400105 MRPNTSLLLAWALPLALAVPAPLPVEDRSALEQRNAYLEHLEQR APNEEGATIEERALLEERCGGGGCGSCTGSGCGGVQVAAVPEVIQSSPCTTCGEVVVP GPPGPPGPPGPPGISSIGPPGPPGMPGGPGPVGPPGLPGGPGPVGPPGLPGGPGPVGP PGLPGGPGPWVLPGWASSDPQDPRDLLDQPLSLTSAMDLGILGDMDMADLASGELGTL DMAMVVMEDMGLECVALGDMEDMAVMEGLVPVVLEGMEDMEDMVGVLAPVDLEVVREQ QQDWT QC762_400110 MLTPMKTLVVMAIFAAIAAAKELPVNLELKAELYDSGIRHEQIM ALKNRVWSEFEAQGAYDSRQYKKFSVKKASDFYACTNGFATYIPNDSRYRFRCKELDL YDFKTHAELGSTQGRGAGSWGWTSPDGREFVAIAQLDGTSFAEVTKQGKLVYLGRLPQ YTTAEPSLWREIKGYKSYIVIGSEAEKHGVQIFDLAKLLTVDPASPVVFSNQKDLAGW WGDALPIGRSHNVLTNEELNYGVAVGFQPRNSTYKAGLLFFDLTDPANPTTLGGSGAD GYVHDAQCLVYRGPDEKHVGKDICYGYNEDALTIYDVTDKKNITIISTTSYEGASYTH QGWVLDTQWQQYIIADDEYDEVDARGPARDGYPVSYIWDISSLEKPKQTGHYKHLRKG IDHNQFVKDGFSYQSNYALGISVLDLRSVPRDPTGKGIKEVAYFDTYPEDDHLPGGGN VTFTGTWSHYPFLPSGFIVINTMDRGAFVVKKSKGAAW QC762_400120 MSVNRTASVSSAGNHRSTSSSSAKSNREHLLPEADVQAQVVAEH LPAGFTAEFDATLPAPKSKPALATTSRSNSTANTTKNHSTAAESSLKLQGGDIHRELF RLAASEGGPGGTSQIRSGSVHRRANTFHNPREYRRSLAAEEGLSVGDQLAPGGFRRAY LLQKRRNGKPNDFYAARMPITRNFVEFLNLYGHFAGEDLEDSDEEAVESESEDEDGER RPLLTTTRERQRQREREEEAEGREGAGMTKTFFTLLKAFVGTGIMFLPKAFSNGGLLF SSLAMVGVSAISMWAFHLLLELKERYRGGYGEIGYAVAGGRMRGLILASIALSQLGFV CAGIVFVAENLLTFFEAVMKDSRSFTTAGLIALQLVILVPLSWIRNISKLGPAALLAD ACILVGVTYIYWHDITSLVDMGGMDKGVVMFNPDRYTMMVGSAIFTFEGIGLILPIQS SMARPEKFEWLLGVVMLIITIVFTSVGALCYATFGLDTQIEIINNFPQDSKLVNAIQF LYSVAILVGTPVQLFPALRILETKIFGRKSGKKSLKTKWIKNGFRFAMVCLCGVISVL GTGNLDKFVALIGSAACVPLVYVYPAWLHYKGAAETKAAKLGDLAMVVLGLVGMVYTT AVTIINSFM QC762_400130 MAPTQTPTLLWGGRFTEAIDDLMFQFNESLSFDRVLYKADIHGS ITYAKALHKLKILSDEELGEIVNGLQQVEKEWAEGKFVIDVKSDEDIHTANERRLGEI IGKAAGKLHTGRSRNEQVGVDMRLWAGEQLEQLAAILKDVLATTAAQAKEYLPILMPG YTHLQRAQPVRFSHWLLSHATFLIGDLNRLKGVQERTSACPLGVGALAGNPFGIDREF MAKDLGFASVHPNSLACVADRDFVVDILQWASLLMAHLSRLSEDLILFSTAEFGFVQV ADAYSTGSSLMPQKKNPDSLELIRGKAGRVMGQASGFLASLKSLPTSYNKDLQESVEP MIDCIKTVSTCLRIMQGVLATLKVYPEKMKAALTDDMLATDVADYLVRKGVPFRQTHH IAGAIVRRGEEAGVAISKLPLEDFKQISPLFEADVADVFDFEKSVERRNTYGGTSSSS VLAQIEAIQKLVQQQ QC762_400140 MKFHRNSPHEEESDKDGDKRMVNKQGRRGRYKVRWRWWRPTLTP NEPFTSSPSPSPQRSSLNPEYSGSSVNILSDGGSSQSEEDYQIKHSLSLIKAPLSPDD YSDSGISLSDSIEPGTKLEPRLAMPYIGAEAEGNRPSWRNIRPASIVQFPRPCVNLDK EFKIRTVHFTVPLEHHKPSGRQINIHAELVYDTHERDRFDSWVPACRASPILVFLCGG PGDKNPHDRSPALNRMLIEKGYVVLYADYRGTGQSSKIDSATVKAYNDTGDFAGAAGY LSLFRQDNIVRDLEAVRLCLEEHLYPFSSTNGNGGDGLKWTLMGQSYGGWVALTYLSF LPGSLAEVYLTAGLAPVTIGSPDEVYEALYSGIRRSNQRYYQRYPEDEVLVREVYNTL LSRGAAYELPDGSKRKLTAQTFLTLGRKFIGGDSGLEAVHDFVGQLHGQLVRNNALVP SQEVLKEFSKLEGFKLHSRPLYGVLHEAIYCNNGDTASEWSAQRVGKRHQEYNGWLQG KHAAEGQRLYFSGEMVLPCLMPEEFRYANDLVASKADWEPLYDLNQLKANTVPVRAMA YQDDLAVDFELSKRTVEAVKGCVMVVGKSGWNHGSLRNNTDEVIRMLF QC762_400150 MLSRTARAVGGRLLAQSLRPVAIPVARQFAPIVSRPATRQYHEK VIDHYSRPRNVGTLDKKDKSVGEGLVGAPACGDVMRLHIKVDPETQVISDVRFKTFGC GSAIASSSYLTELVRGMTLDQASKVKNTEIAKELCLPPVKLHCSMLAEDAIKSAINNY YKKNPQVKPTNLAGTGATLESVAA QC762_400160 MTCVHVSSANLSKPGPSDPVYREDCTQCFDSIDDPAGLDVCLKC FNGGCPGDRNHAKLHSTSHGHPLVVNIRRTRKVIERDEPPLKMSKLAIAAETEADRYE TKTTVKCLECGLDELDKEDPAIGPIVDSVMKANTFSQKEEVKAWEQEMTSCEHILTLQ QDPPKQIESQDLGHCSKCDLKENLWLCLQCGALGCGRAQFGGVGGNSHALAHSQETGH GVAVKLGSITPEGTADVYCYTCDEERVDEELGAHLANWGILLSERQKTEKSLTEMQIE QNLRWEFSMTTEDGKELTPQFGPGLTGLKNLGNSCYLASIIQCLFDIPAFQERYGAGV GPLPDVLDPAQDLETQLRKIADGLLSGRYSKPESDITVSEHSPEVPHQKGLQPSMLKH LIGRGHAEFSTMRQQDAFELLQHVIKLITRSKHPSGLPDPTRSMRFVLEQRLQCLNCK KVRYSSNEQDSIFIDVPLEKLPAEEGEEPKYKPVTLKECLDNLTATEVVELGCTSCSS KDGFSKRTLFKTFPEVLVVNARKMAVVNWVPVKVDVPVLVDDEPFALDSYLSKGQQPD EEALPEDEAAAASNVPAFVPNAEALAMLEGMGFPRVRCEKALHATGNSDANSAMEWLF AHMEDPDIDVPVSLGGDSGCVSAADPEKLAMLESMGLGGPRAVKALKETNGDVERAIE WLFSHPDDGGAVDEEETAAPAGDKKGAGSAELPANFQLQSIVCHKGTSIHAGHYVAFI RKKLGEQTSWVLFNDEKVVKVEDVEEMKKFAYVYFFKRV QC762_400170 MSAPPKRSSSVRAGGDKDKSKVHKLSLKGSAKLVAEFFQYSIHT ILFQRGVYPAEDFSAVKKYGLNMLVSSDDQVRSYIRKIMSQLDKWMLGGKISKLVIVI TDKDTGEHVERWQFDVQIFKKASSSSKSKTSTPIGDENTGEERPTATEKEKDKTEAEI QQEIAAIFRQITASVTFLPQLSGNCTFNVLVYADADSEVPVEWGDSDAKEIKDAEKVQ LRGFSTANHKVETLVSYRLVE QC762_0060920 MRRTPLMLASAIRSLQQPTCHDLSLSVPVTSTVPHHDFETSAYS AEVLYALATRQVLVTNTYNISARYCEPASSVAASDTIQLLIHGATFNKNMWDVAYKPE SYSWVRRMTQVEGYPTLSIDLPGNGNSSFPDGLLESQTQVYVETAHSVIRQLKETSVV GDRQWDKVALVGFSIGAIVANSLALQHPDDSDAVVLHGISWDATWIYPAFLAGLQGPA QQIDPEKWGHLEPYYQTQANREGRRAACFAGSYEEDIVEYDWLTRDFDSLGAAITFTY HLVDAPEYRGPVFLGIGDQDSTFCGGQYCKHQPWDLYRKFPEATGYDVKVYPETGHLI LYHDTAEQLMADTVKFLRKHVERPSPTPAPTPKPSPTPTPVPAPAPAPAPAPVQSSPA PTPTTAKAVANNPATTPEQQQPATTRRPADGGATDKVNEGTPTATDRGGAQAGGGQQN SSSPTLVPLVGSAPLDPPPDDQFPGGQGSSGGGQDGATAGFGGSAGGGPGSGSGSGPG GSVGSGGNEGNGGHIAPGSTNGTIDSPGTPNNNGLSTNGGLGGGAIAGIVVGLLGLLA LVALLIFFLRKKKPQALQRVVKKFNGRPGSSYPVSAHGAEGMDKTLIAGGAGGSVSAA GAARRNSQQQDQLPMQQPTNAPTLRPVNTNLRANWVSSPTRESTRNSNAFTPSPVSPL SPAMPVSVLGSAPLPSAYPVRSQYYQAPPSKPGPLTQAGYPRSSQYVPPSNAGGGLHV AHAASGAHMNRASSVSSVSTTSALSAILNPAQMVWPMPPPPTPPVSVPDTPPATARDN KPHYVDLSAPGQTVVRINKPPSVRRPRRQNSNY QC762_400200 MFAKSAQMQSILIACLPLVAAALHGTRHVPQFDRHGLETADCQI RCPKSAHPSKVILPALTQAKNTTTGEWENTLECWSIDTVDPDLTDIDIDNAIRLHWEG GFDKAYQYIFHGDSYMPAHPAPEPSLILMSAGIGDLRVPSGKCLRVGAGDVFFSVGTL GKQTAWWSEGTLVSDFYFKDGKIPHHEIVEEIDAGNDAVGEGDNTLHSDL QC762_400210 MARCRALLQLGATAAALWSYSVLPLLMVTAAPTPECCALLAQAF PGDVSPRGTDVWTFENEDFWSATEIRNPSCVFLPDSTEKVAQAVGLFVANECRFSIKG GGHSAIPQAANIDDGVLMPMKLLNTTEVDLEAGSIRAGMGLLMRDIYQALDPHNLTAM IGRYEKVGMGLTVGAGISYFFNREGFAVDNVLNYEVVLANGSIVNANQTSHPDLFRAL KGGNNNFGVVTHATLRTVETEGAVYGGVVYYPESSIPQVTDQIYDYHTRQAVEDTLTH VLPQYGYNGTSNESIAFCPVIYNRAVDELPEIMRGWVDTPYTQSTLKKRPYADLAFEL HDGFPDRQVQEQRVFTVYADADLYRDVWAAYHSWLQQWQHIPGLYGLHVVMPITQNSL VASYSKSSNVLGLNDNLTNNQTLGVLYFGLTMDSMDDTAEILPAHAEFVQSMIDLAKS RNLWHPYIMLTYSGWDQPAIASYGPENVAFLYEVQAAYDPTHVFQRLVPGGQKLPEPG SW QC762_400215 MPIPKPQVPRTFYRSSSARYVSSTSARLATATSPSSRSNINDPR GGAPVDDIDLVFDYPTSQQASPSTSPPSLESSGLSASSISGGAYTGRGFDMDETIMSA QETVGQVKDKMGDVMHTSKATIKRVDKEMGYPDNNVIYAGLGALGLAALYVTLREPGV TEEVRRRDPTLDARRGMHNVKHDLPAHAPLENKVEKMIGRTG QC762_400220 MPPKPKKFYGVRVGIEPGVYESWEECQKVIAVSGAVHKSFLSRD EAAAWVDGAPAAPGKITRFYAVARGHKPGVYTDWDSAQMQTTGFTRPVFRKFDTRAEA EDYLGAYPAGSNQAQSAKSQPTRKRGLEIDEEEEVVLPRKKSKAPSTDGKITMVYTDG APPGNGKAGACGGVGVWFGADDPRNVSERLAGPLQTNQRAELTAILRALQLVDLDSPI DIRTDSQYSIDCVTKWYVSWVKKGWKTAGGTPVKNADLVKAIRDLMEDREAKGTITIL TKVVGHSGDYGNDQADRLAVLGAQLPALDEKGLGE QC762_400230 MSSSTSAPLTSTRPVWLVTGASSGLGLEVAIEAAKLATVVAIGR DHHGLELAANAGCRTVELDLRASAEHISSVIADIIKTTGRVDVLVNAAGYILEGAVEE TSDAELEDIFLTNVFAPIRLARAVLPHMRRTGAGVIFNVAGIGGFSGSPNAGAYCSSK AALATFTEALQRETGPLGIRVCLVQLGHFRTAFLKAGHRLHTAQRIVDYDPVLDPLRK AFNGLDGHQPGDPGKAARALVHIASLDADSIPTLLALGSDVVPAELSAHEAKRQAFLA HDTWTNSMGFDA QC762_400240 MEDENRTRQRGRKRWTEEEDSILYEEAMKQSTTGNVRDWHRIAT KLPGRTNKDCRKRWVNKVCGGLKKGLWDPEEDRRLQSAVEKHGLRWPLIAAEVGYRSP DQCAKRWQYGLDPRLKHREWTTEEDKLLLSLVQERGREWKAIQHSHYPSRSRIDLKNR YTILMRRLNNPSHQDVFQDEDGSDTSSVISAGDASDDGTGTTAATTISTHSGSSSHRL HSRQGSRVSAASDQVVAQSNTHSQHVEVSLGTGHPHVEQLEADFNASDWLDSSAFSLI PTTTAQHAGSVDPLSLTSFLDHHSSGLHHDTAMMSSTWEDPFSASAAPQAYHLSGFHL STSTYPQTAGLTTTTTTADDHDVGKGDVEMEGMVAFDPTLLLADTTGTDSYSTEPIQS TAGPEQVPRSEQNVGGELGSGDDEDVSKVVIAVEGCDKDTLDYLLNVTRPIKGRVKME ITM QC762_0060990 MTAGGQHRATCELKILTTNRRKLDQNRVRCDPATTDALTDHDGK AWRYESEGPALSAPRASRTWGRVVRGKILSAFHVRGAKGAHKTGFDASGIGSSRPRTD YKTGQKAC QC762_400250 MAGDGAGVTGKSDPIITRLANEDKKWFYQKPNLLYLYLMLFPTC MGIELTSGFDSQMINALQIVPFWKLYFNDPQGALKGIIAAAYSLGAILSLPFIPMIND RFGRRWSIFGGSVVMVIGALVQGFSVHVGMYIVARMILGFGIPTCIVSGSSLIGELAY PKERPVMTSLFNVAYFVGQIIAAAICFGTNNIQSDWAWRIPSLLQICPSLLQITFVFF LPESPRWLITKDRGDEALAILEKYHGESDRGAEFVAAEFAQMQTTIKLELEAAKKSWL DLFKTAGMRRRVLITTMLGLFTQWSGNTLISYYLGDLLKMIGFTDTTYVQKINVSIAC WSLVCGATVSLLVTKIRRRVMYLACTISLLLCYISWTISMERAQTAAQAGTPNNAANI ATLFFIYAYSPCYNMGYNALTYTYMVEVWPYAERSSGIAFFQLFGRLAGFFTTFVNPI GLNSVGWRYLISYCCWLAYEVVFVYFFFPETFGRTLEELAFLFEDKHLADQANAAVEK VLNEKNADAISLGGEKGRSEVRENVA QC762_400260 MKFSHIVAVLSAPLAVAALPAAAEQDVSSTLLEARQNRRPTPCV RKTDPAPTQEETDARFAEFVEVFVGSRKNISRAFEFINHNPMAQNGHASAWSILGNMW GGIQHRYIRSTIRGNMSWVNYSAPGFGTIVDRFRWEGGCIVEHWDQGERYPARK QC762_400270 MSNLDSTLAGHAVPKPGASQPTNDGLDLIEEHILPKLDPEFLQY FIDVIAKQPPAHTIPIEEVRKSPQKHQPPCALDSSKEKGVGDHVVSSQDGTSIPVRVY HPVEDERGVVRPGPHPVHLNFHGGGFVLGDLHSEAGLCLVMRKAGVVVVDVNYRHCPE TIWGKCIQDAWAALNWVRDEHVSLNVDPFSISIGGVSAGGHISLVLQHMARDAGIPLK LCMPTVPPTTDCLSLDYYTQSPYPSFHEFHRGPVLPWKRIKYFGNQCMPRDKLPEIRK MWPDWWLAPMRAPNWSGLCNTYIRTAEVDPLRDEGEAYAMKLVANGTMVTLKRYLGCP HTFMYLGVLSQKRQWDEDSIHALKVAHGLLK QC762_400280 MASRQQASLLRNQNDEERDYDMAVRNFQSGAYRDWPNEAGFEGL GEERGPVEVPVSGCIPLWAIGSLYRTGPGIYTIDDTPKGTYRTEHWFDGLAHTHRFDI TPDPDNESKVKVFYSSRRQSDHLVEHIRKTGSMKHLSFGQKRDPCLGLFSKVMGTWQA AMIPHGEKWMENVSVAILPNPPGLESESGNLLGNKTTVGRAPQSGGHRVPLPQSVWAT TDNNLMKQMDPDTLEPIGFATQSAFHPSLKGPLSCAHPQRDPVNGDIYNFNQDFGRET VYRVFCVSASTGQTEIIAELRGEGVHAAYIHSFFLTQHFVVLCIPASHIGWGGVKIPW AGNIVDAMEPFDESKKMKWFVVDRTNTKRGVVGRFESDAGFFFHSVNAFEEDDGRRIV CDMMRYRNLDVIQKMYCDVILQRDGATERFWEVEERAKGSMLSLTRFSLCLEQSNPTR FVPVEKVFAIPAPHAGELPTINPLFATRKHRYIYSLPYQGRSTMMDGIVKTDTQTREV LFWDIPKGHSPGEAIFVPRLPTGSNAEQEEDDGVLLSIILDGFDKTSYLLCLDAKTMK ELGRAELAFAIGLGFHGVHVTASSKEIERVTGSFTQK QC762_400290 MEPTTSGASGSASGPPPGAFAGPPDPSLIPSVPTDAANTAAHSF TAAAVTLNIISFILFSARLWTRSFPVFHMGWDDYVISAAWVLVLTNSILLILTVPYTF GGDPSTFTLADVIYSNKLAVLSQPIWAWSMAAIKISVAGMLLRLEQRKSVRHFLWVMI ALQVIVSIYGTLAAVLQCIPLHAAWDLLGLVADAKCWSKGAIRVNSICIASFNIVTDV IFALMPVTFLRKVQIPLRERIVIGVLMALGIFASAASIVKATMAANFGLTEDPNLEGI QMGTWSLVEEQVAFIAACIPCLRKPFQQVLQHFGLATANASTKKTGATGYGRMNGTSG VSNVNGAIRMKSLNSSRAQSEEDILGPNGQNGEAEIWRTTEVRVDLEGGNSVREETIR EKI QC762_400300 MWLPSLNPLFAISTLATLLLPVVVTAESVLRSSSLAACQASSGF TASLFDVVFTPNNRTVAIDLLATSSIEGYVLFDITIIAYGYKAIQTTVDPCEAKIMGL CPMVSGKMTHPFNLGPFDKEALDVIPGIAYTFPDLDATVRVYINMTSGENKGQTIACL EADVSNGKTVDLIGVKWAAAAVILVAFIASAIVAGLGFTNAASHIAANTLALLAYFQS QAMIGLCSVSLPPVVQSWTQDFQWTMGIIRVGFIQTILTWYQRSTGGTASVIFDTLSI VSVQVEKMKRSLPVLEPAVQAIQKRATDIAHHASTLGKRSYEKTEWGSYIVYGIQRVA FRARIETTNLFLTGITFFYILCLVLVILVLLFKVGVELAVRLKISKGDRFSEFRTGWL TTLKGILFRLTLVCFPPVVILCLWEFTQVDSPGAVVLAVFFLFSMLGALSYAAFKIIQ IARRSVALHRNPAYILFSEPRVLNKWGFLYVQYRASAYYFIVPTLVYILVKGMFIALA QRSGVVQAVALIIIEAAALITVSVIRPFMDKSTNSFNIAICSLNFVNAIFLFVFTDVF GLPGLVIGVVGVVLWIANAAFSLILLLMLIITTGIILFHNNPDTRYQFMNDDRTSFIK SQTHIATTSELDALAATARGTGKTIDLDDDESARSSPANVGGLPRPGTAGSNTSPNRY SLKSVRDSARNSVRSSMIAPGGVYMSEKPGGQGHHLRNQASGSVRAPSPLTASGSDGS LPKTSSPPKPQGGNQWQRGAGYD QC762_0061070 MVESGERVTRAYTALHIAAGRGHLEIVTLLLDHGADLQRQSTGF CGCSPPRSEWEEIECEWAYDYQKGGEETWSPLHVALCSNNDEVAQLLISRGANVRNTG SLKWSVYHQLAFYGKLDFLRNVLDRHPVQVAEIRDVDETTPLIYACLGHHVDSLVPFF LSYGERINGKTYISLCFQNVVFANPLGQAFALGYLQDALQLLKYGSDPTDDIQVETSE APVDRVSWTPPLVMCCLPGLSNDKSQEDARLKLLRRLVNPEGPFDWHIPGPYDGPGYY PSVATFLSLAAWCSIKGLVKTLLEAGVDVNSCDNLDRNALMTLLGDVFSWEADQLVLR GHFHFPQEMEDNEYYDSVLEMVRLLLDTGIHPNYQDAFGRTVLHPLFMAQPSWRPDRL KPDEAGEIVGLLLAKGADPLIREKQGCSALRRAVRSKCKWALEVMCRTCRFELRDAFP THDEVFDVLVDCDYDRRPGFELPRNRDVEGGAYDELAEYCGYRRAKDYDRVRTHHYSD YPLESVDSSGRFFCSKSQTEELVQFLCNLDRTASCD QC762_400310 MSAGKKHARPDGEEQAVRSSKKAKTDEVANATTDADGSDLKAEK KKQKKDKKGKEKRERGEKKERKENKENKEDADKQQDTEIPDADADAESGPKAEKTDKK KDKKKSNKSKSSEEPIASASDDEIKAYLTKEEIKIEDPSNSPLQPILKFTQLPTSKLI AKKPFAAYNAPTPIQAASWPFTLAGRDAIGIAETGSGKTMAFALPCVEKLSIHSKKAS KDYRSTRPRAVIVAPTRELAMQTHEAVSGLASQVGLTAVCIYGGASKDDQRALLRKNS GADIITATPGRLKDFLSDNTVNLGDVMFAVLDEADRMLDKGFEDDIKLILGGCPPKEE RQTLMFTATWPTSVRVLAESFMVNPVKVTIGNRTRAGEDGNSSGTVELQANSRIEQKV EVVDPRGKEQRLLELLREAQKGSAKNDRILVFCLYKKEAVRVEQFLERRGIRVASIHG DLRQDQRTKSLEAFKAGTTSVLVATDVAARGLDIPEVKLVINVTFPLTIEDYVHRIGR TGRAGKTGKAITLFTEHDKAHSGSLVNILKAAKQDVPEDLLKFGTTVKKKAHDSYGAF YKDVDMTKKATKITF QC762_400320 MGAPEDVAAASKPEPIVSATSTTTSTTTSTRLLRGASVLILLQI ISRAITFIANQVLLRFLTAQLLGVSTQLEVYYLSVIFFARESLRVAIQRQDSSSFSDD QGSKKQNNGAQAVVNLGYLAIGLGFPLSFLFGWLYLNSLSTSTLASAPNLVVALYIYA LAAIVELLSEPAFMVMQTRLQFSARAAAESIATFLRCTITLGSAVYGARRGLFLGVLP FALGQLGYGTGLLLVYLHSGSGLASRENFSLLPRPISSSGQYLHPPTLKLTSSLLSQS VLKHLLTQGDTFLVSILSSPTSQGVYALANNYGSLLARLVFQPIEESSRSYFSRLLSS PSTPLSDKGSEKQTTETTPTPEKTASQSLLSLLKSYLLLSLIITSLAPVAAPLLLSLV AGKQWLTSGAGATLSLYTYYIPLLAINGITEAFVSSVATEKQVHKQSAWMGVFSLVFA SAGFITLKVLDMGAEGLVWANGVNMACRIVWCWGFINNWFKQRGVEADVREVLPSGVG VGAAVVAGSLVRGLHFTSKGGVKEVLIGLGKIAGVGVVFLGVLSFSERQFLLSAFQAI KGRRQV QC762_400330 MVNSKAVFCLPLALYSFFGSAVASSDGSIGKRDARLEERQRCRT PGWIPACPGPFQCVPPGAICCSDGVTYVMPPRNCPDGQTPLATATINDPAPTITTLIT TVPASTITVIDYTWYTFTYYYYYYYYSYYVDATTTILYSTLVTTSTGISLTATNAAAA TFLYSEYTRTVIVPTPSQTVTTVTSATETISVEPEPTPTASLTVSATGNGTISLVLPT STSSTPVQAGAGKNGIVGGFLGLALGFAAIL QC762_400350 MKLNLGSLALWVLSAAPVAEAHYRFSKLLVNGKLSGDWEYMREN SNGIMPTKQFLAPSDDFRCNSGSFANAGKTKVAKVMPGDTIGFQLWYYATMQHPGPLT IHMSKAPGDVRNYRGDGDWFKVHQMIICKAPNQYLNDKDWCTWDLATVQFTLPRDTPP GQYLVRVEHIALHGAQSGDTEFYFTCAQIEVGGNGNGKPGPMVKIPGLYDSNDPALRF FIYGARSYPYTNVGKHAVWTGGSGGGSSPAPNPAPSNPPVTNPSPGGGTAPLWGQCGG NGWTGPTRCAEGTCKFSNDWYSQCVP QC762_400355 MVHYDITPSKQASLPRYLYHQATFRPAPVHSVNLAGQTAIDEAK GAAAVQKLALKPDGTKRESGATVEVWELDLFDYGSVLSFAKRAKETLERLDIIMLNAA MGVPSKRAFHPETRHDETLQVNYLSTALLATLLLPVVKQTRAHQPVPTRISLGKVPTW QKDHHS QC762_0061130 MVSDSEFDREFKKTTTGAIMQRIKMWVGNSSAVAARMVTYTAAG CGEDTHGEFLSFQKVVPMAPITYTGEGEAISAGLWKETMEEFKFANVDNTVNNLG QC762_400360 MDALCEDMHVFEIVSDREEWSDAEWNGRVPRGSWKRCSDCRTKT NVCEQCKFWLENYGCPRPDTTTNNVSSPKATETETWGSPSKRPYISSTQQVRHQIPNR RNSVLSDHGFGSWSLESEAALATSWTSVSKSPSSFTTEEEHRAGFDIRREFEQDHSLD QSYINVAPFTPRLPLYGSAEFTNEVVSHTALSRVVYSQETEILQTHGSMRVYPEEHRD LYHCSHLPNTTVFGSRTSHSSIYTRTTTITSRTRLRKQAPKHKPNLPSPFDSGYIHVI FSCSHALSTSHVRGLWAPRPFQTDKLDSSWRWKSYKRVILRYYGLTGLVEVQGQGWGG SVKGGVVGLSIVFVEWPKVLWDWVTSWINDEVEVLCGRPRIGNEEGDVE QC762_400370 MSRRHLGALTMSTDPVLSEADAARLASRRQLPPPVPAYLPTGPN SPLAVGKELYSKIQSAPRVLEQSFTIPIRSGKAWTASAGSVIRISTPEGPQVGDLNIW NQHNPSERFWASRTRQLHSTHLTTHDRLWSCLPYMRPLLTILSDSLAWYGTDSNGGRV HDLLGTRCDPYINHLLSGGQSYDHHCHSNLVRAVSEFGLEERDVHDVINLFQVTGLDE KGRYCMSACPAEKGDYIEFLAEVDVLMGLSTCPGGDLSLWGFGADSEKEMEKCCRPLK VEVFRLEDGGLLEREGWRKAEVSGYKGGHELGA QC762_400380 MATAEAAYAVEKAIGHDDNNIIQQDVSNFNQKGTGDPNETMKAL VWQTKQKVEIVDVPKPKIIEPRDVILKVTGTTVCGSDLHLLHGAVLQMHKGDILGHEF CGVVDQVGSAVRDKVQVGKRYVVSFQIACGDCFFCKQKLSSQCETTNSNTTTKAMYGG RTAGIFGYSHLTGGFAGGQAEYVRVPLGDVNLLEIPDDVPDEKALWLSDVLPTAYNAV KDTAVYPGDVVAIFGAGPIGQMAGVFAVGEGASKVIFVDTEPRLSIIKSRWPKQHRDK IEVLDFKQLSFGVTSKDTVVSKLKELTGGRGPDVAIECAAGEYAKGWMHWLEMSLGAE TDTSEIINEMIEGVRNYGRAGVTGVYVGYTNHFNIGSLMQRGIRLIGNGQAPVHKYWE ELLEKIKTGELDPVQMISHRVRLEDLDKVYYKFDNKEDGMQKVFVETKFSHPRAAGTP ELTKY QC762_400390 MVALSWRPCRGLNTSPLGPYPNWDLLAEAGWYDTTGKKGHLKEY APSPQEEVSSCLQRSLESEALVSRFTEDDSWPSDCGKVEGTPTATSPWTVTPPEHSEE KAKELEHAQSFDLKDFAYFYNHDGRDHASTHLEGHALPKTPISQPAVTKTAPVLGPSK VWALPPRIRRRKKTKPAGESPPSSKVNPIDKPKPKKRGAFTDKAKKRSTALTRQLKSC IRCRMNRGRCLPNPSFPSGPCLTCQLMTGPTLSKMPCYRYIITEASLYREQKAPWQIF SRRWQSMDIVDISSSDWAPSSRIRTIVVSHLNVPTQFAFQVREFIPTAGDILEDEVTD PVEGTVTKVPLPRFAVADMKATAENMRGFVDGNVHNFITAMVGRDELLWETYLMAFRQ VGLARTKQEQTLLSNTFRLWVVCRMTSSPVYICGDDKLGGTPHPLCDNRVMMPLLMTA QFECINYTTFLRPWSRAVLKQLNDLVLAKKREYWLTIYLTMFVLLHSCAMITKRDEET ARQFRIPGKYANPASIREHHCGAQTMLAHFHYINRGVVPFSLPLHTKDGRSDLAKAAN LTEEQVSFVRMTAEMVKDIARQSTMRTVREQEDVGHDLYWVSMLYDQEWRPKQNE QC762_400400 MTMLSDATTAPAPYYSQGLRARFHIDRVRLEQADVDARLNGGKC ADIEYEVDEAKYRARSTARVKAGGLPTSVPDGWPTKLSGPLVWTSNSFPSEDEYVYYL TPSDKTEILAALAFFKSHNLDSQKVTKYLFPLPDLGPILSGICNDIYLGKGFYIVRGL DPDDYPLADLTAIYLGLSSYVASRRGRQDQRGSMLIHVMQRGDQSAESTLHDSIYSSD KPFHTDTVTDTLCLFTQELASSGGRSTFASAWTVYNELAATRPDLIHTLASPDWPFDT YGRDPPFYRRALMYFHDHRLITSFSRRLLVGHAPFTPRSKAIPGLTEAQAEALDAVHF IAKKHEIKPRMERGDIRFVNNLGLLHRREAFENVQGSKPRHLVRIWLNNDEGMCWNLP WPLRLAWARVFDDDFEDEGSDKRGRYWDYAPLRHPVTGRVLNTGGSCD QC762_400410 MTTITLAATGQTGAHGTQQWDNSTAIKAPRGSFGRQGRDASFPT SGTSGGEIHVGLSFDPARPGIIQVTGQAHRMGERYQVGGKQSLLLDCRGGDGGHGGIG ENGQSGGDGFDGRDATQTSEATDGSPGMNGGDGGRGTSGANGGAGGHAHVTVNEEDLD TLIGVEWDVRGGYGGCVGEHGAGGAGGHGGDGGAGCTWSERYVAAVHTNANGQHYTEY ATRYHSRPAGRGGPGGMAGRTPNDLLYPGQDGPMGYSEVIVNYKDGRRGVFQSRYMLE VVDFKVYDENGDGINEPGERLIISDIVIKNTGQMPSPKISRLQILVRGTKWLEPILEP LEIPAEIPPGHSVKVPGVLKAWIKNETVDRSSGTLLRAQDTVSLRAYSQRLQRDVPEF SGGVGIVCQYPLLMTTPKYLDSVAKGDIVTFSWTIQNISTKSQGRIGTLQREAGTHLS DPNGMFDLKRAPKDTPHDIMDMIEVIEPGEVIPITVDFQVSELVNEFTTGNMFVTLIL SDPHGKQMRNVVAFDLRIQISPSYRYNPAARFLLVINGSSPNAFVLHLLHFLQLGLHL PVDIFNLSLSGAYTTADTRDDILANYSGKTIIFLGNPMNYFQDGQRHPWELLDIDQAS ELARAGTSFLIISPENMQSLKGFSHLVSSGVSPFQPANAFTQTSNIKDLLTKLTPKTA PTPARVVLPVKKKFMKKLDKTLAATAKTAQQKLSDTFPLRRFLIAPSSLISDPKAKET GLDIIEGLPHSTKLVATLQPFRPDSPVISEYNMVMLTHSLPFSDQCAIFWNLAGIDTT YGVPTSTIYKGSSLSHLRVYGDGNTAQKVSGKALESLTWSLSTLLASEITHFRSGSGT SSLPLLSQLPLLSTFITSFPGKGFTPAEITATFTPLTQLLGFLRGVTSPLTLGQKLGA NLTRAGKRRTKLRSVVLNQLCSPVVKAMPPLPKKTTDPEGVVTKNKPPGEEVADVEKE TKKQILEVKRSGARGGRGMNRIQRVNMVCSLLLEALTGTEGVGFVDVINDPEAGGESV RVLGSFAEYDQLLAKLEERRGRLERDVEYSFGRLSGMVQRGGTVRAEQQQQQQPQIQR RATEPDGGGSGEGDGISFVSRSMTVSPVTTVASPIVFGRGPVEVLRGGIGKEASVAEK VEEVVYAHELPLTVPIRGAELAA QC762_400420 MLSKSLTQRLPGVTQALAKRAPRVVVPCTRPMQLQHRLQVQQPP SSPSCFQRRTAVSFQPNHDYPGHDQANDMGGPGGQESFPASMPYRRKIEYETFYGVLL AIALMCIAKLVQQNYDPKMNYVLVHDNTKGELDDVKYIPMPKVREQPVVAEIREEVII PIKKVDRDDKVQRWA QC762_0061210 MEEQAQRSGSDARRRKVRKGTHSCWECRRRKIRCQFGKQDDTVC LPCQARGSVCRSQEFVDAQPPQLPDRRLAQRLARLEDLVARVVDRVMPETGSGTSSAQ DHSQTSSPTPSDETLMSDVDGQETPHLGLEVMESPVGHEASTAMLLGIQGSVNSLQQP TARLTIPSRRSTESVSSKGPARRRYEKICRALHSLFPSQHDVDVLVKSTPAPYFIIAL FHSYQDIVEGLSETPENIATIPPPNAHPTVLAKGLIQLCICIQQQPPGSVMSQERQKH FNPYRLMNGIVSKVSQLVTSNDDLVGTAEGLQCLIILGHWHSNAGNIRKAWLIFRKAL SLATMMGLSRNGTQALRFADLTTNEATRPSPMALWYCINAADRSLSLMLGLPAGSPDN TFASEEAMLRDSPRERYSKIHTVIAKRILDHNLSLVSDFMNQKAAVSYQQIDHELEHA AKIMPSDWWFVPTLPSEWQADWEQAKAAICHLVLQINHFNLSLILHLPYIIHSISTGA PVGDDHKPSLGRARQILQRYMAYQSISQSHQTWTCYQVSYAALMASTALCLFTLTNQT SEADIKLVSLTLSKMQHLALLQPHNRLSQSSVTLISQLLDMIDSGIKQPLNLNLNLPF FGLININNARTSPPPTMSPPSQQAAKRSVSSSAHSPHMIPVPAASPGPPGGSLSPHPH PPRGRQRNVTVPIGFHTSLTDPSLQGHGNAHQSPISGHGGGDGYHNLHHGMGFDAHQH QQQQTQQQHSDNDRSSSLGEIPMGSGGEDWVFTGMESGYWGLMNQGL QC762_0061220 MPRRYGRTRRPPPKNMGKTFLCGLPIVLVTYLYFRYFPTPGEED IGSSFLAVEFIIWAILIAFDEPAFVIYFIPSLIGAVIFAALSHADVDTRVQFIICISL APAMILAFAFAAVLYGVMAYFILGFAWSLGCHFVLRPIRNFPSIVYRLSGGRYVTRWP RFRSKHRFGDVRLCERCKEVVGQSGLLSGTWAMFTRAEERHLLCDRIQEMEDSGCSLC EALLSQRLADEEETGAPMLIRGYGTVNTRYGERDLEAGGVKLKLEFVRNPLWSEQGPT LAVSLEAGGAKKELGLQVSEGLLTAEQKIPRFTGSDATVKTITTWLKDCSSHKACQLP HNTPPFRPTRLIAVGTVKNPKLRLIDTKSDMDFLIDLKDGAGEYVALSHCWGGNIACK LTTSRHRFMKNYITEDTLPLNFRHAIATTRRLGICYLWIDSLCIIQDSPADWAAESPT MGQVFTHAHCVLAATASSDSTGGCFRDRSLSWVEQNIMTSEKRRCFVSNPPPLRALFY WRVEQSPLTNRAWAFQERLLARRVIHFCEDVVLFECNTLQASELHVNGVGYDSTPYMV QDGRLVNWIEAIRSLTGQHNINGEGHTPQERRAVRGIRGALDVLQRLGPVETQRFGEK VEFWKRWYEIVSVYSKGQLTRQTDRLVALAGVAELVQQRGKVGYLAGLWDSELLALGL LWVVKEVEERQEMYCAPSWSWASVSGRIGFLPRGILDKINSKEHDLVFCTGVENVEVW RNGKLVSEARSLVDNGTLTVSGPVARGSLADGEGIRLTGTSTKSATMLKWVPDWKLDE MENGLQEVFVIHLVKVFFEDEVRSYGLVIKGKDDVGTYIFERIGVWSADSDMAMENIA WERQRVVLAKHPILPS QC762_400445 MLARRSAQADQVAEAELTTQVQSQQSQSKSTTPSPNHARQQQEG ATATDHHHRRHHHQWDSPHTGILSEVDVLNQMRLRSRSSSRQEVQPRESQDNQGSSST EEVRERQAEDGKRVNNLTAE QC762_0061240 METVSQLSRRKACDLCFVKKIKCDMIKPQCSNCKQYNTECKTTA VRRRIGRPKVARHDTNASAETDSPDEHEAAASKVDR QC762_0061250 MLMPCSEHSAKIQGLESRLARIEEQLQQVLSVASAALASANRIP ESRVITMSSGEESDFDNAVRVRNTLPMPGSSTDTSGPSAGSTATSSPSESVIRASSPN LPPLEEILPIINTYFSQINHAIPLFSQAEFMRMLHDWYTHPARRTWAAWAAVNIVLAL GSWIPTTPIQDMNFAEAETAFKGYMNNAQSVLAELVTREQDLLGLQTLLGLVILYQTM ANSKQGAVLIGAAVRLVHRLQMQSRNNIEVSYPQEQGLHRCRLFWIAYMLDKEISLKH HTPSIQLDADIDQDLPSSDPADGVGDIYTGDGLVRVNYFRLRVRLAHIQGRTYDMLYS TRSSKISMAERQARVIRLTYLLENWRSNIPAEMLPDAINSRLGRMERILMSALYGSFV GCMVMVHGIWSQQAAWMKIISDRSLMALQMGRTDERKSCINQQPPLPSAWKRCVQLSR EFSRALMQLPESDVNIWANLAALLSCLVIILTNMFQSPGHEDLEEDRQITQWLVRMLN KVKDLSVTVPLTQMHVVVADLERRAEAAVATAQMKRQALQERLLMMSGQASWGQEIAQ PEHGDDENVEQPLFWDTEAVDFGALDMSNGLGGQTIVDWLDSQYPQGMDLGEAIS QC762_400460 MRVLSRLVRNRNTQITASGLPLHVRPFVPRFFATSITTRMAQEY KLKGVTSLDLKPGDKQEVEVEGLDAKVLLLNAGGVVQATGPRCTHYGAPLVKGVLGTD GKLTCPWHGACFNGKTGDVEDAPALDALPIFKATERDGAVYITGEAATIKAGHRKPKF KCKATGGDKVVIVGGGSGTLGAVEGLRETGYTGPITVISNEGYLPIDRPKLSKALLTD LNKLQWRDAEWYKEGDVDIVQDEVAGVDFATKTVSTKSGGKFAYSKLILATGATPRVL PLQGFKVLGNIFTLRNVRDAENINRAIGEKGKKIVIVGSSFIGMELAVATSKDNDVTV VGMEQVPLQRVLGEKVGGAIQKLVESKGVKFYMSAGVEKAEPSGSDPSVVGSVHLKDG TKLDADLVILGVGVVPATEYLKDNSVVRLEEDGSLKVDESFSVVGLKDVYAIGDIASF PYHGPAGDGKYVRIEHWNVAQQAGRIAAGHITNPARANLKSQPFAPIFWSALGAQMRY SGNTQASGFDDVVVQGSFDEGKWVAYYTKGETVVAMASMGKDPVMAQFAQLLPLGKLP SKSELQKGLDLLSLGPPN QC762_400470 MGEAVATALNKPASVNQWKLGLVWPDTLDLLSEFDGKRYRLQRR LIGPVYTASNVKRFEGAVDGVVAAAVARLKVIEGCGGGAGTVDLKEWMHIVAVECLGA VVLGWSPGYIKSGSDGGTSKQSYMGWKRKSLFGLFPAVTKVSLLDSGMGKRVGKWLGR FWADAWGVTFATPKGFKPFFTPVYQKVSKRITAALAPSQSAKAKVKKNKSPEVKEDLL TDLIQLHLSRPDEFTDNYLRRMAVTNFGAGHETLCATLTSVLAMIGSHAEVEARCFAE ISSCLQGGPHKRRYDLEDVTKLRYTQAAIKEAQRLWPVIGMSLSRTVPEDGCVIGGYS IPAGTTVGCSPLGLHAFNEDVFGVDGLEYRPERWLIEDLERLRAMERSNLIWGGGGRT CPGRYLAEMIVFKVVTALLAEFQVEVVEMPEEGNMECYFMAMMSGVVVRLRERSR QC762_400480 MHVYIPLSQPLFLIHVREQGQFHFRVRDSLSLFTHSLHSLGFRK AFSFVNTAIHYLITMITYFFLSVLLHLLLSPLALIAATPLLAPRSPPPTLSFNPISPP ILIHPNAVYPRATTLFNLSSPTPDRPLLLTSYTTTHHSNGLKTLSASTSSHHPTQTWT FLSHIWTVNASTHEIDNPFALQLPNGNMLYAFRNHDIDPLTRKYTYYRITLCVSTDFG RTWEFLSHASERAAKEENNGLWEPFLRVDGRGRVQVYYSSERGVNRQDNMLRVSGDGG RTWGEEVLVSRAGEGKESRDGMVGVAEVGGEEEGRLVCVFETTTEEEGVRRFAVGLVE SFDDGETWGQGTRRRVYTARKGKDAGAPQVVSVGGVLVVSFMTNEGVSESEGGEGVDG GEMKIVLSRDGGRRWERVGDGNGEGERAAVAAGRGSHWPGLFRLDDRRFLGLYSANGV GAVSRVFEVKG QC762_400490 MLYSPELTMNSHGSSSHERGHSRRSSLTSSHPSSRRRHSNHPRP GLAGAVENDPPTARSSPKMPRMVRFMTAGHQVASGRVQKQSHHVERGASESPAARAAT QMLRRTSGRPASSHADMPAMRPPPPHSPRPSHHLQSPRSSHSHSPRSPRHARTPSSAS LSGSLPPGQQAEMFQPQQRRKRRAVSPLRISPEPRQDEETQEDMVDTQPVTETPLSKT PRTPLADITPPTSASSQETIDCRSDDWDEPSQDENDQQVEHAPPRQRTLSQSVDLLTE GEVRSLLVLYAKSDPALSHFIHEVSLSRVERPSGRALTAKG QC762_400500 MLFILTTVLAHVAQAHVVVTYPGWRANNLVTNATFPFGMQWMYP CDTGQKGGGVSPTTNRTYWPISGGAVALQPGWFQGHETALIYINLGIGEKPENYSFPL TKFYINGPTNNPYPGTVCIPKLDVPGTVWSTRIKSGDRASVQVVEASSHGAGQFSCSD IIFTDDPALVPQVNETNCFNSTEIKVSSVYLPGTPPNESCSTPSVGESGRGNLFAGVD TPAAAETSVATGGAEGARVPVMMMNLVVVVAGARYIGGF QC762_400510 MARTGRTSARTGLAPFLLASSAIVWISAVIVMGILAYLVSEGTR GDFVIYSLVISVLTTIFYLAAFFLAGRPGFVLLFNLIFSYLWLVVVVYTASSYTYSNS SLLHAVEAFSFIAFFFLFFNVLYDWHNGFYRGGARTTAVV QC762_400515 MFSFAIHVCSVLLALSGHRSIEWGVSIDLNTPGSATVHLSGTLQ DVVARMEKDYPGWNETFSQPDEHYGALRQHIRH QC762_400520 MGDRISPDQVGEEGNMLTTDLLIIGAGPAGASLACFLASHGKKG LLLASAPGTSPTPRAHITNLAGLETLRDIGLEEQCLSLATPSTNMKHTRWCRSLAGEE YARVYSWGHDPIYKGAYEAASPCKHVDLPQTLLEPVLVRKATEGGWGVRFSTRLLKVK QLDEGVEVEVRDEILKRGYKIRCRYLFGCDGARSQVVREVGLPLIKKPGQGLALNVLV RADLTHLMEPHRVGNLHWVFKPEEGIDGREAPAWGWAAIVRMVKPWTEWMFIFLAKPG MDLKGEEMEATEEEYLARVKEVIGDESVEAELVHASKWWINEVVAERYQEGDVFCLGD AVHRHPPFNGLGSNTCLQDAFNLAWKVSYVLDGRASPGLLDSYSLERQPVGVDIITRA NDGLRDHIPWQQTLGMHSPDPAERAKILAEFESASPEGRKRRREFQKGIERTTTEFHG LGIEMNQNYSHSPAVYLDDETGPGPAVPEDKVRTHVVTTYPGRRLPHVWVNTRIPAKN MTSTIDLAGHGKFCLLTGFGGGRWKEAAKSVGEKLGLQINSYSIGWHQDYEDVYFDWA KKREVEEDGCVLVRPDRFVAWRAKEMVEDAEGKLEEVLRRILGRPREA QC762_400530 MDSQATNIFRKFGTKKKRDSMPLPTKRIILPKRTETRETAHTVA KADPGPLLPASTSLDLDRALPPLQDPPSSQPQPQPQPQPPTAEITLSEPWVSSHHHHH HRQAHSTSTRNGTPTPSPWEEAEMNRLKASHEEQIRDLRMKLGMELEDTRRDMARRTH QLVELTRLHDRDKEAVERVKELEKEGEKVREELNNLKNNAKQNFQIAEEWKKAHDDLT GEKERLAEELKERRVQLESSEKQVAKLEGERGKLTRLLQDAEVDFQRMVEEREVKFAK EREAHRSETDSQLRTLLDKMEDGKRRLKAEYEVEKSAMTQQVHGLKAEQKHQARRYEE QIQRLKDESTVRLQELRHRYQIELESQAAKYKTEIGHRMEESDAKMAAYMMDRDEQAA REREQYERQIADLKQVLMERPGEFDLRLVSDRSLKEEYRALKRSVDTITFNLGPITID RNIDEASFLEREGKGQERLFVKALIWAMILDGFFSAPYGFGALGPGGNGGPLFELYRS WKGIVEGDGSKDENGPLGQNEFGPLYRDRYANSWRSATFQSILYAAAAKDADGNSLAT GVSKTVQVNRQRVQDNMLAMLMSVCRGDVSKEIQEELTVAVGRASELAIVFGAHRANV CFSTPNRGDAVELGREFVDCQDSDGSKGVTVTVELPVLPALFIIGDGKNDLTSVLCVE QGEVLPVAGS QC762_400540 MFLISSALHAALASLHLLPILSLSSLALLILWHYLSYRPLSHIP GPFLAKFTNLYKIYAWSTARQAHVYGALPAKFSSSLIRIAPQELLSSDPDFIRQMNSA RTEYARSSWYTPLRLDPWGENLFVCTSNTTHTALRAKLANGYNAKEVPSLESDIEHII SKLVSYIRKRCISEPRGPTRKVDFARISQFFTLDVITRVAYGKEFGYLDRNEDMFDWI RTINDQAAWLGIMSDWPVVGKILTNKHVLAVVGPEKKSGGGVGGIMKMAEEIVAERFA PGAEKDRKDMLGAFVRHGVTQRECEVEVPFQIVAGSDTTAVAVRGVVLYLAACRRVYL RLQKEIDDGVREGRISSPVKNEEGKRLELLQAVIYETLRIQPPIMALLLKQVPPQGDY INGQFIPGGTRVGQNAWAIMQDKALFGEDADVFRPERWLEVDPMTKRKMADTVEMIFG YGRWVCLGKPMAFMELNKVIVELLRRFDFEIADPKSRMREKEYGLLIQSGQWMRITER FPENREE QC762_400550 MTQDSLGLVFALDQQGQHQEVFSTVAADNMRAVYAILAGLLATG SASPLEARQSGNPFVGRSLFVNPKYSESLERTRQAFLSRGDQTNAAKVQYVQNKVGTF VWISNIFLLRDIDDAIRNARAAQSRGEKPIVGLVLYNLPDRDCSAGHSSGELSLDQNG LNRYRTEYVQPFAQKLKAASDLQFAVILEPDAIGNMVTGTTAFCRNARGPQQDGIAYA IQQLQASNIHLYLDVANGGWLGWADNLKPTAAEVATILQKAGSNARIRGYSSNVSNYN PYSTNNPPPYTAGSPSADESRYATSLGNALRERGLPTNFIIDQGRVALDGARKEWGEW CNVSPAGFGQPFTTNTNNPNVDAILWVKPGGESDGTCGMSGAPQAGAWFDAYAQMLTT NAHPEIRADGGGGGSPAPGPSSTAVAPSPSATPGGNCAARWAQCGGQGWTGPTCCAQG TCQASNQWYSQCL QC762_400560 MASSRYSLDSRTTFAGSLISPSTTHAIPKLHGEGLGLLHNWEKS PHSPTIIRSWRDDTTQEGQTPARRSRVLIITLYLLAFFTVLICSCATWGIVAYSTSQT SSQCNYHVTSGKKDILHLASDISGLVPEFSLRPQTFHPDPEPPTNSTTSPPVWTSSFP LGNGFITAPPNFNPSGNPHLPPPMEFHNQQVYSVAVFHQLHCLQMIMTRYNSLVAGDV KYKRMQGHDDDHSHINHCFGYLRQSLMCCGDTALEGQNPETEGQKVETDGMGVVHMCK DFQAVLEWVEGRRVSDERGV QC762_400570 MTRPAKTKAIMPAEEAKDTTHEVISSYFIGPRAENLPQFRENIT TILDELQLARKNYFEQDENDFTFIPSSIQTSPSFLASAARTKTAVQQTARLLGQHSIP FWSPRYQAHMCTDLSMPALLGYFMTMLYNPNNVAIEASPLSTVAEIEVGEQLCELFGF EEQDKAWGHVTCDGTVANIEALWVARNLKYYPLSVRKAMDDEDGPLRFISEEFKVRTC EGRSKLLKEMSLWEMVNLRVKTVVDIPGLLHEQFGITPEWLEKAMAEYNVQTVGKEAL NRHFGVGEGMDGQFFVPSTKHYSWPKAAALVGLGSDNAVSVGVDHDARVDLDKLEKLL EERFARQQPVYSVVAVIGSTEEGAVDPLEKILAMRQRFQARGMSFMVHADAAWGGYFA TMLPREGQDDADRDGGDDGLVPDLSLRVETQQSLFALRYCDSITVDPHKAGYIPYPAG ALTYRDGRIKNLVTWTSPYLSRGAVTSIGIYGVEGSKPGASAVSTWLSNKCIGLDQKG YGALLAEACFTSSRLSALWAALSTPRDSFVCTPFNALPSESSSDPREVEREKQRIREE ILNKSNTEIIAQDSITINQADKTITLLRALGSDLNINAFALNWRHPDGCLNTDVEEAN YLMERVISRLSVDHPDDNPSSIPLYLTSTEFEYKDYGDCATNFKRRLGLDTSTPHEKL MVLRNVVMSPLATMDGDHGRFINMLGETFRELVEKEVKVCQARNDDSPDYHSFWIRGR GTARTVYLSYRPMFHLAKHRKQIILEAEFASEEGQRAYSLLEGNTGDDEIILKTSDKI DLDSLLSSRTSSTTLVGNLSTSSNGTILPRTPLTILSILKNRPLNTSSHDDTYPSGFC PFYLYGLPSHTSSPSETPHQLYIDHILTKSPNIFLSSPIELTLDNEQPIPFSTALTKG AILALEGIHEAALQPFPADHPLPANFFFNPGREFRVSIWGDDRAPDASARGLLTEIKK TEPIARGKLVLKEDVGGMVVDVERINRDPWKETEEQKVSLWRKRFGKVGKEIDRGVSI SGGKREATTNGVVADGNGVRVCPNCGE QC762_400580 MPINVHHTESHSLKESIGRKEGNVTIPPHRVEPFSETLSHSTAM NLPIIRCCTGPSDGVFNHHACQNHQLVMDSIPADAHTREVFYVGGEYVDDGTGNETVY GQVYVEHLVPVRTPLQKYPIVFIPGSSRTGIDFLTTPANNDNTNSPTQQSWSSHFLSL SHELYLIDPPFRGRSPWHPPNHLSSSSSSPYLTFGAGPLQKAWATPPSSTQWPDSPPA GKGSPAFDHVMRSTHPQLANLAEEQSVAQKSLAALLDKIGKPAILLAHSMGCKIAWLL ADARPGLVRAIVAVEPAGPAFQMRGMGGLRKEPTVFGLTEVRLGFEPAVGEGGEGLRR RLVKPGEEGLLECWLQDDGEAGEVRKLVNLRRVEVLVVTGEGSPHKGYDWGTVEFLRQ AGVEGVEHLVLRGEAGGVLEGRGGGGNGHMMMLERNRGRIGEVLGQWVGRRV QC762_400590 MAVQSLRRLVAASVWLPAGVYSHIAGTERFNPTSPVETGLAPAR VGHLEFQHPPAPTPHARALFQRQSGENTCGFVGDERVPFTCSAEWGAECRVNSDARAI GCCLSTACNIWTACLPYTSSRLASNRDTDRTMYCSDLDEPECATLVYADGDYSGWTIP LCAATSVVLPIFDITSSSNGGGRNGVANPTDGGGVANPTDSVNDDNNNNRNLDTTNSN SKTPEEAVASTVNTALIVGAVVGGISFLAMVGIIIFLIIYCGRRKKRNQELRLQQAGQ PTTIAGAGAGDGGTAPPNLAPPPEQQMSTVPDGAPPMGFAQGAYAHHGDNKPAMQESV TPVTSVAHVTPTGTPAPGYTAVAGQHQQQQQQQQQWGSPPAPVSPQFTGQVGNQQPAM PVSPQVTGYGMYAGQQSQPQQAQQQAGVYFPSNAVELSTQRGDGQVHEVQ QC762_400595 MSRNVYSLILDAGPMHETTSHIFHDVVHRDDAWQRAARTQFGLE SDDGDLAALCRAGQHPSMQSLNRITQIYKCAGFTEALAALASGTSSRGVRFGGNFDAG TPWSAAEVNPLVEKHSKLDVDEWVETYFDRADHTMFVDPEKRVPNGPAVPQVL QC762_400600 MQLLRATTCLLLPTLALAAPPVREAPLLTPRGAAASQLIDGSYI VKLRDGSSDAVLQGAVKGLSTQHLYKAGRFKGFAAKLSTAKLAAIRRLPEVEFVEQDA VVQAYDFLTQEDVPWGLARISHRSPGQTSYVYDESAGEGTCSYIIDTGIYVNHTQFTN RAHWLANFIDTDNTDGNGHGTHVAGTIGGVTYGVSKKTSLYAVKVLRASGSGTLAAVI AGIDFVAADFPTRGCPNGATANLSLGASRSTAVNAAAAAAVRAGVFLSVAAGNSADDA FFYSPASEETVCTVGATDEGDVRAWFSNYGEGVDVFAPGVGVESAWIGGPSATNTISG TSMAAPHVAGLASYLLALLGPKTPAELCEYIRETSTNGTITDLPTGTFNGIAFNGNPG AL QC762_400610 MASPVGEDNWVDYVDHQLREATDLEARVRVIECFNDAVSAEPGS IKVWMAYCEYFWSLYNDCQPVSDAGWSPEEQEVGRSIFTIDAALNLWQRGYEAVQYRL SDSNELWDRWISLEMELLRRTVTEAGVRRITQLFRNRLTVPHATWDRTSQMFSTFLSE YNRQAYESEMEQITRSAKNAKRLYALRDPFETKLSLAVKSGDTAAVRAEMLEYIDWEI KQHKGKRDALDNFKICLGLFSRALTGVLASDDTTWLNFITLVSTSQSDLKAGRARVSA NLVPNMLDVLRRAVHHIPWAGPVWARYILAAEEAALTFADVERIKHAATNSPQLDRDG MSGVLDMYSAWCGYLKRTAMNPKASEEAVDVAEVGLSSALEDVKHWGQRKYGENYQGD PDYRLEKILIQFLTEKKEDIEGARAIWDELSQIPLHANSYDFWLNWYLWEMVVFTAHR AKLRSPTPNTQAQGLLVPSLATRVFTRALKVRTLDWPERILQVYLKHCNDYELAETLR EAHDTIYKTRKGVEKRREREARQAAEAARQAAAAQEQAAPADRSEDVPMTDASGSASP GSKRKREPTPAEDDAANKRPRSETNGDDVKRDREHNTVFMWNLPADATQTKIKQFFRD YGHVNNIDLKKGDDDAVALVEFRHSDDARAALIRDGKPFGDRIIQVTPAIDCTLFVTN YPPDADEEYLRNLFKAHGEIHSIRFPSLKENAKRRFCYLTFRERASAETALKLDGKAL GGRCRLVVKISDPAHKQHRQGAQEEERELHVINIPRTMKEDEVEGHFSKAGKVVSVRI PHLGTAFVVMQTKEEAQEAIKLLDKAMFGQHPIKVELSMPKGTKKKTATAWGGAEDAG SPASTAAESTVSSGGGGGAARDRKVVILGVPDTMNEARVRNLLKPAGEFVKLTLHARH GGAIVEYKDAASAGKAQLVIDGLEVEAGQKLRVGTPEELFQSKGEKKVAGSDPCARPE PEKQGKKPPTAAQLMPPPPSINRPQVLGRSKPKTGMGTGAPGLGVRTNGVALGGPRKS NADFRSLFLGGGNNGGGGEGGGKVEEEKTKENGSKE QC762_400615 MDQNSLATLTSKQTSHSVGNQSIYPLFKFPFFCKSHGSNLPDSV TPAPPSPSMATDDLQILHPDSARVRLNSRGFVKIERVTQSTTEPATDVPWLTTTGPPT EEIYLARVTAGNLEELATALRCQRDGDTQLYEVAIRALPASSSSSVVAPTPSQTPEYS INSAEHCENDDSIDSDELLVTSLRQKLRKKKRAHKATSTSQRQQHSRSSHTISFPQPQ ALGSKDSPSLFFHWSHAEDQKARRQARAARIAALVLQTQRRRRSNRSRR QC762_400618 MPSTHNNQPPTPQAMQMSAAAPPNKQIITDQPKSHPAPQMTQDG DDGDDGQLNLRGGGFTIGCNCCRGMFSFHKRCC QC762_400620 MKLSILPLVAGLATASPVLVDPLADTPSGHEVSIVGLAFAGSGC PAGTVSGQLSSDLTTITLLYANFVAQAGQGISASNYRKNCQLNVKIKYPQGYQFSVFK ADYRGYAQIPAGDTGTCKATYYFSGDSKQITSTLTLKGPYDDNYLKTDTFGVESTVWS PCGLEGLLNINSEVRLSPMDAVKPALLTVDSTDLKFVQKHYLQWQKCEE QC762_400630 MAGKKKTKKPAANPARGFATTSIASKPRADPAEAAADTPAGKGD DAAAPPAADAKDAPQAAGTTNTTNAPKVKELSPEEFERQLEESALQILVDKYAAKVRR DAQRIKTRLETDRRILRGQAETINTKKWLPQELMDHVLDLIQAEARFAASSVTSEGAT SRLPPEEDLIIKLWTLQQTLENTDFPKDRVQPALQFALDIAPNISPNVKSDSIWGLEE ILDWLARECSKAELPDYSGRKPGSGKAQGDISADSPLPSGATTPFDLEPRRGQKGKNG APDRSRQPSPKKSNVTYDVDIEPDQLLPLYLETQEKLFEIRRPRQDVAKGKGGAKGNT VADPEEALCLAKLDRIEKDVLFDKYVAEQQWRDKKIALEKDYATAKAEAKKKQAEEKP APAPTAESDDINEEAERIAAEILAEQDDDEDGALADLFASLPVNEVDPLTGKSNTVMN GADGSKVTIRDFGKWTGVSPIRILEEACRSRDSSVRISYHVLSDIAFANRHAVKIVWS KPQEIPPPPESTEIEVFMSPTQFVYKMISISTSDLKQSEAYIATTALFCIFGSSGKEE KVALRLPATWKDLWSEMAEAKKNKADGLDRDALRHLRDMVRTRMEQELEDGVLIQGFK GRGQNKNLTDSDQSDNERVKRQSFDPEYYRNIWLQKAQTPRFQVMLQSRVQLPMWQFR EQVVNAVEQNQVVIVCGETGCGKSTQVPSFLLEDQLMKGRPCKIYCTEPRRISALSLA KRVSEELGENKGDLGTSRSLVGYSIRLESNTCRETRLVYATTGIVMRMLESSNDLQEI THLVLDEVHERSIDSDFLLIVLKKLLIRRKDLKVVLMSATVDAERFSKYLSGAPVLTV PGRTFPVSVAYLEDAVELTGYSLDTRPAKEKFTDLDDDVEAEIDNSSKPELIKALRQY SPRTRNTLAAMDEYQIDFDLVLQLISRIAVDPNYTNFSKAILVFLPGIAEIRTLNDML LGDKFFAENWLVYPLHSSIATEEQEAAFLVPPPGVRKIVLATNIAETGITIPDVTCVI DTGKHREMRFDERRQLSRLIDTFISRANAKQRRGRAGRVQEGLCFHMFTKYRHDNIMS DQQTPEMLRLSLQELAIRVKTCKIGGIEETLGEALDPPSAKNIRRAIDALVDVRALTA SSEELTPLGLQLARLPLDVFLGKLILLGSIFKCLDMTVTVAAILSSKSPFIAPFGQRS QADTVRRGFRKGDSDLLTVYNAYSAWKRVCQSSASSGAEYQFCRKNFLSPQTLANIED LKGQLITSVVDSGFLQLTAEERQAHNRLRFGGRRRRSGQVFFEIPKRVDGNSDNEVVA QSVIAWSFYPKLLVREGKGWRNVGNNQAIQLHPSSVNKGGGNGEMKWMSYYHIMQNKQ FLNAHETTAVDPFAVALLCGDVRADLYSGVLVLDGNRARFAVPDWKTMLVMKVLRARL REMLARCFKSPGRLVTAQQERWLEVWQRIFGLAWEVREREREKGGGGVAGGKELR QC762_0061480 MHCVQRNNTDRKHSANNYQAAWVWQLQSSPLRAKSSSFFLSNLS KIDCGTGPPDHVIIRPIT QC762_0061490 MSEAATASANASSSDFDLKHAKTALTLSSTTARIAQLRAIDEKI AHKSLDKPSTLGLLKVIFWTHAFYTDKHSRHAVQRCLVSICKTGEADVLAPLVAAVKQ EIQKPGIAPGNAFVLLEWCNLLIDNLAGTTLWEKFGNDIIQATADGLDKCLQATARDS VGRSALVITRRGFRKIVSADIKAVEAAIKLLTTKGTQSTAKNAALLGVIAGVCSRKPE AKPIVESAKSLYITFYTREIVGSRTPVPKHVANGLSDFFSAFVTVEDLDKDVFPALEK GLLRAPEVVLNDLITPLVRSLPGFDLSKALSGRFTKPLLSNLKSSNAAIRTGAVSAFK ALATSSKDLTEVEKSADEVLTPLKGGKLASAEHRVLHSEILVALPTSPSIATKIATGL PTVVGKEANEAALSAETLALNASAISLLTGSEVPKALVDVYAKGLADKKFPVRRIWIL RTGEVLRSIPQDTEAGLPAGFITFAEAVVPPLLATFNEVVANSATASQNGIVTGALVV CGLAPLFHRLQSAKLQEAIKKAAIEKNSLAFEPKPSYLLVPRIYGKFTGDDLEWLTRA LSSTASTLASSNAAARLAWAQAYIYVICSTVTTPAVRRQAMDSLTELCVKTAGSEELS IAAEIINGLWQWIEALETGDKETAAVLSKSETTNLHLVLKAICLSPERAGPEVDKTKL ETQMCSLLVLAKPNLIPRASWIELCLRVQLDPGELARKYDQRLIDEIVQRTGFEQKSE AVKAAAYNAAAELVFVAPETMTSRIVDLIQQDLDVAAVEAVGPLEAAIFRTPEGTAFV DVLAKKQNTVPNKNTKDYDTLKWEQELREQLALKKGTQKKLTADEIAKVNAQLKKEAE VRNSVRQTAAKLIRGFGIVKSLATGPPTDASRWIGAAVKATLDVIDAGACLITAETGP LTLVSCAEQVTNRLGPIRPFIGAATLRAHEVSALPDTYTQEPFFDLVTRVLYRLRFAG EQRPFDTVSLIYILPLVLLVLEKGGFAATAEDRDAQVVLAIDILTFHTDASSDEAVPR DQIIATLIASMQKYNQHYKIIKDCFADMVRCVAPNITPEEIAILSRGAVVSQTSVRSA VLQSISADVDMSDLEVSEEIWLACHDDVEENVDTAREIWEESEFKVTEELAHKMLPYL ESKDAQLRRAAARSLAEAASQHPNVINPILEKLRASYSELAKPRVQLLDEFGMPKKMD LTDPWEARHGIALAFKDIAQHLQKNQLEPFFAYLIEQGPLGDRNGNVRAEMLEAANIA IEIHGKGILDNLMKTFEKTLEAPDKHSEAADRVNEAVIIMYGALARHLKHGDKKIPVV IERLLSTLSTPSETVQYAIAECLPPLVRISGDKSSKYFDQMLEVLMTSQKYPEQRGAA YGLAGLVQGRGIAVLKEYRILVTLHSCLENKKDVRQRESALLAYELLSTILGRVFEPY VIQIVPQLLAGFGDANADVREAALAAAKACFAKLSSYGVKQILPTLLRGLDDDQWRSK KGACDLLGAMAYLDPQQLAQSLPEIIPPLTAVLNDSHKEVRSAANKSLKRFGEVITNP EIHSLVDVLLKALSDATKYTDTALDALIKVQFVHYLDAPSLALVSRILERGLADRSNT KRKAAQVIGSLAHLTERKDLVSHLPVLVAGLKLAIVDPVPTTRATASRALGSLVEKLG EDALPDLIPGLMQTLKSDTGAGDRLGSAQALSEVLAGLGTSRLEETLPTILQNVESAK ASVREGFMSLFIFLPVCFGNSFANYLGKIIPPILSGLADDVESIRETALRAGRLLVKN FAVRAVDLLLPELERGLADDSYRIRLSSVELVGDLLFNLAGIKANAEEDEEEDQDATK EAGASLREVLGDEKRNKILSALYVCRCDTAGAVRSAAISVWKALVHSPRTLKELVPTL TQLIIRRLGSSNMEHKVIASNALGELIRKAGDGVLATLLPTLEEGLQTSSDVDAKQGI CLALKELISSASPEALEDHEKTLISVVRTALTDSDDEVREAAAEAFDSLQQILGKRAI DQVLPYLLNLLRSDEDADNALAALLTLLTETTRSNIILPNLIPTLIAPPISAFNAKAL ASLSKVAGPAMNRRLPNIINSLMDNIINCTEDDLREDLDNSFDTVLLSIDEYDGLNVV MNVLLQLTKHEDHRKRSATARHLAKFFAEADVDYSRYNQDIIRALLISFDDRDKEVVR SAWSALSEFTKRLKKEEMEALVPSTRQTLLHVGVAGANLPGFELPKGINAILPIFLQG LMNGTTEQRVSAALAISDVVDRTSEAALKPFVTQITGPLIRVVSERSTEVKSAILLTL NNLLEKMPAALKPFLPQLQRTFAKSLADTSSEQLRSRAAKALGTLIKFTPRVDPLIAE LVTGSKTSDTGVKTAMLKALYEVVSRAGGNMGEGSRQAILGMIDGGDELDERDSGMVI THAKLFGALVRNVSVEVAGGLLRNRVLKGGEGGLMGVLALNAVLLEAAGVVGECGLGD ELPELLCAGMVGKDPSIADNYIAATGKYLLSADLPKSFESTKPIFTTLSTIIPPGNAS DTRRLALVLVRTLARTHPDMVRPHLSILAPPVFASVRDTVIPVKLAAEAAFVQLFAVA DEESKVFDKWISGMDLQANAKRSMQDYFKRVALRLGAQVRERREAEGGQGGLGLSNDE VEDEKELMAVGKVDVGGDVFGEEVNLRTQKRLASSVLGCGQRKIWLDPNEVSEISNAN SRQTIRKLVSDGLIIKKPVTMHSRSRARELNLARRIGRHRGFGKRKGTADARMPEQVL WMRRQRVLRRLLVKYRASGKIDKHLYHELYHSAKGNTFKHKRALVEHIHRAKAEKARE RQIKEEMDAKRARTKAARERKLERQAAKRNALLGEGEEESK QC762_400660 MTTIPALRSAAGGSPSAAMMRRMIATTTTTATMTRRAGQLGGWR SRQQQQQQKRLVSSLGNDTQQKLLAAHLQQADPIMYDIVEKEKVRQKQFINLIPSENF TSQAVLDALGSPMQNKYSEGYPGARYYGGNEFIDASERLCQQRALETFGLDAKEWGVN VQALSGAPANLYVYSAIMETHDRLMGLDLPHGGHLSHGYQTPTKKISFISKYFETVPY RLDESTGLIDYDKLEELATIYRPKVIVAGASAYSRQIDYARMRDIADKVKAYLVADMA HISGLVAAKVMPGPFGYADIVTTTSHKSLRGPRGALIFFRRGVRKVNPKTGAEELYNL ENPINQSVFPGHQGGPHNHTIAALAVALKQAQTPEFRAYQSQVLSNAKAFAKRLGEPK EKGGLGYKIVSGGTDNHLVLVDLKPHGVDGARVERILELVGVASNKNTVPGDKSALTP GGLRMGTPAMTTRGFQEEDFARVADIVDRSVTIAVRVDKAARKAAEEKGEGKTAGKVK TFMEFLGDGETDTEIVQLRSEVADWVGTYPVPWEGK QC762_400670 MLCGKSKNTLKALLVVDLQNDFISPDGALPVSEPDDFVKRSLEL VKAFRDSGAGDVVWVRSEFERHRSLSAEGEQIITANVPIRPPRPGSARGRPPTSREHD GAAMEADDEAFLSNGGAPKKPCVRKGTKGAELVAEVQEAVDATRDIVFTKTHYSAFAS SQQELVQTLRRRFVTGLYVCGALTNISIYATALDAGRHGYEITIVEDCCGFRNQLRHF NAVKQLVQLTGSEVVNASAGMQELQPPPADSRPSGLSPFISNMQLNRPSGSSVTPRKD KAPGPTSTSPKTAPPPPDRGARGPSTSTAAHQRPSQDAHVQVQQQYIHTALEADSDSS PSDNDDESLRKQEKQPVTSVGGSKQGELSLSSPQGPARAPGAPITKSENATRDSTEKK ADPDEQHQTKRTEIEVGSETDNMDKKQHDSPKIEPDPEVEKITQQLTNSKIEVDSDSE RDSKEPGSPKMEAGSDAKSVDVKLTSAVSEPFCEGDTHVITNVLSPTLAADAFERLLE EVSWAGMSHMGGEVPRRIAVQGEVDKDGNMPVYRHPADESPPLLPFSPTVLQIKTGIE KHLGHPLNHVLIQHYRGGDDYISEHSDKTLDIVPNSFIANLSLGAERTMVFRTKRRPS KHHQEETSPAEKAKRQIQRVPLPHNSLLRMGLSTNKHWLHAIRPDKRPPLSKSPSELS HSGHRISLTFRQIGTYINPSQTLIWGQGSPGKTFGEAQPVRNGQTPEAIQLLKAFSAE NNDPAFDWEGFYAGGFTVLHMGTPKRYCLGSDVIANASVLFALGELGVNCAKGSVSTA GGRFEDNDPDRGVVEGWGNVLRYLDAVYGAGRRYDQFGPGQVAKRFMLLDRAVREFGE GVWRPVREGLGERLGGEEPGMGKVKKVVTVLLWEELEFWEGEVRAGAERGKGLFVLGG ETASPVDFALWPVLHDIVRVCGERVFAVSFGSKGKTTEEGEEEVRQVYLRKYYEGIKQ RAGVRERVLGLWEGEPS QC762_400680 MSNDTYDLEALQNGSLANLALTRFKLTPTPSLTVFPDEIFTFGP SLTYLDLSGTGLSSLPPNFTTSLPNLKILFLSNCAFTTFPDLSSHHTLEMVAFRHNSL TSIPENTLPPKLRWLILTDNRIPSLPSSISSCPNLEKCLLAGNNLSTLPPSLTQCQNL TLLRLSANNFSSLPRFLFTAFPKLAYLSFAGNPCSLSSRKAHKLPFGLLDIPYSSLAI SRVLGRGASGIISQAEWKPSPDSDFTEDVAVKMFRGSLTSDGRPEDEMEAVLLAGSHE SLIGVVGKVTGYSEGEREGVKGGIVMSLVPGGYEVLGLPPDLESCTRDRFTEGERGWE MGKAVEMLTGIAGAGAHLHGRRICHGDLYAHNVLASKEDGHGLLGDFGAASVYGEEED GVERVEVAAFGRLVGDVLGLVEEGGEGERERAMRRGLEELQRRCEDGDVEGRPDFDEV VEVLRGMLGWRGVMRIPEVPN QC762_400690 MSSEARKRTAEPADDELPAKRQRSVEGDIDSAVEQLQALNIAPS ITHEEMARAGLRRAIALALKHVGFDSSAKDAMEMFTTMVEEYVESLFGTVKINANAAR RSQPIPRDFERALKYFNLTTTALEPHKKNPIPRAKRMPEYEPIPERDPVFVDMPVLGA ELDGARDKESKLYIPKSFPAFPSIHTYRYTPETVETATVVDDWGSFASDSQSQTLNGS QATPQPQRPLAPEEIPHGDPKKLREAAAKEAKAGEAALRRLMRASKIAKQKEVWTSAQ SRPARRERHELWESAMREFIEDDTRASGKEVASGGLHGEKGRFEIADHSMIVNTEKRY FRHEVPRSGARKALAAAQGISSKG QC762_0061540 MAEQLILKGTLEGHNGWVTSLATSMENPNMLLSASRDKTLIIWN LTRDETQYGYPKRSLHGHSHIVSDCVISSDGAYALSASWDKTLRLWELSSGTTTRRFV GHTNDVLSVSFSADNRQIVSGSRDRSIKLWNTLGDCKFTITDKGHSDWVSCVRFSPNP QNPVIVSAGWDKLVKVWELSSCKLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLNANDEIHALVFSPNRYWLCAATSSSIIIFDLEKKSKVDELKPDFAN VGKKSREPECVSLAWSADGQTLFAGYTDNIIRAWGVMSRA QC762_0061550 MAVFSNISSCEESNPVARFNPAPTFANDPAPTFANNNHPSDSAK RVSETGCYWPDGTPADGIVASGDSSSLGPFYPCLDSGKTYSPNDSVVCCSSGDICFGE ANLCGVADTDTGGEGNTAVAVMYRGGCTAQPDAWEKAPGCPQKCLDHARPFANAVNGV VMVMSCDNSDRADNLTLFCSNDALDPTKCDHDGASLDGYDIAEAWGMMEIHGTALSTV TSIPLAKTSSTLSTSSASSTTSTMAMGTPPTNVTAPNSPNTTTIILAVGIPLGLLFFF GMVALTFVCYRRRHAPETEPEQKRSSFTVLSVNQPNTKPTDTNSLGTVHSERHAIPGP LSSNPILPFSPSDGDLTTLAGTSTIPQQSVHTSSPPSPSNNTIPYISHSDPPPPPEGG RPSTPTPWPASSPFIHAYANENLTSNRNSFSPLRKSQTQPSHLSPPVLGPNTRESYQS THSTHPFPSYSEPAIGLFPPNSGPQFPFYSREVHDRLQEIEYQRGLDEYYGAREDYVG FARGGHHHHHHHHHHQRREDPNVRGGIHELEATEEGRPVSQEFEFDFEHSFGCGRPAV GVGRAQVVEIGRGRGGREQQEQRQGGGSTVTEQEIDEMGGGHGWHWSTGGAGAGAGAG RGSGSGHCYNPYRSSA QC762_400710 MGVIRLRHGIFAKAGLANNESDGCGRRGTLCQQPQHLHDETILS RHAIQRVYQNQTSASPRTATTPALPVESTQITRETNALFTTNGVTIAVGLDAIFGLAL VIFLSGVIYQWKRAQRLRAEQEERDGVTDEVEVTPAVSVSTVSKESSQLSSQISDARV KVVEEQRGDEKGGSSKDQMK QC762_400720 MPLIPLPQRQVVMLTTQPSPPVPPAQLGLTPTPPLDIPISSSLL LLFILAATTHMTIFQLNRRRSHKFLFSALLFGFCMARIASLVMRIVWATRPTNTGIAL AATIFVAAGVLLLYIVNLVFAQRVLRAYRPRIGWNKTLGWAWKGLFGSVVAVLVMVVT ATVVGMMSSDLWVRQKTREVQLFAGVYMAVLAVSPVVVVAGAVFWPGGGGNNKVDKFG QGKMSSKIFLLVGSSLLLTLGAAFRAGIGFVPRLVTDPAWYHSKPAFYCFNFGIELVV VYGYAVARFDKRFHVPDGSSGPGDYGRGAVVVNKEEEVFGGGDSEGRVMEGRG QC762_400730 MIMATLPRQLLFLLLLVISVKISISADCYYMNREKTTELTRCSN DANPKIESTLCCMPGDRCMVNSLCLREKKDGDKHYYRGGCTIKDWKGKSLYDCPPILC KPARVAGMYPCNTSNPDTGFVCQEAFPDGPPDHCDSSVTFDGGLENFLGTASPLPSPS TSVKDSTSASKPSETEGKGDPVKTSQDTADTSLAHTATRPAPGTSDVPVTTTVAEPTG TSNISVSTASGESTASVESTAAPPPPSSEEPQQDNSAVPVGVSIGVGLAVTIAGGFLV FFYIRKRQREAPIRAETPPPLDPSIQKPDNNYYPFAPYPSATQQGSLSSRNDDYFRQP KIPNVMETVAAPQQDNVYPGQPRYQPPKRTFSHELP QC762_400740 MSLSMPTRRLVRGATSPTTTTLALQQPSRSLSTSPSRPWFWSKK EPSSPTNLKDAITTGTAEARKSLISKLQSRSEAPAIFEDEVAPQQQAVTEKEAAVLSG DQKSSATSGPLTASQKAAHPKTFTRLGGSLVKEALARSVDPDPQARVRWERKIAIRQV KNGTDAYSLEPRLARIARTERSLHSKSPWLPTSVKKLVHLARQIQGKNVEDALVQMKF SKKKMAAEVTTQLKMARDLAIAERGMGLGQGQEGKMEIQRKDGKWMTVGDRSKMYVAE AWVNKGPVRAKNPDYRARGRMFLKESPSTSLSVVLKEHKTLVREHQEREHRRKKQGPW VHLPDRPITAQRAHYSW QC762_400750 MLTLRSLALLSAASGLALALDIGTLDPCAHNCLLTAPTYDCPAS QYACLCPKVDWGIAIKNCVRDSGACAADYEPALMAALSAGCSAVGAPFPAGDIPADPP AETPAPEPTPAEPTTDVPAAAEPTSTETPTENPDGEATSTAVESSPTAESTAEVTSTP TEAPSKSSSAGEAEETSEAPEDEGAPAGLPEAAKIGIGVGVGAAVLALIGVGVCIFLR NRHVDKKSNDSAGADRYKISPPMPSREQNPYNHGNNSSDYDIGANELEIKSYRYDDMT EGKQPKPMEPRQMV QC762_400753 MTSQSTKSHLDKVLMTLGYVTDTVVVLSLEAGQPSIIRADSCAS VLSILLPILWSRQLSHLDLATPPDHNIPRV QC762_400755 MASAAVAPAFKPITGMLRRGLILDLSIGLGLGFVFGNAFWYGYH MPRVNARDAYYRKLEEARAARQGN QC762_400758 MAPHVQTFPSSQLPSHIHHLPNSSHRARKTPSGKPTDLSRDCDL FSFVQYDCQIARPNEANCPVVCTPVKRFFRVL QC762_400760 MNANEAAGRRLLGEIEEMALDEVLAAFRTGFRAAACTGGHNDAI IGHNDAVSVKKEEDAQYGPKTFPIEALNDLVQRNFRATGLAPLAVSGRYYELVYVLIA TLIASPWDKAVVVVDLEGKFDPLRVLAAPLAGPVSSLQDNEKTATKRARVERSDLEHV HILQPKKGNWEQQPPARFVSACLTTMEEYMLYGAHRSRGRQWWGTVLIGGGFNPVGGL PKAVSAQVAVTAGRRGWLRVERAEVPGFGELSVEQASRDREKRQQAVEQMGWVGSSPR GGFSFGGEAP QC762_0061650 MSTNNNNTLTTPVPQRPSMCPRQLSSSSFASAFEVARTKLSELG VEEPDTDDDDNTLSPFSSSSGEEDDSDSSPYSPSVESDSSTSEAASPLHSPLTAPVTP AGLDPDVADNFAFAFDIDGVLVRGGKPIPEAIEAMKVLNGENPFGIKVPYIFLTNGGG KFETERCRDLSRQLEIDVSPGQFICGHTPMREFANKYGTVLVVGGEGEKCREVAESYG FRDVITPGDIIKANAATAPFRALTETEIKNSRDLLARGGKMSDIVVEAVFVFADSRDW ASDLQIMLDIAQSKGGRLETRSENFDEGPPIYFSHNDVLWSAAHEHVRLGMGALRKIV ETVFEDVSGGRKLKTHAFGKPQVSTFEFATRLLQQWRATQHGLAESEPPETVYFVGDT PESDIKGTNAMNEKSKNEWYSILVKTGVYQAGTEPKHKPRKLVDTVLDAVNHGIRREM AKLGTRKDGKGRKLPLDDAALKAISEGRTPNFELSVDPFAKAVEQQQVQ QC762_400780 MRPLATAQLLILLSQATPLVTSQDSSSSCLDYSIRSRTRHPPFS PGRHALSSARPSPSCRTFNLSSLESLLGTLPIADPDLLRLWQNTYPNTLDTAIKWHGH SNSPDSEEELTFIITGDINAMWLRDSARQLQSYLPLLTPSPSSDSLASLFRGTVNTQA RNVLSAGYCNSFRPPPESGVISDEEGGDKDVVRPEYDRAEVFECKWEVDSLASFLELS RDYYFSTHDIEFFRRQGNWTGAVRRVVEVGRGMQRGTTYGADGRVLGSGYSFARMTTR STETLANDGAGSPVASGTGLVRSAFRPSDDATTYQFLVPGNMMFCVALAGAAVIAEDL GEQELAEEMRAFSREVREGIEKFGVVEVVGEDGVSKEKVYAYEVDGFGGVVLGDDANL PSLLSAPVMGYVAGSDPVYQATRRRILSRRNPYYADGEVVRGVGSPHTGPGKVWPMSL VMQIMTSEDDEEIRGALKQILGSTDGLGLIHESIDGWDSTKWTREWFSWANGLFGAMI VDLAKRKEGLLRESFQ QC762_400790 MFGNMSSAHKRACYKCGELGHQADGCPAPARLCYNCNVPHHESN ECPLPRTTKSKQCYHCQGVGHVQAECPTMRLNGGPGGPHNRCYTCGQPNHIARNCPSA QGGMAPGPMPGRGGFGPARGGFHPGGARHATCYKCGGPNHYARDCQAQAMKCYACGKL GHISRDCTAPNGGPLNTAGKTCYQCGEAGHISRDCPKRNGANGADAPEVDLGNPQVAQ APAPGAPLA QC762_400800 MDRFNFFTGNNNNNNNNTNDTNDTITDNTTTNTVTIRTAPVVPR TSTCNSSLRPPPGPHPATVENYNPYTNNNNNNNNNPSPYGLSYPIYQSYDPRTTNDEH VPLSPRFSPHSDPESGQHHPQPQQPQRLSFLARFPRPSFFGLRPPSSNYSGAGLRGAP PTVISGQEATVESPKSPAFRIGEQALPSTRLHLPGLERVWTGGSNGPPTRPGTTTAGQ EEVAEPERAVARGSNRSRRHRREASGGSGRHRREGSGGSRREGGETREERRRRRRDGE TRRRRTEQGSSISSGSRTGGGSGGSRRKPPKNFLFCFPWIKSRRIRTQILRCFVSGLF LILILTVYLSLTLTKNITSSEFTILLILIILFITIFFCHSLIKLCMLVIKSRNGTLQS SNSSSREGGVVRPEMIQQIAPGSGYAIPREPIRVVLARDEEAVGIESEATKLGPPAYG LWRESVRVDPDRFYWARNQATAGPGGAEGGNTSEETLDSGVSSDSSSRGTGGVRRPPS YASDDGVSYVVEARPRSMVPPPLGSEENAAGLHPVERERLQQQQQQQRPAVWV QC762_400810 MSSEKRPFPGDDQQLVVKRQNVGSSRALATRSGPAGGSSGALIQ SSVPRTSSLQAPVMELSGHSGEIFAARFDPTGNLIASGSMDRTVLLWRTYGDCENYGV LSGHKGAVLDLQWSRDSEILFTASADMHLASWDLTSGTRIRRYVGHEEGVTSLDLSKR GEEILISGSNDGTVGIWDPRTKHAADYIETDFPITAVAISEAGNEIYSGGIDNDIKVW DVRKKAVVHTMLGHQDTITTLRVSPDGQQLLSYAMDSTARTWDIRPFAPAERHIRTFD GAPLGMEKNLIKGSWDSDGKKIAVGAGDGTAVVWEAGTGRLLYKLPGHKGTVNSVDFA PGAEPLILSASSDRNMLLGELK QC762_0061720 MFLAVATRVLTLQAACRGTKPHYSPKVQGPQHWRITINWIWDLK VKQRSLAKRPILFKTQSYHCITASRHHGITASQHYDIN QC762_400820 MAANKASRLGEEIWKTRIDKVNAELVVLTYGTIVAQLCKDFDSD YVEVNKQLDKMGYNIGLRLIEDYLAKSNTVRRCSNFKETAEMIAKVGFKIFLNITPTI ANWTNDGKQFSLIFDENPFADFVELPDDGRAQDELWYSNILCGVLRGALEMVQMQVEA HFISDVLRGNDTTEMRITLIRYIDDELPPEDD QC762_400830 MLTFSSSRPTRQPARGVCRPHEIGESNLNSACQNSFNTIKTAMA AGLGSSLFLFQGLSSISPRILTEASLPSPTFPPSSTYQEEDRGSMGNSKSKPSRESKP NSRFSRLSTTALVTSPVSASKRTKPEYKLKVICSNCARKNLPETACHTANDINANGGS NLAFQEGSSYYENQELKSWKLEIDCCGWSKTREEGVYLVEKHMKNAQERGRPISVDEV LVKLEEGGIAHRSNVAKRVYKPRGQDNAQRPRGSQAQQQQQQQQPRPQSHPQPQQQLQ QRTRQGWSQQSWHQGVEYQYPGRR QC762_400835 MAVYNPNISNGTCYYTEDTPTKGDFIPCGNEALQVWPCCHTGSF CLSLGEANACWDKTSGNTYVAGCTDPSFTDPNCLYKRDPFHSQEWVAINQACKNLNAA SSPDTTNWTGCKVPDNSTELVKLSLDACTPYCNKDQILYPGSSSLAAYASLPTIPGSS IFWQNNYVPPTAPAAGYTPGKTRGVVPTYTGKSSTSSAASPEPGGLSPGAKAGIGVGA AIGGILLLLILGWAIVLCRRKRRRQKELEIGHYNSIHGGGHHPGMVGVRSPGGFSQSH YSQQDVMTVSSATAVHPSPPPPFNSGLYYAHNAAPGELPGTTVGGGQSPGQKSELPAD ERFSVQLPT QC762_400840 MAVKDELRILTPIGMLGYSFDEHLYWTEIENGVDAIILDSGSTD SGPSRLALGLTSASREAYERDLKLLVTASANKHIPVLIGSAGGDGTNAHVQLLVDIVN EVVEREKYRQLKIVTIHSEITKSEVRASLGRGEITPCGGGVPELLSSDIDDAVVIVAQ MGLEPWVQAMRDHPDFDIIIAGRSYDPAPYAAFCVHNGFPDLGLAHHMGKIMECGAVC ATPKSAEALAIVRQDCFEIRPLNPVAKCTPLSVAAHTMYEKPRPDLLAGPGGILDVRD STYTQLPDSRTIRVTGSKFIPFSPDQSSPEPSVSNTKYTIKLEAAKVTGHLAIFIGGI RDPIMQSQLNDLLVPMIKKRLHQVYTFDFDLSFKFYGQTPLIPGIAPQPAHFPTEIGV LGKVLAQTPAQAKAVANLAKVYFVHAPYPGQVATAGNFAMPLAPCDISVGPATQFCMY HLMEIDDPVASFPISVQTVGRVLAPEEIQTNGTHPKEISPLEKLKSSRPLFPPSLIAP ELTCFPKSALPSSVPLYKLAKTLRTKNAGPYSVTLDILFPTREVFELVVESGVLTRGG LAEMYGIRKEDITEFMFWEPALAFKATWPRRRVSGSWDDDDVHASGGHVGVMGIEVVV PAGFSLNGEAWL QC762_400850 MAQSPVTPVGSSLGLGDDKSFSKAGVPSDPGDGEVSDRLDVDAS HSEDGDHSNGLYAHREMSLTAEERKAEKRFLLKIDFIILPLIASIYFLAALDRSDVGN AAVAGMTADLNLTAAELSFCVAFFYIGFLAFQLPGSIMVRLLTPPIQLGTALVIWGGA TAIMTEANNWQTIAGLRIVVGCFEAFIQGAPLYLTFWYKPHELATRGAIFMSMTSLAG SMNGLIAYSIQTTMEGAHGRRAWRWIFLIEGVASVGFGVLIFFILPNTPEKVKRWFTE EEKKIALRRTKEAYNIPNTKISLGQLKATVRDPKTWFYCVINLAAAISQAAWGQFLPV LLNLNGYTPNEAQIMSIPVYVCAGVSAIACGYLSDRFRMRGIFVIVGLLVAAAGWLVL ILSKNQQLSYAGTYFVGIGSSPCVIVMLAWMNNNVLGYTKKAGTLAIVNMIGHLGAIG VSFSFNNKPDYYLGKSLAMGSALIATCTTILFFFYLDRQNARKLANKDTVEARMLRQK TVEEVFDGHPDFMYSK QC762_400860 MRSCSPSMRGLTVCSVVLSISLASAKPLSFRSRNDTTTAVKKIS WAPCNFNVSGDPLADQIDCGSLVVPLDYTDPTSNETLTLSLLRSKAVSPSATGNKKSM LFNFGGPGYPARTTLAENAVTLHNMTGGEYDLVAFDPRGTADTITFRCYANQTERLAA TASLPILDLTPGASAPNAFAENYANAVALSQRCAAYNLDPALQKNANVLTTGMVARDL MAVVDSLHTFEEEDGLLHYWGISYGSLLGATVAALFPDRMERLILDGIVNAENYYHHF GIDIDQLLSSDDAFQGVLSDCLKIGTSRCALADINSTAPELEATLLAMVDRYQSNPVA AAGKVINGKFVKEILIVIIKYPTGIVPLATVYIRSLLEGKNLDGVVAFHTALYNAVSM GDNDALTGIACSDKVIRATGPTDQKLIDDTNHMFNSTKIFGGLLAGIASQCAEWPHEG RGKYTEHWFDEEGRPRVIKTRKPILFVGNRYDPVTPVKSAVAMSSVFEGSRVLERDAF GHASIAQWSDCTAEVFRGYFGEEMVLPEDKKVCEVDVGVFDVGVFPG QC762_400870 MRFDTITFASLFSTLASAGAVPNYPGLKTLWSDDFAGAPGQMPN SNLWNIITNLKTNNDLQEYTTSNTNLQLSGGGTVQIIPRLNRQTNSWTSARIETKAVF TPSPGKVTTFEGSIRFGDHPVHMKQGIWPAFWMLGKSIHQGTPWPQCGELDIMETING VPTAYGTVHCGSVPGGPCNEPVGRHGTMGISPTGWHTYRIRVDRARAGAGGKWEDEEI VWEVDGRVFHTLRGGEVGDQGVWGTLAHSPMFLILNVAVGGDWPGAPNALTADSYGSM MEVEYVAVYSS QC762_400880 MGLGTTSPLTVLGCALAVHSAAATGSHHFATDSSTFDYVVVGGG TSGLVVANRLTENRHNTVLVIERGYFDDKPEAIIPWYSTAVDTSVLLNPRSAPNAKLY NSTHNVTVAAVVGGATVVNGMGCDRGSKADYDAWEELGNPGWGWNGLLPYFKKSTTFT PPNPDVVDRWNITWNSAAYGKGPVQVHISNFQYLDIDTIWEGFRQQPGVTFPPGSSSG FGPGAYWSANTIDARGMTRSTARSAYYDPVNKTRSNLRLVIGQTATELLFDRGKPLRA KGVRVVSSFDAKVRNVYARKEVILAAGAVMTPHLLQVSGIGPASVLKAAGIKVEKDLP SVGANFQDHATVVLWFNLSTPSFPNSDSISNNATYNATVWEEYLTNRTGPVAAANSNS IIYYSLAQVLSPSAAASVASRLLAQDARQYLPAIYSTSSALLRGFKAQRAILAQRFTT NTSSYTAQPLRGNGQSPSPLLKPLSRGTVTLNLTHPESLPVVQYNTFINPIDEELAVA VVRRSRRYWASPALQKLGPTERQPGAEYQTDTEISDYLKANRLALFASLAHPSGTCAM MPEKLGGCVGSDLRVYGVKGLSVVDASVIPLISGTSLQATVYAIAEKAADIIKARG QC762_0061820 MARRQDPDCATHPLATSLSQSHAPGHSSWSLWSVGETHHKQVFQ VVWFDSLAWQVGWALNVTFADI QC762_0061830 MLLHWKDNPILSSTALLTQETKSYQDPFSDPRPSTHMSSPELVE RVFPIRLSILENSPYLRNSDTAGVALSVVPVQAEDDHSVIGVEAQVAAPDGLVPGPDP QAPLITPEAVIEHGPDEASRSSNLLVTDRFEYTVMNDGSHGVFQGTRRIVTRCEDELI HIPGAIQSHGMLVALKRRAEGVYIPRIVSENSFQVCHFHPAEIFALDSFNKVVPTYQR PLFNTQLRSVRTTYEITRKEQEPVVFDFSFSDPEGLIIPCWCAVHYLGGEVDLYICEF ELQDNALHPMAHYWQADVPPNPIDTLGSDHMDLATVSSMQTRSQPVISSPDALCGGLG PNASSVEVVNVATKIQKQFSAAKSVPQLLDSIVGVVKELSRFNRVMVYEFDQDFNGTV VAELMDPATSRDVYRGLHFPHTDIPPQARRLYMVNKVRVLFDRTQTTARLVGRDQKDI ETPLNLTHSYLRAMSPVHLKYLENMEVRSSMSLSLESEGKLWGLIVCHSYGPTATRVP FTVRELIYFVGVSASTCLEKLLNADKLKARRIIETLQDQKSPNECITASSDELLKLFE ADCGFLVVEGEARTIGRLAAYAEAVTLLKYLFFRRSSRILVSSNFAHDFQDLHYPSGF KAIAGVLYIPLSGTTDDCVVFYRRSQLREVHWAGKPSLAGKFGTLEPRNSFQKWTEVV DGTSKNWTPEQGRHRTIVPRDE QC762_0061840 MATMAQLVYGSFIRVWREKESAVKETRLKRLLLHDASHQVRTPL NAVINYLEMALEKPLEESTKQALTSSYTASKSLIYVIDDLLNLTGSATGSIPQLSNLF DVGVCLEEAMEPLERLAREKGIEVVLKPCTGAVRFVRGDPSSLQRAVSILVANAIQHT VMGRVLVEWTTTGKKMESCTMHISVSDSGPGLSERALDDMFQEFEQVPDEDFDELMGQ SLAPRDNVLRVGVGLAFVARYVKQRNGQLRVKSVKGLGSTFIIEAPFTVVSRAHSLAA RRDASPLPALPMPGRPSVLGSLPPKAHDITPAGSSGAGLGSKMGASPPIVVPTPSISP MDTARTPTTLCFTVLIADDNIINIQILNRRLTKFGHKVLVSRDGQECYNMFAANQATT DFVLMDLNMPVVDGWASVKMIRDLENARPTPSRVVQACGRVPVFAISGMLRRGDEQRY KDVGFDGWMPKPIDMKRLSTYLTGAVDAPTRKQGVYQETHFAMGGWFPEETVPPLVME RQLQPEEEVVEIEHVKEENPEAAYIPLPVTAMESPSVPRADDSFFPSKDWSVPPANED DHRMACPLPLEKDGIGQKETPPPDSAIAIHSTMNTPAVEANPEIWSLHQPQPPTDSIE AVLEADECPPSSEGAAKPVSGRGHAQTPAARPLQVDPFSEYCSQPEVSSPARTI QC762_400900 MNNMAGMAGMTGMAGMGGPVNAPMGMMNNGGLAPQVAQRHGSDQ HRTLLNTYIYEYFIRSGMWECARAVLNADSDINVKKQSPGHSNGLGDDPMDTDSKDLL DKRPDDLPAPNVPVHVNESCFLFDWFSLFWTMFSHQKTPGQPGGQIVNQYVQHTQAQS RMKQEEQRNLLRNMRPDAYNQQQYQNHMMRMQNGAAMNMGVKPGNNLQRAAMANNQNP QTMQMLQQNKIAGQMQRDPSDMEGNRVRPGSPASAENAPSPSKRPRLDGGAPFNPQAG VMMPNGRPQGMPGQQQVGNGPDSARALLLQNGINPTQLNPDQLQAFISASPHVQAKSL ATYSANLQQHHGNQMPNKPMANAVGPQGQGSPMVPQGPDAPTLNQYYNPNDMGAAGMR PVQGNGQATGGSNHALQDYQMQLMLLEQQNKKRLMMARQEQDLGTNNMPRPDGPPGGP PGPNAQGFQQGTSPPGGRSGASPNPEQMKRAQQMNNAANMGGSPLPDGAVQSRSSPNA MNFMDGNNMVPNGGPQFMNMQMNGAGMRPPISHPNPQFNPQQQLAHQQMMAQRQGQAM QGPGMQWAPGGPNGQIPGQGPPPQIQGTPTQAQRTMGPPSAPNAAANAANSRNATSSP QVSTAAPPTPQAANKPAPKKKETKNSKAKTAQKKTNNNLNNTAATPAGDENAQEAPTP ATPITPSNPANFAKNQNINAGPVVPNGQPAVQQPPPQAPPVAAPQHQDPNNLYMDTGA MEYPLGFADPTQSNDVLTDFDFDSFLHDGANGDDGVGNFDFGGTGFGMDDGTNTIGTA D QC762_400910 MRSFIPLLTLTGLSAAATLRPIRQRQPVFDDLVDTLRGPNSDSQ GWIPDPWNDRVSFTTNGAATPTDVPTVDVPATVPDVTDGGEGDGDDGGDDGGDAGNDG GDGGNDGGDGGDNGTDDGTGNGPNTPVALPNIPGGDNGGPVTLPALPGGGNPDGDDDA TITFPDFTGGNPDGDDDDDSTITVPDLTGGDSTDGTEGTGLTDGTDLADGTDLNDDTD GTADSSITILPATPVTPETPIPVITRPINGSVPIRFPAAGQAESCLSDQAAEVIIDAY VRMISKWNDEDAYYLSDNFYDTSDSINSLAGIPLGTVTFPSKEAFIEHQHVMPDNLPL IITHKIVDCAEITLIWTATFAVPHVQAKPVRGIAILKTVGELEDTESEHVKGQNDYIW KIGGLDVEFNNIAYLQNIGGSCEFPTGP QC762_400920 MIVTKSPTMGPSISDLFSPRRARVWVAFTLTCALLLFLARQRGA AAEWNAGQQPPPNSVAAPDEQGPKEVREDEVDWSKFAYLQYVTNSHYLCNSVMLFGQL HQHGSKADRVMMYPEGMFNLEVSEAAGRGKGGKRHDIELLNKARDDFNVKLVPVSVQH RATADSTWAESFTKLLAFNQTQYSRVLSLDSDAVLLGSVDELFTLPPSPVAMPRAYWL YPEEKKLASHILLVEPSAEEFERVLDATNKGGEDEYDMEILNTLYQDSAMVIPHRRYA LLTQVFRWEDGEHAKYLGSDREEWDPIEVFNEAKYLHFSDWPLPKPWLGAAEDLRQRL EPKCRDKDGVESCVERDIWNGIYRDFHERRQRVCGYSIDPPEG QC762_400930 MATSPYPNSDPPQPPPPPRDTDTDTGTGTGTGTGTDDTTDNTMG IVDVVGSSPPGDDIRCCCGREDCVYLRHNCSVLLSVERDVHAAAKMGQTLLARHEAYM ASAERDRAELNARIEQLEAENAELERKNREVNHDNHNLRDELDHLNDTVKDADTKIEF LERTLRDAQREVRRLESAAQRAASLERQIELLEEEQATLQAAVITTKDEARTAIHRWK QAEKGLSDLQAQLERMEKEAREDRERHVEVISRMERQRLMEKELNTAAGRLKGAAAVR SMTDSKNSGNVVSHFVRDLLQDNANLQLGMAELREMLVNSNDEIQMLREQLLCHQPAP LPNDFEREPETPAEETPQAGTSPQSLRAELEGHEQPPPRVTQQLHIHHHYHVTHKQEL KRMRKKRQGISSGTFTPPKMYSAPSSPVTSSIIWHRGPVNGNHEPPTPSEHHWSRQDD ENPSEFGHSSEISSPRSSNNRNSVFDRMVDVSYPTSPTTSLDPTSPAWKTAHRKRTSE WSMFPIDASSTWNGPPPTNPHPLTNFVRCGERSPSTPRRVQDDGTQTYSDAGSTDAGD LISPHSKHFDSQVLQRPGGRLRRVTSQESIMSLSNGMDIHTLQARPSQLALKPLGLSA AGTNLSEVIASPTLTSGSSDGKRGSVFLMGNLANQVRQPANRTVSTPTRSGNERLHPG IRRTPSALGRLVSWRPWGGNTAPTSPVATPPPPPPPTLIATSTAIPAFGLAVTSPTVS VSSVPKSPEGSVSSSGTFRGTGINQKGVIPGFNEYWAKHQVLRRPTSKVCLEGDRLGA VEEGLREALEPMVEE QC762_400940 MPATNLLLNRTAIITGGTTGIGRAITLAFLAQGCNVAVNHLNLP SDTDHLTSLLSEASSLPGKIAHLPGDVRDPTTGPALVQFALETFHTSRLDICVSNAGV CTFTPFLDITRDLFDKTVRTNLDGAFYLVQAAARQMATQEPKGGSIIGISSISALVGG AEQAHYTPTKAGVLSLMQSAACALGKYNIRANAILPGTVRTQLNEGDLREGGDKLRYM EGRIPLGRVGEPGDVAGPAVFLACEELSGYVTGAQVLVDGGLFVNLQ QC762_400950 MASVKAFPTIKSIRSFVIGGVGSGGDYHNVKGGHWLIDSPISTP CSRWEKYRASRTSWGINVLGSFFVEIEASDGTVGFATGFGGPPACWLVHQHFERFLIG EDPRNTNHLFEQMYRASMFYGRKGLPVAVISVIDLALWDLLGKIRGEPVYKLIGGNTK DRIDFYCTGPDPPAAKKMGFWGAKVPLPYCPEEGHVGLKKNVEFLRKHRESVGPDFPL MVDCYMSLNVPYTIEIAKACEDLNINWWEECLSPDDTDGFEQIKRAHPTIKFTTGEHE YSRYGFRKLIEGRNLDIIQPDVMWLGGMTELLRVAAMASAYDIPVVPHASGPYSYHFV ISQPNTPFQEYLANSPDGKSVLPVFGDLFVDEPIPTNGFLTAADLDKPGFGLTLNPVA RAKLIPSTYLLAIPTNSLPPPVSEEKKEVNGVNGRHVEGELAGKAKA QC762_400960 MMQPAVQASTRYNNNNNNNGQNSHAQSSPSYSSHGGGHHHQDPA DSDDGGQQSGEDDHDLDDQGEGVRLGKRKRPVSVSCELCKQRKVKCDRAQPSCGWCSR NGATCEYKERKKPGLRAGYGRELEQRLDKLEGMLRAHDKLLQALTSNSNTSPGSGNGS GTGAFGHHNAPSVRGSHTSQLSDRGTPREVREAGPPSIFARSETIRTPQAETALFLQR PTTSVDLGIQGPLSATSTMADPFQSLSNSIVSPRGQLPASGPSTGAQEYYTTAPTTSV AALQSPPAHAPPGSHMVTEQELPPYDLLYGLVDLYFKHINTWCPILHRTTTLDALFGP SILDETDKILLHAIVATTVRYSTDQRLTDGARQRYHSASKNRVILYGMENLSVKSLQA LVILALDLCGSGNGPPGWNIMAIITRAVVQLGLAVESNSISVAPNYQSIYTLRAMILP EPRDFIEEESRRRLFWMVYLLDRYATIATAFDFALDDREVDRTLPCRDDLWIKNQKVD TRWLRLDDSRPTLTSGNSPEYEVDKPENLGAFSHYIEILSILSRIHKFLRKPVDISAL SDVEQWQMRYKELDNMLAAWKFNLPGDYGNMAKLFQPSSAKAINCGWVMLHATFHTAV IRLHSSAAYPTTRSPIFTPSYSASQRCHSAAENIAALREFVVSNNLLNKLGPPFAFTL WVAARVLLVHGSTVEHKLDTSQIRLFVDTLGEMGRYWPVATRYCGLLTRVLEEHHDNA RQGGSETPKIVKILADMRRTAFDLDFLISRQPRHVPRSKNGVPGSSGAAGGPGGGPPT GAGGGSGGGGGWPGRFGQAPPATNELEYLDVFDFFNVPRLPVAQAGMVSDSHGGGHGV SGGDGMGGGGIGHGGGAAVAGEFNITEFMVDANRDWLFQQGETKFLA QC762_400970 MPPSYQPVTSQVDVAEPLTMLMIEPAPVFVTVPSQQLVLFESMP AAQPAFTPAAGPSTMDVLCSSLGALTVQCAHTPMASIWQESWAVQRFGRPAFDLQPAA LMPAPRLPGLPSLVKPTVVASAVTNSEVMETEIVRPAVQLPPKPAGQLPLPPVAPIPV PAMAVPTPVAAPDNVVAPPAPEMEVRPQQAAVQPLKRNRVVAPVNLVIQQTPQLEFVV PPRHPAAPVNLVMVPPAPVQTSPQQPLPPVQPSPPARVPRNTPAGPLNLVIAPPTLTS SSRPQQAQAPKQQKPPAPASRAPSPPPILCRPHWKLVKKLSPSEAQAKLTLPTLALPS VPKVTPEVAPLPQPAPVVPVPVVPAPVAAPLPPAAAPAISDAVPEQLAPIPPPGSRPV APMRRRRAFGNTVPLLPPGASVLEQKPLPATVVAAAAESSGSVMKAPAPTPLPPARTA HWAGLELRTLADRLIKGCVDVDAWRRRLFGDIEMYIGGEEDDDTLFSPEQASEVMKTL FRSVRSSDVGFQRQKDNTLNKVVRIARKGGLVIERL QC762_400975 MHEIGDAVIYKGAEGDEALGIVRSVMEPTSKTAATLYEIQDIES GETFTVVETRVHNPPTIPSSSTS QC762_400977 MVPQKSPVGTDHLSRHCLSTKRTMSAVIEPITTGLSLFNESFTV AQTIQALKDAPEEIQRAVRLISSVQAEITNAKALRDRVFDVKRSETASNDDFEEIQEA IRNLDDLITSSARSLQAKPEDQSPKRRDDKDAKRPKTTVKKRFGWVVGGQSQHDANMQ ELQVHYQRFVAAKTLLQNRWKEPPQGRSPSLPFGDLEVMRSESPSGGIGYYNPSPTGS NNQLLLFPTSSTGHIPVSPSRSIERMLSEQDESDTERLSTTQSSVDGGQGSQQVATPP PTSPTPDRPEVLIWTVSEGPTVEDTFFARKRKRRRKASY QC762_400980 MAPRPDEADLTVGIDFGMTCTGVAVAKKHQESPRMIQQWPLPDQ KSGTHNKVPSTLLYDHNHDVPTAWGFTCNNHEKIVEWFKRYLDEKYLKIMIRRARENG EEPEFETIEQVRKYYRDYMERLYKHISRNLQKNEEWRHKKVEFVFSLPATFQSLEISD ALMKEIKKAGFGTGGKNHSVSWGLSEPQAAAVYTAKDGDITLRTGDVILTCDAGGGTT DFALLEQCGTAEIAELRERAIVQGINIGSTNIDLAFAKMVHGRLALARADTNAGRSHL VFHRNAEDTMMHSEEFQTWKHEFGNFSEAQFRTPRITVPIRSGGTSSTSARITDGKMN FSYSDFQGLFDPEVEGIINAIRQMLEHGAKAGVPRPVSP QC762_400990 MGNKQSKQDSIQEASTTAMPPPPAPGHPYPPRTNSIPKLDTDFL LQPPDESSIRRPWLQLNNLIDSHVQTFYTNIADIDIIAPREKIQEVLLVSGIVESTKD VDNLTELLYVPVHRKLGLRICIARAVLASIDFQNGSPEMTSLDRQVVELMSRFKTLRP ERSPEEEAAIAHWRMITAFFLAPDSKHSRADLAGEIPCVNVLVNFLGLFKRHSDIANP EWAEQGTNNADQDWKGSIRTIAVHAIGIGEKLFYHPSTWTFRWCSHRKEQQREASQIV LFPALVEDVLSNSSKRRHNTIQAADISPAFVFSPNGTVIPAPEQQLDPIPAPASPSRS PSSTASRPASMGSGGGGGGGGSGGARCTPNQVISARSSRRSYFGEDSPQNHYVTVNGD TGYSTTIPPGSNVNIVVVPRRPSTSRTGSGTLVSPVVYDNRRPIVISHSPESHSRRSR RPHSASRVVYPGEDGQEYERVSRRDSANRYRTA QC762_401000 MALLAAIKDAGLGTRHAALAIAFLLGSVIAHYLFRGYQVRKTFK DLEKQGIPIMKHSWILGHLEIVGRLLKDLPSDAHGNYLPILILENWRELFPQCENRPP VLYLDMWPFAQPFLLPLLLPIAVQFTQDHSLPKAHEQNKILQPLTKNKDLSTMDGNEW KVWRKRLNVGFSIQNITNRIPDMLDEVEKFYDKLEAKAGRNGEWGPVFQMELQTTKLA MDMIFRFFFGKQVHARLAHVQPALEDAVMDTIRRFVFFHHIGNFLVEHSPWRKFKLWK NYQTLMAGLGPVLQQRLGELTADDKSRAKVADTVVDALIQGVMEDRDAGLVNTSDRDF LELAVGQVGMFIFAGHDTTASAICWVLHLLSKHPEVLEKVRAEHDAVLGPDPNAAASV LRAKPELINALTYTNAVMKEAMRVHTNVGTMRRGEPGFYLTGPAGSGYDGVKFPCGEG WVVWDNTFALHRDPEQWPRVHEFIPERFLITDENDPMHPPKNGWRFFELGPRNCIGQH LAMVEIKMALVLVLRRLDLEAAWDEWDAKRALKGKKTPMIWGDRCYHAGGESPPHVKD HMPVRIRLRQ QC762_401010 MLVRFGYHSATAFASRFNRMKSSTILAWWALTAGQGVIAARVKL HVPIVDLDYAVYEGYHNSTFNTNVFRGPAAVNFTQDVLGDEDCLFLNVFAPVRAKKLP VLVWIHGGGYGLGSAASFDFSHMAQTVNNGFVSVVIQYRLGAFGFLSSAELVENGGVP NAALHDQRFALQWVQKYIDLFGGDPDQVTISGGSAGGGSVMLLAMANNGTEGNSLFRR GIASSPYLPTQPNFDDGLPTEHYRQLARRTNCLNATSVFSCLRNADTLLLQNASSATS YSARFNQWAFIPVTDNKLIFSSPTEQLSAGKVNGEAFLAGSNSNEGYYFTPQNITSQS AFLSFVTLNYPFLSPENISSILSLYTPSPLPADTPLFETDGLNPPFATEMSAVGKGWQ QAANNLYAETTFVCTAYWLVEAYGEKGWQYQFSPPGAGFHGSDNGPLLQDSKIGRSGT VMDEEFRRGFQGVWGRFVTRGVPTLDGQGRGGGGGGEGGGYGKREGGTRC QC762_401020 MKAFTLVSLAASVSAHSIFQKVSVNGVDQGQLKGVRAPYSNFPI ENVNHPDFACNTNIQLRDNTVIKVPAGARVGAWWGHEIGGAAGPNDPDHPIAASHKGP IQVYLAKVNNAANAGTSGLQWFKVAEQGLNNGVWAVDNMISNGGWHYFDMPSCVAPGH YLMRVELLALHSASVRGAAQFYMECAQIEITGSGTNTGSNFVSFPGAYTADHPGILVS IYDLQGRPTNGGRPYTIPGPAPLTCSGGSNPNPQPQPTSAAPNPQPTGGNGGGAGAPL YGQCGGQGYTGPTTCAQGTCVASNQWYSQCLP QC762_401030 MMTLTTQDLPPAQSVEVDDSDEEYDDGPAHNPFHSFAIIQKDPT KEAFDQILADAESNKLRLGDKAYREAFKQKYQQYFEGRVAPENQTLLHLVANRVGHRG LTLLLIKNSPQLLSVADDNGKTPLWIAITRKNENVLRAIVEKFSGDLDSLLARTCDHG RNSIHAAIIHNLPEQHTLNLIQRASKGTLCAGDYDGLTPLHLAIQYDRCSASQQRIVE ALLQRADECLDQFTINPSQLSVYEYHYYTRADAERKTGLLAGQAGEPNGTSQSSNQNR GKSPSGRVGHLPDNVPHLHNVESSRGRTTNHAPGKKGGDVEPQRGREAIREQSNAPST RNMDPPPPGPINGVKRDVNREWADRIIQGIKLHYLRSTFPTPDRPQPRDQSQALRFLH GSNIQDWNLYFDYSKAASPVTRKNFEQSFAHMRFDSVLRYVAFNKIELDSPGNNTSKL HAKRLAQQPRPGRGRVDLVFFFNWLREKSVKHILKVVVDDWPERPHSDKAIEDSLKHF EIESLEWRKSDICPETLFVACQHVRHLHLWWSGNRAVLRAWSEPDGLVRLEKLKTIHL LWNSAEVLEPADRIQSYVEDFKRRLRKAVRNYELEKQGITTAARPQNDKAVPVPGIRR TVTGDRPAMDGPVRTVTVEAIEENFSRGDSGSSSGTRAKPMRVANQRNLSAHKWLNCM DAFADGIQGVEVPATQHKLLLKDITVALIDDGVNIDTSSIGGKVIGGATFDRGEPDEN GPSPYFISASGHGTVMADMICRVCPTAKLYVFKLETHLSPDSLVDGQTHNQIVARSAT QSIDAAVARGVDIISISWTVKKPKERERDADLKDLGDAIKRALDAGILVFCAAGDAGN FSDEEYPYEFDRSRIIRIGAATDDGRPWERSGDTHNLNFIFPGCSVVSRHETINSSIP SHFQENTGSSVATALAAGLAALVLHVVRLAAIFGENERERVKSGGGGTAVNGVGSGAA GPVVEAGRLASLKDHRNMKLVFQKMGVDREIGKFIEVWEYFEGPTEGLRMGGKAPEVV TGLAVRFVSSMKL QC762_0062030 MEDEAADSLSWNIALAPTGDSGSQFHTKNDPNKPLQRQNYVERK GAVDVRCSCADVVHGFLSPDSDVLCTLIVLDFRFDSRKRARRIASVHIDLRFSSLDPN SPYQPEVRAISPDGNFTVAPTTQTESSTLSGNLGISATPGPAPTLSAGISIDKSITRD MTYAATVVGAKSLRGRNFGQPNSASWTLLENPATETGVPVAMRAAILLEREDEELFQC SVTIKARADWRTTLESVFGSTPPDDPVLFDPTIESKRTHYDEMNLGEAFKQLSTVPNV TFRKGLPEQR QC762_0062020 MVTEHWKSSSSSLSSRIAARMATGTPVSVAGFSSSVHEAEFGWP KLRPRRDLAPTTVAAGNGPDLGLVGTVGIQTAESEVNVDGSDASGAFARVETEVEDYQ GTKNIAVG QC762_401040 MSLDIHFSGEPGDASFDLVAVHGFFNTSSEAWDLGSESEPWLKE QLTAKKRYGRLLFHRYDTGASAVQGLCGRGAIQKEAIMLLQKVAEQRKDQDPPRPLIF LALDFGGLIIKEAIIQASRHTLEFANISASTRLMSFVGTPHRWHNKQDLESKLASFLF AKRPTALIVEDINRLAKTVSSISEEFLQTRATIHSTLISVCSEAPEAYGIHQIFTSSF GIPMELRFSAQSVDAVQEVSVRDALIETLESRILGVINAPTPTHTLAWLNGAVVVQAP SPTPIMSVKTADSDHVPFSWLDDSPVFKEWMNHEGCKIMHIHGSSNVSVAASYAYQQA RIHASTGGFTSGLTLYFRFDRHDCQRNTIKSMANLFLAQTIARFGTLPASAQFPSFEP PLFDKALTEKDVFFLLNSFRLDCNRPARTTWVLDRLDECEPASCNWFLNQLASIAARC ELYFKVLITTASPKHVQTQLAAAGCESLSLDIASSQVCPGIKIPPVPASRHCPALEPY APQLGDLLGACQPDQDLFRMVVDWLNLQASRWNTRAEMAEVVTALSPPSPRVLLGAVM QAVPTFLRPIARDILCWVRNAVRPLTPLELASALKFQGADLGCLNIELYIAKIFGPLL TTRHGEVHFTQPWVRELLSILEKTTHWYAAASTADDHKEIAKACLRFLRLPETIKALS QRCGGARASGVLLTSRHDIVSYAVAFWPTHYRLGYLAADSSASAPEDLISFLGDSKAL RAWFSAHGCLLPPHQQPHSSYLSALPIVSHLGLETQVENMLPIDADLPTSLQELEDAL CEASRMGHKNIVDLLLSRPWTLTLHGVVGAMEAAALAAEYELVEVLFAYAKNKHKDQA TSHMYPHSILARLAWAGKGKLLESLMQGRPSGDPTSPTVPASKLLCAVATGQLGTIKT LLQHGEDANYQDETRLSRTPLHMACRSGYGDAVRALVADGAADIEGHNGQGQTALQKA INLGNIDATKALLDGGADIASVEAEIPRTDGSFPYPAFYMAACESSFQCITAVLERGA NADATTDEYTPLCYAAVTGKLAWAKLLLAHGARVNGLGKWVPLCQATAKNTGKNMDMV KLLLEHGADINASGSADVATPLERASEANLLEVVEFLMAKGADIGLHGAGSTALSRAA SKGHTKMVRYLLKAGSNPNEAHPAATSWKALHWAHDHPECLEVLLDSGADIDAMSKDG TAVYIASYNNHLESVKLLISRGANLELTCEFPDLWDSNCTALLGAAGKGNAEIVRALL DAGADITARSPRDETALHLAISHANSEATVRVLLEYTPDLNAQESFFQHTALHRLMLE ATTQLSIAKILVTRGTSLEIRNKGGYTVLDYAIYWREYEVAKYLVRAKAKINTVGSTW GGPLHIACWRMNLDMIRFLVANGADANLIDNSRGVPLLVAMTEHQEGEDVTLEMKTAV VRYLIEEAKADVTVRGGMYFITVLNGACMQPDVDLLQYILDQDPVDSDINTAGFNGCR PIHFATYQSLEHVSKVLARGADINACDKLGRTTLHTAVASGRPDVVEKILSLTGSRYI NETDRDGWTPLMWAVRQCSHWGVKSDNQEEIVRLLLDHGASLWTTGRTCHEEGWSALK LARYYGASQEVILLLTPKQRHYLDDKGEPQVWDPQAHRSRRAKRQNGFCDLCLYTLWG SGYANGRLWLCAKCYTYQNEFFPELKDWKPANDGEEFDPDAPEEQEEEAEPAVDEIGK PSPGQVKQVQTTEADYWSDSDSD QC762_0062050 MQVRKLKTIVKTLQAFNYHTASKLEKPETDFRLLELYPASASLP SNSRPSTAEPPDNASKPQHGNSPKSNNTMPSKDTPPPLLCRLFTTPLTNPSTTPFKAL SYVWGSDATPHSINVISTLPNGTVTTLSLRITSSLHTALLHLRDPDVPITIWIDQLCI DQSNPAEKSAQVSLMGKIYSAASQVLVWLGPASQNSDSVMQLWAAVGQEVRDMGIERY YTKEGWPLLHDIMSNTDPSDPETRQYQALLQKHAPDFAAQIRDGSIKSWFERPWFTRA WVTQEFCLCPDTVFVCGDQKLDVDLVMLAGQILSYSTLLLVRPPYSLTVEELSSLDDP TADFFSCRKRRRGYETKTGDGKGDTLFVLLKKMFVGRETYAKVWRDRVYSLLGLAVDA EALGIRPDYGDLWGEEKTVEIMTDVARRMITNDYSKRVDVLCYSGFPKVVPGLPSWVP DWKTNTVKSYYQVQEVVDPHYFAACGEGNLEVQVVPSWSTRVLGLGGFWVDTVDMLPP GDGKEVWSDVHRWDGPRLMGYLRQVGALLEAAISRPSNPYGSDERRLEAIWRVPIADT WDDRSAGVRASRELKVDVQFRQAVEAMLYDIWMKTTTAEPAEAQRIMDEFNWDERMRK DELGAKYRQCMASGGGNKKPFLTTKGYVGMGPPDMEVGDVVVVFCGGRIPFVVRQLPA KEDGRKTFLFVGEAFCDGIMDGEAAIEENRGDFFLE QC762_0062060 MKVDSWMMAASFLASTTSALGPNVPETEKRLPGKPAPMPNWKWP NPFQSSRAAKYEATCQVQRSFKAEEFKLDDLAQNPPLGLLPWRDALKDVFAEREYPGG WDGIDNHGYDRNILKMDYETVPLKVREWIEEQERKELPGQGLFALFARPAPGTRVFKQ VPVPKEPTPEFREKDDRRVLIFAPGAIYENLPLWLGEDSGCDDEMLDLAKYSGQAKDG GVIGYPIYHSKPQRSKGERDIEFSLLAQVVKLKEGETEEVAESSSQAEAEAKTEAEKP AVTEVVKEAVKEATEAVKEATEAVKDEL QC762_401053 MISLITLIPSVLALCGFVHAAPATPGLALDDVDDFTIVPVYWEL PVKPDDPNGATVTVEGTIQQAIAKMDTDYPGWNQTFQAGLGDVSYVSASLAALVALED PTYDCKIKTDMANILAIKWGIHYLRAIPGKAKNGPGPKNCGRVSCSWDSAIYWCNEDS SGDKELYWGQIADLAQGILDNCAGLTKVKGQGTYKDDKWSVVVRFPKSSEGNC QC762_401090 MILVTITNNWAAAQPVSMANIRGAASLAKSHSIPLFLDVCRFAE NAYFIQRYEDGYADKSIADIVQEMFSYAEGFTISLKKDGLANMGGVLCFRDQGLFAQK YEGIGMLLKERQILCYGNDSYGGMSGRDLMAASAGLYQVTDQAYLCHRITQVQSFAQK LQANGVAVLSPPGGHAVYLDMDQFFFGCNRKLEDFAAVGFTLELIKKYGIRAAEAGPF GWAYDLKPIEERSKIPNLVRFAVPRHVYSDEHIDYTVAAIMDLYERRHTVPNAVITRG KHMKLRHFSAGLKPVAVDQAINDSFLGEVSRQLRHLSTATGQDAAAAEELTQALALVT GEWGKTAVPKQLDTTRWTSGVCNDGSAVEYSVSIDQGTGKAELRFLTEAQPLGNSWAH LVEAALRANQDIGKSYPSIVSLERFDAIRDLFLPPSSPTEMKLAAWHSCAWSTSKGPQ WKIYLDPCAAGKENATQTAREAFSRLGLDTGWRLIEGLLGPNDRVIYFSLDLASNTEE ARVKVYIAHGGADATSRAVMAEVAQKHASICPHADAFEIQRFFAAMAGDEFGRDNSSN RRKSLISCFAFSGKTGERPVGTVHFPVDAYAADDAEVKRRVDGYLIDVGASVAARERY AMVLAAAQRRPLSDGGGIHAWVSLKQRAGGKMDNTLYLCPEMFGPRGAF QC762_401100 MLLGQAPKSTATATVPPARRHQMSSLHVWITATAVIVSLLLLTR AITSKKTSKFSSLPLPPGPPTLPIIGNLHQAPKTHPWFQFHTWAKTYGPVLHLNMTGQ HVIVLSTNKAAHDLLARQGATFSDRPCFVVAHELALQGMHMLLRPYDQRFKLHHKLES PVLGERAAKAYVKIQDLESKQLLLELLRGGGKPINPHDEIERMTASVIYTLFYGQRVR EANDAILLQAHAVNHEFDQLAQVGKYLVDSFPVLNRLPGFLAPWKAEAAEHWGKQRAL HVGNLERGLDGRSWNVARQMKRAVDGMGEIVMSVDELALDIGIMADAALDASTETIMW FVLACVTEGHRGWVYKAQGDLDRVVGRGRLPGFDDRAGLPYVTAVVDELMRWRPAGAA GVPHFTKVESRYEGWRIPANSVVIPNHWAITREEAVFGPDVEAFVPERWLGEELPIVG FGYGRRICPGRHVARNGSWIAVARLLWAFDIMPELNELGAPVDVDTKGTDGLVTKALP FKARCVPRGSWVRDVVSRECDTWGVDHHDVLDQIGREVFN QC762_401105 MRQEILLSLLAGFTARAELFHRQQQPPDETPSPIPRPTGTSNSS SPNLTPYKIDYFHLGMEYAALDLCGPDPYAIKDAGRINPSYCLVDRYWAFMPDLTELN STETFDYVNSNWTSSSVQFSLRALYTGLFARGILPEALRDWASQDPLALLGMGNLSDD DKRAIGELVARERANGTKTISPPEDMRSSRAAEVTAQMLALESWDRHRRSALSSVTPA PTAAFALGAEDKKEVFLVLSSALPQVFGELGRPRNETEKGRDPKSMGITETYLKSPFV PGGPNARVLWYTALTPDELSGAVGLRAFASWGLWMGIWGLMGFVVVL QC762_0062110 MMSSSKLQYEHLDQQDHSIRLLRLLPGRWLDNIYCELQTVSLDD SPAYHALSYVWGNPQDTGLITVDGSPFQATKNLITALRRLRSSIDVKVFWVDAVCINQ LDTNEKTSQLGLMARIYKSAADVQVFLGESGVLDLIPHEQQGLWDDPPRTHWVRDATM LIHTEHPPHKDGLDSRGVIIDWGTYLRLPSSPEQPGYKPASREVPMWAILDPSNGLNA ADQHRVDQFFTRQQDPMHGRDQELPPLERLRHNQSGAFAMMKMLSNGRCLKVCCQGPL DSSAWQGALNVIAHLVSLPWWSRAWVLQEAILHQRDVLAIYGEIVVPMGLIEDSGAVL PRHYARGDCCKRFWNSLPQAQKATLEKFANTMGQLEGIRQTLNMLKMKQIEMLKYLID KTRFKEATDPRDKIYGLLGLLSNCDEPIDLVPDYHLSANDLYTKVAMQMISYTRSLSI LHHHEFRSSALCSELPSWVPCWGPTYGSLTPFQIGERTANFLACPPGPDRIPHLANDT PCPNALVVQGRFISKVSAVTTPASKETTSLFIDLLTFLQTFFDIDPFSLHPITQEPEE DALARTLLSDQVFEVRYEFKAGQVVFSRAYAGDIQMFRLARQILMAQKMGQTLVLILP NGTVMDDEAKAHVVMHAEENFWCANEGRVFFRTEEGHFGSGPRETNVGDEVWVVLGSL VPLVLRRATEGEEGRRLVGYAYVHGIMDGEAAPGVNGEGKREVYLV QC762_0062120 MGWTTAFTGFVSCQSPASIVAGISYHGYPPPLCPASGGVITGTW TWLVRILGTDPKSSDSKLCVELHQIYLPLPLPIHPRRSLPVHNPMNICIAHQPSTLLP LCCPSQNQRHQTPQHNPNLIPHICFPRPRPKMALFCSEKHPPLIGTPEVLLGMHHHMR LCLVIHDRAVRQDEHQSLSHLLRHQDLTSETKHLDIPSVGSAEHYLPSLELISYLKDL VRQKRAG QC762_401130 MNRQYIGMGGQGPVPRRAKKGSMNPFTIATNALFYSENAEITRS ITIFGLAVALLSTGFGEAFLVPA QC762_401140 MSNIDQTGGGFLPPSPASTATSTATANTFSGNASPLPHPRGHAL KAGSAKEDKIRNYISDRLAHINRRLVTKPEEETDNNIKPYTSLADLCQTDLDPLINII WLSGTPALQIPYLLNIASDFNDWLQTPTSFSVAHKASSPTIFGILSKLDHCFASLLCG RDFVTSEPLPGFFNSASATGGSGMSKTDMVRLKSTVQQTRVVIVDVLDNDDDGETSRV EELDDQDGEEGDDYDGDEWDDREKERMFMDVARVYENTLVKLGELLLE QC762_401150 MRFLPLLPLLGLTTATAITAPRATTGTETSLEPYQFRALKPRGT VRLSDLLNPLIGFLECSQGDATVQLLCRIVAAKVNDALAANNIKIDRSGLLFQYNDPT NVKIDTGHSCTVTAEITGTQANAKLLAEAGIKFSGNPISLSNPGIFVADLPIELWARA DIKQKFGTRVLGKCIGAGSDSFNAAGSLATRAQLAILFTFAPAPLKRDAAGNYILTIR PITKVAAQLSDTNIKFNISGVSFLNGLVTAILGSTSSILKAVTHLFKGDSLKAVWNDI LRSVIDITAGTLLATPFDLLDNLVEMLAKSVIEEKTQALNTAYSGELEKALRAKVSQA LGLNANGERSFTLKKDIVELVAILGERSPDLWLPDKPANFCSSDAQCDDGRYCNGVEK CVNEVCIGGFEPCTRLDAICVESTKTCRIQPPRCGTGRCQIPRDAGELAEIEAY QC762_401160 MLALENFKTDTIENVFQRCILITGTTAAAIDHILLKAESRRGRP DQLAQPWPVSNGHFKALALLTPGLNTISVISGNDNDDKIELRIRYLLLTKTPPLHLAI LIAKDSPLSIDCPPVKFGALSSTHSSLDAAIAKFRVTALMWQALVPEEMQKADPGRRS FCLEEEKGVDTLTRDKVRNSFTSPKEAMGLVPRVHLVRASRTVAEIRAMDGPQLEDAF VEALKICGGPFVARNKPVVAGLILDSHFDTKRKRVLGHASRARYDPNGLSLAMFGSHL TYAWPRFMDEIPDCLLDTRPSGDSVDGRSCSPLWQTCAAGQRDFFYQALSAFGAKTEQ SRRVDDIAEWPMAFLGSCHGQKHAGCQRLSDRAWDVSVYGHLRDLLFLRASRPHFRVP GDKGTLFCLDPPVIEISGTFEKAVVTISSTTGIKHFSTEQGPWPSASFVKCSPGLWKA VLDKELIARSVHKTWGIKVLAGNGLETEVQNIWAHLHPATVFTLPGRRGITIEKKSVG PRGGAPVSGSTGWTAMLKKKDSDGKVVRATKLALHVGDALDGALLYYSDGTFVTCGQP GKIPGGHQRRCIGLRNGVEIKCVAVTRMERSWWPLVGLRVWMGDGRGMGALNARHHGG KNTEFLVPGKGRRIVGFFGRHGGVGGLCSEFGIITAPEGVRLPEEVYDETKWETKWEV VDGKEQVGDDRKREAEKEGEDGGRRAKRRKAEDSMVLESNTMKLDDDRDSSSDEEGEN DVADDADFGYDDFRTSNAFKELYEGKGYDKGGGYGLMRALQDLDRATSGMGALGGGLM GLLLLAGRS QC762_401165 MITPAKKRGQDQRRGRHRCQQLRRLPEGTADSLYYTTYDEADNK VLIPIIDASSGNIARDLANLSDSSTAPRSELEVAPAKSVKRQRSGCGTRYNLRHGDCD LAYERLKDTCGNGIVIGKNSAISAVAGSVVSYMCTYSNRDGV QC762_401167 MIAIKFILALLALGTASVLAAPAASIKFSPRQGNEVSVFACTEA RFTGECRMLPTPAEQCFNIDPAWNDVISSIQNLERDRFKCKWFEHQNCEGKEYKNQDD ADLTDGNGFFDDRITSWFCERK QC762_401170 MSSLATLLTAGLTLSGLAVAQKPGPTKEVHPKITTYRCSKKHGC KPQTNYIVLDSLAHPVYQAAAPQYGCGDWGQKPNATACPTKEACAKNCIMDGVSDYSA YGVTTNETALTLEHILPNGKFVSPRVYLLDKTKQKYEMLKLTGNEFTFDVDATRLPCG MNSALYLSEMPADGNKSKLNKGGAYYGTGYCDAQCFTTPFIGGEGNIEGKGSCCNEMD IWEANSRATHVAPHTCNKPGLYLCEGEECGGTKAGVCDKPGCGWNPYRVNVTDYYGNS DEFKVDTRRPFTVITQFPADKNGKLTSIKRFYQQDGKTIETYSVDVDGLPKVDGLNDE FCAATGAERFLELGAHKGMGEAMTRGMVLAMSIWWDEGGFMNWLDSGEAGPCAPTDGD PKNIVKVEPFPVVTYDNMRWGEIGSTFKVEKEGKGKGKGKHRRSIQ QC762_401174 MKFTLAAAAFAFLASAADAATAKITTSWIVNAGLLPVTTCYYHG EDGKTYFMGDFSDGCRGTKHDWVRQICVDSSKTRAHITFSGGTRRCFKRTWRSSECVG TGPESCYKGICPTCSRAEYTPTSCTW QC762_401176 MFNLKTTILIAMGVLSATAAPTVHTSSDGRITAGQNTAFVFACQ NARWGNPCQTFGSNPGTCFNVPGSYNDRISSIRNLDKNRFHCVWYEHSNCGGRSYGNQ EDANLHDGNGFFSDRISSWRCNTRQFRTAAGGSGAVEVAEQQ QC762_401178 MFSIKALVTLLALGRISVSAAPAAVDSDTVVALDDTAYVWACQN TNWGQPCRLLEGLAGQCIGIPGDWDNSISAIRNQDKGRFQCVWYEHYDCQGASYSNQE DAKLNDGNGFWNDRISSWRCNRKQFRTAEAENTTEIEA QC762_0062230 MTEVVTDLATDRSFTQHLNAKFGDALCTAIIDQVLKSSLARSAT LLTEKGIYLIYKCSPVFQKLIHGISKASLNKPIFGATAVKYVSRIFWSHAIANAGVWT VMSDWRLFKYWGRWNTWEQTKKTIFKDGMAHGGDMFGWILGSVVMGAFGISAFPAAVG AFVFAFGGREIGKILSDMIIEDGSSDGDDGEKAGDGGEKGS QC762_401210 MRLLIWAWAAASLSFGCVALLEERIVSFEVDADAAAASAQLDIA SAPILVSEDDPIGVHIAANSLAKDLEQITGITRSVANATLASISSEANRGPFIIAGSV NSTLIRDLSSQGVINVSDIRGKWETFKTTTVQLSGSRPALVIAGSDKRGVIFGIHTLA EQSGQSPYHWFADVPAQKHSQIFALNKTTVHGEPTVRYRGLFINDEEPALNTWWARQH NATRYPLDTEFYARVFDLLLRLKANYLWPAMWKSWTPPPGNIFFIDDPGNQQLADDYG IVISTAHHEPMQMATNEWNETERGPWDWSKNKANVTKFFEEGIARAGKNESYFTIGMR GLGDEAANTQNAIEMLKDVFRVQRGIIKKFHGSETAVNQVWALYKEVASYYDAGLDPD GDITLLFPDDNQGNVYRLPTGNETERPGGTGVYFHFEYVGLPRSYKWHNTNNLGKVYK ELLHSHLRGANRIWIMNVGDIKPMELPLNLAMDLAWDASSISFDSIPQYLVQYAAREF GPEHAEEIGDILMEHSRLVGMRRYEHIDPATFSTTAYHEAERVLSRWDYLASRVNNVT ALLPAETKPAFFQLVGQPVLSGATFVSVAIKTAFNLRYARERRNSANLLASSVLEHFE TSFDLIEEWDSMLDGKWADMMSQAVYDAVEEPKMWANPSRDILSNLSYVQIRQNMQFS LGNLGLYAEGSSSPAEQGRWAESVDASMPTTNFAPLLPQLDPFAALQERIVEVFHRGN HRVPLNWTLDPWEEDWLHITPTGGTLNSTHPDDKIVLSIPDWSKVPVEFNKTVLIGVR STPARYPYFDQIRLPVLNFVPPNTFEGYPESSGGYISIEAPHFSPNFSTPNTSDIHFL AIPNLGTRSNTGSLALRPFLSARGDVPKAKEVKAVYPIYLFSDSPPSLKHNVTATVYI NAGLDTDPRLKMEFSLTLDDQPARFQRVLGDYVKNPHAGDIPPEWLPHVADQVWTKKV NLGEVGEGRHEVVWRVNSPEVYLEKIVVDVRGVVQDSYLGPGETRWVYATEVMGGERR GRGKGWRGKGKWGSRSRMGVGGLWM QC762_401215 MLEIPTHQSGKFGPTESTSGWREKNSDDIDTTPEDQKPAAGRRR RPTGKRPSGIPADSDDPDSSPTYNSRQGRPVRYRPPANRRLFSRQTPNLPIVGVGITP GPSTGPPPQTPEEQAIVAGNKRQRERGSTPLAPHANRRRVDEAGRQTYDDRTSPPRGG TAAPATPTQWIHDDDMDKERQDSDEEEHPSPTAARAARKILRPTGTRNTAGILDRQAL LAKAREEREKVSQLTSTFGGMSINRMDLDWQPETSARPDPPRVPVQLPQIDWDAPIDQ EPMVVDDAPPPSAKMLRLWQKAKDRLGLKDNQTERSQQQVDDELTWTGTEEQQLQSQS TPDQSTSSGRTYHPYRPLLNQGINRHSPQASRNRASAGGYAPAPPSSSASSSQPGPSR NPTLRRMTGNANLAASYQYKAPSPDWAQCKRQSFVIEEDTASGVSNLYYNKPDLHRTG RVKRIKFGAGHIFIGRFRRHGGENFIMAFPYDIRFLIYKELLTCHARLIKLGAGAPLI TVGMDIPPCDNQIMFTSKTIHLETFRIFYGINTFEIKVNWEHFLDPLHFLPADFGLAV RKINLVHDFKINSNLREVLARMNDIPCYHYPSGPSTRILDFNSWQPILQRLDYLHVEW KVETWVRHRLYAGADLNKTRLTKKDGWEGWDGIWFAMQMYKTTQKAGSQRTSSYFCYW DSWMDPRFGMDLGSYHTCGARCKYMEDFFAKLWETDVGADFDRNDLVREDPDSEF QC762_401220 MHTEQQQHQPIMGDPEMEQTYETTSLLTTQQKPGYVTFPESHHE DSWRPSAGFWWIETALWANVFLSGFDGTITASTYAAISSDFGAANNAAWLTTSYLITS TAFQPLYGRFSDMFGRRICFFISTLTFMIGCFGCSMAQTILTLDIMRAVTGFGGGGLI TMATVINSDMIPFKQRGMYQAMQNILVGFGAVLGASLGGSITQAIGWRWCFLLQVPVS FFALVVGYFVLENPACMVPQLIPLSTTQRVRSAIKRLDLSGSLFLVGGLLLQLLGLTL GGNEYPWASFPVISSLVGSTVLLFLFVEVEANTKAIPMIPLRMLKSWQPIAVQLTNIF SGMASYAYMFMVPLYFQAVRGDSPSAAGLRLMIPALATPVGGVVAGWSMQRGIKLSYN VRLGTAMMLIGNLLALSMGTTGARWKEFFYLIPANLGLGLTNPSVLFSFISFWEHREQ AVATSTVYLIRSMGTIYGVTVTAAIVQNMLLAGLPAALGDNVSEELVERLRKSLFAIG ELSPTQQLAVRALYCDALRIAFAASSGFALLAFAFSWAHRTGSMQKKA QC762_401225 MPPISPNLQSPLTARKSSDELGDAELPRFQKSKLIKADASHHPE VSKCLLQAGFKYSVARQLTEHELQFWNVQELNQTLRLVDATVDDIRFRRLKSFEVVPS LPSRIGHGRVHFNPSDFVKPRWKQIQESADTLGALCVNKDGDLRIVPPGGYAALSHVW AEGLGSDETNGGLHRSLVVQLFDKLAHTDIEWLWIDSLAIPGTGHQLDHAEAEMKSRL INAMANIYRQAKQVVIVNALALRLWSNDPADLGVVLGFGRWLTRVWTYQQIKLVGHTI VLTREGSVWFTDVFICLKARDGLFTLAQTFLHLQRHGNIPVQLADIVVGCTKREASDI INNAGALFPVIGLEWKHGLSLDDGMRKIYEARRHEAVKMVLYHGPPRATYPAWAPAWL NQMRIVDREVGNQRWETRGIYEVVY QC762_401230 MTKTLVTGPHGPPDISYNLDYDNYLARTQRRLQTEKLPKDLPSG FPQQIQNDLVWDGKDLAEKYDWNYTLTTDDLTEIESALRHFKAQNLGLGFINQDTFPL PNLHKTLRDISKEIHMGHGFKVIRGVPVASHTREENIIMYAGISCHIAPVRGRQSFLD TGVDVALAHVKDMTSVVDGSKIGAPAYTNVKQAFHTDIGDVVALLCLAEGVGGGESYL SSSWKVYNELAATRPDLIHTLSEYWAADTFGKIDIPYWHAPLLYHEPAKDTTPERVIL HYSRRTFTGYLGLPRSANIPPLTEAQAEALDALHFTAEKYALSLDFRQGDIQYINNFG LFHGRASFQDSKEKQRHLIRIWLRDPEYAWEIPEPLRNKWDRVYKDVKPENTVFPLEP PIATGSDSNPGGKGLVAKGWHRLVQASQRMLVPS QC762_401240 MSFNWRRPFRSRDDNDEPTTHDAPALTRVNSAEVSDGSLKYTLE KGGNDSQPSYQEATGAPVESRSPLGYSVGPITIIFLNVSKMIGTGVYSTPSAILRGTG SVGLSMIYWTLGFFTSISTLSVLLEFASYFPNRSGSEVVYLEQAYPRPRWLFPTAFAF LNVVLSFSSGNSIVCAQYLFRINGHTPSPWELKGVAIAAYTVAFLAVVFHTKASYAFS NGIGIVKTLTLIFIAITGLVVLGGNISSIPDPHSNFRNAFDGLPPTPYGLNNALYRII FSYTGFDNAFNVVNEVKNPIKTLRRNTFISVFLVAVLYNLANVAYFASVPLPDLRAAK EITASLFFTAVFGSSNAVRGLNFLIALSSFGNLVTVLIGSSRMIRECGRQGVLPFPRF WATTRPFGTPIGPYFVKWFMTVIMILAPPAGDAFNFVVDLQVYPSSLFHILVGIGLFI VRYRRKKLGLGRGEFKAWTVVVVFNILVYAYLLVMPWYPPEGGPYAGNVSFWYATYVV TGTGILLGCGIYYYAWIWLLPKLRGYRIRQEVLTLEDGAQSHKVIKIPVEQLAEWDAT HDAVGRPLNRTDSHSGDSERIGVSSTAGEKGQDGNVRDVDPEK QC762_401250 MSTRCPLCTELSIQHLFELTKQEVDFHDFPSSAYYKHHKSFNDL EQSAINGCDLCQLIIHAFKQNPVEEDQYFGLSSQDETMSMYEKAKSLEQSGIKIALTS TYPYRDNSSSLTIVDTLAVQVGGFMNVDYGDDDVIQLHYEIPVMPLRLVVPPDQPITL KNVRIGISELDSDLGSQENFEIARSWLQECQQCHRCCQDNKVPTLPTRVVDVGTPDND FKTLRVVHSHGSQAPFVALSHCWGGRIEPVLTTKTLDTFTTSLSFDSLPANFRDAITI TRKLGIQYLWIDSLCIMQDSRQDWEVESKKMAQIYGSSTFTISAFVSERSTAGILNPA PTAGPPPMSVPLTMLSEQGEARKLKLEWRHPNDREDLRRLDMACVLNSRGWTFQEFLL SRRHLIYGRHQIYWRCRACQESAEAGILPEGDKRAHSVFDDITAVLDASNASDLSAKS LKRKDLFREYYSLVGEYSNRTLTFGSDKLPALSGITQRLHASLGARYLAGLWSSDIRN GLLWKEETQIARHVQSYRAPSWSWAVTDDTIQWDSYTTDYGQGPYDLELVEANVEPKN PSNPFGEIMDAHLVLRGRTKKLYRSEQVFPKSYFPGPNHEPSIGEGSWDEPVDGSPRR KGLDVTTALLYIHNVDDVGDDAILSVWRQYDWVEATIEETEIQPAWFDQKNEYLALLV RISSKENPFKKDGTVAYKQDDARLMCLALRRVVGREDEVYERVGMLWVRADQWDVGWI EKWELKTTTLV QC762_401260 MQYFAREWDVLKEAGDGTGKGGPAWVYTGGSYAGSLATWLSRLE EKEGEEGKERAFFAYYGSSAVVEAIGDFWQYFVPVLEAMPKNCTRDVERVVVFADEVL GGGTEGEKEGLKEKFGLGGLEDEDFAAELTWGLASLQTTQFYSEKNIGYSPFYRFCDY VEGMYPVDPNATVPGEDGVGLEKALEGYARYIKEDVVPGFCAKSGYPEWQDENSTLCL QNMNASSLAFTDLSVKNWGNRQWWWLLCNEPFEWWQSAPPLSSSYPRVISEYVTAEYW ASLCPRFFPNTTYTLAEGKTADDVNVRTGGWDLTSNVTRTMNTNGQYDPWRDATLSST FRPGGLVTEMEKDGLQVRLVKGGTHCSDLVGLNWEANAELDGLVDGVVDQLAWWIGQY HSDAYDRIRNTTRK QC762_401280 MSKPSEPTPVQAWDSIASFWDEAITPGGNKYYHRLQAPCLHRFL AKHLHRDARCLDLATGNGVVARWMLDRGAGWVLATDASGEMLEIAKRNFASGGCDPKR FTCHRTDVTSEQDMRALEEFHWHGRLFDVIVINMALMDIERLDVLATALPRLLNPGGV FVATVLHPVFFTSNATKSISISFDPATGEQVTTRSKVITEYLDVAPAKGIACPNQPVK QTYYHRPIHELLAIFLKDGKLVMDAIEEPAFTEDDLDEKRVESSTNFTQLPALLAFRL KHRVDKFE QC762_401290 MKTCAGEPSTQVDRSLRLHRATQDSGHELWEGDKKADLLALLAP IHYDHLLKQAPQSFKMQLSNLLTIALAGLAVASPVELVERQQKLRIMPLGDSITEIAC WRAFVWDQIAAAGFANQVQYVGSQNSNPQGCRPTTTNWDQRHEGHSGWLAIDIANNYL ANWLRSTPADIVQFMLGTNDVFRGRSTNDIIAAYTKMVQIMRAANPKMKIIVDLVIPL GVGSNSGIQALNARIPAWAAGLNTTESPIVIADCNTGYKTSDLRDGVHPSIAGDQFLA TKIGPPLLNYIRESLAGN QC762_401300 MTTAPWTFTPFPPLPPAFLPNTLFYNATSSPSSNVTYQISLSYP FEWGPSSLPTAEITNKTALTVYVTDGNALASTAADHLKRRKPVDPSQPDALVVGIGYP LTDNVYALTQRSIDFGAPIPGDPVPWGADTFIDFINLTLRPWVQDTIFPNVNFTRDAI YGHSSGGLFVTYALITKPDLFDTFIAASPSLTLMNQTVLMNVTRRLGDGMDIPGDIEF GQNQTKPAVFIGYGELEDYPLRRRTQTESQFQARKNLLQRFGPGRYSHELFDRLVGSG RMRDVAVKEYAGMDHAAVGGSALLDGIAYFLDW QC762_401310 MRLIDTTTLKLREFTAYTTSDYPKYAVLSHTWGAEEVTFQDMMT EPLPSEKRGFAKIKATCELARIDGLNFAWVDTCCIDKSSSAELTEAINSMYAWYRASE TCYAFLEDLESTTEISASSDFAKCRWFTRGWTLQELIAPTELKFYDKNWVYRGSKHDL AVTLKGITRIPIGILKREQELTTKSVAQRMSWAAFRETTRIEDEAYCLLGIFGIHMPL IYGEGFQAFQRLQQEIVKSIGDMTILAWGSVQSGQGSSEGLQGLFAPSPDAFWIPGVI NCLPSLPDITITTRGLRVSRNTWMPLWIHGTTYYLIVGAFSPWTERVSTMQSSSVSAL RLSKIGPGIFLRTGLDTEVPVDALYAQHHQSEYYLLTDIPSQINDIYVGYRKHSLHVE VNKTFHVEDAVPHSLWDDADKIFLRESAWQPHARIHYNVVLGVRFKGIGDFSAIEVVV LLDLSSHLPSLIIFQASQAPRLVSKLFGPMSKEHSMMLADLEHLNDSETSLESFVTVS TDRGPARITPVLTETEDVFLSSEEEGSAIPVANLQLQIQDIGDSADSQLVTGER QC762_401320 MENPEKTAGGQTDDLHSMPCVLKLPFESLSTAPIFLDQVPAARY RFIDAKPFANGSYLRVVETDILPKNRYAAISYVWKGVPPLNPTANPPPTMTIKSAFNA DPISLPALRTACRAALQLECPLLWLDGLCIKQGDEDDKAWQIQRMFSIYEHCHVCLIL PGGLSRLVALEEETNWVSRAWTLQEAIAPPCCKVLFSWTHGTCYLESLFYLHVEQVEG DESGAGMTELVSLLAASSRATYLVTKSEDDPTQEKKQKLEMNLIVGDKRPGDRVQRNA LIGALDLKGKEGMASAIWRAAMMRVAYRPVDMILSIMGMFGVSLDARAFGHDDRLMAA LALMREILARGGRAEWLGVIPSLPPGKVFSTAPNILELGEGVEAHLGDEDAMENGLLW WLQGAPTGFVDEDGYVNVTAPVASVIVSASGGSENTTGKGAHSIRDHGHQHWDIIDVQ GGSLLPPYAVHLGEKKNYLNGSYPTWSDPENNLFMLVGQESDGGLRVAGYAIGTAQVL SSSGWSTNETIRVKIRSVY QC762_401333 MHFPSLTAILAGLITTVTADGMSVYSKCPPGSGINCPERVGLWY TDFGLHRIDARGGCLYNDATGVPGIYELCIDWAGGRGHFLASNQPKRCIKQRGARGMQ FLSSSTSADLSRVIQARGLVFSRLLVNTSF QC762_401334 MRLSTPLAAAATLLQSATLASAEAWTLFSFIDMEPFQPNWDGGL WHTDFGSHNFKIENEDGCWKNVGVPSIAEVCVDIVRTRAHFIVSGTGQRRCMFLDRGK RGSKSALKCFRDTYDHSCFIELWEEVDCTW QC762_401335 MFQLKTFITLLALGGFSAMAARPQQMCLSQSTAESLAERMPPSM PVRTSTHHEINFPSNWDNKISAIKNNNKNALKCRWYLNRDCQGSSYDAQEDQKLNDGN GNFNDSISSWKCCHKGGCFGNRVAGNSTEIENSNAEIKAHV QC762_401337 MHNTIVALQSRKKVDGSQGPCSQHLFSGLEDWQLVSKFMSHNNT KQLLTDVPNSQAALSTQLLWSKVIALSLSPGPLNKSPSQPLFNQLDPFESLPSFIPIP LHHQLKLTTNYTPSIRTNKSTTHNNKPKWTPTSPTPPSPPKTRRRENSPLRLLLLLLL LLLFLLPTTTKILAQPTTTPTKPPTSALITIPHHLHPPSMLYPPKRRRRTRRTAFQQR WGFG QC762_401340 MLAPIPDLANYGISPTHGFLPDVLPLTRLPDPYYNKWEAIAANL QALILSKRLRGVIDRLPVLSTIGLEHDAEWRRAYVLLSFMAHGYIWGGDSPSDRLPPS ISVPLLKVSEHLEVPPVATYAAVCLWNFKPLFMDEDIDNLENLASLCTFTGGIDESWF YLVSVAMEARGAPIVPLMLRAIAAAREDDAKAVTSCLSTFAERLDDLTTLLVRMHESC DPNIFYNRIRPFLAGSKNMGEAGLPNGVIYEDGTGTETYRQYAGGSNAQSSLIQFFDI VLGITHRPTGEKPTKERGREGHAPAPKHNFIMEMRQYMPGSHARFLQDVQGVANIREY VEQNKDNKPLSVAYDACLSMLRALRDKHIAIVTRYIVLPSREVRARSRSRSPEVARRK VNLATASRQQPQGIAYDARGAAATAQKAVDDGKKSALKGTGGTALISFLKQARDETGE PAIEEWTKRFMSRVHKGPGQNDFFAGKPEEGAGLLMSHTVTEEVEMPGLAGTWTIDDD VGGICNY QC762_401350 MLPCLVIRMPRLPLSHSTPQPGSGGTSTAATTTLTTTTGPRVYG QITTNSCFFEDSNMAVQQHVLNWLYSVLTSEYRDVNRAYSDVAQALAQYPSLSPRTDV HTFPNGSSALLVRLTGTIPVLFRGTTYRFPISLWVPHAYPQEAPLVYVTPTENMMVRP GQHVDPQGQVYHPYLAGWAGFWDKSSILDFLAILRDVFAKEPPVVARQPGGPPPPPAQ QLQPASPPPVPPLPPDLASRPSSQAQHHSPENAPRPPPPPPKPGMQVDPRQPPVQGSP RAGPPVPPLPPKPGRPISQYAGEDPRLHHEQQARPGRSRYDTAPPLPPQAPQSPPVPP RPFHPPVQQQQPPPPPPPGPQYQRSPPPPSNYSPGPPQPPYLPNDTRRSLPPQQQFTP LHQQQWQQPQAQPPIQQPPPPQPNPPVPDIMDSEDLTLSIPPTTTSAPPIPPNPEKDA LLRQLASTLFTLRQQARDQNNSSLAGLQSQRQAMAAASQRMQAESAQLTQLSNLLTSN TSILQDSLRKADAVIESSSRLPEPNIDELLVAPTVVGNQLYDLVAEERALADAIFVLG RAVERGRIAPQTFARLTRSLAREWYLKKALVKKIGVGMGLSTGVGY QC762_401360 MFEQGGGDPFTLPIITRAAVSSSKPVFVEHPKAVASLRASRTSE MDPKAGGSVSTVRGKQQSQSSIEVGREVENTVKRNRHSVADLRMAFEQAVLAESSAKS SVSKHPKSESASPNKKAISERTYQAQPGQANNRKHPSSETPPRARNSDHTEAPASEPI NRRRTRALAEAFEHGGKLGSTPSRPLLQARTLSVKISTVKPPPNESPTKQSKEKAVAR LSDSGVGRRILPGPPPSPFLQHWRTRRETAPVKSGPSLPIMVSTTVAVRTHRDSSSNT ASSSSFSRRSTTPFPAKVEEALRKSSRGYGNLSQDGAGEGTATKESPVKDRIGMFEHL SRPRTVSSTSGSQKSRGSSSNKLVKSRNSVRRPSVSELRRGARALRALSLTGRRESKP AAAGMKTAEGTTKVTITTRHSVGVVQQSTAGKPLGSKVLRANTTTTAKPDGLSLTPRR DSSFFVKGTMWRVPRTNHVPAGKQQEPISSKPAPQPSYEPPSSAELVNKTATTKPTLF HPTSARDGRILPDRKSYGTIETKHSWETSTTAPTMVVSDPFLDKASLSKTRDIIPVTG DGNFFHHHRDSPPVVKGGVVIHQATTTSIISVSPTASHYSPGNVTPGHETAEVQRPVA VTKKHLQQSLYPSSLGKKGGEAWRNKAGGVGPVLSPDLVHAVKQGGGNRRSSLSWGKR AAAAALGIGRRLRERRASSSAARHQAGGGNLISPRKMMQERSSREGSEVGGGGGGNRE EDWDVVVATPNCRLQHPRPSRVVDWRRWEEEETRGGGGEAGEDGGKRVVLGQGQGERT MGWPKL QC762_401365 MSRVPIRNLFLFSTALLIRPCQAFSLSEWLSKDPYEDGGTVQEQ IQCYALPYGAIGMASHILTYFTAYMLSRGRNPILVWKRLKHRRFNLSVAAVGFVITLV LTSLTMARCRRTWPFILVAVWKLVLSVTLTGMTIQAAWETHDEPKKEKQPKVVEEEQG YFAYGDGGYGYDYPESTYQRTPTDDRPGPRTSAADVHLGHYYPLKAPMGTGSRVRLTQ REDDGDDDEWHGYHLPGLKGRYHRVWYWVPLYVLGVVVGFVGIMNIVSKHIMGNQQLR IITGVFGGVVLLMVLVVIVLSWLMMSGSGGCVGIVGVGCLAATGVAVFMLSVLFAFYS DWVLAALAEDLVGTPSRDNAVFYWTYFAAKRLPMVSF QC762_401370 MSLIAIGQLTSTSSLPHNLTQCRTLIARAASLNCKALFLPEASD YIASSPTESLSLCQPTNKSEFVTGLRAEAKKHKLAIHVGIHEPSWTDPKTKIRNTVIW IDENGHIVHTYQKVHLFDVDLGESGGPVLKESAVVEAGEKVGEVWDVEGVGRVGSAIC FDMRFPEMSLALRRRGAEIITYPSAFTVPTGKAHWEVLLRARAIETQSYAVAAAQVGR HNEKRVSYGHSMIVDPWGKIVAEIKGREGEDNPEPEIATAVIDRELLAKVRREMPLNR RIDVYPEI QC762_401380 MSTPTSTTLAPGPPPTNFNPSTQTFILLAPAPPSGELAPVPLTP KEVSEIYADASSLSILYGSQIGACISILAVVLLMTPLPRFKRWPTIISILALALNTTR MVLLSLFYPSSWASLTALFLGDYSAVSQNDINISVAATLLSVPVTMLIYAALFVQAWS MLSLWKDVWKWLAVGVSIGVGLVTVGFNFAGAVIQARGVLGMTVPRDGVWVRQVYLGM MTGGICWFCFLFNVRLVMHMWETRSVLPGWKGLKAMDVLVICNGVLMFVPVIFAALEF ASFTNFESASLTQTSVIVVLPLGVLVAQRLAAAPSRYASPTGTTTGMTGSSSMGSNTR SRNQTSSMATQRPLLSHDRRGSAASYHAHGFQGKNHVAVSADQQQCPRRHSRKDHPDD LIMEEKPSSQHHSPFSPLSPTFGEKGGAGLRMPDAAGQESNNRGVMVEREIRVERDGL SAAEVRNSDGS QC762_401390 MSTMNPTAVWGTDPETGQAPMMGVHGLSTRCPTTAPNVNLYFST LATVGAGTDEWGLAPAACQIPSFSGPHLHTAPFGFHYVPDDPGGCFTTTPPLPAFQSP GITSHPSCPTTTSSSTTGEFGNNSSGNGYFAHHNPVAPVTWTAETDKVTTSISERERS LFSFQHQLQPGDTIIRTTDASSFSSASTHSPLHSPTFTASPGGRNVSWSASSCASSPP PPCEKSGDDYFASTATIVPMDAGNVSLITTQQIPLKPSSRVIAASPLTAPSQTQTLEE YESSRRLWHNQIGKKYRTKLNEQFENLQLVLRLYDEDGEDTDTEGPNINVKGRSINKA KLLNMARQKLEELMNERKAWRQEKRALMENLGMSEE QC762_401400 MRGPKVGIPGHKAPNFEPKAGGSRTLLVAKPLKPANLSTTFIIK NAQSFRYPGKFMRPLRPGLSIRPPAHQPHSAYVTDGSEEDSVYYSEDEDDPHGSHSMV YEREPAPYGPGLPKTPLRSPSTEYTASTIPPSPTYRLPPAGTPLSSPGFMSGFPPRPH PGYQPGPPPPPPPPAGYGRPHIPYQQPQPYPIASMWGTWTLPPAYPPTPNTPFNEPRR PVPHAPNLPNNRWMPHPDEDPIPHRPHRNRSPGPTLQFRRSRSNMRKRSSSETRKPRP LDPQIAAALKGKEHENMVLREELKRAKTKEAKREAKEKEAEFQRLKGLEEELRRYKLF EQKNQMKQEIKNEIYAEFHQGISTSPTTLAGQLGPSSGFSSEATPSSNAWTQGGLIIG RQPAPRAIAGGVSLGDYLFDRYGNPQLEVYARPLETQDVGVRGRQPGPHVRFP QC762_401410 MKVLYGLFSLPAVYGQGFSTTMLRFGCSEVVLDRIDPLVEPGGI PSAHVHQVVGGNAFNVTMPYSDISKLATCTTCSFDQDLSNYWTANVYFKARNGTYKRV PQMVNDNSYKAGITVYYTAPGPKTVTAFKPGFRMFTGDAARRTSTGLGRKMQSCYRCY TGPNFQGNTMSPCMDPKLDTESFPTTPCPGGIRSSVIFPICWDGKNLDTPNHMDHVAH PTSGPATFAVVDAACPASHPVKIPQVHYEAGGCCRGFDIVWDTRAFNNKAEWPADGSQ PFVLSQGDPTGYGQHGDYVFGWKDDTLQHAMDNRCFAATCRGLTTQTFDKANQCQVKR VVKEDIDGWLKALPGHSHE QC762_401420 MSSNCSHFLSALPPLHLLCFSFLLGATLYQSFIMTKISYRALPK SAFRSLQKQAWPFYFRSQSLLVVITAVTIPRDDLVPFEASLMSWVPHAVAFTSAMLNT FIFEPATRKAMVQVTHQETRDGLSCNTTQYDENKVMEGASVSPSSGMMVVKRRFSFSH AMCIHLNLLTLGAVLTYGWTLAARIE QC762_0062550 MLLLLSLLSTLGLAAPQLGTSPWGPNVSSTMLRFGCHQLVIDRI DPLVNPGSLPSPHLHQIVGGNAFDISMPHNTDISSLANCTTCSYSEDLSNYWTANLYF RARNGSYKRVPQIPNRLLFGDDFTTKTDGGFVVYYVSGGIGDVTAFRPGFRMLVGDAG RREPQGLRNQTCFRCYTGPDFGGDDKAPCVDDAVDFEGLPNKMCWGIRSNVLYPTCWD GKNLDSPDHKSHVAYPVETGPHTFTGLGTGGQCPESHPVRIPQLMLEIVWDTSLFNDP DEWPEDGSQPFVLSTGDTTGYGQHGDYVFGWKGDSLQRAMDGVCFGANCHVLESQSLE EAKRCSVSSRVGEEVDGWLDTLPGNPDIREKK QC762_401432 MKLSFSLLLPLLVIAQETTLGTGAHFRLTRYSGTGCPEGSSNIT ISETGEWITITYSQFRTYLGPDYARAERGKTRHVDLAIIAPNVDHFPQEVQRVQHTLA DSVYEGYYTQLDDGVTALHHSTYYTHDLGPFNVVATATFDGGETWKAPCKSYSETREI PRSSLEYGRCVQPGARMSVFYAHDQITMSSSNPEARGVLVAGDTADLLYTRRIRVLWR ACSP QC762_401433 MMESEQPIDLEWLADLSKGQSFTTFGQSQSAKTFDFGDDGFTAS LSEGNELLQLTRPDPTCGLIFVRGNYPDSAASMLARAQNQQLHEAQSNDTFGTNLVPE SPETPSSPWAVSAADRKSQGWVNFRWPYAQYVLFHLDTAKGFNREIGSWDTISFVKNK IFFQIHRLSLGVGSSATSDDHQDDVARVRFKRGGPVRFGCPCSQNDIHRQVDTYTITN NANIVSCRSSNYRTRIEMTFSVNGILQPPTFLNPCDTAADVEWVDMTSEVEVDIRVGE PTYVVSTYAIRTEDGVEHDPSLPADLADYLGVSRGSVNMTDRLFTSLCAANYEAIEAV EFCVIGRGVEQILGVTSIPTSSSHPTSPSFSHELGEKALIGNIMTCQFVDVESAFYQI RLLAKLHRFIDSRDLKRDFLNQYLELEKIRQAYLDKLTAAIQGALAWLFATDLKPGRL LLAVHSEPSNISDGPVFERFKRCAGKRSAFSWDTTYNRGCYATMAAWYAYRACPSAFH TRFISEIIVPRLPVAYHLGMERANRDKQPTPKSNVLQWLHLSSILLLYDELSCAEYDL DIDVDEVRETQERAEKNVSRLKTNQHGGWKAHHDELDRALLLAEEMQLDRLRHSSRSY NLAVSRAKQTRQRIRDRKRTAKFLPGPKPWMAIRGLGNGPWELHSTNHEAYLRVADIT NVPSARDRLFEFLLSDYSFMTSWDWADGNMVGRWWDIQPIAMICATLLDLKYEGKLQA AQAKKPVGDDEHPQSLDRRTDTLRSDDLNSSEILPRQPRISGEIVNIEAMLFKLIQSV EESRGSEMAKSFDWISQRPPNPCFPGWYTLPRSPPSSPTTQLPLVTLIPELKAYLPGH GPESSSIQKVVTDTMTSDDDCVALRMICLWDITHGSPLDFSFQVKPVTWTTIPRSTYS SRDRSLKQRLNSRLVDLGIRHRILLFPILTLFNAAGLCYLWHDAAAFHLRDHFGSVSR FTDSKKGPTGWITSINISHWTLRSQAEVKEDPYRERHRQHGNFPPDNIAELGVGIDTK YMVEERSSSIIFTGHPSGRLWVCSIISPTVKWNYLESLISNYTQPLLANFVHQPSTAR CLVFLMFLGHLCETLADEYEKLLLQLDGIIEIRDRTLFEGPEDWWGTAEAINKLKKML WGWDALRIFNDKLSASLTQVQRAHDTMDNIIKQETSYQDAELVQEANTVVDEFRKRHG LLIDVHDKTQLKIKQVTGLRDGISTITNVVDAQTALEDNKTTIQQGNNIRTLTYITIG YLPLGFVTGLYSVQHGTFMNSATDWQFGVMIVLFSLGTWILAYALEKALVRVDWNIIR GRFDLNQLRWAFKWPAKPTRDGGQDQGPSGLA QC762_401440 MTDVPNRGPVLLAVNTTGAVLAGITCLLRCFVRTRVVKGFGLDD WLMAASTGAYIAFCCFSNVGVTHGTGKHKSDVDPENYKIAMNRWYYCYLLYAWSMILV KLSIGYFLLRVTITRLHKWIIYAAGAITCVSCLTFFFLAMFQCYPISFFWNKDQDGRC INMNILTALGILYSIFSVITDLTYALLPAWVVAQLNMDKKSKVAVIGLMGMGCVASAA VIVRGPYLRHMGSEDFLWDTAPIAIWSSVEAALAITAGCLACLQPLVKMIGVKLGLAA FTTASKSGGRGSNLKMTGDISVRRSFTRRTDLFSSANYREQQAAGELKLQPGLSGYTA ECYGNTSEEELRPVTKDTDATLRGDRDNESKESMNGVVKARERESV QC762_401445 MKLSTISTLAGLASTATAAAIPNGITTGFPINLPIATSTPVGFP GTPGTVTATRGATSVRGTVTATSVPGTVTATSAAGTVTATRVTTSVSGTVTATRVATS VPGTVTATSAPGTVTVTRIATSVPGTVTATSVVPGTSACAVPGVPGTVTASAPGIPIS VGSTLSVPGGTVTASTLSAPGVTVTWTATSIPGVTTTWIATSVPAVTTRRVVTTKW QC762_0062600 MATTPNLHQTLHLTLKTFLLGPIEATTQKNPSLLSSVLSPDCLR YIAPASFLASIGAPPDVAFDVATWEAQYTSESRFIGTKSVDITHLVVDAETMTGAART VYVDNLHLANGENEEVKLDVSWFVKFNENGENITEVTGILDGLVFVEVHRRIRELKEE GKGKAGA QC762_401450 MKQGLAFVSAFGLLQLASAACCRTNKCFKAVADPLVDGLQDCSL AFEIVTVTPQVTTVTETVTEVPTEYVSVVETDATTATVYSTVETETLLNTISTTVTGT TTQRSLVYVTRAYNTVTVLVTSTTTVLPSAATQIVARAADTDLAPTSGTLAPSIPSYA SAHCPSWEKYISVCKCAGATQETITASPSAAKVTVTFTDSVAATTNSIPVTLSTTTTV TDEVTATEYNTQTETASVTATAVTTVTGNVLVIGSTTTVTSTITSTVVAPAERCSNVA NFNAVATDSANSQRYLFSQLINGMAGLYGSMDWASAPVEPGIDVYKMYKWVLDKEGYL ATLYLVGQSTYKVSAWVNPGSAASVRLQARTGAEYSPANYIRVKGCVSTATGELTLDV GGRKNILLCGNNQVFLSSGDGSDTGLSCTRMYPKAITV QC762_401460 MKFTLSTAILTGLATLASAQSPQMPGLAPNCNRYHYVQSGDTCA VIAAANGISIAQFLSWNSEVNAGCTNLWLNYFVCTGVSSSGGTTTATVTSTADNGGTT TTNTVTSTNDNGGTTTTATVTSTVGNGGTTTTSGGPTSTPTNTSPQMPGLPSTCWLFH TVETGDTCEIIAASYGVTLAQFYAWNPEINSTCTNLWLGFAVCVGA QC762_401470 MQLSTLLVAALAGTSSAYTLSVYSADNYQGTQKSYSTAGSRNVG FTVKSWIWESKLGDGCCVNFCKGSTSQGRYCGSARKAVSSAGVNKVVTGCGNAVLNC QC762_401480 MSTSNQPQIVPGQWIVTLRPYATSAIKSTHVSLLHNLTDDTSSP VNVSINSEFDLPEIRGYTASFDEATKAELEALPEVADIEPVQIFKHCAVVTQSNAPWG LARISTRSKLPPAGPYTYKYTNTGEGAIAYVIDTGINDAHVDFEGRASKGPKFVTVAA GVEVSDEDLNGHGTHVAGTIAGKTYGVAKKAQVIGIKVFDDSPEPGAQTGDIISALDY VVKEFKEHGKPSVVNLSLGGGASPAMDKAVASAVRSGVTVVVAAGNEARQATTSSPAR EPLAITVGASDVGDKVWQTTAANFSNYGKMVDIFAPGVQILSTWIGGTEATNTISGTS MASPHVAGAVAQIIGAESTEPLLVVPKLLQWAEKNDLSGLKERTINALLQISDA QC762_0062650 MNAQGLSTPDANPGGEIKSGVQLDVDTCQAMSVTASSRKQGRTS AHCSFLDEAEMVSIVTVSS QC762_401490 MFSNAGQIALTLLAAAPTAFACLGYTGGLPKATGNVALTAPIYV KAGQVYDGGWRKFDRNPSSCNGQSEGGEKDTAFVVERGGTLRNVIIGKTVGEGVYCKG GGCNLEFIWFEDVCEDAISIKDDRPGDVTNIIGGGAYHASDKVIQHNGCGRVNIINFY AENYGKVYRSCGTCQKCAREVYVEGVTARKGGEVVGITKANGDKATLVNVCTDAKTPC QNYSGPGAKDGAC QC762_401500 MVQLDNFSTEQKQQYILATVVIGLILSTGSHVARIYARLKIIKE LRREDWCMTGGLILSYGTVACLLYGLPNQGVPIPVLGKERFKEFLLMIWIIQKLQPPT LFLIKLSFIIFHMTIFQGATFRRISWIVAILTACWAVANVLGTTLQCKPPSFFWDKDQ TGSCLQDPVHRMGVPNAIISSLGDVIIFVMPIPPLMKLRVPRRTKIGLVGVFSLGIFV LVASFFRWIALIGSDRDIFNSSQVQTGVWTYLEMSVGITCGNLPFLAPLLGCVGPTRK SHMPALSTREEYYARNLKVDPLASGTSQNTASTITRGQKPLPMVPGQHRQKREGFTRL YDRGGQGMDGSLRTLPSEFDMEMQAIDAMGLERESGEELVGDRQGERGRGKGDGGFS QC762_401510 MPVTTVGIAGITGRLARLITHHLLALSPTLTIKGYCRDLSKATS YPTLKNIIITQGDPYDPTALRHFVSGCDVVICGFFGDPRLMTEGQKLLIEACETEGVK RYVAGDWTLDYDKLQYGEHPQKDCQKRVKEFLQEDGRKVKGVHMLIGIFYETLWSDYF GVFRPGEGEGVVMRYWGTGEEVWEGLSYDDAARYSANVALDEEAVGVVTFLGDRKSTK QLAAEFEEVYGVAPRLDCLGTVEELQTKMETTREKEPQNIFAWLADNYQTYIMNGQTY VPTKLDNGRYPDVKPLTFKEFLQNHKVEELNGLYTKAAADL QC762_0062690 MFNLKNIITLLAVGVLSASAAPAADVPVAEAEPALVDRQISARV FACEHIRSQGACITFNAPIAQCSWNDRISTIINQDRNLFRCVWFEHGGCSGRSYANQV DDHLGDGDGYFNDRISSIRCG QC762_0062700 MKVVILSALAAVATAVELSTNLNYHSPSTRHSNLGIDLPTVQRR TLKRDSVPYSPDDLNFTHGIASGDPYPTSVILWTRVAPSLASDLGNITVEGNVPLYSH ETERYIKADPNPICVDWAVWPATSSNATRWKRQGNETVVASGRAYTTSDIDFTIKVEA GGLSPFTEYNYQFTICGSDKKSRIGKTKTTPDKNDNVSEVKLAVFSCSNFPNGYFNAY GNAARKGGLDYVIHLGDYIYESAGGGERAHDPPRVTFTLGDYRTRHGQYRTDPDLQLL AANHPWIPTWDDHEVANNGYRDGFSALNNTEASFRQGGRMVSVDQRKMNAVRAYFEWM PIRQVDLDDNLRIWRSFELGNLADLIILDTRNYDRSITSLGWNDAYIELIRDEASRSL MGGRQESWFYRTLKESKDRGAKWRIIGSQVIFSGADGAGTDTWGGYTANRNRTLQTLI DNDIDNNVFLAGDSHRNWVADVTWLGELDYNPATGSGSLGVEFAGTAVSSSGRAGPIS AANTYARNRVRDTDVLQWHEGYYRGYFVLSVQKDKVTAGFFGSPTVATRNPWELPLAN LTVLSGENKLSRPVAGGKVEAGFAKGGTTTGTNLTLNTETKQWEVIGFDEMFISR QC762_0062710 MAQSSCLPRWTVWCLAGENVNLNQQLPSVQTQLPSLASPRKFFS GRPQTQPTMMMALRHALTWLPPSFPVAAAIAAIVLAVFIKTLATPRRADPREPPLLKP TIPFIGHIIGLIRHQADYHRILQRKSKQPIVTLPMLTGKLYAIFDPSLISAGLKNKHL STKPQVRAAVAPLIKASKGTVDLMMSEQGDVLQDRMMLHAIPTSFSGDLQQSFIEAAL QEATTPPVYGKHQDPFSQDPSLLQSFWDYNESIMVLMMDILPSFIAKKGHLGRIKLAQ ALTPYYSSQAEQHPAASALVRLRAQEMRNAGLPVEDIAKIETLLPLAAMTNTVPTLFW FFSHVFTRPALAEQLRKEVEDNLLTRDGPKAKLAVSSAVLEEKTPFLWSCYRETLRLT VHQIATRTAMQDTTITSPKTGQTYFLAKGTVVQMSIGASHFLPEYWGEKYLDFKPDRF LGLTKEEERAFKMAHQPFGGGLHLCPGRHFALAEMMAVMTTLLVGFEVGGLDGEGEWR EPGRGTASIVDAATRPKDYGAGFGAKVRRREGWEDVVWEYKF QC762_401540 MAETFPRFPRLPYELRHMIWEFAIRPAAPGAHIFTISDSTNTTS DDSRENEGHVLVCQPRCDQTRGGKADGQLNNPSTYIADSGLWTACRESRNVMERKLLQ REDRPILFWGDSSDGPPPVNPECWTNRQIALFPTRDLIILQSQIFTPFRWTVMPGSLD WDKPTYRQSQLPSCAFWSAMKNVTSQAARHIAVEYNPAWDSMSLHWGEVTGFVDLLLG PSRAELDSGPTHVWFIDYRLKRRNRVSTEKQLRTESEREEPRVYYGDGCRYVEVSEQD SGESGDGEWNDAFGADRWHPFNNEPMFSRNGVRGCVKRAKALLYKDEEYVDRVNIGIL ACESL QC762_401550 MSSEPSLCTACKGLIHRLATEPRTEPEDYTVVAESFLKLEDSCA AGCPLCRLIRQKLVHDAFTTTKSFQDFRSVSAPIHVEFDENTIWVYSPAQDELGYKVQ ATLYRRPEEKSDDGVDDLRLKPEREIDDTGNGMIHSLQNDEGLEAVVAIARDWISNCL NRHMRCSMLATLDQSGHRAVPILPTRVIDVGGKPGAPKPRLVVQDGTPIQAEYFTLSY SWGGGVAAGTKLTPSNLETMQQGIDMASLPKTIQDAIIFTKKMGLVRYLWVDALCIIQ QSNDASDDHTHKADWALECANFGRYYTGSLCTLAATGSLSSDEGLFLDRPGLDYPANT YTIQRHTQTGQPESLVIEPSLPSWISCISKGPLTSRGWAMQERAMSPRILHFTVHAVF WECAELSANEFQTEKLSNRIGWPRLPGEGLLSQRWLSEQSAEQRLHNSWYDLAIFYSG CQFTFPSDRLPALSGMAKKVWAMCAEGPEANLCLQQPKYYAGLWEGTIQRAIAWYSVW RRFNRSLRYTHRSHQRDGYIAPSWSWACLRDDHLQVRFAQSHQPGFAVYDDEGPRKIC TEWKFPLEIMDIKVEHTGPDPMGGVSYGKLRVNGMLARVNGVEREMTPFDRGHIFEFF RTSSSGEEHKLRIHLDSLVDRHADGERYIFPYKHFHCLLVAFADEDTSGDEDLKLVGT QRVTTAALALRATGITTDGVEEYTRIGLVFAAREVLFGEAVKTVVDIV QC762_401555 MSSPLREQIITTAKAWVKAHNDRDAQAIKSLASPDFTAHFHPAS LPPQGDKDAEGYAAFQAQAFPLFATYHAELVDTVVDETQLKAVVYLNSNGTAAVPGVT EPYKNQYVHKLTLTEDAKLVRVFDSFVDSAGMLGFMGKVFAATGGAPEGGK QC762_401620 MLAAELYDLLLELMALLCKKTGHNNMVCLQFITRFGVTDMVPAG GQISFGDVAAKVPGLTESIVGRLLRHAMTMHVFHEPQPGMVAHTKASKALQTNPVLNA WLRSGTHEMWPAAVKMLDALEKWPGSSEPSETGFALANGTVTTAFEILGSDPARAARF GRAMSIYAMKPEYSPSYLTEYFDWASL QC762_401625 MQLKTLFSLVVPLLGLQSSVHSLNIPPTQELHLRDEINAQGGQS NQLYKFEVRIYKRNNVTWDAFAAFLVNSRYPKVKPLVAKHGVAVWTETLTPPSARAIA AGAIPPGWTVPQYDSISTYYVSDPSVLGALTTDPAWIALEIAAAGYVDGSRGTLLAGF ETVKYEKPKKGGKGKKKRGCLLD QC762_401630 MALIHRFAFPGLQPPTDDQSASEPKMKLSTFLFLSLTTHTIALN PAKPLRRQDGPSLLCPVPCDSTWCCLTGQTCQENSNSGIPYACDDPLLQITEEPFALE TFISVISSIESDVVSLGCSLEGVPSGSSCSITVTPSTSYTFETGLPTERPTPRPDNVV SSSTSSAGVGENGRRRGMDGGLLGAGLGMVVGWLV QC762_401640 MRRASRAPDRPGANAQDAPVLLQDLLPHASATTPYSPNIDSDHY NDPLPTPPLIPNTTSNIPTSPPNIPHNPAAPTPPVPPPTTPPQPPLTNSATPQTPVTS PISTIPNQQPSNPSSTTFTPSSPNLSTRLTKLLTRLSTLPTPPVITHLHKHLKVPTIL LITLLLFIFVTLISWQAHNLDWPLARIPIPSGILLLTIVAKFTDWALAGVTDDAWERL QWGPLLQRGRGNMLTFLVMGSGFGSWWRVLFSSGVQPGEETKLMRLRRLVRTKWKWRP RFSARFWSFIRIFVWLFVQFPGLILMAMIENKDSFRPTAWADVTGGLGAFNVSAGWFQ PGDPSTYRQVFGILQDPTITVTTTPIGEECSREDSCQSYILSGGTTLVQPWAFVPRQL TDNHAYVIENAPAYQIDGWETSFNHSLPYASWTDDQCRVFTSQSPLGAVDGSLQICVK NDGDDGQLLAGIRECGSNVDKTGNCTLDPAYPGWDSFPAFSSVIELYRLNVDLVTDRH TQTIIELSNQASPIKQIIAPEDFLDAFALLLCPFPTNSTQISRWCAPNAVNQQLTASL AWRIQLAYSERYFDNQLSMDMLRNLFATVLYMFNPVYRAISIDGSVPWKPNETVPGLP PENTFRGSPAIQSSYVAPATWTVVAFIVSAVVLISAAVIAMVVSSVYADMPDLNKFLV LDGMKVVVVDPATGDETFFGDVVCREKTKEGVIYMASRTTVCLASGATAGSDGNASSP ASR QC762_401645 MNGCFSFAKYALVLLGALSIHMCCDLQRATCPYPGCVFLNTEKP WTLQCDVYRARVRESPGKWPPYSYVICPNGFTPEPPPRRGHLGVASDYCEFHKKEVFT RLFKHRWIPQDKCEVRRTINAFVSTVTGALSASEDLEQNIWGQGQRGIDFPRVPFGSV GWNRPASSYLYEEPISPRTVPSAIISNLGPVTAGPGEHHHHLRCAPLQTALDDVFYFD LPKELQACNPAANEQMGMVDGAQVHSTQMQSNAACGDLVENLGQTHPSRVEKSNGKGL QC762_401650 MSSGTDPSAARIVSVKPLENKDARWLNLVQIEYLTPDGQTRQWE AIHRTTTPKSSPGGVDSVHIIAVRSCSSDPSRKEILLEKQFRPPAGKVCIEFPAGLVD PNESIETCALRELREETGYVGEVMGKVGGSIVMFGSPASSAAKTVFIHATIDTGKPEN QTPVAELEDGEFIEPFWVPLASLHSQIRRLAEEGFAIDSKVGIYAEGLEMGRSLNSA QC762_401660 MLTITTQDYTIPDPELSVLGRSQCNSLKQNLVPKITGPNPELEV GLVIVSPMRRTIETALLAFGDLGIPFEAHAGWQENSTQPCDTGSPIPSLKSEFPQVNF DHVDPVYPDKTSPSGKKYFNTKQAIMARGQEVLRDLKQRKEKAIIVVSHSGFLRAGVT GRWYMNADYRVFDFADVDEGNAKIQEREWTAKEGGLGWSFEERVELGDGLVDEIEEPV LD QC762_401670 MPSKRKLDLPPAEIYKAAKLASNGSRHAHVEEDDDLEAGPAPPP EDDDGDYGPSAPPEDDDGDDEEGRFFGGGITETEKEVLDFMDSNQQSTAFDAGPETID GSWLKKTALSFEKKISRNAELRAKFENDPSKFIDSEADLDSAIKSLSILSDHPSLYPL FAQLGSAASLVSLLAHENTDIAIDAVEILSELTDQDVSASEPDWSSLVNACLEADLLG LLTSNFSRLDETNESDREGVYHALSLLENLSSSPTICDKLGSDKPLVQYLLNRISTPQ EKETSQNKQYSAEILAILVSSSLRNRTCLVSLNAVDILLQQIAPYRKRDPDKSSSSYT PEFIRNSFECLSSLVSSPEGKKAFIEAEGVELCLIMLKDGGPKITKPSSLRLLDHACA FSPEMATKIVTEGGLKTLFTMFMKNKTSVGQTTEHLIGIFASMLRFLPAESPERIRTL AKFVEKEYEKLEKTVQLRREYAARLGVVDAAIQEENNTLDRKEREEMEDEFFSRRLDA GLFCLQSIDVVLAWLIAEDDGARDKIKKLLADRDEDLGVLRKTIQEQIEGVDEGGEDG RETKEMLSTLIRFLV QC762_401680 MSAQNSAGIQTLLDAERDASKIVQKAREYRTKRVREARDEAKKE IEAYRTKKEEEYKKFEAEHSQGNKAAEEEANKEADAQIAKIREAGKKNQDAVINDLLE AVFNPRPEPIAA QC762_401690 MSKASKFTLLGTSLFALGTVVFVHYQQKAEQQAMHQGVIRDMEQ QRLKRERQADFDMQRALEAEYKKDQSVRDTTAEMDPALSKRGGLNVPVAR QC762_401700 MADYATQSQSYGGYGDEFDDDNNYENGDELGVDQDEAAITPEDC WDVISAYFDMKGLVSQQIDSFDEFTSSTIQSLVDEYADLTLDHPNPGDDQGRDIALRR YDIHFGNVMISKPTLTEISGETTSLLPYECRDRNLTYSAPMYCKVSKRARVAINEPVP LNELDDEQHELMRETGEHPMTIRWEEEESDMPGEGSKGENDRGDLIFLGKLPVMVKSQ ICHLYGEDDESLFVLNECPYDQGGYFIINGSEKVLIAQERSAANIVQVFKKPPGGSVS YQAEIRSALEKGSRLISSLQMKLHTKASNEKGRLANTVSVTLPYVREDVSLAIVFRAL GIVSDEDILNHICYDRKDTQMLEALRPCIEEAFCIQDREIALDFIGKRGNGNMGQNRM NRIRAAKDLLQKEMLPHISQTEGCETRKAFFLGYMVNKLLQCALGRRDTDDRDHFGKK RLDLAGPLLAKLFRGVVRRMTQDLMGYMKRCLDTNKHFTLALGIKANTLTNALKYSLA TGNWGDQKKAMSSTAGVSQVLNRYTFASTLSHLRRTNTPIGRDGKLAKPRQLHNTHWG LVCPAETPEGQACGLVKNLSLMCYVSVGTPADPIVDFMTARGMDVLEEYEPLRAPNAT KVFVNGTWVGVHNDPKQLVTLVQDLRRKNVISFEVSLVRDIREREFKIFSDAGRVMRP LFTVEQEEKGNHGVEKGQLILNKDHIARLQRDKELGKYHPDYWGWQGLLKSGAIEYLD AEEEETTMICMTPQDLDDFRMTKLGFHIETTSGQGNNRIRTKVNKTTHMYTHCEIHPA MLLGICASIIPFPDHNQSPRNTYQSAMGKQAMGFFLTNYSRRMDTMANILYYPQKPLA TTRSMEFLKFRELPAGQNAIVAILCYSGYNQEDSVVMNQSSIDRGIFRSLFFRSYTDC EKRVGINIVEMFEKPTRGDTLRLKHGTYDKLDADGIIAPGIRVSGEDIIIGKTSPINP DNAELGQRQQQHVKRDASTPLRSTESGIVDSVVLTTNQDGMRYVKVRVRTTKIPQIGD KFASRHGQKGTIGLTYRMEDMPFTAEGITPDIIINPHAIPSRMTIAHLVECLLSKVAT LKGLEGDATPFTDVTVDSVSDLLREQGYQSRGFEILYHGHTGRKLRAQCFFGPTYYQR LRHMVDDKIHARARGPVQIMTRQPVEGRARDGGLRFGEMERDCMIAHGAASFLKERLF EVSDAYRVHICEICGLMTPIANLTKQSFECRPCKNKTKIAQVHMPYAAKLLFQELMSM GIASRMFTSRSGISVR QC762_0062860 MRLFVHFYNFCQLLPVDKQIISGHTLCTYFLSSKNKSLRGLRPV GEIVPGIPTAWSSDPQRYITHRPLSPSGLAHGPGSGNAERHRFSQTGRRLGTDLALKP RPEIFGGVTTPWSPAP QC762_401710 MRSSAVLQTSLLAVLPLAVQAQGTSGSGKSTRYWDCCKPSCAWP GKAAVNRPVFACDANFQRISDSGVASGCNGGSAYSCADHSAWAINDNLSYGFAATALS GESEASWCCACYELTFTDGPVAGKKMVVQSTSTGGDLGSNHFDLNIPGGGVGLFDGCK PQFGGLPGATYGGISDRSQCASFPDALKPGCNWRFDWFKNADNPSFTFRQVQCPSELT ARSGCKRDDDSRFPVFSPPGGGSQPQPQPTSSAAQNPNPTPSAAPGGCRAAKYAQCGG QGFTGCTTCEAGSTCTASNQWYSQCL QC762_401715 MLTPSRASTRQITAIASQGLGICAAHMEQSTMGKVQWQITLSNS HGLSASIPRNPGLALNQYVNGFFLGAKITRTKYRCIKMP QC762_401740 MSLTGTNDAPLPSALLGRRMENSSLRPCTIIPFYTSPNDLPPHL PKVRSSGFTKIEPLGVQTVHVTYESSPGDTKHKVAGFTTAFVPGDTVLDNFNRTIDHL NLKLGIVHRDITTWNLLINPETDDLQIFDFNMGAKLTWEGDNDHLNTFGYDEDRNDVK LAVFAVYEIITSGISYREENELEDLDVAQVLDQEEWEQHPDVQLEEGVPVSEYRRVLM EWVSSRKKDREGNYVL QC762_401745 MMFNPTTIFTAILGATFLVAPAIAAPAEEVAAPLAKRVWLSNID VQAACKEQYTNQYVAIDNGNGCGAWQCVINNNRYSVNMDSYCVRHHGGEAYASCGGGT KWDWQCHDRS QC762_401750 MDNPPSLMPFLIIIIITFISFTNHPTINTSDSNASTLTLQITSV FTLSIKMHSSTLFITLTTALGLVSAAPTDKTYNPTKTSAAPRTTHTVIAGRGGRLIFD PDNVVAEVGSIVEFHFNPLNHSVVESSFDTPCQPKDADSFFSGFFPVREGQSDEVFQI EVKDTRPIWFYCAQNNNAHCQSGMTGVINQNFDRQEFSLRAHKELAAEVEGPSGVQGA IQGGWRIPNPNPLGGF QC762_401760 MVSEKMAFLEPSTPQELPTTHHNQNHKQFNCRRRFLRGFLFASL GLTVLHVCSRTTPAVITNPVEPAKSDVCLTPACIHASSEILYNLSPNFKELDPCTDFE ELVCGGWRERHDLRPDQGDAFTGTIMSENSQLLLRHILEAPYPKSSKHSYFSPMQLDS TSLSADEENFNKLTDAYKACLDEPTIKELGIAPLAKIVEQVQQSFPVSDSSSGNALSE TILLLSRYGVSGLVSSGTGADDADPDVVAVSVAPPWRIGLPSKERYDDDALVKKYQGV VVDVLSQLGPKENKDVLAAVVDFEKKLAAASPSTEERQDVTKYYNPMSLKDASELVPK IDLDHIISTLASKNKVERLIVASPKYLKELQKILDETDPAIVQNYFVWKVVQAFYSYV DSPVVKPYKGFVNELAGKDPNSAPERWRTCVNHVDDGLGWILSRFFVEKAFSAEAKKF GDLIVSDIKDEFVKKLKATEWMDDDTTKKAIEKVHNIVQKIGYPTKSPDIMDPDNLAS YYKTVNISSETFFSNSLSVIAFAVADEWSTLGKPVDRDQWGMTVPTVNAYYNPPGNEI VFPAGIMQFPVFDVDVPAYISYGAFGSVAGHELSHAFDSTGRHYDQNGNYTDWWSDGT VKAFEERTKCFIEQYGNFSIPGPDDKPLHVNGRLTLGENIADAGGLSASFQAWKRRSA QKPNAHLPGLEHFTQSQLFFVSYSNWWCGKSRRDTAINRIYTDPHAPKWARILGTMAN SREFRESFQCKSKKPTCELW QC762_401780 MTLGTEPHFRQVIQGWLRTEPKSIIITTLEARRTYIEGLVEEIG DSRIMVMAVETASYRRQCYEAISKVTTAFYIVVDDRSLWGVSTLDDILAPFRDPRVGG VTGLQAVKPRNGNTLTQWETFGALNLARRNFPHSALAFFNKGQVLNLSGRLSAYRTCI YKDRGFRDAFLHELWLGRFPITTGDDNALTTWLLHKGWRTAFQNSPGVMIAAGSISEL ALHKTAAPMAARHPSILSLGHHVCVQNAGERPSRARRSQHCCLFHNRLCDDI QC762_401790 MKTIGIFPASGGLGTSTYHHLLALVPKDNVILISRHPHKVPRNY TQACVRTREASYETAPSDLEKVFTGIDVLFLISYPSHVKDYRIKVQLPAIDAARRAGV KHVFYSSLAFAGERDSTRSVAEVMQAHLATEGHLRHLAETAPGFTYTVIREGIYSEST PIYTSFFDPHFPDASLVGNEILVPHDGKGKGVAWVKRDELGEASAKLIAQYSSAPESF QYVNQVVLLTGNKEWSLEDTVKVLGEVAGKDLKIREIPVHEWVRLPQVKGYFKNEEDA RTWATAWEAIRQGATAPVTGDLEEILGRKPEEFDVTLKGETRATTEQ QC762_401800 MYKYQPLPPVSKTGRTPPFTRILTLFPSTSPPSSSPDDSEPFHG TLAITNLESTQPYEALSYTWGTADPETYIWLDDLPLPIKPNLAAALHFLRPSPGQPPR RLWIDALCIDQSSLEERSRQVQYMRLVYKYCQRVIAWIGLKQESEATEVAFEAGKVLS DVSRLVADLRKDAAAMEDGVVRDVVGNALGGLPEGALLNLQKLFDREYFHRTWVVQEI AVANVAVVKSEELEMSFFDLVSTLLFVFGNRPGGKIETNTSLDVWYLIFTRQSGAHSG HVRLTEIPGSLGPLLDLLEQMRAFKATDLRDKIYSVLGICDEGLQPVTTRTHITQRSD RWLRSLTTAITGVQNFVNERNPDLGWGIPAALKPDYTRPVPEVYTGLAKFLISKMPMF LDVLSYVQHRTTPTPDDPYPSWVPKWFESKSVTVFRGGDFTAGICTPPLGDFFQSRIQ RAFSSPIPGTLIMDGFHVGVVHRVSNVMNFGSDGHSKTEAVQRAWTELLPNMPFPGAN GSRYITGEPLDVAFCKALSVHPMGAVVGHVMSNSMDGFHFSASASEMNRQIATGISDA AVGAFLSGLAGEGDEVLSAESEKARVTFRNAVGVYCYGRRAFLTREGHLGIGPPVMQE GDEVVVLFRGRMPYVLRRGPTHHVFLGDCYVCDDNIMRGLVTESVRHGRGGPPVGLYG IR QC762_401810 MPSAITSTSSQTDATIFGGHDIARPQLTKPLSDSGSLQQYSHND LTPAIGREFPTLKIRDLLKADDQLFRDLAYTISSRGVVFLRNQDVTPNELKDFMLRLT TLAGCPSTSGLHVHPLTEEGSELGDQISVISSEKQKKGGGLTHQLSDVSRYASNAWHS DITFEPVPSDYAMLKIHTLPVTGGDTLWASGYEVYDRLSEPMREMLKKLTATHDAKFF LDEARNLGNPLRECQRGSPLNKGAELAAVHPVIRTNPVTGWNSVYVNKGFTKRINGVT KDESDILLKYLFNMVTQNHDAQVRFRWSKNDVAIWDNRSTWHCATYDYNDPRAGDRVC SLGEAPYLDVQGGKSRKEELGL QC762_401820 MGKIDDIENHTKGSPTATLDRGDSHDGKASLKGSEPHVGRSELS DVIPPDDGYEGKHRWDPLATWTPEEEKAVVRKTDIWLLSWLCVMFFGLQLDRGNLANA LADKFLDDLNLSRDDLNNGNTIQLVAFLSAEFPVQFLTKRYGFRYVLPAMMFAWGTVS WGQAWIHDRASFYVTRALIGACEGGFIPGAILYATYFYTSSELSTRLAVFWSTLNVAR VISALLAAGILKMRGIGGHPGWFWLFLLEGLLTVLLAFISFIYLPAAPTKTTGVLFRE PWYTERQEVIMVNRILRDDPAKGLTLLNEPATWQDVKATWTDKSLWGLFFIGLIAYIP ATPVQGYLTLTLRDLGFTDSFEINMLTIPSAVLQIITMLILARSSKYFNERTFHCFVG EFWVMPLLIALITLPDGGREWGRYSLITLISGYPYFHPIVTSWISENTFDVKKRAIAA ATYNVIVQVGSLVGSQIYRDYQKPYYKIGNTTLVSISALALITFVVQRFVLVGLNKKK EKEWEKMSREEQLAYQNDVTARELDGNKRLDFRFVY QC762_401830 MHLGNVPFGDSCCFPLAPLRHCGVRFSNVQHQDTSMSCSHATWL QSYGNMSPGGTSRPSKQRKIGSRSCDACKIRKVKCTETAPCQRCLSAGLDCTFNKTQS TRGPRNLRTKTLQQIQNATRAQQPASAPSHIPEPSSSPSTADPSNISVESLVVRLCIY RLRLFPVWPIVAVEQVIAALHRDSHDVGTYTLAVAIGAATMAQLKLSRLKDPSITDSL SASALHEECQRKRRTLNAASANLNRLQTSFFLHIYHENQIPGGAESLLHLREAITVAQ IMGLHRPSSYLGLPPSEDRLRRRILWLLFVTERGVAMLHRLPVALTSAEKFPPLDTIN EPDDGPHVLPAFKKLVNLFWIFDQSRAFDILQDAADDTDGSSSPNHEALRALQQRLQE ARLETEKDANDIQKADISITRQWMQILIWRATQGHAYWSSDDTSASLAGPIQIAQQLL DDISKLPNTALEAHGPGIEFKVYEIASAVADSLNYYTTPRPGDILLRHPGDILLRLQR FLATCRGGNINLLGLLAARIAQGQMSLSIPRQSFDPTPPSVVVEEIATDADSDEPPPS SPWLSLVAAAELEQEQSLSSYAQQVGLLDNHDWLLAPESPNI QC762_401840 MAWEEPRKTGMVYRRLGNSGLHVSALGLGGWLTFGGQVENEGTV ACLKQAYDLGINFFDTAESYAGGQSEVVMGQAIKQLGWKRNDIVISTKLNWGGHNGEV LVNNHGLSRKHIVEGLRASLQRLDLEYVDIVYAHRPDRLTPMEEVVRAFNHVIEIKGW AMYWGTSEWSADEIAEACGIAKQLGLIAPIVEQPFYNLLHRKKVEGEFQRLYSRFGLG LTTFSPLKFGLLSGKYNDSPDTPPPGSRFAKGDDKFVNYMRDNYGNKSWQDDIEKVKK LKVIADKVGIPQSELALAWVLKNPNVSSVITGASRPEQIVENVKALKSIALLTPEIMK EIDEVVGSVELDPARQD QC762_401844 MPTVSFLQLTFQLIVMALASAYAHDARADTDLLSTRQQGSFVRY SGCFGTINWQSSPTSFNLTVAFSRRLDNGIIPCSAACSTSRHFILWDQQCFCAPSITI NTTTGYIPQLSEWEATEGDCWRLCRDEPGVTCGGSARAAFYEHTDPPTVQNPGIEPVQ GFHWWGCFADFTPDRVLRRFAGASNDMTPTKCAGMCGAEARWVGVEYGRECFCGATID NSYKTFGRRCGRVCEGDGRWFCGGGGHLTVYERDGARGDGDPVGGYDYLGCYRDRVNG TRTLANGPNSAADMTLEKCAEGAESGNWKYFGVEFGRECWTGNSLSSETITDRCNQPC AGNGGQACGASDKLNLYIQRNRPSRVCSAASNPDVDALACGIRGFPVPAGSRPVTSTE TTAARCAAACASATGCSSSVWDKLSGVCRLYDTGVWASIGDSVSTAGSDFKHVIAHDA GCWVCQDTS QC762_401847 MRCSGCCIALFATVSVVANFLLLLTCVSPNVKDLALYRVNVTRL AEDLHSQVLKKTEDTSPKDLLHPNLPTYWLWGISGICDDYPDKAVCRRRFLPTQDILT LVEHSLTSGTDDGTQDETISKVLTTWNSTLTHLNSPEQHDRATKFAAISKAGVAIIIV VIILDILLLGPSLWWPSSKKRLPRVFYLISAVYGMIAIGAGIVMAVALPHGFHVAVIA RETGVMTLINLFVGAGIRLVTSLIGCCFSCWDPDSSGPSRLPEWSRRGGVGQRPVVRR RVRSEMTLAKQVVRHEEKSVRSETPESDRVEDKEGSRLRPRKPLGDQGTYNEKIGYLG EKCIFDLFSLHNLPNWSGETNWTSSLRSLQKVFEDFPIHQEKHHADFTYHDTMGAMRE ALRQEGVRVSPSWSNNTKYHIEVKTTEGRCWAPMKVSVNQTRLMENYEGDANNVYILV RVFDVRGRHVGLRWFPEPRRRENLKFEGPKEGYYEVTTKNIYV QC762_401850 MKQASPCQILSMPTTQGKAEATVPHLLDAFLIPEGFNPKATALL PGSWSTLEPEMAQAIEDELKKHGVTPALCKVSVCTPKEREILEKRREDFFLPLTPALE MVFREPVKLGDSTRCHGCRMGQASFFEALKRCAQCGRAWYHSRGCQRAYWIVHKETCG APAATASPAAVPPPKLDAHDYYTTKAPNDPEARDLMKSLCLEDDSKRGGIGLPLYRLI ITGQDTPEKIRPLFGPNYGTSLEEQHEEVRLQCLLEPPPGSPAHVMITKMNMNDSCLV RVLRPATDDEEGKIAEVRGLQALIQGRVGVGNRPSVADMQAILKIYGEDWPGMLLSYS LAVRTMDQRRFG QC762_401860 MSSLLHHLRNRLGYRMVAQENRASPSDNNRGDSDDGRHLQDESL LQNDFRSFYAGALVFNTASFILPALYGTLSKLWVANIDRSMVVTTDVYTYIGVLAEVL NEGLPRAAWVIIGDKSSRSLAARLSLAHTLILFQTILGLIMSLALLGGASTFAKGFVP IEVRGASLTYIRLSAFSALSSALETAVASATRTLDKPDVPLVISSAKFGINIILDLLL ISTFHVGSHTPTVNMQAGIQLACNMAAAICGLVYFLWRTSWPQYKAQERIAPSVRALA VLIRPGILTLIESAVRNALYLWLVSNIVSMGSTYATAWGVFNTIRWGLVMVPVQSLEA TALAFVGHRWGAWRREIGTTTRRPGKVAFKPVIFRVVKPALTSFGLAMLVEIPLAIFL SIWGAGSFAKYLSASDEVAEVTAYMWRTIDWCYIFYAASTQLATVLLATRPKWYLYQS LVSNLLYVLPWAIVCQVKELDEGNAWTYHSFVFGGSLVFSFLDVLVVDGLWLCTLMTG RARLEVFRE QC762_401870 MDLEVPTGHRGRKSCLGQLVFIRLQRSFSLSAHHRFATQQTVFP TLAMYLATTLALLLSSISTVTASRSWVATAQDYGNATFVTGVDDVSEQFKIQWVEGGN PSDAIIGHIPGGGLEARDDKMSTVTVETIFVVDTATSYSSTATTIVSTLVGDSPSPAG ATQAPNTVPKWALDVFTQGDTWCPRGVYSTISLLRYGTFCLKTPAGTTSARIPQGMMG GCSTTLFQDENCSVGPVHIENEEMCMRLRGSSGVVELKSFVVAC QC762_401880 MASTDKTIVLITGANSGIGLETIVTISKSSPNYHLLLAARSLDK GNAALAKIQAEHGAALLSPVSVVTLDVTSLPTIETTASYIESAFGRLDVLIQNAGVIV YRPCSTLENLRETFETNTFGPKVLTEAMLPLLKKSRNARVIYVSSVQGSITFKLDPEY EYKHTRGIEYRMSKAALNMLAACDRYDFREWGGRVTAFNPGFCVTNLTGEEGRKQRVE AGARSAEDPARALLEVLEGKRDGDAWEENGMLDLDGGVIPW QC762_401890 MASTAKLDIRPSAEEARSVFEQARQASPRPTLLPVCYSVPSDLL TPSAIYLKLSNGATAEYSFLLESATGSTGTIGRYSFIGANPRKVLATGPGYEDVGDPL RALESTLGQDRVLSIPSLRLPSLTGGAVGYLSYDCIRYFEPKTARALKDNLQIPEALF MLFDTIVAFDHFYQTVTIVTHMRLPETVGDEFQAAYDEAAATIKSTLELIQQNEIPLP PATPSKPASEQQYSSNVGRHGYETFVTELKKHIIKGDIIQAVPSQRFSRSTSLHPFNI YRTLRSLNPSPYLFFLSCSDFHIIGASPECLMKTDGYADLPEDERFGYSAQDALSRPK IVNHAIAGTIKRGANPAEDDELAAILQNSTKDRAEHVMLVDLARNDVNRVCHPSTVKV DRLMRIDRFSHVQHLTSEVSGLLRPECTRWDAMRSIFPAGTVSGAPKIKAMELIYDLE KEKRGIYAGATGWFAYDVVRSLGEGKGFKLDEGQMDTCIAIRTMLVKKGVAYLQAGGG IVFDSEKTEEWMETMNKLAANLRCIEQAEKYYGDGAGTKSVQDIIDDERRKGDEYYSS LQTTSAGA QC762_0063100 MQPTHHYHITTTNTIPWLSILTFLYYTLTFFLLFALPTAHRQII SSFPLLKKDGCLWCLTWLVAIVQILLWPVLLFLFLFFMGAASIARNCFGEGQTCVGID WNKSRGRTRNAHDEEEQRGTRELAEDGEEGPVCDDDTETLYGLDESEGMELLSYRQDD QACKTGGSN QC762_0063110 MLPILAILIGTKAFKDYETIEDLLNIQPSESEMIHLQWKESVLD LPFFKSMSARGTPGKIETATAFSKRLRLLGFRAGYSGPPTIHDFRAEGLYWIDKLYTV AQRMKHAGQKDPNTYNNHYQPNNSGTDCQGCYFGLDVRNIANDLFRGLTLARNPQLWQ TLPAEKQEEDSETRTQSHAIGTFTPKDEG QC762_000440 MAAKFTHCLTAILALCATPALAIPGGGNNNNQDVYDYVIVGSGP GGSPLASNLAKAGYSVLLLEAGDDQSADIGTQLINVGSTSNANRWGFYVRQYGDDTQQ LKNNHLTWRRADGTLFVGNGSSAPADATPLGVFYPRGATLGGSSVINAGVTVLPSKST WDEIWRKTGDRSWSGDNIRKIFTRVERNHYLPPGTLGHGFNGYLDINGNDGEVYSRSP GILSVFRSMIASIGGNPNNALTDVTRDLNNPSPSRDTTQGLFGLPFHANQTWGRFSAR TIVLDTLAAKKPSGSPRYPLTLKTNSLVTKVVFDRPRNKKPRAIGVEYLEGQSLYSAD ARHNPSNTGTKRTVRARKEVILSGGVFNTPQLLQLSGIGPKEHLRSLNISVLVDLPGV GSRLQDNPEYPVVGIAKDNQPFFTIPIPGEPDCFFGFGPPGVPDPCIDLWYQGLGPYA RPAANTNAFMLKTNYSGDGELDILVFSLANFAFRGYWPNDAAVAIPPDPLGVFGFSVV KINPISQAGTVRLRSANPQDTPLINFEMFQTGADQDLGALAEAAAWGRRVYGNVEGPT GPMTTTEPPCDGGTGCFAGDKEWARDQSFGHHATSTCAIGGDRDRLAVLDSKFRVRGV DGLRVVDGSAFPKTPGAFPVLSTFLLSEKASVDVLADARRW QC762_000430 MTKCLSALIVPEKQKDPSSESQNMIQLQEKHSKMGDPQMKQAPF STFPSFSMLIFPSFHRIIPQLFFLPPRQFNPITPGPRYSHHCPSLSLQPPSSLRPPSS LRPPSFLPPPIYISNPKMTTLHNHPILSLYPPIDSVRGDIAQLIKPPPTVPSTLSSTP DLTPFHFLNTQRDITAITDAPSSDNTQIDKQLKEFWNKGREYGLQEGALKAAKNKAAV LTGMKLFFGVVVDSAPVVEEGGDKGGIETERSSGMEMVIWTPDTNNKDTDDEEGTEEG DLLDFDEMGGNNVEGGLGMAETIDSLSDEQVPVTMEQSNSLNATTAPIDALYERFSIP SLFNTQPVAPNFYSTRTIPPYPFDGDAEQPDVLLLGPLSEPTFKDWKRDHGRGYIMGK AMGVFSTTLIQKNPNETYVKVEFHSRRTRDDALRVFGGVVDEKEGPLFLKGGYPWRWN HHVCLEVEGGGYRLNTSP QC762_000420 MPVLFGHRYLALIVVVVLVVFWFFGPQGLQSDGGIHYPRVQGKA FSDILNSTLGFQEIFVINLPERSDRRDAMTLAAALTRLDVKWIDGIDGKDVPERVLPG DSWDKKISKGNKGSWRAHMNALQRWAYIASLGWKCSF QC762_000410 MAIATSHMNAESSYPRSTMRQSNHSSSGPLCGICREISLDQMGV SDDRKMQRHQPTYLALKQSMDHGCVLCRFIWHALGQSNSREGDRGSDALAHVSEKYPG REISLVAWPGVTPTGYLDRIQIITSGEIPDADTDDEDGDDGPADPSMHPDHQFALSGV LDIFAYADDPAASYGGVTGRPLPTTDGSSNDDFAFATQCLQKCLSNHAGCGRRDEISR LPTRVLDLGPFDGSREPYLLHTAGRQGQYSALSHCWGGHVPITTTSDNIEEHTKAISN LPPTFRDAARISRRLGIRYLWIDSLCILQDSKEDWEKESAMMGEIYKHSVLTIAARAA RNARDGCFITRQRDVPACRLEYRSPDDQLVGSIYVRNPTFEIERLTQTPLDSRGWVLQ EKLLSPRILYYGAQQLYWECRQTSIRQDGKYHYIQQDAVQPAMWKERMDILAPYQSVY PNFNRIPPDWTEAKHELAARMRQWYNLVEEYSGRQLSFHTDKLPAIAGIAKEWAKSVD LFYIAGLWREDILAGLLWYGGKTATNPPVSSTLPSWSWTRYNGKVSFWAARDSTFGFS DYSCEFVDLSFRASGALGNYGDVVGAKLELRGRILPVRHATRISLGKNFIVGPNIFGY GGEQIGVATFDVPPSTFDALFVLLVHAGVGNVGYGAYYAAGLLISPVPTEQGTFMRVG YVNMEKGHGEGWWDTRSAADYFEHIPTRTLFLI QC762_0063160 MRLLNTRTLAFKQFFGRRPPYAILSHTWDGEEVSHQDVRDQIPS LQSKQGYRKLQESCRIAAEQRLEWAWVDTCCIDKANNAELTESINSMFRWYQQAAACF VYLSDLPATGELKTNLPNCRWFRRGWTLQELLAPEKVQFYDKDWDLRGTKDGLIVKLE SITGIPANILTGHASIRQISIADRMSWAANRQTTRPEDVAYCLLGIFDVNLPMIYGEG ENAFRRLQEEIIRKSNDMTIFAWQKSNKHVTSSLGSTTPHRSGSPLLATSPDDFTRQN KNETISILSIRNAAMSASINPEYIVTNKGLRITSSLLRLTQEDIGEPDQGLHYFLGLG EIKPRFSPPGQRGRMMGITLNKNGPDFFVRRNCPLRVLSEPEGSVLLTTCRRSFYIQL DDTATQPLNITSPVDAIAFPLQRLTTTTDPRAPEKITVWPGRARPESHWDETRRLFFL SNKRLLVLAISAFAIFQDGTRVELLMLVDQRAKRPAVQLFLQEKEAELYEWFLGRTEA SDIHYWEQLPGELWRKASPWPGSQVEVVVGGGRRYVMSAWIQETDDPEAAALHQVVFR AERAGGVTDEIRAKL QC762_0063170 MDWDHFFLLTHNLHINRRVQLSLSLFLTAYLWFLPVLATVKPPL YALSILVVAYGHAYWRYGINPFAQIDSAVSLAGRISRVAFVTIGHCWSDSLVGRLWLV RFPVIFFYDLEIKAYWTFILVPLAYKLRLQRVFQWFQVERRAHAYRPSQPKLPLYTYT PLSPEKTTIPLLLVHLGHRHKAMSCNLFEVEFKSAPPFAAISYRWAQAERDDGEHIIV SDCTLGVASNVFELLGDLRSTLLPRLIWIDSICIDQLSENEKSYQVYLMGDIDSSASL VTIWLGSPSREMSWTTLNRVIRWLPKRITEVDYFDRILKSIFATLAFRLIDQLRINTS FTRDNLKAYREVGKYRFTAWWIPLMHLLEHE QC762_0063180 MSRAHLLRASDPRGKVFGVHGLAAKGEGSRFTQPNYSKNTNEVY LDAALRVIEEGGLFELLSHAGTGCIDVDAVQCLRSWVPDWSLARHAEPLGCISGQPPY RAGEAPNVLDLPSFANVLWFFEERNWASSLSLRHLTPLGSMLSSPGLLIGDRTKTEWP APDSVRGLYDRWLLTMRLYEKSIDWWKPIEGTSQLRTTILKA QC762_000390 MVAENMTARLAEMALGHGDQNRAAHTDISNPDRDGGRYTDDSGA KMKALAWMGKNDVRVIETSKPKIVDDHDVILKVTGSTVCGSDVHLMHGVVVQVEKGDI LGHEFCGVVESVGPSITKLAVGDRVVNSFCISCGECSYCKDKLPTACEKTNASTLHAK LYGGRMGGIFGYSHLTGGYAGGQAEYVRIPLAENNLLKIPDNVPDEKALYLSDVLPTS YHSVVYTGVNEGDTVAIWGLGPIGFMACFWAKKKGATRVIGIDSNWRTEYAKSKIPGL ETINYATLEFGQTVPTKIHEMVPGGVDVSIDASGGEYAKGWAHKLEMAIGAEQDTSEM INECLYATKKFGRVGIIGDYVGFTNHFNVGALMELGIYLIGCGQAPVQRYWEELLEMV EKGEIDPTIMLTHRFKIDDIAKAYKLQEKREEGLVKCFVETRFSAPRAEGTPELTSL QC762_000370 MRLAAFLSLAVAAAAVNVSNSDPFEQWHGPKAGDVRGPCPFLNT FANHGFLPRTGKYITLDDLTNGLFNAVNFDANISAFLFDFAISTNPEPNSTWFSLDHL TRHNVLEHDASLSRVDAFHGHADIFNQEAFDETRSHWGDIVNVESGAAAIVARMKTCK STNPQYSLSQLGEAFILGETAAFISILGDAEALTVEKTRVEYLFQNERLPTELGWKRP EAQFTTDILVRNLQAVAVEYQKRLNSTVLRKRGVDYAERLMDGRARLL QC762_000360 MMRLRPLVSAPIMGALRQQTLPCAARQFSASVKPGTSISEVITK DHRELEQYYNEVINSNDPDHQQRFGNQFTWELARHSVAEELIVYPAFESHMGDKGHAM AEDDRKQHHRVKELLKEFQNMKAESADYVPKLKELWSVLSQHIKEEEENDLPALEAAL QAAKGESEGMAKKFGLTKAFVPSRSHPSAGENPYFESAMGMLAAPIDHIADIFRKFPG NTKSPNPSTK QC762_000340 MGLYKELPESLTEVDIIIAGGGTAGCIIAARLTDADPNLSILVI ERGSNNDLPTIAYPLFFMQNIVPGSKASIFYQTEPEEQLDGRKIIVPAGGVLGGGSSI NLMMYTRAQRSDFDGWGVPGWSADEMLPYLKKLETYHGVDHNGTHGHDGPINVSTGTF TSTRFQNEFLSVVEETGWPTSDDLQNLDSSNGIGAQPALRYVSPDGARQDTANRYLHP RLQSGTNPNLHVLLETSVIRVLFDDNKRVRAVEFTRNPLYHDTHSPTQTVKARKTIVI SSGALGTPPILERSGIGSPEVLSRAKVEVIVPLPGVGAEYEDHQLCVYPYHSSLSPSE TADSVALGRVDPATLIQNNDLTLGWNTMDVTCKLRPLADKDITDLGPAFEAAWNNDFA NTPDKPLGMIAPVAVFPNNPDLVSAFGPDKQYFSISCFTVHPYSRGHIHITSPELTSP PDFKTGFLTDKNNLDIKMHIWLYKTQREIARRMPLYRGEVTPCHPPFPPSSKASAVHL NQPLNGKVNNISYTPQDDAIIEQHIRQNVSTTWHSLGTCKIGSVVDENLNVYGTTGLK IADLSVLPGNVAANTNNMAMAVGEKAAGVIIEELGLGL QC762_000335 MSPQGPTTQSPMIMDAAAVQRPENAHLDSKLQTSQPATFQPMDT QKPHEDSEVGLRGGDRGGCCPGRFCFIIPCPLPCDCCII QC762_0063240 MNGSTEKNFDGETDAGGSKTLRQTMGASIKIALIDDGVDYKDLT KRAFIGGHSFSTRDTERNLIHPHYVSSVGHGTAMARHIYYMCPSAEIYVLRLEDYHHP EDPNLRLIAARSAVKATRAAVRKEVNINSMS QC762_0063250 MTPYTSGQGRDLSPAPAPGAHLRPESKPTDGNEKKPDPNLRPRP DEKTAKEIERFIKLHYVRSRPYDKCMDIFYGLDKPSNMKPSTHWSTLSFNLSGYDNWT VSEEEFKIFLGKLKFSDVLQYIGIPKVPLIHRKAAVSREPRGSAIGRNDLLFVSDCLR DKGVKSILVVMVDDEPTVSDNSEASHT QC762_402280 MCPYALRAWVHHLAQVSSLRTRQSVLKRVVGREIGNTLTGGYWA YFNPVTRSVQPPKALLTIFASHGMIDVVEPSDQIGAGPALLEGAHEGHRHVVRDILHT FDLSSDVLINTLSAARASGNETLLIEIVDHILARYPTDCGSLWPADVMRRASWLGLDR FLDKMFTLGCPVETEAQVMTEPRPISLLYQAVWNSQKKAARVLLQHGANINYKTIQDN TAMHLAARNGDADMIKMLIETAKPNLEGTSNEGSTPLYQACTWGHHKVAELLLHAGAD PNMGHQKGEWPPLTSATYEGHQRCVELLLQHGADPNSSSPEGSALFCAAAWSHTNHCQ ILLEAGAKPNNPDDETPIIINIVCSFTNETTLLNTMRLLVGKGANADSQISSLGMTAL MCAAYNTSGPQAEIVDTLLSRNANVQHVDKNGDPALYHFVTAKGSNPRALELLLQHGA NTNYLDLDQKNRILHNAVQNQPREFVRILLENGADPNLRAKAPFTPLMLACG QC762_402270 MHILPLLLSGACYSTIVAAAAAPAATVSPLPAFNKQDLSGPEIW GSYNEIEDCEMHQAIECLHDQICARRNVPIYGKIRCTIGSSVAYLCNYRKKGDKFEDE IEAVVREGNRKKEGGELTCDHREMYEAWRQIRIAKGSHTGWWYDGKGKKTYGFDRRCK DGECDNGWMTGSEWEQCTNIKKSNNDWLFDYEAPEYLNYTGRFEQHLPDPANDFEPVY FNPWYEGKRPK QC762_402260 MVAYKLSAGTALLSLTVRSLAVPVVSEPTTTFTSTPAFTPPVRP HSNVTDHGPYTGPPPTTTGAKTTDVLAPSIPALPPGPNAFDYPSDGELHAPLPAPYTP SGGVGTNGSAPVYRVLSDFDYESIALALYQEWIELDLFHWGLATFSEEEFEEAGLKAE DRYLLQFMAEQEVGHATLLSNMLGPSAPVQCSYNYPVTNVREYIDFSQKLTRYGEAGV YGFLNHLNAHDVGQMLLQSITTEARQQMIFRQFEGLFPMPEWFQVGIPQSWAWTLLAP YISSCPANQTRLVWQNFPALHILNQPNPARINGSNAWNETTGGYTNTLSTADIEDDED CINSTEEGVNCSPGITNNRTNPLSYPGRQVFLQWDEPGFPVGPNNSYITSTSANPPVF AAWVSQLNVTYTPLEEIQNNTAWTVQPNMETFAGDPAVNGTIFLVLTDLDLYVTPYNL TQLNPHVYAVGMYQSG QC762_0063290 MSTALVCDFKHLTRGDVALVGGKISSLGEMISRLASQGIPVPPG FATTSHAYWQYVDANGIREKIGSLLAEWQAGRETLAETGWAVRRLFIRGEWPADAAAA ITTAYKKLSAEARIDNLPVAVRSSATAEDLPDASFAGQLESYLNITGEDRLLDACRRC YASLFTDRAISYRQTKGFDHTSIALSVGVQQMVRSDAGGSGVMFSIDTESGFDKVVLI NAAWGLGENIVQGTVNPDEYQVFKPLLNDTGLVPIIQKKLGGKATKMVLGRSRHQPTR NVPTSKAERAAFVLTDDEILALARLACTIEKHYGCGMDMEWAKDGITGELFIVQARPE TVHSRQDPAVLKTYSVKKKGRALATGLSIGDAAVAGHLCLIEDAKDIDRFIDGSILVT VATDPDWVPIMKRAAAIITDHGGRTSHAAIVSRELGVPAVVGTGDATYVLHTDQEVTV SCAEGDVGFVYEGVSDITTTTIDLTDLPPVRTNIMLNLANPSAAYRWWRLPADGIGLA RMEFVVSNAIQVHPMALVHFDRLKDEKVQDQIVKLTVGYPHKPDYFVDKLAHGLAALC ATFFPRPTIIRLSDFKTNEYAGLIGGAEFEPLEENPMLGFRGASRYYSPRYRDGFALE CRAIKQLREVMGFTNAVVMVPFCRTVDEAKKVLDVMAENGLRRGEGGLKVYVMCEIPS NVILAERFTEHFDGFSIGSNDLTQLTLGVDRDSGLLADLFDEQDEAVKWMIARVIRVA RERGSKVGICGQAPSDHPEFARFLVEAGIDSISVIPDSFLAVKRHVVDSEKP QC762_0063300 MHRNASVIRNVVKAMTPFRSDTIVLVVSNPVDLLTSIAQELAGL PKFQVFGSGTFLESVRIRGLLAEKAGVAASSIQLFVLGVHGDGQVVAWSSATINGIPL DKVLSPDTFGHQELAKECKERAETIFTAKGATPFGTGSVVASICASILFDKREVRPVS HFQPEFDCCFSLPVVLGRKGIMKTIEMPLGSDENAAIARSAHRLKSTIELTDKK QC762_0063310 MNAREKTTQIYPLTLTLTSPSSPSSNPALSLSLPSTLSPSRIPT AQPSPNLSPLPILLPGNSQTTLNLPPSTTILLLTNLPLILSSLPPNIPLPTLLPPLLP FRRIAIPFHPTLTPEDLLPLWPFHFPHVEDIYIVADASTHPDTLRRNKAYQPDVLESF VMLGDGRGGERKAYDVHGDGEDRAWVIGTGVGTGDWVVKGLNEGFWRRGVVMGRGWLG TGPRVGLVGLITE QC762_402230 MIFRRAAAVLGGILALGQGACVKAALSTSNTILVLARDAASATS ATSGLQGYGIPYEVLLVPQSGATLPPLNSSAESGNYGGFIVLSELAYNLPGGWGSAVT PAQWQTIYNYQTTFGARMVRLDVFPSTDLGVTLAVPNAGCCNTGVEQLVSISSTTDFP TANIKTGAGVSTQGLWHYPATIINSTLAKQVATFAPSSDGTFTTTTTAAIINDYGRRK QMVWFMSWATDWAQGPNFLQHAYIHWLTRGVFLGKRKIYLSTQVDDMHLATGLYRPVN TEFRARVSDMVQHATWQTNLNSRLPAGSNYFVEIAHNGNGDIENAITQPGGTTTCNPN EPVWYDTPPDTPLEFQKPLGTGTNVWPSSWTTYPWSLTCAKLDPLATWFNTNPNTFAH LSHTFTHLELNNATYSDADKEIKFNKAWLQQLGLWTASKVSQAGLVPPAITGLHNGDV IRAWWDNGIRYVVGDNTRPPLRHPSNSFWPRISNVADNGYAGLTIIPRWATTIYYNCD TAFCTTQEWIDTSGGSGTFANLINDARAVNTRYLLGLHHDPFMFHQANMRTGDVDSYT VGPVTGNLSLLQIWVEVIAQEMTRLTNWPIVTKKHDDIGKLFVDRQTLDNCAPKLRYN YAANGNTITSVTVTANGNSCSVPVPVTVRGGATGSGTTVDAVGSEPPIYWTTLSGSAR TLTLSSPAVI QC762_402220 MSSLPPNPPEKGRLRRNSRESRLSRRQKLVLAAVAAVLIIVLIV GLGLGLGLKNRGGGGGDDDSLPPAPQPTAIWQPKVNTSWQIILNAKLDIDPNNPAVEP DVDVYDIDMFMHQNSRAVSSLHKLNKKVICYFSAGSYEKNRPDSSKFPEEDIGLVLDG WPDERWLNISSPAIREIMVGRIEIASRMGCDAVDPDNVDGYQNENGMDLTPEDSVSFM TFLADEAHKRNMAIGLKNAGDIVKTMLPRMQFAVNEECAEFNDCRTFSQFVDAGKPVF HIEYPEGAPFNTNAEQKQAYCNAPGANGFSTILKGMNLNFGAEYCDGRQVRTKVLRRF SSGR QC762_402219 MGTKMTKLSSPSQNNNKALILLPLYIYPSPDAWTPLLLAANRHP TLQFLVVVNPSNGPGEGDRPDENYVRVLQQLRDVENVKLVGYVYCSYGKREQGEMRRD IERYKVWGCEKRGCGVDIKGIFFDEAPADGGHVGYMAEAAGEVRSALGEDAVVVYNPG VFPDQGYWEKGDYVVVFENVAREWWGGYVRENVKKLPKELRERSVVIAHSCQGDEKER ILADVRRERWGAHFLTGEGGYESWDGGWGGYVGEADEEWDGEEEGGCC QC762_402215 MKLSLVAVTVAAAFSSTVLAHSSSPADVNMLEMRAAKGKGKHNK HEHPKHDFRLACVCEPDRCPTFLQGKSLCECKAAHLEGCYLKSQRGCPKPSAKKC QC762_402210 MWPGYSIDNLPVQPPSGLDDMDNDHYADSVSSSSPSDSPAASSP LTSPDLSEDGFDIDRTPSPLGGREQEAGSPKFTLVIGGLGYIGSHTVLELLREGHNVI IVDNLSNSYQTILDSIKTLTAKHCKANGRRMPLIHFHRLDYRSRSMRFLIESYTDLVM SIDGSGRQRMTYQSRIEGVIHFAAYKSVEESIRRPLQYYQNNVCGLVSLLQQLDKYNI HNFIFSSSATVYGSKANTGEPLRESDLIHHAEERVDESTGERIVTQPSAVGLSCPYAR TKYFSEAILADVAAANPAWRIVALRYFNPVGCDPSGLLGENPRGEATNLYPVLTQVLT GQRERLNVFGTDWATRDGTAVRDYIHVLDVARGHISALGWNGDRGGTGFRAFNLGSGT GTTVLEAVRSLEAASKRTVSLDWAGRRPGDVGVCVASTERASKELGWSPRESVAQCAS DLWNFVERTLGLQQQQQQSVKV QC762_0063370 MASFFQLPTSGDPVVKECNPDGNLTDQRQVYCNKVIPSEDRLKT PLQVFTLVYGAVCLGLPVLYFVIKQLVVLGRGWSRRRSGTERSIPPSIPGSKTKNKSR SLTSLPSTSTTEAKLLSSVQHLHFLGEGEGEDTAAILADGGGGLGSENEHPSHHAYIV PLRSLGSTSSPIRTKPPRIGPGNSQDKPVILGSVALQHITRATLPNWVRYLTKLIKDD FSASGVVISLSSTSTTTSDNNQDVVILHDLLTRLQEIDIPTLITLPHDSADSQPLSHV DLSLVAGLIIQNACILPDGTRRDFFRSYPLRSLMSRVLGERSDRPWFFVGFWDRWDVR PSPAVVVRGEKLARHFEGVLAHGPNGGEMGRSVSGFEVLRKPETTDLQKVWMGQGKGV YFGEGVEEVAGLDLEEMKDFLPEIEVLLEGVEVGEGDDDKVGEVDRHRLSLLSSTAGR GGAGREYEMPVSLVGFWDGTGGGGGIVFGHYEAVLATQEHLRELEMLEVVDEVVINKI VEQLRAFQESSAEPYLVNALNEGLKQQKVVVYKGLATGFSIPDNAAEFWGVSSEFLVE GEEKVGVRLYISRRCPWDVSTILHTWFAHHGLTRIERFEEELRLEKALDPTSTAEAPL SIRTAIQGSTPAEALSLLQRLQTSKVEHAFKRPIQDDCRVLLLDETSVASWNDAHSRK YQEGTITMEQLLRNRLSHFARLGAKRLPSLGNLLKLHELMENLVDHCLFVGDIEPLNT INAALTLAYDPLDCLHDSKHVDPNAEFVILLFLCALRKSALEDVYIEATDHCPVFSQP DQAAVFSELWVLGSQCELYFGMPPRALGRIIYARHREFLAADPPPPLTKEQKGLMTVY AKPEPSTGIRNIDPEGPARQGFSVFKAVQGMRRATSEFGALSIFCLPAMLDIVLLTFL GRGLFMTAYMGEKYLSAACYALLISLLLSAGVTGWVGSVGNYYLYNYAYNNMVFFHVQ RLAGGFALTLLVGVVGTVIHIVKVGVAPAFTYFAYLVLISTYLNVLGIMATMHQHGTP LTSGRTVLWRTLPLLFISPIVSTYLNGHDLEIYLSVGFGFLILLLIQYRSLCHEWINW LDNVPKFTEKDILTWYTTRLEKQQSSGNQSSSSTSSVSDSLSENPDDFKKLALQAFRE TITQGSTNFGHLKNTVITPDPLIRRVVKGLPYILWLMKKDATEDNQPAEMFSVAWFSQ LSQALKRQQQMAQGLKEHSIFMLFRYGKLDIGHNVGLFLICLMDRWVSIAMAANSAPI DYFTTFTSRYAICFAILYFCASVMMLDSMLREYWKAKYDLSDERLGSLGEAEGVSREW ERKRRGLYFSALFRLVRRLMLIMGTGTMLVWTLVDDAQMLELYYIYLLGYSGVILFQF NRCFTTDPSSHLAAILVSAIFGFITGCMLHAVFHGNGLFFTDAIALNVASLTAAATTT IWAVYDFGRPKFKDGEGRVDEADTLVQPRLGAPPATSEKTHWRDLPGTPIFTQATAGL PERVFAFLQSSLDQPSANFDKAPWARQLLETTVQLWQDKQIQVNMCSRSAFIEGGFED AVSIGKQVGEIHYIDVAGLGEMDLGNEAWELLAAQIIAEATLHHIARASLGLTADQAI QAEHILFGTESLSKRIELQLAGDDYTSLLIVKETTQRMLMKHLCFGVEIDSEWELLPP AVRACMFQRMMGAELSPSNGLLEWLNERGLDISSVNFQVELCNLILSKTEEVLGTRTP PNYGNDILAGPGSGKTRQPTPFFARIINLPVTLAKWVGIISGGGSHLERELWYNLRHY PWMRTPLMYLITLIWRGCRWVKNAITSLLLIYRHAALVNISRLAKKGVSRTLVKDRIT AELRRKVVTGFASRPGENSMTLEVFEGALKTKPGEEHKPLATAIYDNASRLITRRDIS KSGDTVTTYTYGDSTKSRYPSCKVVSDQKHTKQCFYDKKGRVTHGTMAYDGIEYSFQY FYKSSPKGNHEILKAEFKPATANHHHHNNNNSSSDRMAVFWGTPLREDLSSEKLNWVP SDRVCRVVRTIAGKKYVTTSDYQHRRDPVMMTVLLSEQGDNTKAAAAAAAAAAAVAKP PVVFQHEELFLQRPNDHYFENDDLLIHHRVDHVRRMARFCGHSMSWISVLNPAAWLYR RQKTIYRPVPTWWLRTELWDHWRKGGGVLDAIAACWMDELILREEPLLKKYWSARNAG QLDKAKAALDGAIEQIVSAIEIEKDVSEVCLLPIKYSDLYAMGLGRDANQLTMRPGDC FRDTHERISVIFNDIGCWPDSPGGVSNCRRDLVNGHSTIRNHVLAESANEYGIPRFQV EKSVQSLKMLPLWGLDGRTPNHGVIDNLLESEVDAKIAVTDTYRDIAGTFVPLIKLFV KGARSRHIPKQDMIHYSNAMLGIFEFFEHKDYNKAWKSREVASAWVEAWLTEYDDDNI VDPSSYFEVEKPSMTDFRATLEIFCSYFFIFSVQTPEDCPKVFQSTHHGISSLFGMLL KYKRGATFGIWDHAILWRECCLNISPAQSTLPLPVQSMLLSGIGLAMKLAYFHADVVL PCTPVFNPIWEQDLGTDGNRLSHKKTFARKIDPIVNGVSNMDAFKPVEQVGTDTPTVV MLSNVQFIKDIKTAILAADVIVNKYGFAEYKLLVYGARDREPGYDIDMCRLIESCGLT NHVTLKGFGKPDQALKDAWLFMNSSLSEGLPLAIAEAALAGVPIVATAVGATALVLTN PDDPSVRYGEVVPPNDPTALARAQIAMLAMSGPWAKFAGDVDKRGSVPPHLLMPDNLT TTDVKWLTKRMYDKAEDRRKLGLLGRQMVLRGFHGKRYLREHEQMYWIQWHLAGMRKD KALFDARRVGWKGELWLKRGEKKDSLKANNQGGLQRKKSARWQEFTSGRTPYRGKRLS KAPSKQREEKVVVTRVQDVV QC762_402185 MKFLGITTLLLPATALAQGVVFTRQIIATGDGCNSATIRPVFSA DNRSVEVTLDRFFAQLPIPRETFCSLDFRVTHPVGRSTVNAVATLIGDVSLPEAGVSA FVQRNYVISPTTVGRPTGETDPPALEFVGPTAVGFNEVDRFTYSQSFTATQDRTVSIK LNDARLRLQQSTGDEGFIRQSVFILDIHDQSSS QC762_402180 MASITPLQKLSIFQHKTTSPIDSKAMNDAFGLFSFLPAELRVKI WRTSLQRQRIIQVVLTPLHEAKSRYVIESNIDTPNGEEFIAEVGGVKTISKLMRVNRE ARHEALLFYRVHLPCRFIKPWEIKAQPVAHPRGVLYFNPEHDFLHIFHTKGNDGENPA SLVTPSNFLYHLKTTHDRRHVGLLNLALDRDPAKADWRAGAVRFDASFEASKLVPKVR KALKQTLAQLREVFFVFRTPVVRDGDRPDEDQFGLLHDSPLPIWSASPGFERIAHDPR PGVLDALRENASFYWFGLDSTLNDWNRLLDVLGVPSLGSNPPPRTRYSCGLTYSVDSA LTWESFVREAVPKPQNYVFDVETAQNYLSLQQKRAGGASAAGWMPTFGFWLFRLPEGI EHISRFHNSYHDGQGRLLLRQPMPDLTKVWPELLVSNL QC762_402170 MATPTTYAQFTPPASCGIGKDLYAVEKTCWVYDPRPSPTVTVSP PKVPECTAVQLGDPYDRYNPDCYAAWSFRPGGATGVSYVGCPVSYTVATSTTWHPFWR TVDGTSTRAIDVVEKIVHCCPEGGVKFTYFDEGGRSSTFVHEGITWRADAYLMPRCRG TARGERRTVTLTEYTDTQGWEKKKRDVGLRTEVWEGDKEVWAGQESYYATYFADGHTC YGNCTKYWLESYTSPVYVPTTKVEESEVVTTTTPDRDDATTTAAGGGTVTVTIPPTTG PGTGGLLPTPTQSNQVPVPAGGVVGRGSVRAGLLVGLMGVVVGLVI QC762_402165 MSQLNADTILSGFRTSPDLLRRQGLHPTLDSTTGLSLCQEVKMQ VDARDLRQHQTDVDDHRWLSIAVRVPIQIFTNLSSPPLIPILPTHLPLLSHHVFFVKS TASFAMAIPLLTIPCFHAFNGLSHASFFSHHTNATTLIQQKRQGTVLVTKYFTLFSTS DPSSIRTANTGFDCRVDLIHDLWGFCPTSVISATDCGLAGSCVDKNGCSRGCGFTNAA LTTFTCSGASAPFCSTALLTLPNTTDNYFAFTTRGETTTISTTSMTRLLQDTSTSASS AILPTLVDSSTSDPGFPTSSNERTSQSNTKSDGNTQPNNTGAIVGGVIGCIALLCASG IAIVWLLRRNRNKSAIPSSKPHTNSTPEHVDQKSADHKVATWTHYNNAGWGPQELPAH HHGTQNTDPVELLRCTSSTDHKAP QC762_402160 MNPEATTLPRLHCPQPDTSRYDYLVAPENAETDSIRYFIALDLR QCASLLPRLLGSVIEAIRFLGPQTCALSIIEGNSDDGTGEVLAALRPELDALTTTYYS KTSDINPKKGDRIQKLAQLGNMALQPLVDDTEAVKFSAKNTTIVSLNDVAICLEDILE LLHQKRILGADMMCAMDWTYVGPDPTFYDVWIARGMNGDSFFEIPPDGNWNSAWNLFW NNPSAQQRLLSHQPFQVFSCWNGAAAFTATPIMDRTIAFRAHREGECLQGEPQLLCKD MWFHGYGKIAVVPAVNLEYSNEDGKRIKEAKGYVSRWTSTPGHRDEPIEWQLEPPEKV KCIPKYENQFFEAWNSTQSGT QC762_402150 MAEMAETTTTTTDPATGSAPTPTPTEAPAAASTTAPAPVPTQAS DPAQSTPHFAPGHFVPAPLNAPPQYVSPRSYRITKLAGRIFSIICCIVAIGISAGLMA DSRTDGVYGFYGIIIIPSTVVCMVWDVAEIICIMKREGNRGIHPGAIVGVDLILWLGL VFLTLLTVTLSATHAERHIEGYYETWDSETRRWTSSIDLDSPEMRALVRKISGLSQTL SAFVVFLTLTHLGLFIIGCIETNYRNRRPRIVYVMAPPPTNAYAPAAPPGVLPAPYGA PMMRTPPQVIPMMPIQPVPVHVPVRETKPVVAQQERYA QC762_0063440 MSAARSLTTLPSVLRSRLPQRTILPACRQQVRHVNTDREELGGV GGSEPPSPKKNPVNWRAGTITGVGVGIACGLMLWSKKKDAKI QC762_402140 MPNFTEWKARIRRQAKPHPKFQPHDVYSATPLTPNTTRVIRLLP QREPTTTQIKCELFTYNLSGKQSGERHLYEAVSYVWGTGPRCHSITLNNCAFPVTENL HAALWHLRDRQLERVLWVDAICINQDDNKEKERQIPLMRTIYAQAGRVIVWLGLPLDG HGDQALGSIRQAGGEGLTVMEDDYTSVMVLLRRDWFRRMWILQEVGVARSVVVMCGSV QIDGHAFCQGLAGMDHLLPVDLHPIIHPVVQLIRGAPYRPKYQLHSRGVFSMGELLDM YRSCRATLQHDKIYALLGLSLDGLDAPALQPDYSLPWHEVFQRATAHIFGASCTVKTW PESHTAVITGKCLFLGQITSIDQDNNHGDGQVHVWVHCTTAAQSLGYGEHGWDWAFPP FSESVREGDIVCHLQGAARPSIVRLCQDHFTVIKTSAPSAPPGEPDRQSNPSEDHLSN IALTFTIPPGSSNNSYYDEAEQSTELLTITPSYHEDPSTETKRLHDTAALLEDRFIRS LHYNGNMSPALQHLISQCSPKVPISEQLLQSVVSCPTGEPVQIMQLLFQKRGDKLPIT ESIVRAAAANSRLGYPILQLLFQKRGESLPVSEQVVVAAAGNTDKGCQIMNLLFEQRG RGLVISEEAVVAAAGNYWSGHQILRLFFEQRGDNLPISERVVETAAGNTRCGYETVKV FLQQRKSLQISDGVVTEAAGNPRHGHDIMRFLFARDEDLAISENVVKVAAGNGGNGYE IMLLLLEKRGKRLPISDEVVKAAQANSLYGHDIMQLLASAQSV QC762_402130 MSHKPGIIVVHSRPLSPLLPPIVFQTWYENIHIPDVLATGHVSS AARYHLTSPEANNSMPLLAVYHLPDMNWLHQDNCQFWKIPLHSKILPGDNSSILDVAE FKTEFYETVDTVQFGEPADGGNVPSKLLLSFFPQSKQGADSRSLHQSALANLGIGGSE TIQQSMKSTLFKADQSRPHHPAVPASRGTPGEMEYLCTLEYAGEIRLGSSVESNSRPE YTLLKAFE QC762_402120 MDQEAQDNFGAQLWAQQQAQQAQQAQQAQPAQQAQQAQYALQVQ MAQQHPPILYPGGRPPVWITNGIGRPIPAGHMYQRYKGGPVKEDTKRLCRFGFQQFRE TGHRVSLNEIDLPAYAKDMPPTISWPATYTGPKPSTLYPNILWRKPFEKIFGGAFQPG NTTPAFLENAEAVTARQVMKDYLQTYYNLTYVSVLGWGGNGLACLFRHHDGEGRENEI VVKTSLRGRNENMIRETNFQDKFRDATHIVQLEDLNEYLKPGAIPPVPGSPLPGTHPV QQAPQVILLEYLSHGSLAQVISSLQHRKLTAPNRFLWEMWKCMLRGLLEFEYPPDLYP YDDNGLIDWADMTLPGENNVHFDIDPSNYMVGDIFDGHEDDNHPTVPVLKMSDFGCST TMDDEQFENPKAMWKYRMYGKFMFYMPEQFTEEWDYVPQLPGELDTRVAAGKFTWKSN LFQAAQSLVCIMSGDYPQTPPHPTKVPWTPSMTGVDEDGNNEPLEEHWSYGAYIYGLE QHIDGHLKSVLVRCLMENPDHRPNWRELLEDADTECERYRTDGDEIGDHDNPDWPRGW EKDDWKAQVPWTDVQLESWIKEAILEPPVLTPDPADMPSPPELPAVLERRRAMRRQHQ RQRLFMQWLNSRQASLQARVRAMGQEEYTNYFNVWCKRRDMEEHIEKCYKAVVKLRAW EAQFQMHELLRPADQQGRAWADWTAQANAIHQAHPFISLKPADRGPYVHNIQIAIENF RKMEFNTFVREQPEKINRWVQGQIQRLSQNGQFLSPAEITNMTLQARAQPISIPFPQT PIRKRIRKLQLQVYGPHWHHGLMIGQVAGLDPCPGMVPVGNNHDNFVGPPNLRSQDDP FTPWQSQAELDKLEAMCKKKIIVLPPKQQIRAVQENPLNQQEMADIDNIIAAQPPDPV QQAADANAADIAQNFRGHPPGRDLPDPNAPPPPQAAGAKRKAEDNGEELRRANPIQNQ GQRGNAQLQEARDTLTRRVFALQQMGQQVQQQVQQQNQTQAGPAGGAASALARAQGGD AGVRGAAEGGDVQMGGTDDENHGM QC762_402100 MRLLTVGPEARNTQPPQLKFVNFNDCSPDIPDYAILSHTWDDST EVALQQLPLFHRHAASSRRLRQVRITIPILFAASLWSLLSYTVGEVPLYLAFLTTCLL VLSLMLVSCYLAFHLYFVSTQDEQDTCQLVKKKQPGYSKILQTCIKARFDHNVRYAWV DTCCIDKSSSAELTKSINSMYDYYAKAKVCFVYLCDLEPAGDDLGKALPKCRWFTRGW TLQELIAPKHVIFFDREWNERGTKASLSGLLSEITGIPEELLKGETTCGDYAVARRMS WASKRVTTREEDTAYCLLGIFDVRMSLLYGEGMGAFQRLQKVILESTADRSIFIWTED EDERKDCTGNDRGGNECRIKAASKPHPWSAILAKSPRPFECARNLEVSVTDSIYRDLS IGPRGIKMVVSLVYLVSKVGEDDGNKCILEAFSSLNGEIVGVMVRKISGGRTLVETAT LATKFETVFPFSKGCDPVVGNRHGALRLRLDPSLIVNECRAMPRTHWDIHDNVFFGTN KTSKAWCGFFVHGQLADTPTTCIPINLFVGCIRWNIKRPSIILASLEDLDPGFRVLLE YQLDRLEFESCRKAEAVMMSVLDGRLSGTATVVETCAVDQSQIPSSNGGGTVFDKRRI FQINGPATSSPGWHYRVPSTGENVRVEVNLELEEEEDDTVCVNPVTMLNLRLKLLEED STVKSMGLWDS QC762_402090 MRMRYVDFLAMVGLATARREYRPASLKMSCSQLVRDRIDPLVNP GVLGTPHIHQIVGGNSFNTTMDPLTHDPATLSTCTTCTFTDDFSNYWTAIMYFRARNN TYHRVPQLGSLFHESAREGGMTIYYFPQFVNPKPGTIKAFAPGFRMRVGHPDRVHPVN ESGHPRPEHKPTALYDGITYTCLETEGTRFTNLTSSFPPHPCPSGILTTLPFPPCWDG KNLDSPDHQSHVSFAEGGTVGYTQGGKCPASHPVMIPQIMLETRWDTTLFNDPDLWPE EQGKQPFLWSFGDGVGYGHHGDYVFGWRGDSLQRTFDRVDCSGDQICGLPVQTIERAN GCFGERRVVEGVDGWLGEMPGGVVVRD QC762_402080 MPRRRDFPQREENPNRRIDAWDDGFAPPHQMPRQRSPSPRRSRP SNRYPPRTRQFTPSPPPYNDDYHHSPRPRTGGGGANQDYFHDHPLNNSNPNPDHHRGR TSHGQQQRPPLMRSKSTSAKEFLVTGLEKVQHMSPRWQKAAQAAVQAGGLAAFQARKQ PGDWVGTKGVKVATAAFTAGLASSKMHKERGRDREYERDRSYERDRSRDRSRGRDRDR ERNRPTGGQRRGSNLDAIGNMVGGFVAEQFAKRAQKDRR QC762_0063510 MADMNVIHTYLAVTTDVPDQGQEMASTTSPAATPTKEEMVNRWE ELKSPFEHGVDWARGGGARGYPGEGTALPGSYCNKIGVTGLREWVLGNVDSDTEEGAG GGWYRFLKGVFEPGDDEKV QC762_402070 MRCTCPGGLLSSRMRMRPWLVQRQCLLASRPAALGGSLCLRTLS SLSPTATTTTRISSLPPSRQPLLPPPIPQLRRAASSTPTTKDGPLERKSASLEERISK IPISRYRNFCIVAHIDHGKSTLSDRLLEHTGTILPGQDNKQVLDKLDVERERGITVKA QTCTMIWNYPKDGQDYLLHLVDTPGHVDFRAEVTRSYASCGGAILLVDASQGVQAQTV ANFYLAFAQGLSLVPVVNKVDLPTADVDRALGQMEEVFELDCKDAVKVSAKTGKGVGD ILPAVVERVRAPEGDLDKPLRMLLVDSWYDTFRGVVLLVRVFDGTVRAGDRLVSFATG NEYAVGEVGIQYPGAVPQRCLRAGQVGYVFFNPGMKRIQDAKIGDTFTVKGKEKEVEA YPGFEEPKPMVFVAAFPTDQGDYEKLKDSIGQLVLNDRSITLQKDHSDALGAGWRLGF LGSLHCSVFQDRLRGEYGSDIIITEPAVPVRIVWSDGKEEIITNPAEFPDGEDHRLKR ATLYEPVVAATITLPEEYLGRVMELCESVRGVQKSVEFFNATQVILKYELPTASLVDD LFGKLKGATKGYATLDYEDAGWRESNLVKLNLLVNKEPVDAIARVVHNSQVERLGRQW VTKFKEHVDRQMFEVIIQAAAGRRVVARETLKPFRKDVLAKLHASDIGRRRKLLDKQK AGRKRLRAVGNVVIDQSAFQKFLTK QC762_402060 MSPSNPSSSSSHHHSHKQNDSINSLSSLSPRTRLDSRDHRYNSR APVNSNNPPPQVTHNKRRSSTYTTFPPAASIKPNPPRSSSLFPGPAATGSGSGSGSPT RATAHAPPVFRDVVFNDPQPTGTPASKNEPQTVHKRGHSRSSSAGLSDGFRNLNRWSA STASSRASNFAGFTKRVSTEFLGGAFGKSRPSTADESPRSGATRTASRPRSDSPVPAP IPPLETLPPILTGPSLEDEVFESDVLTQSSVAPIEPPRRRIARPAEEIDPDWDGTPQL SEQESGSSLQRLGPAITLRPADPITPTDTTVMTMPYTQNGQPRGHSRNRSTGAKGSVD TNGSSRSREKEREREKERERERAGKPPSQKAMLSRALQKANTAVQLDNVQNFEAARRA YAEACTVLQQVLMRTNGEEDRRKLDAIHQTYTNRIQELDEQLADIEPEGKELPERPES YEFHEPIYHAQVNGREREYEREPTSAVSRHAPRPNFTPRAPPPNLSVDTSRAGPQNGT TSYLTEQYSLQSAFSRARTGSGTPVQGQSQNGFMPRPLSPLRRPSSPANPPPPPPDNG HSDRTQQQPDYLMSGARLGAYDAQVGHQRVNSHESVSWLDPIDESEASSVSSVHSRSS SKIVRKHIRAASGDTEAEFDAALDDAIEAAYDDGYEPEQTYQGQNQGCGEDGGRADEE RRQAELAQDRIRDEERDALALATEREQRLRLEMQREDEEYRRQEAIGEEFWEDHEAEE EERMLDEMTRGYALDQFSFDNNRTGRPIPRESDSSGLTSRTWHSSMGSNPPHTATTVM TPISDKNAALPRLPDPLPPPPSHALPPPPPQTAGSQGSNQTVRNRRLSGQNAAQLKIE TSKLAPPTGLATASSAVPSHPKSAGNYIVQQRQALSAGPSRLMGAFSSRATPSPGPAG PDDDDAPPLPLGFVHEHEASRSASPALTRPTLRSNFSSASLKSMKSRNLSISHMDDGD MSPGTPSSNQFGMGGSSTRLPSIPALPEAYKDRASSTAAGGMHLLDSNLHSFDAPGSP NPLLPDAPVALEPCPNDTLLRPFWLMRCLYQTLCHPRGGYVSNKLFVPRGVWQMKGVK LKNVEDKIANCDLLTAALQKLARVDTCDADLVLEEMQSLENVLEQVQAALSRKLGSEV GVQGSGTMFKDASGMEAEAASMPRSGSVAGKGSSFSWRRLRSKNSSANLPGLASSYGG KGGSGGGGGGANASTVSHESTVKDALLASLPMTSHPTSRPAKRDVGNVLFTGPNAGYM SSLARLFDAAQSIDQIARQVDDPGLRHADKTQVGLELCTRHAAEFFAFYICRFVLTDL TMLLDKFVKRGAEWVLV QC762_0063540 MAFRRYGNFNFLMRPLAPARMEEAILKRFQALDDSSGVLTEWKP AFGYNSREAAHLRALGPGDTPQRNRPIGPRIPHLNAIVPIATLAAALTLIPSWHHSLP GHGSTEDPNFWVAIQSSIMLWLGLFTALLPVYNR QC762_0063550 MESETRYIGGWPFQPNRFFFSYPVIPAESHPPSKMKASLMLLLA PLVSAAPTVEHRQASQSIDALFKAKGKEFYGTATDQGRLQAGRNAAIIEANFGQVTPE NSMKWESLNPRQGQYNWGQADYLVNWATERNKTIRGHTFVWHSQLAGWVNQINNRDQL TRVIQEHIRTVGGRYKDKIYHWDVINEMFNEDGSLRNSVFSRVLGESFVKIAFDAARE TDPSAKLYINDYNLDQPNYAKVTRGMVANVNKWLSQGIPIDGIGTQGHLQSGQGNGLA QTIKVLAATSVKEVAVTELDIQNNNSNDYVAVTRGCLEEPKCRSITVWGVRDQDSWRP QGNPLLFDSNYNAKANYNAIVQFLSQ QC762_402040 MGSPPHRSLYDEAFDRFKKSKHERYTDPKEVAVLNEFLREYAGP EDAKEAAQQLQAATGKKYGNKKLADVEIPESWITNIMENIDNFITAGDYLTEGAPESV GLAWYAVKLTLTAIHSNYELYKFFGTALSDISEIMIIVRHYDRLYDERGKKPDWKPSP LVEKLFQDVTETYVAVLDFSFAVKRHITAGSFARLKHGLKDFFGSNKRETIAILKKKI LEGSQGAFQDKTLTQLQGVSSVVTDIASSIKQFETFHHKIDMITQRMDELASNTKRKS PWDFALEDYKAYQEALQPLEGCRRVLGDTIDALVAMPEGTCSWVFEEPDYETWEQSDE CEMLCITGEEGTGKSYVMASIVRQFLPGQGDDDEDEEDAWNPDTAYLYVTCNPDAKEG KDQVITAETVLHTLLSQLYELALETKNASLLESCTAVFKAAKANLQNAQPHERERMSE FPQFVGGFCKLVRLLQRDVVVVVDGISKSTLEGQHQRTLLRELRSLRGQVDELVKNHI LILVGCSSPTTFQNDYQHDEEFPNQLIIDVGEGNGKDLRAFLADELDNIPGLTSKERE EATVAILDKAQSKFSYLVKTAIPFMKEPFQRPLSKRLLELPGGLGDVYSKALRNLKPN YVGLLKTALTWVVLAKDNQISAREVMDDFQGTYTSPVVDVSSDNTEDDLAFEHISSLE REQLLQAVEGILKLSSVEGRFMVHAPIGELDDIEDFFCSSSGATAEADNELEAAELCA KCKTSDAMHKALKIDAREGHLQLALACLRHLNHPLFQKHAGLSSIPESKVPDFQMEAL PPQHMLAEKMLLPLENSDDEEDVVDPSLFDREHFNSWEDEEDSDSSSETEPTHHLRYE LLNWAYHVRKAEELFSPEERTYSSLWSQLMTELDAFSSKTDLFFAWQTSQPTSNPPEE RKYTLASGSHKPLHVAAYLGLTSWAERLLEAGADVNEVCNGFTPVQVAASVGNRLEMM KLLLEKGADPNSKSKWGFNAFHYWIRNDQQLEGVQLLLQHGADARIRNEPYGWTPLHL FAREGTDPAVLAALLEHGAEIDAPEGVLKLTAFHILLAFRTAAPEDLLLAFIRHKADI NAENLTSARPLQMLATQGQVKNMEILLREGVVEIDDTDIQGTTALQEAIASLHIDAAR LLLEHGADPEFTDTLKRTSLHLACRRGATDIAKLLVEHGCDVNIVDVHGWTPFFIALL GKLEGSHKTASLILDALVQRDIPLADINKAGRSGRTALRQAASRGFDDIVSKMIQVSI ERDDAAALAIDVKDEKKGMTALHRAALGGHVECVRLLLEAKADASIKDTSSRTALVLA YEQWAVVHTPAFEDTIALLISADPTAAIQDSSLIATCAANGSVKLLKQLWSLNADFSR PDHFGWRPIELVRNSGSEEAEEFLQEQNTWVKNLPSRWSTSLPGATAIGAKSVGEDGI TIRHLSTKEVSVSADKPLPPGLDRYYYEITIKDTPSLPESETLLWHKARPPKPMVALG FSSVGAAGVKFPGETVMESDVNPNAKSWAYNSRAGEIYASDGSGTNFIGMAYGEVGDV IGVGVDLAQREMWATKNGVKVGVYDDEDGDVSGRLFPIVGFGGFVQFEVNFGGPGREF VWKGEQEEEEVEEEEGSQGDDEGEDGVDGGDGDEKEEE QC762_402035 MAPILFTHLPTLTTNLLSPRQDNNPPTVTIITGTNDDPPVTADP DETTTLTGGAIAGIVIGSIVGLLLLIWIIRSCTNLGAPPNKPAVPGKPWYGSVREEYP PRHTSRSRSRHSHRGHSRTRTVSRERRVGMTEVEPVYVRREGSRSRSRGVDGGYAVYG REEVRGAGGRRSRSRGY QC762_0063590 MTGFYSANLLLDLVLSIRSSRSRRGILNLSLARFITMGPGTPAT DPNPDIELGHMPPESEFSRNPAPVLDELQKGQDAAARDCRTDLLSFKREPRFSKDGDM PVFGLYKSPAMILELRELYILKLRHLRIKLATEALGYRYSISEKCPDWADPDARYTDS RAHDYLTAVRDMQFIQEAIDTRSASSRWLRIHSFTSADADLLRTLLKDQQERFRTVSS QSGTLVEQDQGKYSEATFEKSGETENPSDGENSNQSGYDNARNMLQISGGAVLIFAIF VSFFSNKAVEVFSATSAYAAVMVVFLGATIGG QC762_402020 MSIDGSTIAAILTGILALVGAVTTAWMSGLNQQRVESRKNQKAL ARSSAPLLIASWDLANWLYDILEDTAYSSRRCAAYGNGWPSQFTSYLFGQYFAGVHII RETTQFFAHMQGGRTEQLKKLLWKIQDEFVSMHYEGRENLMLRWAERDILEVQESMTV VDGDGSLRTMRWVEFQKDYAGGKGLEKVFKRYENEFQSIIYRRFKYLYSINEGWKRQG NPQDRREEEEKEIEEERADDPTNIVVVIPDHRARRLQHLLSDLVGLLDEESGMRFNRP VRRCGMVVNKKALAYGSADVFEKDSERTDHYRIPCDCQDLDSGCNKTLKDFKHRQLKD TSGKGFGRRETSYWEPRNQPPVSDVSAFRMRVTGPGDKC QC762_402010 MWALFLLKILSAAVLVTAQGPPAVGGGCTTNSFNIPSWFITDFK DLVDDERKVAFGILNRATNYTAQATCGIDRKGYNLCSVSGQSAPGNGTVEIKALVEGT VAQVSVNQTWSCNDRGTPVQFTASGRARISLYDVPEPEVSSTFPPLLIQGTLHAPVFI TPDRAAGPQGHDQKGCQAASEKPEWNITHIYYTDRPADGNVESPSRTFNLLFTNTANG YEGGCVHGSLVGPAGETSLICAGSEFGNLRGSRYAISTTASFDPSDFKFTVRQTWFCD DENPSKPLQFTASASTILPLTCTTTPLSAGSAINETVCDRNPTLVLAGKVDSATTLPP YSLTEPIPRDNTCTITSILAPKWQFSAFEIVYTPEKEEWEAINFEIILATYSGFQYPI PVTVSRAAGREGGWFECVIGADGANDQPLWPYACLVQFNPETKELKLKADWQCRELDG DQPVNFSGLTTTTIKSDFTCETFRDMEVCVTEDTGFVWTADIGGVVWRSVPQSTP QC762_401990 MYVNKIEAQPPPPPRHYNPPPPTRRPTNPYDVDETRSNHVKFPG EYYSYGYGAPPRPSTEYAGHGPRGRSPTPPPLSTYSRPAAGRTNYTRPSTRRTHHTVT NTRYTSPVRERDRRDLSPASPTYSPAYSPTSPVLAPVHPRRSSIEYIRVPEYPVEQRK TEFIVEKRRDAASSAEEAEWDYKRVPRRKRTRDERDDGNLDEEDLVLMGMWETDAGFE SGPTRNPVARGPYSFVPPSASKDPLGDVGKLSDDDSLDTTEQDIEAAERLTGTAYQVL ESGYTGDGMIGGQHGAQLVITPGERVQHQPVFRWIHFSHKSMDFDNFATTRLLGLTKS ERQGVADLIARVKRQGIKQIQTSNGSYVRHMEPKFLQMPVPFDPSLKEQSFANRTVTW ICLPYFSLEKYSGLLAAENASSFPVQTLLQAQFSRATKDRDMQQAARQLKGAPAELCF HIAQLWCIVVDNCRFYLALLLTCGRMPFDALCGNNIHRVTKTAQEISTLKPAARVFIR YQNNIVWALPIEECGSWFSFMAHFLEFWPRTLNFFYYKRPVKPDEWPWITKLASRSRA GILLEMQIGHKPALPSPMGLSSLKREERDDEVQFSASKQPDDSSQRKTVRITIPREGK ETAESKSNSAFAVFTCLDGVSNSGIGGINYDVFEDYFVQVDQYLLNKTARQDQKAYSE LRQSKRRDVYKLLEEEGGKGKEESPPSEREKKIYESRVSFFNKADIVFKFFFPSELEV PTVDRFWGIVLSLIEHPTLEPEDPVEPRSKEISKAKRSLQMEKLARQRNEVTKTLDRQ IAALSSFNATFSAASPKDLEQVHTPWLLVDAWIHILLGLAISPKNTTRSEFLLDSGLS SLRQGTDTMIQSVASSFERTLFDRSVILPTDLFSLISMQLLKDITPALPDISETYSSY LDTIESDITTKPSTRTHEYKLGRLKQEVSIIQWTVAWQRSIFDAMSQSSTRNWRMQPR PPHASHRGMYTYTQLPSPDITVSTHGYRQLLIDEIINFTDRRDKEFSELRSHASHLEE FNRNKLDTTRDRQERAIYAFTIVTIVFLPLSSIASIFGMNSADVRDMELGQWAYWVTA VPVTVGVVLVGLWWTGEMGGFVRTGMEWVRGWGEGVRWREREEDMVPMMGGYDGGGER VGWREKGAYVVGGVRKRR QC762_401980 MGIDSIPTEVLDLIFDCLEKEKPDKMNRYRHLGAAVRPESLRNA RLVCRQWNALATKHLFRTIALMHNGNDKAFDTWKQIVNSPAVQQAAREAEIYSVRPPP RSYYRQLERDYETWCSWDSGDWPEFVTAIQGLGSLPNLRAVNIRFTEGCEGVEKSGYR EEIVETFSTRMHTIENVFKAIQRRKSRANQVITPITSLTIENLQNMPFTEFLETGLFR SVIEDITELRLLVAQEDHDDGPDYDLYYDERFTFEPWLQEEFLPCFASRLTSLNITFG ECWGVAPGYFDGKGLHFPNLKTLILGEYVIGHHDQFDWVPAQTTLETLCLNRCMIVSY LEYASDDIEKWNDLTTHDWKFVADRGRGWTLRQFDGAWEVVFDAIRIRLPNLVQFRME HAKLNDVAGRGLRSVDDMDCCLSAKRYVRFSSGTISPWQESDSDGHMDIELGGEDEAE CSVVNRAMETQEGDMRAFKELLQAVEERARRRLQ QC762_401970 MAAIARITAFAKTTRLAPCPPRTMATSTTSTKPDWSPEKYLRFE APRGRPINDLISFLSRSGLSQPQRIIDLGSGPGNSTIALKKQWPGAQITGVELSPAMV TAASEKNGGEGIDYQAGDVKDFIAPPDTDLIFSNAVFHWLRSSERIATIVQHLKRLKP GGLLAFQVPDNFAEPSHRLMRDTAYHSPGPWAKYFMGQDQKPELDPIESVGTWYNSLK PHCESVEIWHTTYHHILEGHEAIVKWFETTGLKPYLDLLEQDEAAKKAFLEQYVRGLK REYQTLADGRVVLHFPRLFVVGFRGKA QC762_0063650 MQLRGLSFCALRLRTLLNPSIPPVFIGNVAMDFRPWNPAPDDPQ PTTLTMASFPRNRHRGLSVGAGRNLERRSEICLSSLGNKDTACSGFKPVLQRSAYLDG PLSKGRGLEFERQPLWFSGLPRTHPTWWSTPAQICAPIMVGRHDPTLHLPLSSTESTQ SWASRTPVQRTTHDFCYIKMKPRHEVVIRQTRQEYHFWGLGGDNSSLRPLVEDPFCGS PHQRLDGGNELDKATSTGSVLFGQTIALTNI QC762_0063660 MSTNAYSPQPHSPCVPSEEQGEWVWVPKTAMPSPQAGQLDYQFT TTPLFTPAFGDSSVSPSTLSADPWDSLMMTGQVDNTLFTSPSSSMINEFGSPADALLE DFGHVGRSDLFPEAVPPLQGFLTDDLLTPFMDATLFPAADINLNLNTFVPDVTTPFSH FGVALSPTSSVHESSPTFSYSPPIFDSPFPATTGASSDITSPSTPHIHSCSESNCSKT FDKVSDLKRHERKHRQPFRCELCGKGHLDKRALGRHLWAKHPEYAQQHNTRSERIRCT ECDYEGRADNVARHSKRHAKKR QC762_401950 MVSYTEILSSNALITTSTTPLRVAVFVGGTSGIGKLTIRALVAT GTPIKIYLLGRKPSQSRTLPFIKELHTLNPKAEIIFTEAEVSLLVDVKRVCSHISSLE SKIDLLFLSAGFAPWGGQRKETAEGHEVAQALEYYSRMLFILHLLPLLDSGRVVSVLG GGMETTYFLDMEDWELKKEGNFTIWRARPQYIGMNTIMLDRLAKENPNVTFIHSLPGA VDTGNVRRGWDGKSILGWAFIRFIEGVNWLVAFSDEESGQRHLFQSTSAAFGGRGVPW SGEAGRATAGGLFLVSNKCDCTPNTKVVDQLRDKGQEKLWGHTMEILGPYL QC762_401940 MQSLVASLVAVASLISVVKGDTCSELRANTNIEVSAPISPTYIA EQTEYWSASCSALKPSCIIFPKSAAEVSTIISVLNTNDEHFAVKSGGHSPNNYYASVD GGPLISTQNLDHANLDPATGILDFGPGNRLDGLAQKLQGTGWTFVGGRIGNTGTGGLM LGGGLSYMSAQHGWSASSVLEYELVLPNGTITYPSATNHPSLFKALKGGGNNFGIVTN YKTQAYPQGNVWGGNLLFLRTPATDKKILQAVRDFTEYNTDDRAAVIVTAERAQVNLV DSWILFLFYDGPTPPAGTFTNFTSIGPIANTARTRTYADLMTFSNWVVLKGFQVQIGT ETIPLPSTTHSVEVLEGIHAHWRNISLTTLAVPGIVASIAYQPFPKRIAAAAREKSPD LIDADPDADKLIIEMNYAFLNPLDYPLMDGKMQETYTGIRERVLSWQAEGKLPDNVDL PVFMNYGFYRQDYFGRLKPENRALAREVAEEVDPEGLFRNRTGGWKP QC762_0063700 MSRIEENAPRNMSTSASVQNLNNERNLLIPSENMSTDTLDSGNL IDTATAMSALVDILDGQPTTPPSLYIDLEGVNLSRHGTISILQIYVLPRRQAYLADIH ILGEKAFCAPSSATGRTFKDILESETIPKVFFDVRNDSDALFTHFQIRLAGVQDLQLM ELATRTFPRRFVCGLARCIERDVSLSATEKSSWLATKERGTRLFAPERGGSYQVFNER PLHVEIRLYCVQDVHLLPRLWAHYDGKLTGVWEGRVREASRDRVALSQTPGFNGKGQH MALAPAGWSWL QC762_000450 MDWPRVVNPILTIEDNDCLFEYDCLSTIVSKVLRNHGRETESID LRSDGDWSHWFQSQIFAENLLEQPSKHQERSGYHILLCGAVEPAALEHVPTDLYYLPF SLPRWQRITKAFHLHDEICRAFTKSHEGGCATYLMHGYSLRMYTSAMSWDHQSWTSAD SLAISSTYIEASKLTVAVIFGCSEQQMARVDELLASCPEVKSHPLLTVGIFAELHKDR MQEIVKKAIYECTAAITDLKLDRDAPPLVKRDFKLNRKLRNWRLKMKMAEEEVRTTKG LLQKMITQVEEEEQLQSFQYDGEFATSTRRFKQRFMEIEIELDALMARCRMMFDDMTY SEELFMNELLSDDAERARDQAKISTVIAFVAMLYLPITAVATISLCRFSISKTTGEIS TSERQRLRKFPINRQSYLHTSGCT QC762_000460 MPSFTSSSSRSSKKNNTPPPANLLPKAHSRPHPPPPSSRRAHSP RPPSASVTTSGTSLVKQKPPKQVSRGLSGLTATITSRTDQVLTHVNEQVTQIREEQQN GTSQWVAGELDRTSHWVQGELTRTNDWVSQLREEQQKEASLWLEQELEKAPKVAMESA KNTYLGWLSGDEGIVTAALAWIPVAFVAGETVRVGIEVGKVVYDLVQGTSSNGQRRRI QGA QC762_0063740 MSGRVEKRYRDTAHERTVLSASVDQDGVEIMPCSRCWRAKPQGR CVIKEGSNCCSNCVRLGKSCDGPNVADSCGCLDSGRWFFSY QC762_000260 MCGRYAMALRPSQVRQMLQNDYDLQVDDTPADEGDGAPRQSYNF APGYNGVVYRADAGAGHNHHQALEEPQEQDSSPTPAFKLQSMKWGLIPSWIKSSPSFP STLKTINCRDDSLAQSGGMWASMKSKKRCVVIAQGFYEWLQKGKEKIPHYVKRKDGRL MLLAGLWDCASLPPLNGEGDGETRKVWSYTIITTSSNDQLRFLHDRMPVILDAESERL RVWLDLGRREWSKELQGVLRPYEGELEVYPVSKEVGKVGNDDAVFVVPVGSRENKGNI ENFFANAAAKSKKREPLKGEVEVEMVDGRKEVKSVEEVKREDEEGMGVVVTEGKQGVK REAEDHAEEEPPGKKVKEEGSPSKNVQEEGPVKWESPVKERPKSSATSNKHTRSPEKK KGKVAPAGAGSQKITKFFGNSA QC762_000270 MKVFPIVTALTLGVADVSAHYIFQQFGVGSTKFGVFEHIRKNNN HNSPVTSLSDNNLRCNVGGASGASTSIVNVKAGDSVTFYTDQAVYHQGPISLYMSKAP GSVKEYDGSGEWFKIYDWGPTINGGQSSWPMRSSYQANLPRCIPNGEYLLRIQSLAIH NPGSTPQFYISCAQINVSGGGNSSPSPTVKIPGAFKATDPGYTANIYNNLQSYTVPGP KVFTC QC762_000280 MKFLSRVGATALAASLYLQHGIAQMTDGTYTDQTSGIKFKTWTQ GTEATEASPFTFGLALPGDALTKNANEYLGILRCKIEDAAAPGWCGLSHGQAGQMTNA LLLVAWAAEGTVYTSFRWATGYTLPGLYTGDAKLTQVSSNVTDTHFELIYRCQNCFSW NQDGTSGSVETTQGFLVLGHAAGSSGLENPTCPDRATFGFHDAGFGQWGAPLEGATSE SYAEWAELATTTPETDCEGTGPGDAECTPAPEKVYDYIVVGGGAGGIPVADKLSAAGH SVLLIEKGPPSSGRWGGTMKPKWLEGTNLTRFDVPGLCNQIWVDSAGIACTDTDQMAG CVLGGGTAVNAGLWWKPPASDWDYNFPAGWKSKDVEAAANRVFDRIPGTFKPSADGVL YRREGFDVLSSGLRKSGYKEVVANQSPNEKNGAFAHTHFMFRYGERDGPLATYLVSAN NRDNFDLWTGSAVRRAIRTGGKVTGVELECLRDGGYSGEVKISAKGGVIFSAGTFGSA KLLMRSGIGPRDQLEIVAGSKDGETFISRGQWIDLPVGSNLIDHLNTDLILTHPDVVF YDFYEAWTAPNEGDKERYLNNRTGILTQAAPNIGPMVWEEVTPSDGIPRQFQWTARVE GDGRITDSPHAMTLSQYLGRGVVSRGRMTITPGLATAVSEHPYLHNAGDKEAVIAGIK KLQAALNVIPNITWVLPPPTGTVEDYVNGLPVSPSARRSNHWMGTAKLGTDDGREGGT AVVDLDTKVYGTDNLFVVDASIFPGMSTGNPSGMIVIAAEKAAERILALKA QC762_000290 MSLIELPAEILLQIFDHVGSSYFRSDLSRLRVCKRWNGLAHIVC FREACITTKMLRRMASSPYAESSLREMEILDLNLEGFGTVRPGTVLDPKDSSWNYVPD QSSLQRRTMELNDDLVHLATIIKQSRKLRTLRIKAIKPSNPVFEIRETYLFPSTLRAL LLSTSNLTALELDLYGTRPEPEGHPHHGDDGHVCPMIGALLPTLQRLRLRVRTICADA LKPPRDFPGPLPLRVLLVDFVLTEELANEKSRFTARCGGPGRFVRLAQVVRGVVLSRA RALLPHMSAPKMVRILTRTFSGDEIQGINVLTGKNIKVKKDAEWDGDSEVMMD QC762_000300 MLLSDRAIHILGVGNLGKYVAHALATHYPRLPVTLIFRKKDSYD KFVDGGRKITKWIDEHTQDSKSGFRAEWIGQSRPGSPHISNLIVATKGQQTLTPVGFL SPRLDRNSTVLLLQNGMGVKEELDSQILAFRSPEHRPSYWAGVCSTGVFGRGDPTRPV CPFTFQVAGSGPLNIGPFSESQEIEKEHPLRRALEKCHPTLRTEFLDYEKIKLARLRK LVMNAVINPLTVVHRCPNGWLRSLERFRALPDHPVTGITAEYRPSSLVAEIGPIVRAV LDLPSGNTKLDEAWSDLALLREALLLADRTGENRSSMLQDVEGGRETEIDYICGWLIK KARELGLKCPRGTQNLYHYIKFRKWENGEELRRLGPSPRGAASVEDMKAMDKSIGDRD MVTVEKPEWLKAKVTVETPEWLKPKAVSKGDKPWGKAPKRVNKYMAKAQGPGKGKR QC762_402310 MESEVEAGRASENRKTLVKDQLPKRFKALKFGIQSTQDILNQGV LEVSDNLLYDVENNRAPFKHGPLDPRLGTSSPKPDAKCHTCHQDLMGCPGHFGHVKLP LPVFHIGYLKYIQATLQNICKSCSRILLTNEERRRFLRSLRREQDNMARTAVLKRINE QCRKVRNCYHCGDLQGTIRKLSCMKLVHDKFVAYNKSTAQKKVAPESKIEFDASFESA KAHFAELGKHTRRAMDDMHPLKVLNLFKEINSVDCELLGLDPAEGRPEMFLWRYLPAP PLCIRPSVAQESASNEDDITTKLAEIVIYSAHLREAMLKGAPLPSLMEQWEFLQLQVG MYVNSDVPGLVQQGFGKVTRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLSI EQVAVPERVAKNLTYPERVHANNIQKLKACVRNGPTEYPGALQITKNQGEENEMKFLL KHMKPHILEKNADNLRIGDVVERHLEDNDIVLFNRQPSLHKLSIMSHYAKIRPWRTFR LNECVCSPYNADFDGDEMNLHVPQTEEARAEAINLMGVKNNLCTPKNGEPIIAATQDF ITAAYLLSGKDRFYDRSSFTYICTQMLLGDTYLELPPPAILKPKALWTGKQIFNVMMR PNKESPVLVNLDAKNKVYNKGNLQPPDMDIDDSFLVVRNSEVICGRMDKSTVGEGKKN SVFYVILRDYGADYAAATMNRLAKLCARSLTLRGFSIGVGDVFPSQALTEHKLMLLEK ATTVCDGFISQAENGTLEKAPGCDMKETLETKLSGTLSAVRQAAGSYCTENLSRNNAP LIMAKSGSKGSEINVAQMIACVGQQIIGGKRVADGFQNRTLPHFEKGDKRAAAKGFVK NSFYTGLHPTEFLFHAISGREGLVDTAVKTAETGYMSRRLMKSLEDLSTQYDDTVRTS EGGIVQFQYGADRLDPVDMEGDARPVNFDRTWNHSQTITWNNSEKGLLPSEIQKLTKE LLDRQRALYVRRDMLTGEVITGLTDEQIRTSTDRAAYMAVDEHDAAREYLNSVQTYIT QRATKLARIRKSVGLDPGVLEEDLAMQAAVNRVNKGGSEMDIDMDDEARAKGQKHADL TAKVSEASLRKFIELCLEKYKKAQVEPGHAVGAVGAQSIGEPGTQMTLKTFHFAGVAG MSITQGVPRIKEIINASKTISTPVITCVLENTKDVSAARAVKHRIEKTYIKDILDYVD DVWLQDVAKIVLRLEKDGLENLEQIGITTTDIADAIVKAKKLKLKIEPEDLKVNKNVI EVLVHNTWQDATAARKAARQRAAALEKGLTVANPGDESAADFQLRVNFLKRMLPEVPI AGHPETTRAIINVDSKTSAHTVLVEGYGLRACMTTEGVVGTKCLTNSVMECRDVLGIE AARTTIAFEIQQVMGDMNIDPRHMELLADVMTYKGDILGITRFGLAKMRDSVLQLASF EKTPDHLFDAAAGMKTDRILGVSECIIMGQTMSIGTGSFQVVRRLGLYDWQLKPKPSM FDEIWKKTHSKLGRGRARPVVVAAAC QC762_402320 MLYRLIRPRRAVGRSLQRYPFFSNRRYIWKTASGFPKPNPGSPR HPGAMASTPAPNTAVEKKVEKSYLASAVDSINPWAGPRSATPTPKDPQPALAPSTTVD HTLNPFYGQSFKRYPPDCPPPNIQWFHAVDVPKRKPKFMITKVASDAKPAQPKKYVAF DPRDSRAVEAAYQARLQELEEERNAFTGNALARTGTKRPRSVSGEGEKDTDSSRSKTR VPVNEDFLFDVDIEERELAPIYWEGPVYEVRRGSWFYQEGSTLRPCEENLAAQLEEGY LKVKPWRYPKAPSNPSTKGPTPKGSSENLNIVDESLAKINAKTAASVPQHQPQTYRLF GSYMNSVATYQDSNTAWLSTDGMLSWVTSTMYERFAGGGYMSGVKLVRGYTEAKKVKE KDEKRPVTPAGTKSTSNEKGDETPKALKRRSAPPTSVRPSLDEGADMEPDNPRNSLSR QLSNLMERAEDPEAEAEAIRVREEKEMMGDYNTNAGENQGRDIEHLVLVTHGIGQLLS RRMDSINFVHDVNILRKNLKNVYSVSADLRALNSEIGESGPGNCRVQVLPVVWRHRLD FPKRKPRRGEHDLAEAFDEEDEYPSLEDITIEGLAFARSLISDLALDVLLYQSAYREQ IADIVVKESNHIYKTFKERNPEFKGKVHIVGHSLGSAIMFDILCRQKKRAPAASLPRN PLRIWPAASSEDRFEPKESKDLAFDFDVADFYCLGSPIGLFQMLKGRTISARNLPNAV PSESPLNPDYMEDPFLSAPAYSYASDQHLSPITGHPFSVSSPKVSQLFNIFHPSDPIA YRLEPLISQAMSTLKPQALPYTKKTIFGSVAPQGLTGLGAKVGQSVTGLWSSFSAGIA SSLLNRSLGLTQEDVNNINASHHRERELSLSPVGSPGSGAWKEKQLGAAEGGGNSKME DHVEKSEKTAERQMAIAAVTGGGKDGGALIDEELETLFSRFQKSRVERADGGGGEKGD GNGGDVLTKERWLEEERKAQRMRREEGKIRGLNRNGRVDYCIQESVLDFNPMNTIASH MSYWADEDVAHFVLSQLLQGERVRTPRV QC762_402330 MSLCKSCHQPLILQFEPDSEDESLAPPADQPPIPDDLHLPCQCH FHWQCLLDLSSTVALTLSCPSCTTYLPSNPPTGSGSASGSSTNPFLPTSQAAQILTTY TNEGGVQEHLNILPHLTEEAYLSANPQFRPAKALHTLTSEGDTAGILELLADVDADED ITLSLPQLLCWQDLLNESKTAMHLALENEQEEVFWLLLWLESGVHTSVFPEQVVQSCE GVGLPRRGTVPQEEDVRFIKDGKGRTAGDLCRELGGAWGSYAEMLGMI QC762_402340 MNVLKIQRKFPQFQQPEIFSLADAFRKLDVDDKGYIDEATAIKA TQTSERKQYDVVRQALKEVELDSARRVELEDYVGLIAKLRDAPSNSQGLSAAAAASPA AVISQRTGGGHSSKGSISGTQGKIFVQGSNSNITHTINEDERTEFTRHINAVLAGDPD IGNRLPFPTDTFEMFDECKDGLVLAKLINDSVPDTIDERVLNRPKGAKKLNAFQMTEN NNIVIESAKGIGCSVVNIGAGDIAEVREHLILGLIWQVIRRGLLGKIDIKLHPELYRL LDEDETLEQFLRLPPEQILLRWFNYHLKAANWPNRVTNFSSDVKNGENYTVLLAQIGS EYGCTRAPLQTRDLHQRAEEVLQNADLLGCRKFLSPSSLVAGNPKLNLAFVANLFNTH PCLDPITEEEKLEVEDFDAEGEREARVFTLWLNSLDVQPAVQSFFDDLRDGTILLQAY DKVINGSVNWRHVNKLPAHGGEMSRFKAVENTNYAIELGKQNGFSLVGIQGADITDGQ RTLTLGLVWQLMRKDITVTLKGLAQRLGKREITDSEMVRWANDMVRKGGRTGTIRSFK DPNIGSGVFLLDVLNGMKSSYVDYDLVTPGRNDEEAYLNAKLSISIARKMGATIWLVP EDICQVRSRLVTTFIGSLMATHEKMQ QC762_402350 MRDRPRRSSFAPPKLESTVVLDLWNTLSLGHLFNPLTFFFLSAH TRGQGRDEGRNRKLVVTMAADNSNVKERFALIKENLAEFLNEEIIKKVVDEGGHPKIY WGTATTGRPHCGYLVPAIKMAQFLAAGCELTVLLADIHGFLDNLKAPLELVMHRAEFY RYTISTLLGAVGVSTEKLKIVLGSSYQKSAEYVMDVYKMASIISEHDAKKAGAEIVKQ TDNAPLSGLLYPILQVLDEQYLDVDAQFGGVDQRKLFIAAKEWLPKLGYKERAHLMNP MVPGLHGGKMSSSDPDSKIDLLDSPETVAKKIKKAHAAPQVTEDNGLLAFIEYVLLPA SGLRGKKEFKVERERDGLETLVYEDIEKIREDYKNDILTPQLIKPAITRDLNALLAPI QEAFKNNKEWQEIAEKAYPPPPKKEKKVKNKGTRYPGGGKAEEKALPVRTNGDAPAEA TEAAPSAQ QC762_402360 MAVLANGEDNGAMDSTVAFNGFHHGRNSGSEAARPGISSIESST TTSEEEFGADLSLSRQKTVAAQSCRDDYQPSTPPSSFSQPTPSTPPLTPKSTTPVVKS EQNERKHHHLHHHKQFTTPIFTPSLTTFDRHNPLATSSPFFGFYTLFWMSVFLFVVKI GADNWREYGNVLGTHEILSTMFAPGKEVVVLGLADGVMCGLTGVGLVIQRGVVLRRGV NWDGSGWVIQHVWQTGFMAGVVGFTLWRGWPWTHTVFFVMHGVVMVMKMHSFGFYNGY LSGLWKRREGLKGKVERLEKGVITEGVASSVEKADNTATTTTRRRHGEGEETDTLKEV NMLKSEIEALTEELKGKATDPSRAYPNNLTVWNHYEYIVFPTVVYELEYPRSNKINVY YALEKLVACFGIIFVMIMISQAFIYPVVMQTVEMKAQNMPLAERFKQFPWMLLDLIFP FMMEYLLVWYLIWETILNFLAEITYFADRSFYDAWWNCVSWDQFARDWNRPVHNFLLR HVYHSSISAFKVNRHTATLITFGLSACVHELVMWCIFKKLRGYLLVLQMCQLPLVRLS RTRWLKNRATLGNMIFWLGIFTGPSVLCSLYLIL QC762_402370 MSRNGTTLYVTGFSHGTRARDLAYEFERYGRLVRCDIPAPRSAS SRLFAFVEYEDRRDADDAYHDMHNKRIGRDDILKIEWARTPPSASWRFDRNERDGRPR RSSPRRRSPSPRRSTRDYSPRKDDRRERDRDRDYDRDRRDTRDRSRSPDRERDRDVKE DRDREDRDRRENGTNGDDRKALDSPERAAHDDLDIAE QC762_402380 MSAQQSHTPQNATAETQTETNTPTRSTTVATMAPPPPDQLGPTT YVRSFISQPVTAAFMAGGVAGAVSRTVVSPLERLKILFQVQSAGRDAYKLSVGKALMK MWKEEGWRGFMRGNGTNCIRIVPYSAVQFGSYNFYKRRFFERHPDDSLTPLSRLTCGG IAGITSVTVTYPLDIVRTRLSIQSASFAELGERPKKLPGMWQTMAVMYKTEGGFPALY RGIVPTVAGVAPYVGLNFMVYEYVRQYLTLEGEQNPSHVRKLAAGAISGAVAQTCTYP L QC762_402390 MGIDLRKHHERSTHRKAPKSDNVYLKLLVKLYRFLARRTDAPFN KVVLRRLFMSRINRPPVSLSRIAANLKNGNDKKTIVVVGTVTDDNRLLTVPKTTIAAL RFTATARARITAAGGQAITLDQLALEKPTGANTLLLRGPKNSREAFKHFGFGPHKHKK PYVASKGRKFERARGRRRSRGFKV QC762_402400 MPYQKASENGWRQSRFYEPDRDVPDKPWLMLAVARLGPNQQEPH YPLAAFTADCTSGTFLTSCAKALRYFSDPLNYLGTRAELSLAYDFYNQPGPPWPNEGS SQAGHPEFPFIATCLAIAVTREQTATNQNIGHWPLASVYHHFERALHRHMLVIDVSDP AKVRYGIYLHPSRLNRQALWPDGSPSSRPLSVVDFITACAFVNERLYGGSVDEFTDGF KKASAITSRWKVMDVPTLHFGWPFKSSQKPLPPPSLRDQVFRTLVRGAAEMEDVDISV FEHVRAIPNFQNELRRYLIKHSANLGDHQPIAQLIALTVEGQEQLDLTPFNNLSAHSI SIVLGNRLSDTPIRAISLCTDTLKSSPSEVLEVLARFPTIQNLYFAKHPRQGDQLRST ELFVEMLKMPSSMTKKHILVADFLSTPLRSARHYGPIPIPSYQIPVDIFPVQHMFVRH QTYGLGRERFWPNYFPMGNLMLQPERFAAGFIQYILRSVQPGSTGVEASEKLFDFCSA PPALNGMNNDYQISQIPLESSAVPLRPGTSRRGEHVGDCWPLVRTLEPNSWIVLVSLH HNRITRDLESWTTRAHHATNWARYAFARMKPRVEVARPPVQLPALDEIEVVGLREFLS ITSPETDLDLVDVRLKELEEKAAIPRQQPLPSGVKRLSVMEREEAVGILRDFLNNVEM VKKNLWAFMKENDGELSPPGLWQKNMGLCVVDRR QC762_0063910 MAPAEIGPHITDTYRLRLVTAGHFRPQRASSPQQIHHITWPKNI RISTNHRSTRLTLIMPNPDDGSPSVDSPSPAPVPNDNATTTTTTIPRVASPKGPRRRA PNRTVDGGPPACVKCHGFKMRCIRQPDQKDCNRCINAKIECVPREPGSVGRPRLPRPP GWKRSHYKKGRRLGHQLVQSTSQAAEDLDPESSSPSNSTEATPPEPTSPAKSSAPARY GGPIIGLAGYNALLPNFDDLPHDSPAAAANARGSIYTESTFRAPQQPQPPLHPLFRST QTPPEPQPSPQPRQQQPTVYAPADQDPIEQLTRLQLQIYQHHTLAKKNEPSIRKDGNK PTGTEPLDTSWINPLFQSASLFHTILSSFAASPPDTATFLMIISIYTRLLQTFDLLVD CIQRYIWWHGICGSPMVQDDKDFISGLSDSVVVTIGGVEVPRSVKGSKVALIDTTRAA HYLTFGLMEKIGGVLDGWVGGEDMVVKKGAMEAVGRLEEKVRENFVRTRWRKMPERGI NRLKKGRVRVAKQHLKVLLVPGTGLGRRLEGIGLAAEAVITNSRAVGSTIRLTAGLDP DEGISQLETGVGRGGTAEAGTVDVAPVTPLLAETLDTAAASVDDGVVGHAGGLEALAK GVDVSALVLARVVLGVGGGGELAGGQVPGVPAGHVGGDATELLGAAGGLVGFGELLGA GLEVGVPAKPAAVAGVDVLDDVGKVERLERVGDTVAVAGGRVAAGLNVGVGDQVGERI GLNNERDGGVGVGLKDGGDALTQLLVPVELANLQLTVGSLGSAITAGKIVDDDTEDLV ARNLGESGLEPVNIGDGVEPDEGTGVGNLAGGSLEGGVGKVGDGELLDLLAVEVVGVE GVLLEDVGLGVEGDGRGATSLSLGGLVLGGRGRRGNG QC762_0063920 MKITSSAAALALVASAVAAPSPTTQDKPTKRQAGCASAVSLNAQ TNVFKQYTLHANNFYRKEIEELAIPNLSDPSLEAAARKVADTGSFVWLDTIANVDRLE PALAEVPCNEILGVVVYDLPGRDCAAKASNGELKVGELNRYKTEFIDRIASILKAHPN TAVALVIEPDSLPNLVTNSDVQACRNSAAGYRDGVAYALKTLNLPNVVQYIDAGHGGW LGWDANLKPGAEELAKAYKAAGSPKQFRGIATNVAGWNAWDLSPGEFSSASDAKYNSC QNERTYVNTFGQRLKAAGMPNHAIVDTGRSGVQGLREEWGNWCNVDGAGFGRPPSADT GLELADAFVWVKPGGESDGTSDSSAVRYDSFCGKPDAFQPSPEAGAWHQEYFEMLLRN SNPSLL QC762_402430 MSLSCLRAPLRLAAAVRPVNRTLLSLSPSPSPSPSSSFFRPTFT TPSPNNNPQSRPSSSSSQWKQRQSRDRFALAARVQGLRSRAAFKLLEMQEKYSLFRPR RNQIVVDLGYAPGSWSQVALDATAPDGKVIGIDLLPAQPPKGVSTIQGNFLSKAVQEM CKNFVLEADLKRRKMERRREWAAKKSIEIEEGEVEERGSYIDLERRSAQEEEELEQEK GLNMRVVDVVLSDMSEPWPQTQGFKINSISNPYLSLHRLMNTSGISLRDHAGSMELCK AALTFASETLKPDGHFVCKYYEGSGDDELKMVLKKMFAKVHREKPMSSRKESREAFYV ALRRREDVTFEHVDGMYKILQNGEVVCGIV QC762_402440 MPSENASSHHVIMDSEKRTQKLHELDLQYHQSAHQLELVIREED ARRAKVRQLLLQDEASTLKDQITQRDARIKDLVNQADDVRQQLDSLHERCRRQEKVMQ AQNREISNLKEEITAFSHLSVDSSKVLTEKLALSRELSLLKPEVEHLKSQLAHQKDVL AEKLALERQLNTLEVELANEKRAAQKAALLQKQQNENQNQEEEETLRAQIRELEKQLA KEKKTSQKSAEVEEEIQRLRGQLAALEKTLATEKKQAAQKENAMAEVQEELEKLRLNV QELEKEKKAALKKVAKAEKTTDGAADGEEVEQLKEELTSLKKALAQEQKETEALRKEN EQAVEDAEEQKNALLNRVEKLKNKYKDTVEELKKCKTELERANERAEKATAKVPAIPA GLGSTTTVPLKKPGAAKANAKKKRTVDELMVDEKVLMTPGGMDDRPKRPLKKRGFDTS VLVEKSTFSITPFLNKTVGSIGEVEGTPTVATVGVKTAAVAAPAEAMEVEEEEEQQPV AEEAGEPEGEVEEAAPVVTKGKKMVEKKTRGRPKGSTNAPKPLEPSSPGKANEDAPVG RAKKMTAFLPSLEEADVEGEAVVSKPAAADAAPVRQRSVSVEPEKKKKRKLGGAAPPT IFEEDEDEKVVETAKPVAAVGGVRKRPPVSRMNKGPVGKVIGIGGSGKAFSPLKRDKR GVGASFLA QC762_402450 MRWLPAFLTASLAFAGGSLAAKKTTEERFNSFHAKSIASSSAAP IRLSDASYRELTGQPRDYTTAVVLTAMDSRFNCQLCREFQPEWDLLARSWVKGDKAGE SRVLFGTLDFADGREIFMSLGLQTAPVLLLFPPTVGPHAVANSEPLRYDFSNGPQIAE VVHTWIARHLPDRPHPPVKRPINWMRWISTTVLTLGSLTASYVAWPYIVPVLQSRNIW AAVTLISILLFTSGHMYNHIRKVPYVASDGKGGISYFASGFQSQYGLETQIVAALYGL LSLAGISLAIKVPRIGDSKTQGVAVLAWGGVFFFTYAFLLSVFRGKNPGYPFRLPPFV QC762_402470 MQHTIKSSSFILSHTHAKGLAIPRVPVDMAI QC762_402480 MNRGATSITITDPFVKYTSLIATGAYSPDAAQYRLAHHLQKIYT RLKDYKPTHEYRSRLGQIARAIDPVTTSAHGGDDIASPTHPIRRNPLFSKLFQRDDSK DSLALTRTLTDQQAALHVNSPKGLFLSGEVGTGKSMLLDLLAEGLPTKGKKRWHYNTF MLHAFSRLEEFRKSHSKVLSTHGADYSLLWIAKEMVEQSPILFLDEFQLPDRAASKIM NNLFIAFFQLGGVLIASSNRMPEELEKATGGYYSPPTTGGLVERVIGYGKSQYGELFG KTSDFASFLEVLKARCDFWHMEGTRDWRRRESHGSATLPVAESSGTFHAESWSARTAV STAEHGHEEVESNSSARAPAMYFLPSQNRETWQTIYSDTADWEPYSHIVYGRKVTAPR QRHGVACWSFDELVRSLGPADYISLASNYHTFIIDKIPVLDFSMKNEARRFITFLDAL YESRCKLIVHAEVGPDDLFFPEMRASGRTTGGTGTAPAQVEVVDDATYSETIAEVFQD QMSPFRPNISTYADSRNAKYDPDQDSDFGKEQESKIDFTKTGGFTGEDERFAYKRATS RLWELCSGQWHAREGDWWRPLAREARHWEGKEVSKPMPSPLINSPKSEITMGEPVELD ETAGLERHRVQSLKEGERHTI QC762_402490 MFAPTLQEGGPAKGTRSSRRRQRPLSGENSAQQPKAKRQRLPLS ETTFANPDAAATAAPENFEVKSDQLDLLGTKSDGIENVGVPRRELSVRFKKPKAGERP NKGDGSVVLTQNNAYTVSKLPALPDRLRADAQSKTYLPVLLGEGTPRGLVMLTPLKDR QQGAIFSSSGYALTLTHTHAFVWPYASTVSSPETFVFTLPYPSKHVNDPLPLGSLVPP SAASDEPGLVVVMPVSGKIAYWESIASAATLDFIRQQRNGVEDTIPGMFSGEHVLQIV NAETSAFVLVLSSGRLAYMSVRDTHGRPGISVQFLRDGLSNNLVGFLGNIRNAFSGSS SRTDITAARATHGSNVGERIIAAASTKGRLCFWKIHRGGQHELLIDFPLRDALSEAVR ATDKKAQEFTPDSFEVLDFTFVPRGVEDKYISASRLNKYDLEQGIDTVQHGLLLVSHS DRRQSRYAIVEVTIEEGDYRLGIVRPINSYTTPVRPVTAEKPRLHLPRPALVAFVVFD RAVVVASMGLLPESPDVQLLDDAHALPPTFEDVIDFRDEDSLQVVGSGSEEPSSNDPG QDGQRIHRFRTKNPAVVLLLQGVGTVRVAVTDVDRFTTNQPPQITAKSKLEQAVFFGV KGDNPLVFRGKRQLPFSAQDIGTAAILLSSEILGSKTPFISNISASLENNLRLRISYL DGLISYLGELAIELDAQTRWKLLYNAEKLAVATYVWQKHEDFLAQRPQGERTVVTEIV AWIHESQKTDLNMAVGEVDPVRHWFIHDVWRFDIFLAWTYQVIKYIYTKGVVNSDDEI TRLAWEAATVENGALAEARKFRLSRANDYGVSGTEVPGGTGFPEPWSATHLIIHNHKR LVEFCFKWLDSHQPAQESNPANKMLLESTRGLLPPLISQYLQSLIDQASWATESEVSE IQERGKLYLKAYAEEVYDKVTRLKDFELWEEAIQLAKEYKGFDALAEVVVQQILLMEQ KAASAVPQNAENMQFQVEEKKKRMGRLFDDYGANFAFRAYEVLLENSGVQAVLDFPYD RHGFATLFLRAKPELAKISWINDVQREHDIDHAAETLLNLGLSRKQQIWNKKIELSLG KLAFMAEEAEQSMNGDHASSASTDLLAKTSINLEKIEQELEIIKIQDTLYKTILPIIK EGVDESAELELLLKEQAVLIPKRQKALHRIFEDGMSRLLKHEALHPATLIDLLTLADL GEQYEHVIPDPFCLALKVAKYGLKGEERAKAVRLIWRRCFVRDDWKAVNQTKNKGDEA QLTLLGNTATYHALFAVYEQRLSDDKFSPFVKPSECLGVYTEEVDRRFGNLDEQAQQK ILENMKWEDDVLRGYVEKARADAWFLTTSEYAEKTVTAAFSELTAAGRSSVNGDGGSE EAAILKKFAEKAAAGKDGGKNGGGGLFAV QC762_0064010 MAISSSGHVESPEVVTGHEEEDWGALPLWDFDRARLAPCRGRRL RWPAAALPWHWPSPSVSFTPRLCYTYNHCRVAPDR QC762_402500 MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSLKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPNSKTSNVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTPIKELCEGFPEQLVTYLTYVRNLGFEDTPDYDYLR ELFTTALKEAGIPEDGEYDWMKVGKPDRKGDWDRPGALHNPNARPGASAMEIHGNSRG PTTHHQSDPRAPQLSMSHLNAGKPLPPQPNQRQSKQGRPNAPALGAQRPAVGGYNMPA ATPTGSTQAQFQTSTQNLPQRPMAHSPAIPAPQNNAQPQPTGFQKFMKTLCCG QC762_402510 MRAILPLLSLASMAQALYFYIDVTTPRCFFEELPKDTLVVGHYV AEEWDDQRHHWAKHEGISIYISVDEIFDNDHRVVSQRGGPSGKFTFTAAEAGDHKICF TPSSSSGRSSWLSMAQPNGGIRLTLDMAIGETSAIDSSDKSKIADLATRVKDLNARLN DIRREQVFQREREAEFRDQSESTNARVVRWILIQLFVLAGTCAWQLSHLRSFFIKQKL T QC762_402520 MTSRDVHDVLNLPSDHSGAPRPSKKQKTSAPRPNLKGLAREVQN LGGDNPIAIVPEVNFFKKRRFATRKPVAKWELKAFTNSARGDDGALVLRHWKRRTDDG APPVEGAQDGDGQQQRGGGEGTPASEKREEKPEDSAFAKYNVKVVVPHYTEDQYHSNL QNNDWTKEETDYLLELAKDFDLRWTLIWDRYDFTPKPPGGGGDAANGEDTHTAVVPAP KQRSMEDLKARYYEVAAKMMAVQKPAQYMTRPEFELYEMMQNFDPEQERKRKQFALNT LSRSKDEAREEESLLLEVKRILARTERFNEERRELYNRLDYPATDSDINSFKTSAGLQ NLLQTLMSTDKNKKRKSIMPGEGVSPSNNSGVPNSAVSETNPANRRESIAASATSNNH HHHARRDSDARTPATPADPTPTSAAAAAAAANKKKGGGAQQQPERRKLTQQEEQVYGV SYHERLGSGPTFRYEKINKLYSHKSGQQQLRITNALSELDLPPRLVMPTAAVTAQFEV LWGAVTALVDLRKMSDRLDGEIKIEEAKKAERDRAKGIAAEKEGEKEKGEGGAGDGAA AAAAAAAGEGEKAGEKTGEGGQTKEDGEKKRPGSSGSLTATGHKRSASVLSAASDKSS KRQKK QC762_402530 MSTFTALNGGSPRPAEAVNGTADAERGPGQSAPADPRPAAAESS TTSQRHDRLPFPGQSSLSSASGQEGSHKRKRSNSESPTRERQPSPASRRERSERTDQA EAIERAGRSERGDRPELYGAQRVRSETRDGYSTPRRESYRGYGDERRDERREDADHWH SREAREERNSSYEGPYSAGPVSAVSDDQPGDRHRRATSQGDSADYGDQSPDGDDRGMY SGQYTPEQRRDGVIQSDPKKRKRNFSNRTKTGCLTCRKRKKKCDETKPECNNCIRGGF VCAGYPPQRGTWQKPEAKPAQVTIESKDPNYVPPGAYGMPQQPPYPREPLPGPPSKRD SIAYNRVQPTLRITPPQGRPLQSDDDRLTASTLPSSVLSPDNKLSALSSAYTSSASAM FPTPISAVTNSALSDRAPKEYQRVPPLHDLTRTDPEQQQQQQQQQQQQQQSQQSQPPP SASAPPPPPPPPPQSTTVAHPPYSFHTGRTATPPTAQAAPPAPPPSSSVGGSSGGPQA TAQLALSHTQFPSDRPRREKEEMLNGRQYYPFDKELVLERERCNAACWRFNNSTNPNI GVSPAERARLFRDILHPREGVHLSPTLMSPVTHTGRVGDNTAVEAPFNCDYGYNIQIG NNVSIGRNCLINDVCEVRIGSNVIISPNVCIYTGTCSTDPRRRAGNSGTQYGKPVVIE DDVWIAANVVILPGVRIGRGSTVGAGSVVTRDVATYSIYMGLKAGHRRGIAFV QC762_402540 MNGVIEALHIYDEHNSPILSHTYTSRPLSPSYLLPFYLSHPTPR PNLIYLPQTNPPTLVFSLAHANLLFLITTSSEVEPLLVLEFLHRIVDSFEEFLGAPLL AHKIESNYDVVAQLLTEMCDAGTVNTTEPNALRDMVEVEGWVDKLLGGLNLSAGKNFA GGLGGGMGSSAASSSSLISQNTPALPWRRANVRHTSNELYADVVETLSVTLAPSGRPL AAFANGTIAFTTKVSGVPDIVVTLSGPSGKHNLKGIVDLPVFHPCVRLAKWREQPGVL SFVPPDGRFTLAGYEVDLLPFDVDGKPPTGNVKLPVSLEMKTGLGLTGSDFEVRVQLN KVFGSSGSVQGGGMGARTGLQGRGSGGGSGPGGGFGIPNAGTPASPSLHDLVITVPLP ADVRNVPEIRPSKGDATYNPGERVLEWTISNKELAQGMSAFVLRCTVVGQQVTEEGEE GDPTGFGFGGGGGDYNYDEPYQDIAVTSTTKEKGVDKAWEAERDEKKQAQNKILMPSS ALVSFAVKGWLPSGIKVESIIIDPRKSRGMGEHMKPYKGVKYLTVSKGGVEIRC QC762_402545 MAKDDIPRISHLDRPEKLEDLLKQDRDDDCLSCRIVGGGAFLGL AGYSYLSGQQQLQAQKAAILASKSRFGMRSRSAAITGLSLGLAYLGLYRLFK QC762_402550 MLASARTGASLALRARPTMTQLVPHRAAAAISSSARKDAGAVQP HGGYGLSKLHERKEVPLPSQEGTKGFVQYALTTLDIITNWGRQSSLWPMTFGLACCAV EMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPEPRWVIS MGSCANGGGYYHYSYSVVRGCDRVVPVDVYVPGCPPTSEALMYGIFQLQRKMRNTKIT RMWYRK QC762_402560 MAGSTGSNSDLTSTSHTLTNLPVMSQNGVAALGPSSAIALFLDS TAPANRHVFLQPPPLLPNGSLQLAKETLDSLAGPVSDQQQQRLREAGKKRKRDGSYGQ SAILKIRKLHIDGFETDQVWQQAKKIISSALQESTSVLEELEENNEIEQEGAGTKALD FGKDGFEVGSDDEDDASASSDVDEGSEADTEDSDEETSSIGEEGESMFDLEAEDDDED EDDDEDEEDEEMGEEEEGAEVEEDGEDDGDEEDSEAEAADFVEDPNGLNDGFFSIDEF NKQTQWFEDQDARADPNTDYASDEEAVDWHGDPFAVNKFGKKGAKDEDSDTDMDDMDM DDDEDDEGPALSKKELADLAKFSDSEDDEGDDDQPAGADDMDMDLTANDIYYKDFFAP PAKKKTGNQRKPYMPKKPFQPTEEDMERAENDVKRDLFDDLSEFSAEEDALSDASAGN PKSRRSAHERRRAKIADEIRKLESELVAKRAWTLSGEATAQARPINSLLEEDLDFEHA GKPVPVMTEDVSESIEELIKRRILANEFDEVLRRRPDDFGNPNSARRGLVDIEDTKGK QSLAEIYEEQHIKESNPDVYVSAADDKTRKDEEEIKQMWKDVSARLDALSSWHYKPKP VAPTLTVVADVATVAMEDAQPTTAQGVAGGESMIAPQEVYAPGKDSAEKGEVVAKSGL PVAKQEMSREEKARRRRREKERIRKAGGLDANKPVNKKAEAQRETMNDLKKGGVKVIN RKGEVVGLDGKKIVEHKGPHTSGAFKL QC762_402570 MKYGEQFEQESVPQWSLYNIDYNSLKHHIKTHTTKDQATAIAIP GHQDTALSKFEESFYGELCRQHDRVDLFVSSKADEISRRLQHSSNQIHRLIVHCATSG RSTISIKRQRRFVRYEQELLQCGDDIRSLRRFVDAQIVAFRKILKKYRKWTGSSTLGT RFRDNVLSHPKSFTRCDFSHLQARYDDLLVTLRAASPAYMSGIGSPTPEPTVDPRDRQ SSPSEATVVSGSQVVYWNEYDCGSEAGDNGRRDEDYAIYIDPNEDMSFPGMKALGNLF SNPVKKLNSAWMSIRSVRSRESPLSDDIERGPLLPTDSTVSTYGSTRAKPFSTEPSYF TIPPGSRGGQSDTDVEEDANYSNRHSRRGSYGYASSEDQFPTGYRPHYAALPSINDQR IEQYRENMFFWITWGCYAVAYVLMGIATVLITAGRNKKRLEVDAGVTLGIMTSLGLAC AAICMTVARQERMGWVGLVATWIAFAGICAANGVLLVLVVGNAPL QC762_402575 MSDEDRPSSSSSKRASLLRLKSKVKEVKQVVMSSQLPQTHYKKE VTRPTRLTGLFPNTTNPIVFSAPMLGTANGRLAAEVSKAGGFGFIPAGYNFNPESGPD HLGQLGEELKIARKVLDLEQATLTAVPVGVGFILCHESARTHFIERAIPVLQEYSPQA VWLFAPRVEDVEGGVVRGIIDVLHDNGFVVFYQVGTVKAVKEAVRDGADVVVAQGTDA GGHQFARGAGTISFLREVVEVVKGEKERTGREVEVVGAGGVVDGRGVVAALALGADAV VMGTRFILAEEATTPKFKQELIAKTTDGASSTFKHTVMDDIQGTTIWPKIYDGRAIVG GSVEDHLNGVPVEDNIRLFKEAAEKGETDRTITWAGSGVGLVSKIQPAGEIVKEVREE ALQRIKELQTLF QC762_402580 MALSPLRLLLGLATLALAGLLSALLYRHGPVQLAEMVVYQLPVD VVYTRDKYTSSLTTFLAWVTATEEEEIIHCYDHPITTSFAPDRTEEEQGNCLGVDPST GLITRVFSSKGEENKRKGYVLPGLWDGHGHLLQYGEFLHGVDLFGARSKEEVKARIKK YLESRKGEGGVGSRGNWVRGVGWDQGLLREGMPTADWLSDDEELRDKFFMLDRVDVHC TWVSQAVLDLIDIDKLPGGVPGGEIVREPGMGVFCDNAMDIVTGLWPKPNAAQKKKFV GSAMRELNKVGLVGMHDAGVLPRDIDLYEEMVKDKEEWTVRVYAMVECPERNTFCPEV ARKVDLEGGWLRVGSVKLFADGALGSWGSAMIDPYSDRPSTSGSLLVNASTLHSLALS WAKAKYQVNIHAIGDLANLHAIDSLATALDDLEVCPYGIPPKMCQQISCRFRIEHAQI IHPDDQARMRELGIIPSIQPTHATSDMAYAEERLGKERIAKEAYRMRSMWDVGPPVLG SDFPVEPPNPFEGVYAAVTRKSPHTGIGPPGWEGGWYKEQEGLSVRQAFDGFTRGPAH AAFWEKKAGVIREGGFADWVVMDEDVLKVGEEEIRFLKVRETWVAGKKVYSRDGEGEP AVEKQTGAKEDL QC762_402590 MSGSQAGPSAQGNNLRVTIIAADGLYKRDVFRFPDPFAVATING EQTKTTQVSKRTLNPYWNESFDFKVNEDSILAVQVFDQKKFKKKDQGFLGVINIRVGD VIELSPESDDQMLTRDLKKSTDNLVVHGKLIINLSTNMTAPARAQQQQALLPSAPSSS RPSLLNPATPNLSNGEASSERPSSAMSRPNGGSSAAGQLAIPHRPASLASNPSAATPA SNGPVTNGAVAQARQTNSTLSPFEDSQGRLPAGWERREDNLGRTYYVDHNTRTTSWNR PTGATGAAENRVAEANTQVERQRHQNRTLPEDRTGANSPTLQQQQAQQAAASQANATT MMNTGATTAGSGELPPGWEQRWTPEGRAYFVDHNTRTTTWVDPRRQQYIRMYGGNNDN GRIQQQPVSQLGPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKR DFRRKLIYFRSQPAMRILSGQCHIKVRRSHIFEDSFAEISRQSATDLKKRLMIKFDGE DGLDYGGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIG RVVGLAIFHRRFLDAFFIGALYKMVLGKAVVLADMEGVDADFHRSLQWILDNDITDAG LEMTFSTEDERFGVIAVEDLKPNGRNIDVTEENKKEYVDLMVKWRIEKRIAEQFQAFK EGFQELIPHDLINVFDERELELLIGGIAEIDVDDWKKHTDYRGYTESDEVIQFFWQTV RSWDGEQKSRLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEKAGEITNLPKAHTCFNR LDLPPYKDLAMLQNKLTIAVEETMGFGQE QC762_402600 MLTATISGPFRHPNRTSQPRNPSPPDQISQLLLSTTQSRKAKMS SPKPEDKPHQGEENRVHGEGEDGNDEEEISAMKRRVAEMEAEAAKLREMQASMDQERQ GLQDDKEDIDNRSVFVGNVDYSTSPEELQSHFGDCGSINRVTILLDKFTGQPKGYAYV EFSEPNMVAQALVLNDSLFKGRNIKVEPKRTNLPGMSRGRGRGGYRGGGRGGFGGFGR GGGGFGRGSGGGFYGGGYRGGYRGRGRGGIAPY QC762_0064150 MDGNALRGSFVLGPVEGIWRLEQRPYQSSNERHYLRWRGEDEQG GRYDEEGDGSYIKFWGDGLVEGGIRFYGRMIFFDGRTVSGRNETRSDVSAYDMRDEWA ERAL QC762_0064160 MGLYEALNGGKLTVPKTVLKLEADLKKEWTTRDREAKQALKNQT TTTTKGGTKRKANDDNAHAGPSSATATKKARTIATPKPKAAPKPPAAEPAPAKKQTAR RGGTSASRADSHAVSSRPSPPPP QC762_402630 MSIELDKIFAASPATTRGQPTQLSADPKGEQIAFASGKSIFLRS LTNPSSSKQYTSHTTTTTVAKFSPSGFYVASGDISGKIRVWDSVEAVNTKGEYPIISG RITDIAWDGDSQRVIAVGDGRERFGHCITADSGNSVGEVSGHSKVINAVAIRQQRPLR AATVSDDGSMCFLHGAPFKFNSKATGLHKGFVQGTEFSPDGSWLVTVGSDKRIQIYDG KTGEPLKAVGEGVHTGSILGVSWNKDSKRFVTASADKTVRVWDVQSGENVATWKIGEE GSSNPQDQQVGVVWTRGPQEIIVSLSLSGDLNYFTVGSSTPQAVIEGHNKSVTALSAT SNRNGTKVTTGSFDGKVVAWDIGTGVGKAPEGEAHSNQVVQFASAGGRVYSVGWDDTL RIIDEATNLFLASPVKLSSQPKGISASSDGTIAVALNSSIAIYDSDGKLLGETPVSYT PTTIAISGSYVAVGADNNTVQVYNLGSGGNLSPTEKLTNSTTAISTLSFSPEGKYLAA GNSSGKIVVYTTGSWEVATDRWSSHTARVLSIAWNKAGTHAVSGGLDTNVFVWSLAKP GSRVKAANAHKDGVYGVAFVEGDEKVVSSGGDAAVKVWNLKNLQ QC762_402635 MTTSTSRAATPPSPAYLVADTLNETGDSHHVGSQQKPDPERVRN LSRSFDIDMMPDNDNGTLPAAITNKDATCPDSDRSQDNGHYLDLTSSSTSTSTPGSAP QMASTEESPVLSGRNCFVTIGSIASFLPLLEQVITGPFLTRLQVAGFKKLTVQCGPNL AWFETQLEALRSGELLADLQVECFSYAPVLKPYMLECRGEQGKSLAGCVIAHAGAGTI LEVRRYGAPLIVVPNPTLMDNHQLELAVEVQRQGWAVHGKIDNLPHAIDNVLQLIQKG RLDHLPPYRPAPFPVPEAERFKLFDWVVLTCYPEEFERKKHLLQLESLDKRQETREKA GTGAGSPTLDAAVEPADAARMQLD QC762_402640 MASAANSLRLCLRASRQLSVRPAASPLLRRALTTTAPQCARPGR KKGWKDPSNNFEFPEPYEGKVFQDLGEQLRYSLENDELAEADRAAVQQALASWENKPN EEKMEEQQIVKEIDKEFAPLRAPVRARRNSFWHEEEQDTDLITDEVGEDDFEENDMMA MGHAKLEEHREYREYARIAVWEMPLLSKFAKKFVPPKNNEVLRFRYTTYMGEFHPADR KVVVEFDPRDLFELTEVQRDKLRKLAGARYNPERGIIKMSCEKFELPAQNKRWLGDTI AKLITAAKDPTDTFEDIPLDTRHHKFKSIPKYPKEWYMSNQRRQQLLAQRRESLKLDH NKRQNSQLIDGVKVIQQGALSTLSASKEKEPVAVRVKPL QC762_402650 MVTKAPEFQATALEAIPKIASLARGQFRTHKTKNVEWRKTQLRK LYWALEDFKPQLVEALKSDLGKSSFEALLTEVDWVKKDCMWMLDHLDSFVKDQKLGSP DVPATYSIMNFRVKKEPLGTVLIIGPYNYPIQLLLCPLVGAIAAGCTAVLKPSELTPA CAMWTKELIEKRLDSGSFSVVNGAIPETNALMAEKWDKIFFTGSAQVGSIIAQKAAQT LTPVVLELGGKNPAFITKNCGNLALAARRLLWGKTQNAGQVCMSQNYVLIDKDLVPTF IEFLKVAYKDMFPNGAKASPDLSRIVNKRHFHRIKKMLDDTQGKIVMGGELNESELYI EPTAVLVNSVNDSMMQEESFGPIFSIYPVNTLDEALNIANLIHKTPLSLFTFGNKAEN NRILNEMTSGGATINDGFFHGAVNTVPFGGVGDSGWGAYRGKASFDSFTHFRTIAETP GWAEKLIRVRYMPYDFKALAFLNRLTEKSPNFDRSGKVVKGFGYWIKFLFGLGGKSAK GAFLRWLVVLVAHYMYTNRS QC762_402660 MPITILPPKPSHPPPSPHDISDADSDLSSDDGGGGAHLDFASLG LPSHQKSTHPSNNPLFTNLVTPGTLITPDPQWMRGHGTYIPPSTTNITSSLAGITTRT NKLISVSPLRARYTPEVGDLVVGRILEVQAKRWRVDINSIQLASLPLSAINLPGGILR KRTETDELQIRTFFAEGDLVVAEVQQLFQDGGAVLHTRSLKYGKLRNGLFVALSGQGG GSGVVRSRRQVWTMEGQNGGGKMDVILGVNGYVWISKHVEQVAKELEQKHMGITNMEE NVSAGVYSSQNDFIDSATMREIARLRNVVTALVENGCRVDEDMVIRGYAEACEMAMLG ETGVEDIYLGGQRGEELTRALRN QC762_402670 MTLLLRGPCRLLAPHKHATCHPRAGAVCTSPPSSRFIALPQRRN ASSSSTDNNAQQQPPSPSPAPRRTRPKTALFFPGQGVQKVGMLAPWLEAFPTTAAPIV EEIDHIVGYRLSDVIANGPSKDLNLTPNAQPAIMATSILILRILEKEFGFKVDERVDF TLGHSLGEFAALVSSGYIEFEDSLHMVQKRAEAMADATRKAEEEYGGEYGMVAIVSEP PHMQQLIAAIEEFVGYGSEGGKSESAENRSPIEQVLIANVNSKNQIVLSGNIERIKTL VAHVRQFLGHDPRAVRLKSDSPFHSPIMKPAVGVMHRILNGRSRRVKGREGEDIVSWP GLVPCVSNVTARVFGSREEVKDLLARQCLETVQWWGSIKYLDQEEKVRRWVGIGPGKV GRNLVGKEVGMRGKDAVKGGGVWAITDPSEIEEVLRGLEETENLVDEEAQ QC762_402680 MSTTLPSIACLGVIGRNNNPLHISIFNSYDPSTNSFRPVRTPLQ FSLLLSSTLDIFELRSRAHAAQGTGLTGDFGLLHAVDEKLAAYGFETNTGVKFVVVVD MRGRRASTAAAGVGEDGKKSNAVGTTGVGLREGEMRVVFKAMQAAYIRLMQNPFYDPD EHSPLTGPGKKITSRRFGEEMRKIGEGWVPGVTSLSF QC762_402690 MLEKRFSIPMSKPISLPIHTSSNRMKMKIKHRQKRRITQITLIA GGILVFYCFVFLWRTPSPSSSSSSSSSLKPALSKQLSQRPPPPELLNNLSLDEDQCNA AFPGLTKEIDDAVAKGPFTMKRYSNNQGPLQGRIKDGQIYIIHAQRRKDLSQEMSNSR TASLHQLNRALLTSPSPLPNTLFTLNFQDTPFGTAWSYSRPASSPIFPPKSPSPTNTQ QQRLFLIPHFSFWSWPLPFIRSLPHAASLITSLESTLPFPSKIPKAVWRGTTWFNSVL SPHLRQNLLQTTRPHPEIFDVQKLEWTGKNRNATNALPIQDFCRYKYVIHTEGIAYSG RFQFLQMCQSVVLTPPILWMQHTTHLVRPVYSGELTGKRWETTERVKGAWGTGVDARE ANIVFVKPDWSDLEETVRWLEENPEVAEGIATRQRELFVGGGYFSKAAEACYWRALVT GWAKVVRPEGGEEAWEEGMPFEEFSLTNSFE QC762_402710 MSADSHELLDAFRAAALSVTKLYKTSAAAQTKSRADGYQDCLED LIAFLDKENIGLSAGDVGSKIRKWAMDRSETRDTTPPSIESDDEPEKPEPAASSPQAQ RTSPPAAQTSVDREEVQMRESATPVLATVPCPPSPIVEEVDFVVPTQDTFNFQSAHAY PHDEAMRLANLNLSDANQASNHSTSNRTTPRNTRRRDVRPGRTRRDLGQGAGHKRKMN LAEYFDLNGVDLGNGKDMFGGGKRTRHV QC762_402720 MAPRGRQSDNDTDASMQDAPESASHVVDEMEVDETPDYTDSDTN PNTTASSVAGEPVPDGRKKRSEANQLRRSIFGKKHDRLGESKEDDTIRRFRYLLGLTD LFRHFIEHNPDPKIREIMAEIDRQNEEAAKNKKGAGRQGGATSERRRRTEAEEDAELL KDEKHGGSAETVFRESPAFINGTMRDYQVAGLNWLISLHENGISGILADEMGLGKTLQ TISFLGYLRHIMGITGPHLITVPKSTLDNWKREFAKWTPEVNVLILQGAKEERHQLIN DRLVDEDFDVCITSYEMILREKAHLRKFAWEYIIIDEAHRIKNEESSLAQVIRMFNSR NRLLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSGQDRDQDTVVQQLHKVLR PFLLRRVKSDVEKSLLPKKEVNVYIGMSEMQVKWYKRILEKDIDAVNGAGGKRESKTR LLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLVFNSGKMIILDKLLKRMQAQDSRVL IFSQMSRLLDILEDYCVFRGYKYCRIDGGTAHEDRIAAIDEYNKPGSEKFIFLLTTRA GGLGINLTTADIVILYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFVTDNAIEEKVLE RAAQKLRLDQLVIQQGRAQIAAKAAANKDELLSMIQHGAGKIFETKSAFGELAEKGGE LDDDDIDRILNAGESRTKELNAKYEKLGIDDLQKFTSESAYEWNGEDFAARKKDVGLS WINPAKRERKEQIYSIDKYYKQALHTGGRTADTKPKAPRAPKQVAVHDYQFYPPRLRD LQDRETAYYRKEIGYKVPLPEGDDDNLSEREAERALDQQEIDNATPLTEEEQEEKQQL AQQGFGEWNRRDFQQFINGSGRYGRNNYDDIALEVDNKTPAEIKAYAKVFWQRYTEIA DYPKYLKVIEDGEDRMRKIEHQRKMLRKKMGQYRVPLQQLKINYSVSTTNKKVYTEEE DRFLLVLLDKYGVDTEGIYEKIRDEIRDSPLFRFDWFFLSRTPTELGRRCNTLLTTVV KEFEDVNTTTKTNGTNGKLKRELEDNEENDEDSILGLAPAKKKSKANGVKNKALDNVK SATASKANSTSPSRASSVGSTNSTPAATKSKAKGKKK QC762_402730 MRPILLSGHERALTQVKYNREGDLLFSTAKDQHICAWFAHNGER LGTYQGHQGAIWTVDVDPTSTIIASGSADNTIRLWEVKTGKLLKTWDFPTAVKRVEFN EDGTKLLGVTEKRMGHLGTIVVLDIKVDPEAEQSDEKVLTIVCEESKATVAGWSYLSK YIIAGHEDGSVSQYDGKTGDLIYNVNVHELGSPITDLQWNQDRTYFITASKDKSAKLI TAKELEVLKTYVADTPLNSAAITPKKEYVILGGGQAAMDVTTTSARQGKFEARFYHKI FEEEIGRVRGHFGPLNTVAADPTGKGYASGGEDGYVRVHQFDKGYFDFNYEVERERLN RLAAQGGK QC762_402740 MTLSRLAQRVPTPVLTGSCSTKARQRLISSRLRIESVDGHKLVS TYMPEFRRCSSAQPDDRHSAAPRSAPRQAQSPSPVKVAHAHAQRLRLGLGLARNHTTA LLHSASAQPNSFLAHRAFSSSSAAMVAQKIDGTAVAKRVREQLKAEIAEKKGINPRFE PCLKIIQATYVRMKLKAAEECGISCELIKFDESATEAEIVARVHALNNDPTVHGILVQ LPLPSHVSEYAVTSAVLSEKDVDGFGTYNIGELAKRGGNPYFIPCTPKGVMVLLEETG VNLKGKNAVVVGRSDIVGSPVSYLLKNADATVTVCHSRTKDLDQHLKNADVVVVAIGK AGFIKGEQLKEGAVVIDVGTNYIPDATKKSGQRLVGDVEFESASQVASHITPVPGGVG PMTVAMLLYNVVASATQWFDAEKQRKIVPLSLALKEPVPSDIAISRAQAPKHVTQIAK EIGISNSELEPYGAYKAKVDLTLLKRLDHRRNGRYVVVTGITPTPLGEGKSTTTMGLA QALGAHVGRLTFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNMHLTGDIHAITAA NNLLAAAIDTRMFHESTQKDSALYRRLVPVKNGKRQFSPVMFRRLKKLGIDKTNPDDL TEEEIGKFARLDIDPETITWRRVLDVNDRHLRGITIGTAATEKGHSRQTGFDISVASE CMAILALSTDLADMRERLGRMVVASSRSGDPVTADDLGAGGALTALMKDAIKPNLMQS LEGTPVFVHAGPFANISIGNSSILADKMALKLAGTEPDEDHSTKAGFVITEAGFDFTM GGERFFNIKCRTSGLVPDVVVVVATIRALKVHGGGPPISPGAPLSPVYKEENVEILRA GCVNLAKHIANAKSYGVPVVVAINKFSTDTPAEVEVVREEAIKAGAEDAVLANHWAEG GKGAVDLAHAVIAASEKLKDFKLLYGLEGTVQERIEKIAREMYGASSVEFTELAQKKV ETYTRQGFGNLPICIAKTQYSISHDPELKGAPTGFTVPVRDVRMAAGAGYLYALAADI QTIPGLPTAPGYLNVDVDLETGEIDGLF QC762_402760 MASLKFIMDVNDDHQVPDRQQAQNNKKAKVPIKPATTGQLPEEP NPQPHPRHAGSSGLAAEEDINPPSPSTLNKKRAASSRGPKPTTTTSTTTPTAPPGTAS GSLDTPVPSTTLSPATARPSVRRRSTTSTDSMDRSGGYRSPASSSSMGGGPMRPMPPH PQPSDAVPMRLTPITGRVSRAKKGVPVHVCELCKPAKTFTRAEHLRRHQLSHEEPQFR CSGCDKAFHRQDLLTRHQQKNEHGESSSQPAVNQASPYAVPSPRPPQPIQISGSQSSS SVPAQGSGQTPSTAAVSSETPSTTYSQPASSPHPTADYRGAAPEYDAYTMSLTTILVT PFSPRFSQTYSQARTSQMEQLSVITQGIKMPEHQEPSPWPSSATDSTYSTPVSESLGN AQPWGRSNPLLSPYPNPDSRVSPGPGLGGFIHPNPYSSNPASTRTSHTYSAGTIFPNT TMGFNGRDVSNHQASGLSNMHGNTMAGGFRGLTPEPHTSAQASGGLITPAPVLSTGID PSLGMLRQKQGFMDTLLGAQTAMMTDMSVGYAASGDVSPGGERTGLNLELGSKYAMHS SMPPSMNIPLPAAIRTAIPGYLDVYWDKVHPVLPIVHRQSFEAEPVDVLRCAMAAVAT QHLDTKEDRNRGNQLHEHAWQEAKRAAKWSLQIKQTILLCEYFARFRGRKPVTKPSDL FRHLHQRVSTEQSITAPLSFSLMEDSVSWFFDTSAWSPTSSPVSSVSSFDSITPATIA TTSPMVLRHLSPFSNTSWPQAIVPSSYVSPGSSYTDPSSFTHHSRSNTSPSPARSREI SRIWSFLFSPERYDSVPATGNSLSFSTLSQSSAQAYLQFVSDTQALCPDSGMLDQAML SSDQHLVNDHERWKRWVEAESCRRLLAACFICDGHTSIYQQHGRVLDGNESLPLFGNS EKLWGASTAEEWHALQACDPVSAHAAAQPACVSDIESLTPEYIEACGRADRMVILGLC ALRLPRRPASSSTVALSADNSPAPDFDSSQQARLQQQPQDMEAEQRIQRLFRTCPIAN TYLALHHTPLRDLLAVSGDSWAYAQKVLPATIFQEHVRRVRQWAGGSLPGLNILKATL FASRALLGFLDSNRRNVSEDSGSSSSAASSRVADISDYWALHVCVLVCWAFNHGLRNS SKGQQQQQAQVHPRQRSSSGGPSGGSSPASRTSGLSTASTLNSSDDEPIRWLRRVAEC SRLEDVLRFRAHREAAGVVGLVRRRMESDCVGSRNKLYVDAMGVLTKIEESAATRRWF QC762_0064300 MHRRKDIVTWKRFAMNRNLPQVEQASISTNSSSLRMPSDMRSIT TLSGSEHLRYCIPLASVRRHSPVP QC762_402770 MSSPSSVSQSAGKRKRNNTAGAMNIQQGQVAQPESRDASAEEGD TTAPESARAASAATAGHPPSKRLRGNPDSASAPTTTDAQAVDPGEPSDTTEASADIAE RVTRKGTRKGVVSKEVSNGSTTSFDKPDESMAPPPIGTLTHPVGYRTNDPPTGRPVRV YADGVFDLFHLGHMRQLEQAKKAFPEVYLIVGVTGDEDTHRRKGLTVLSGKERAETVR HCKWVDEVVESCPWIVTPEFLEKHKIDYVAHDDIPYGADEGDDIYAPIKAAGKFLVTQ RTEGVSTTGIITKIVRDYEKYIARQLKRGTTRQELNVSWLKKNELELKRHVQDLRDNI RSNWATTGQELSRELRQFWPSSRPQSPARYGPMANNGNDTPSSPSGPPSFTGYFSGPR SPGATTTAGERATSNVNDFVTGYTLGLIGGVRSWMTNKNRRSQSQDDGSQQVSDDESE EGESSNTKESTERRRSSGQNQQLKSGGSSSN QC762_0064320 MGQGLRSSPLTFEASKGNLFEKFPLSGLDRTACPSDAFIESNPI VSQIPGFRSKSLVNPRSPLISTLTVSLQASSLPRSTKLR QC762_402780 MANKQEIQDTEELLKKQSLPPPHVVDSNTPIIPSEEDAPEPSSL APSNATNPSDILKALTLHNSLRRSRNIPPLTYDKFLEDSARQWAEHLAKNIGKLQHAA DTSQGENIYWVRGGGIKK QC762_402790 MLTMLEQVPSPYTREEHWLNEQSLVRNGDNKRAPRRSHTHHDYC IPQPPVFTLQTIHSPPPSPGFSQDSRQSSTVTSPSEEHNRQLQLQQQPPRPVAAPRRP PLGPPRRSYSVTDKEPLPHHHRPGGRLQLMDLPPEIHYAIFDFLDPIDSTCFGLTNSN LYSIHRRLHGIVPLAVHRAGPNELEWAWHLAGQHVAAKPSLLTQKNEPGPKEGLGPEE SKALICLRVRGQALCRKCVVSRCQLQTHIKDWMGEKYEYCDITQKFGPKAEEGARKKC ERSSPRHSNWCARHGKKKGAAVKKQQQEATGQILGTV QC762_402800 MAESTSPIPFKRLEQIASDACNSVLENVEFYEHPKTAGWNETII QKVLKALMSEATPAGASSPQYKFAINSTIVQHVVPTSQLNKPTSTGTTDAEAAAAAKK QAGRRGMHSATGGYWNEKTDGMWSYKWDGDAKGLDVVLMLIWIAV QC762_402810 MSSQPLLQTAPSKRIALPTRVEPKVFFANERTFLSWLNFTVILG ALAIGMLNFGDRPAFISAFLFTGVAMATMIYALITYHWRAKSIRMRGQAGFDDRFGPT FLAIILLLAVVVNFVLRITYSHQEGEKP QC762_402820 MRPQSAVLPGKRKFHSDDTNPPSSKKKRTGTGRLEGRSSHNIQS QTQTFPPSSSTNPQNASPFAQIYNPVLERIVPKYEVKAMSVIPSTSISKHVDKALQHL GRFSAWDDTVLPGVVLLCAKSTTSNKLISVAELIRRRIGESEQKWYQYNILSETVVYD DPRMQKAADDFPSIVEETHMDVEGEGNGHQGNAEGGAAEEVYFESMRPIIHEQAVDPT KPKYKAHMTVLLSRVPLEELRVLANVGAQTNEQTIDDIRRRKDGRAR QC762_402830 MQALVQESPMFRFWSNDPRGSNKTVEDGHLLSTCPGSAVNAPGA SSEEPLTPISPKRRRPSFVDTTACPTAKRIKTEEHPGGLPSPTSACADNEDKNMLRGS SKSSNLERAKDAIQYQFGLEILLKHDELRLIDQELAKCQIALEQLRRCHLIPYPVQCP TPSQMLEISSGKGPVLQPKAGQPVPKWAPPFGVADGPYARHYAKWLIPDPMFDGIQPE APGLTETGRARNVTAEGRATRNSMSDAGSFGKQRPARGNAGQRLNALSSGYPPVKDKQ SPCTVKRSDGLTVKLVCIDCHRWDFSSTQGFINHCRIAHRRDFKSHEEAAVHCGHPIE VDDSGVIVADENKGPTPTPSVSSGLIHPLARKESISDQQAYKNILARINASLELYHAG KLPNVKCIPRARKPSGSNAAGSSSAGFVASSDAPYLSQLMQKKKLSGNLKDELNEAKV KMDWDMLSPGEDSDMDLCSGSDRAATPNKVSGSVARTPAVMRMPARSAVSPSQPPVVP RPASSKSQALAAAAGAGAELDADSPETPSYDDEMDVELSPNTMASNNAPSLVSDDGEY DDSDDAASDSSEAMEIESVSDVAEINLEEDVDVRDSAPRPIQHCRKINNTDKLKKDEN RHVTFVSPGPVPKASTKGRRKQKM QC762_402840 MSDAESLDDELLALAGGDEYSDSEDDEPRNKSRGDRSRTTSPAP ASSKKTDATTRGVAVKRTPAKKTRRRSRSDNESEDEGEASPPASPGSQGSASMDDSDS DSDAAPTQARGGDDDAIQYPVEGLFKSQEEKARIMGMREIEREQILAERREENERIRQ NRMLRQLKVNQEKDSKKRKASAADLEDELRKPSRARTKAGEISEKMDTLRRAREERTS RREQRERDMDRRKQRSPSYRDRSRSHDDRDSDAGDWRRSSRQKSRTPEKEILPADLRD VERVRVGRSRFAEVCFYPGFERAITGCFVRINIGPDQTTRQDVYRMAIIKGFNTGRPY AMPDNSGHQMVVDLYVKVAHGDAVREWPFISCSDRNFTESEWNRYKQVCLQAGISVPT KTQLVNKISDINGLVRHKWTEQEIAEKLKRQNSLMQKFSSTERDNLTRQLEAARARGD EDAVDSLQKKLEDLSTPKLAFRTSLTSADKKRPEGKGLTQQEKLALLNAENRRRNAEE VRKAQIKERSRTIIPKKNFAAADKASQEGDSQKESGSNTPAANGNSNGGLLPHIAKLQ EQQRATAKNGLPLVHAPLMDDDIIGALDLGIDIEID QC762_402850 MASPRRLLRTARQLTSTKTPLLTSQFPRHVSTTPSPPSPSPPSP PLPPKNLKPLTESQREMLSSFLRVNQAGELAATLIYTAQTPPILAREPHLRPLMKHMY DQEADHLRVFDSLIAKHRVRPTALYPLWSVLATGLGWSTAVMGKEAAMACTEAVETEI GGHYNAQIRELLKMVSEWEAEGYDVGEEFTELISTLRRIRDEELEHLDHAVEHDAQKA EPHWLLTGVIRAGCRGAIWVSERV QC762_402860 MTDTPRLEPSGTPRKEAKTTLSSGTLDELPTIPQAKLLHTHSSP LLSDHVDFATRPATRPNSLRGQSIAENEPTDYLNGGVAHDRQSPPAAGYGNGPIPSAL NIENPPKSAASGPSDLLDTSEFTLSNSNRRSVQFTQDDFAPDQITAHSRQGSWDTREA FGKLRGSGFIAILKDLKGTGGSHTPRTPGAGPSELPSTASSPTALRNPPRIPRAADEG SDVDADAEETADETVGGAAKGKQRKKRRIRRPRMDSYSTPNTPQVGVGSQDTAAAGYG RFGGLLRRATLGGVDDHGVVSEGEGRDRLTNARAPRRVTPWSSRGGHDDGEEAESPGG SRVGHHFRRFSALGGGGGGVSDGDAISPRRPFFGTERASTFSAQKWKQVKGALKLLRK KPDEQFDFAKSAELMAELRAVTPAVLMLASMIQRDEHGNKRIPVLLEQLRLKVKSSTP SQEKDSERHWLFEIELEYGSGPSQMKWTIHRTIKDILNLHWKYKLTLGNEKLPSIHQG ARPKQPSFPLSAFPYLRGVRGLDESDEEDAPNSQLTPNPLVNNNDRAEETAGELTAGE ATIQEGTDIDAPIRKKRSRMSYLGARRKTSALGSMADLGASALDPSVAKRRYVERQQR TLEKYLQDMIRWLMFRADSNRLCRFLELSALGVRLAAEGSYHGKECYLHIQSSKGLDF RRVLTPGKVIARHSRKWFLVRQSYIVCVESPENMNIYDVYLVDPKFKLISKNTKGKEL TGKDKDREDDVENLDLTAPRPVAKHHTLKILTSERKVKLFSPNQHLIQQFEESIVEML KNTPWHLHNRFGSFAPVRTGAYAQWLVDGRDYMWNVSRAISMAKDVIYIHDWWLSPEL YMRRPACISQKWRLDRLLQRKAAEGVKVFVIVYRNVEAAIPIDSEYTKFSLLNLHPNI FVQRSPHQFKKNQFFFAHHEKIVIVDHDIAFVGGIDLCFGRWDCPQHPVVDDKPTGFE PQEGQPRDAEHVQLFPGKDYSNPRVRDFFRLNEPYEEMYDRSRIPRMPWHDIAMQVVG QPARDLTRHFVQRWNYVRRGRKPTRPTPFLLPPPDCNPEELEAVGLNGTCEVQILRSA STWSLGIEDTEQSIHLAYIKMIEDSDHFVYMENQFFITSTETLNSKMVNGIGDALVRR IIRAHENDEDWRAVILIPLMPGFQNEVNEQDGTSVRLILQCQYRSICRGEHSIFGRLK AAGIDPEDYIQFFCLRQWGKMSNGMLTTEMLYIHAKCIIVDDRVALIGSANINERSML GNRDSEAAAIVRDTDMIWSTMAGRPYQVGRFAHTLRLRLMREHLGLDVDEILEEERQA DLDQAEAFEAEMDRIYSDESDGLSPVAESSRRRSSARPEPLNIPSRKNASDHQDTDPE KPVGRGRSSSRVSKGKGSADGLRIDIPQAGKHKVEVEGLGADHWKAAQEEGLRQGRDS VIVNDREVLVHDVLSGGRGTIDSPKQAHQLRRPSAVTSRDEGGIDNDGIPPMPPFDRR TTEQLGLPRANQLPTLPMVDDTDIGGPPVHTDAGGRLSFGPAHTLPIDIKLVDVHKDC MRDPLNTAFYDEVWSRVAENNTRIYRRVFRCMPDSEATNWAEYKEFDAYNQRFKESMD FHRSDEESEKPPMSHAAAQAGGGGAGIGAPGPATASHAAAEKLASPLGNAVRDALSHN EPNKDTVLDEKRGMQENDYGQDNSWGDMNPDPEKSVDPLDTGNHPTNSSHDHLPQTEK LDVVKSRERRATFSNQEKPPKTGDSTASAANTDANGNSVKPVGSQRRPRRRTTTRGSR KGFSPTDDMLNRVDAEELLTMTQGALVQFPYDWLVVEETNGNWLFQVDQVAPLAIYN QC762_402870 MPREIITIQAGQCGNSIGSQFWQQLCQEHGISQDGNLEDFATEG GDRKDVFYYQSDDTRYIPRAILIDLEPRVIHGIQTGPYRNIYNPENFYVGKNGVGAGN NWGDGYQTGELVHEEIMEMIDREADGSDSLEGFMMLHSIAGGTGSGLGSFLLERLNDR FPKKIIQTYSVFPDTTNSGDVVVHPYNSMLTMRRLTQNADSVVVLDNGALSHIAADRL HMQEPSFQQTNQLVSTVMSASTTTLRYPGYMHNDLVSILASLIPTPRCHFLMTSYTPF TGDQVEQAKTVRKTTVLDVMRRLLQPKNRMVSTVPGKKSCYISILNVIQGEVDPTDVH KSLLRIRERRLATFIPWGPASIQVALTKRSPYIPMAHRVSGLMLANHTSIATLFKRIY RQYDGMRKRNAFLEGYKKTAPFADNLSEFDEAREVVTDLIAEYEAAEDANYLNPDVSN ENPASAEVDKRMG QC762_402880 MKSFFLPVAVLVSAAMAQTTSVCGADYIVEACLGSEKAKLAACG QSDYDCSCAAWQAILTCYNNCPNDSRLHSDVGQKDIFCGFASQFPSKATAAPSASKTN NVNPAPTLNQNQVEDDASNTDDESGTSTLTSSPATNTNSAAYLALNAGGVLAAVAGVV AVVL QC762_402885 MSPNKAPSAGTKVTLGSSAPITQESAGPVNPSSLAAESRRHGGA FSENPTSSGSSPGQPASQSEYESSLSGTTGRPSSNSASLESAQMSKSSQSERGQKGMP SKSTKTELSKIVSNPEGQGAKAPTYVENLIHTGAERGGKPHGKNLTEGGFEGSGTVEG PLPEPGSEDDPGRSAFRKLAGASAAGNGVAKGWKESEGRKGFSQLGSEESA QC762_402890 MATDISPPSPDELRFASEQALAKLPDEQQSVAPATISNMNSTSS APSKPPPAAPANHSPAPPQAPPSASFDTSRRQTQSPLHAPGQPRKSQGARKQHRNQRR AGPSFGDSHMDDEDAMAEIRALRNTSSRRGQTSITHLMNYALPPRPYEGSHAPYSRSY RRNPAWGVGSGYHAVDKARYIHANYRFVVTPEGDYTVQAADADQHIEWTDVLQVIAST ESQQTSCPICLSEPVAPRMAKCGHIFCLPCLMRFMNTTVGDGTEKKQPKWRKCPICED SIQLSDVRPVRFYAGQESPLPRPGDDVILRLMARNPKSTLALPRESGAKVLESGEDIP WHWAANVLDYARIMRGTGGYMAEQFDREIEELLKQEKEDELLYQEDTEWTQKAVRAIN NAKEKMVGLGESQSRTSSSKAPELVVVTPEQKPQDQGFYFYTSPPHLYLSPLDIRILK TKYGSFSSFPSTLLPRVEHISTGHVVDDALRKRAKYLGHLPHGCLISFLECDWTDIVP SEDLATFAEDIERRRKRNRDKAAQEERERIQAERIEAAQVRGARRQLGVLDEDITAVR FGNAGFVDEESPVNMDDFLPLGVTAATSGTSPPNQRNGFGTLGEISTSPSGSRTVWGT RAVAGEPVSAAVPRPRVDDGWLKDDAVLETLGAADMTFQMEAMGIAEAGGPSGSATGA PGGVGGGGGGGKKKKKQKITLMSTGGKRGL QC762_402900 MGTFTFKWPHDAEEVYVTGTFDDWSKSEQLDRVGQIFQKTVTLP KTSEKIYYKFVVDGVWTTDHTAPQEKDHEGNENNILLPKQIMADKVEEAGPATATINT VTPESTTAQLAAVVPLTADKEPTAPAGEKKKEEVAAPAEEQKSAEVSPPGTYPETPLA ELDKQVKVDPLPAAIGAVNPISLPAGEPVPGAARVEAIDSHVTLDKESYEKSDRIPGI ETELPPVTSTMIPESSLPIAGVNDVTINTVGPDSTTATLAAQAPLEGKVPEIVKHSQK EAHVEPEASAIEEEVKEKAAVEEELLQKVPKVPSTSEGTAGVGTDKSENDKSVAETVA AVAATAGTALLGAAVVAAQNAGEVATEVAHKVSDVAADYAAKAPEVASDVAQKATEVA SDAAQKASEAAANVTTQATETATEATQTVTEVATDAATNLPDSVKEVLPESVQQTITE AQQQAVAAKQEEIVESLAPEVPAPVKESFKEALESPEAVANTASVEEKAAVEAELLKE VKPADSIEESAAKAQAYIKAEEAKAEQEAKAAAAAKIEEETKVVADVVPVEVKESLEK AGESPEAASNAAAVEDKREVEAELLEEVKAVEPEPLKIDAPKPVEETKAADTAVVVEP PAAAEEVKAVDAAPVAEPVAAEPASIRAVELPPAVEEPKVEETKVVEPKVEGPKVEEP KAEETKAEEAKVAAEGEATTSAVPATTTEATTTTATEATAEEAKPATNGSTPAADAAA TDAAVAEATGADATLTKTTTADKKKNRVSGFFAKLKQKFSGH QC762_402910 MAGPPPSTATGGGHGDTAAGGQASHGPSNPAYYAMFAARQKVSE QAMRYYAAGICGLIAVFVLFHWTRLLVVKLERSKKPLGMFGRPFVATSRLTRNLLVRK VPGFSSAGHAILVIVYLALNLMAMFIHVETNSLSNFAARFGWMALVNLAFLVFLALKN TPLAFLTAYSYERLNCLHQIAGYTMFTQMVLHGAMYTAFFNSQGRLLTKYAEPGEIAA IVAGFAFLSVVFAAVILRRFWYELFYVTHITCWIVGIVATGFHQPEFTKPAYIITLLA ASMWVADRIIRMSRVLYNSVNNEATLYPLPNGGTKVVLKKVPARAEPGKHCFIWLPAI RKLETHPFTIHRGSPVEFTVKAQNGFTRDLHNYAVANPGVSVKASVDGPYGTFPDPME FDKIVLIAGGGGATFTFGLAVNVLERMTEETHKNIVFIWAVQKHENLSWFKEQLDLLR THAHSTKVNVTLYVTRSPTSTSDLPSDGQNPLLQSRSSSSDNEVASPPRSPIDTDTEK NEPRIPAPTHRRPLGDRDPEKEMHEAIETHVEHQSSRSSGKEIVTSASAHRFEHPIKE GRPDAASLIRDAVNSTPANQRVLVAACGPHGLMRVVRDTTARLIHGDGPGVELHCEQF GW QC762_402930 MTMMDPGQAVYLSYQVPPAGNAVMLAAFAALVPLNIFTGIRYKT PLYNSLLTAGLIVEVVAHVARIFLSTESASPAYFAVYMVGTHWGATFVGSAIYLVLPH VTVLYGQEFRLVSNALYINIFFTIMDISSLVFQSVGIIYAASATSASQVDQAVNILLT GLAFQTTTLVAFLGGYRYFRYKIDHRRYILDNTFSATYLSRRFKQFMLVVQAAGCLLV LRTALRIAGSSGGLGSSLAVSQVVSFLLDDTLVLLAILILTLWPVGRAFGPSWTDTSP LASPDALSDLPLRRHFHRHSRRQILRKRHLSQPYNASEVPSPYTPSHAASGFPSSVSP LGHRPSPLEPSLASPRNNPVYQRAPYEQSPTGTVPCISPEQSPKMFMTAASPGQYQDG SWKKAKSSPRGPTSPEPTSKMVRSNDLWD QC762_0064490 MSLPSTGLDARREWHLAKESRMFCATVTVDWPEEPRMLHSLQRD FHSTGALVKERPITIGTALMQAQVSQPTKQHNSEYGVGEPSRSGSMTDRYQLAW QC762_402940 MPMSSLVCRSPSVISTSLLTLPLLRLAAPARSVQPCAQRQPQPQ QARQASGPVAVRRFSASLTLSRKVAPTEAAHINEVRKTIADEFALIKDAYQSPKHPVV LAHGLLGFAELKLAGSYLPSIHYWRGIQEALTAQGAEVITASVPPSGSIEKRAAKLAQ DIEAQAQGKSVNVVAHSMGGLDARYMISQLRPKGVDVKSLVTIGTPHHGSAFADYLID ELGPDYLPQVYKAWERVTGWEPSAFSQLTQKYMAEHFNPATPDDPNVQYFSYGAMVNG KPPLLSMFRISHKLIEEREGPNDGLVSVESSQWGTYKGTLTGVNHLDLINWTNRIRSN LQKLMGHPPSFNAVAFYLGIGDMLAKEGL QC762_402950 MRFIKTIAMLAAMGSDIGIGAASVFRPTKPPAVPLAVRSPYLNA WLQGESGCVLPGEWPRFWTGDIQGWQGYVAVDGVAYNWMGGAPGPGPVNQLSLEYTST KSIFTFDVAQKITLTVTFLSPVYPDDIQRQSLQFSYVTVTAKSSDGASHKVQVYMDVS GEWASGDNSEKVQWEFGTESNLYYLKFWRENQHEFKEANEIASWGNWYLSTGFHEGLT WQIGQDTTVRNQFAGNLTLSNSQESEFRPVSENWPVFAFSHDLGDIKDDEVERIFTLG LIQDNVIHFARQNNTLEPVRGLWMSFYNNSDMEAVVSFYNDYRHAVETMSLLDQRIEK DSVEAAGQNYSLITTLALRQTFGAFQYAGTPEKPYIFLKEISSNSDIQTVDVIFPAFP IFVYLNATLSRYLLDPLFEHQESGSYPNKYAEHDLGTFPVAKGYPNGDDEAMPLEECG NMIIMSLAYAQRTGDTGYLTAHYPILAQWAQYLIEDSVVPANQLSTDDFAGTLANQTN LAIKGIIGLKAMSQIAQLTNNTDDFKDKAEDYLMTWKAYAINYDASPPHTTLSYGDKD SHGILYNIYADRLLGLQFVDQSVFDMQSDFYLTVANEYAVPLDTRHTWAKSDWEMFAA AVAKNETKEMFIDKLAKWVGKTTTNRAMTDLFDSITGDYPSGGPTFVARPVMGGMFAL LALPKE QC762_402960 MPPKRKADNLEATKSSIKTKKKPASSNDDKEIADAQASSTKESA ILTGVAPLTQVGDMFFDMTSRVPPPSQKTVILRVATVCSGTDAPIIALEALVKAVEIL GHPTPFQLVHQFSCEIEAFKQGFIRRNLPAPTIIYRDVADLALAASKLDRKVLTAGGS KVEIPQEKIDIFFCGCSCVDYSTLNINMTKANKKETEEKLGVFQNLDKWLLDDDNKKK KDKDIRKTHPVRRNKDFDQVLDECLDGMEDAKLGESMRTFFSALIFIKERRPKIVILE NVDGAPWCMYTKRIFPLIGYKAEYLQVDSKRYYLPQTRQRGYLMAIDTDAASSSGCPT LEEAESIIAHWRETMKSLERAPSASVASFLQLPDDIGTITARADMEKPRTTKDSDWGT SSLRHAAERSLHELEMDDNPFSQKMMRNLKVIGPKLPPQSWRRWWLQQTCRSIDTMDI IEATGNKAGIHLGHKTCVIDVSQNVDRCTPFNRTGSVSNLKQSLGIIGCITPSGAPIV TDLMRPITGTEVMALQGMPVNEMAISSETQEQLRDLAGNAMTVTVVGAATHAALLAIH RHFPTLFDTVSGPLASRFKHYTRSQKLLLQPGTQQPSTTSVVYEPDTVHRLVRDMIRV CHCPPPVISDQDGIPSYFICRTCQTTVCEECSGNPPHQLEPWRTHSPGASDEEAAKVS SDGGKASLKNHLPQVFVLGIFPGNLPELQGMKQPPACEILASKTRYFFGEIKVSEVVT ATYKSRRTIARLVIHPDGKSTWFIHHNPGSNLDNPPTLPRTFEPSPPIARGDFHMSVN GVHNIKWSFWIPDRINITALLERDSITAFATVRDKVSGTYAHAPECGTAGNELYVSLP EFHQTEPTFILRQCSRTGPAREDSLVWSSEMRKLDYHEIRDTYIQALPDLKLTTFPVG HIGETTLFSPGYWTEIQQDYSLSIWERLEATEIHWGQTRDVATMSSLGDNATSPPHFS RFVLAEITANVDQFPLSAARRHMLEAHGTPGQFIMVPSNITEDFLHDFAFAANAFRAQ NLGGPREEVKHFTDWIRIDRSEQWSRPPPDIHISKTKGKRLAVEDPDEAKDFEETLLN MPRAIAIAAQLQDPELCLIATLQPQVLASRAYAYLLQAHRTVPSGSREIQSAETYFKV YIDHAKPRNMSFPGFHPLIKPCNDSNRHGIQYDEADKYRMINPPRFQAGRHKLRDSQR NAVLWMLLREEKPEPFLETEIEEEVVTPLSVRVVGKATWPTRFPYSSRGGVAAHEIGY GKTVVTLALIDSRRWFDREASIEERRQVDECWHEELKSKYEELKEVGLPLAEDEKNEF FIHLSATLVVVPEHLTEQWSLEAKNFLGLKVGSQVIVIKTVAQFYLGRKLDVLRKAEL IIMSSKILGDKFFEKLWGFGWGDLDPPFKDLSGRARERWYRQALRNLRILTASKLAAA GGKDNAAAERRFFGLQASEREAFLAKIVPDSRRKDQRTPRDKVPASPAKKEEGGGEQV PGARAPWCVSWLHNCSFARVVWDECSYDHKADNKNIPFFVENLVANAKWLLSGTPKVF GLSEVCKTAKVFGVHLARPEPRIMPGLPAVTGGPVLEPASKSEEYHAYSSALKSASLA HERHSQAQAFVRQFFRANRVTDDGGTVLSSVEVVLPVRMKGVTAARYYMAHQEALDAD MDFAAISSHVRQQPDYSKEDMGDSTKSTTMMLGLLANDVVAVSRALTLPEFENSLTRS HCRLATSVKFLWDKAVWLNKWLTYLYRNEVKKEQENLQKEAAMCSFQYLCEEVNVARE GDFRHYGGGEEVFNCVAHAMVNGCLPTDPTVDPAAIIAQPMKEFEGPWVDGYDHSKAH FTWLHFFDKAEIEIPKTVSNETAVRLAEDLCALRRTLHINTPLAKAPLIPGGFDPEPL FHPRPNMPLPDIRNGLDQDKTTLGNWTVKELVEFCHAHRDLMPDRPEYDDEKCLPFDV EGLHVSASTTRSQLVSKAVELNLKSHGAVPKLRQALWEHSKNIGRGGAYRDGRPQSLK DGTFKNPENKSAMLSEFKSTVAELMKTMGDFKNATKELAFMPKFIQFSTSPDRDQLLQ SRTCDGESCGRPLTSASQSYIVVACGHILCSACRHPQTARLCPARKCSSFIKERPVIP CTELNDNVKMSKVDHMMELIKSIIAKDERVLVFGQYQSYLEKLWSRIKEIDPKATNLA LVTSSRDTSAVLEKFKSGTGGNVMLLNIDNDTSAGSNLTVANHIIFANPYFHPNKHHQ AITVRQAKGRCLRYGQTKTVYVYHFMMAHTDEDRLLREHQVDNPAIKRYFDNVNGGEN GVIVSKHCFNVPGQRRYRD QC762_402970 MGEMAKPELESTDIRNKLEDLSGVEIKPGDNPYNALINTCHGNA AEIQSLYATHRIARNLQQKEKFLSHEFKELIIDPFLLRLENPKLEPGFKDPRNCLVFW ARPPDHIIRLVVHLQQALKQAAPNLWLMPTHRMHMTTLEIAHSRSPAEIESMVALMRP KLASLTNIPFTKRARLVKPFISYDLSALAVSFLPAAGEAVLSPPPVAPHSKHINHPGL LGNDTAESDTYTYHHLRRDVFDEAKSTGVEIGSRYVVPSAHITLGRYLTQTDHETPKQ RQKWVQAIDDLNKWLEGEVWDVQDGEYVGEWIVGQERGLDARNGALWYGGGRTIMVGE GF QC762_402990 MDTRSFGSSGSSNNSSSSVSGSGGAPALPERPAQSSVLAPVSEV PSLRGMERLSTSNLRSTFRPSLVVQHRGDTAVTPEPADVALDSRDRTGQASRAFGSVS PQTSPRTIPGVPASLPVRRQGVVFNDSFAGSYEANSSSPPLRPPPSFRPRTHTMDGAF RQQLAPTVEARHRVGSFSSSLPVADELRLPPLQPPLDSYRLPDLQQTSLSTSAQKDKK SAGTRSRLTKRPSSRPSSPLLSLPPSVDSLLLPIPTTDANKVLLLMKNLCGRMRGDIE YQRESGGPWHSGVCYIEEDKGSLMFDPGDRGPFHTTLVPDLRGCRVVPMEQMDGEYQC LELIAPQLTSTLLLRPLVAEELDLWLAALLCWQQLRPPASKQTPPRATTTAAPARPEV KRRVSSSGLRDTPVIKVGKVMLWDKGIATSPRAIVKRPSTRDLKSAQTAWRKVSCILH DNGELKLMTENDVTVLSVIELPQLARSAIQQLDRSVLDEDYCLAIFPIYSSTSTQLSI FRPIYVSLESRVLFEVWFVLLRAFTVPDVYTLDPASGGQVCEVADLQAEPPGETFRLE KTISVRVTEAKLRGRTLIADGQASDRHNKSADHEGITGNYLAEVILDGEVRARTTTKM NTKKPFWREDCEFVDLPASLPYLSVLLKRIDGNMESFTHQLQATLGLARTGNLAEVLC GAVDIPLHQLDRGKDHEQWFPICDERHQSVGSMLVRLHHEELVVLLSKHYQPLSDLLH RFSSGLTAQITEALPGNLRRVAETFLNIFQVSGTSNEWLMNMVEEEIDGIGSQATLKK PRFSSRLKSNDSLESTSDREQIVRDMGKSLQGEANLLFRGNSLLTQALEFHMRRLGKE YLHETLAEKIFEINELNPNCEVDPSKLQQGEDAQHHWNHLIQLTNELWDCIAASASRL PPELRHILKYIRAVAEDRYGDFLRTVTYTSVSGFLFLRFLCPAILNPKLFGLLRDHPQ PRAQRTLTLIAKGLQALANLSTIGKKETWMEPMNRFLTAQRQAFKDFLDAVCAIPAER TKMTLQASYSTPVAIMGRLSPLAREGFPSLPYLLDPGRNFAALVKLWMDAHPITASAS KVYTGDLLKFHTMCVDLHRRATACYSQIETLRSAVDAVSQLPDNDRISLTDALDRISL GDTLHISPYSNSSHTAFWFDAEGRPPGSAGSDVVLDHTSLALSPSSHSKFDSRFGGGN SGGGNNRQVSRSSEMSGAYGGGNPGGGGAAGTVRNLPRKLLNGFIRKTRTVSPDMPEG ATSSSSTPSSGAPWDREQQVQERGRDKEYEREHKRREKDRDRGRDRD QC762_403000 MSSTEETKKARSRVFFDVTIGGKPAGRITFELYDDIVPKTAENF RALCTGEKGIGKAGKPLHYKGSLFHRIIKQFMIQGGDFTAGNGTGGESIYGAKFEDEN FELKHDRPFLLSMANAGPGTNGSQFFITTVPTPHLDGKHVVFGEVLSGKSVVRQLENL TTQADKPTKDAVIADCGELSGSEAITADTKTADAYGDEYEDFPEDQVSGNETLSATQI LKIASDCKEFGNKAFKGGDLSVALDKYQKGLRYLNEDLDLDNDSDETKSKLSTLRISL NTNAALMNFKLEAWDDTVRSANGALAVAGISGKEKAKALYHRGRAQLRLKDEDAALES LEEAQKVDPENAAVAKELAEVKKAAAARRAKEKAAYKKFFS QC762_403010 MKAILQRVLSASVTVDQELVSSIGKGILVLAAVAPGDTEKEADA LAAKVLKLRLWDDDTGGRWKKNVQDINGEVLCVSQFTLLASTKKGSKPDFHGAMGGDE AKSLYQYFYRRVQEGYAADKVKDGVFQAMMQVALVNDGPVTLEVSASPPKEQDQKKPK TTEPPK QC762_403020 MAARKLQQEVDKCFKKVAEGVADFEAIYEKIEQSTNQAQKEKLE DQLKREIKKLQRLRDQIKTWAASNDIKDKGPLLEQRRLIETQMEKFKAVEKAMKTKAY SKEGLSAATKLDPKEQAKLEASEFLSNMVDELEQQIETLEAEGESIQATMKKGKGQAT KLERIAEIERIIERHKWHQGKLELIRRSLENGGVETEQVTDLEESIKYYVSDGMNDDF PEDEGMYDDLNLEEEEDAFGMNLENDKGSSQDTQSVQEEPAPEPETTRPVAAAPVGKQ RTVADAVTGSATRRPSAQKSPLPTLATVHNSQTTNSNGVQANVAMKPAALPTRPAEGL KYASAAAAAAASDKNNVGIAPLPPPPGAPPASAASPLAPSQPRTSTTNSPSTAPIQPV VQDRAPLQNAQPPAKEAPKSAASKGKAPVQPPTVAPAAPDHGDATPARAGSASTQGAP VSAPVPAANGVSNDIKPIEEEEEEEEEESIYHLPAALQDLVESYEVTKKRTASINSPL TQRMHITSEAAKPEAADAEPPRAYVPETKYLAHTHFPQEPLDILDDPRLYERIEPDTL FYVFYYKQGTYQQYLAARALKDQSWRFHKQYQTWFQRHEEPKSITEEFEQGTYRFFDY ESTWMNRRKADFKFAYKFLEDEV QC762_403025 MTESRIRSHAANTNGADKCNILSPNSLRTLPSHHSLSSGFPVHR DCRSNSPQLCGLLSRTRISRFNELLAHHQLYMTLHIYPARLLACITALHRRAKDTMAD VIWLISILTLHSLRRDSR QC762_403030 MDYPSSYLQSPVFDGPAYQDGPWPVPTSASMQRSSSQSTANTNL TYASSQYSTELSSLGSPIVEHKVYSEGWSSYPPQPQDSGPLVANAGGHLHEDNVPAPS DMQMLAPPYLPSEPYPVANYYDYDTTDPYDLAYAGVPFDPDNYGQQQLPAQSVQDVDT SYSVSTVSEKQTYPCLSPGCSQKAFSRSADLDRHYKQVHIDEDQRIKYHCDYKKCPRH EAPFGRQDHFRDHLRDFHKEDLLRRSKKEGREWWESRAPRAVFNGWWRCNKCLVVRVD VETEGYTCPACGSSCESDRMRVREAAAGRG QC762_403040 MSSIVSSALRASLTRPSCSRTVFTPVSNTVRITSRATPVTLIQH YRRTMASAAKIKVKNPVVELDGDEMTRVIWQDIKDKFITPYLDIDLKYYDLGLEYRDQ TNDQVTIDAAEAIKKYSVGVKCATITPDEARVEEFKLKQMWLSPNGTIRNALGGTVFR EPIVIPRVPRLVPGWKKPIIIGRHAFGDQYRAKDFVAPGPGKLSMVYTPEGGEPQEIE VYKFQGGGGVAQTQYNTDESITGFAHASFKLAIDKGLPLYMSTKNTILKKYDGRFKDI FQELYDTQYKEAFEAKGIWYEHRLIDDMVAQMIKSSGGYIMALKNYDGDVQSDIVAQG FGSLGLMTSVLITPDGKTFESEAAHGTVTRHYREHQKGRETSTNPIASIFAWTRGLIQ RGKLDDTPEVVAFAEALEKACIDTVDVDGIMTKDLALACGKTGREDYVTTSEYMVAVE RRLKQSLKEKL QC762_403050 MEADARRIKDEDDGHNVRTTSEKPSYRSWKKKYRKMRIVFDDKM KANEDLHMMEQKALATAKRLAIQNDRLLDTLLDVNNSAQIPPEKRFDLSVDSPVDDDA LRLDMDRPSTPNRCPKPAKSFQKLLREVPHIPYAAAAEHFPDLVSDLQAGRDSPVDPL QGAPHPPSFLTADDVDNYLYELDLRLFDDTDQLPTLAPLAHPDETTASREKTSRDYAL RNPVSVHNWLRKNAPNTFLQDTEHHAGADKDSTKDKDDHSRNGADDSVIAVPSSASST RGGRGGGPGSRGGPGSRGGKVRNDSAARAKRQAQAARKAAAEKLAAYEYEHGGGEIWG PKEALKRYDALQASLASGGAKGSGGGTASKSDPAAARTKRQGASARRATADRLAAVED ELSGDDLEMSEAASILASPTLASGGGKGTGGAAGKRKRVADDDPGYRPKGGSSRPTKK KRKSEGGGTDAGAATPSESTKRSRKSGGSGDGESVVYRKEVDD QC762_403060 MTENAAKRLKTDSGVVAIGTHNGHFHADEALAVYMLRKHIPTYA NAKLVRTRDPKLLDECDIVVDVGGEYEPARHRYDHHQRSFSTTFPERATKLSSAGLVY MHFGKQIIARRLSQPEESEQVGLVWNKIYQSFVEALDAHDNGISAYDAAGLAAAGLEK KFSDGGFTLGAMVGRLNPNWNDPIPEDPVAAQAAEDQRFELASQRIGEEFDRGLDYFT SAWLPAREVVAEAFRARNEFDAGGRIMVLKKQSAPWKDHLYTLEEQNPEAGKVLYVLY PEKPTPDAKWRIQCVPETKDSFQSRKPLPEAWRGFRDEELDGISGVPGSVFVHAAGFI GGNKTFDGALAMAQKALL QC762_403070 MNPHQAKKIDVKSLSPEEQRLFRLYGKLPSKSDHFAKHLKERKY FDSGDYAMSKAGKGDSVDTGSVGSQHPVPENIPHLSSPVSGPNGIGSILHPHHHHQHH NVSMQAGSPVKESSFLNRETSAEELEKGVPVSGAGAENGQAGAEPAVTPTAQDELPTR R QC762_403080 MPSPTKNITLTVPKLVFEAVPLTQEAFAPFGDVINNPRPDLHPF SATSVPSLPFDGISANQGSAIKYQHVSRQINLYPQAPSAVPGVSVMNIFICASRISIP TSSASPSQPPPPATAFPVRVLERHPFTTQTFIPLSAPENQHYLVIVASTLPPADADAD LPVPANLTTTDLAGASYPRPLPGRGLPDLSGLRAFVATGKQAVTYGAGTWHAPMVAVG KPGTALDFLVVQFANGVGEEDCQEIYFKAEGEGKQGVVVELTPASKPQDLGPMGSRLA RL QC762_403085 MGQSSLKCLWALFMFLALSFGSACTSYGVDYSNGGAYYIDGSSN QYFSFVTLFQGCDQESISPVLIGPDNNQYACSAIRTEQAGVQVTSTCGIPFSAMKSGN WKIILSGTQVSSQRTFSVTVGTPETTWVTATPTVVIGVTTTARASTVLTTIVQTQTLI IVPRTVTAACSGPTRTVTSYPQAPTATVRSTVTRTATDGQITSDWTTIVTTTAKCNYP TRKRDVAATPAAQVAAITLTYTQTTYTVTRTTTTTVAGRVTTETVLRTTTATVTPPPS TACVGNAPGSTVTVIRTQSAVTETNVVYLTSHLSGTVWVGQTQYTTISNPVSATACWR AGGWYGK QC762_403090 MSSNSGHGGAVETTATAPASYPTGDHQDDGPINSRLLSDSELQA ESSASSHGTNSTVSIAGIMPGVTPSGLNANISQTPSGAKTVAWRDLPRKDQLTVITLA RLSEPLVQTSLQSYMFYQLKWFDTGLPVSVISSQAGILQFVLFHASFTAAQFVTSMMW GRVADSRRFGRKTVLLIGLAGAMTSCLGFGFSTTFWQALFFRSLGGITNGNVGVLRTI ETVQEKKYQSRAFLLLPMTFNIGTIIGPILGGILSDPASSYPSLFGDVWFFHEFPYAA PNILSAIFLFCAMLLTLDLCADQRDRGLEIGQSIKLWVSRKRSQGGYARLSTEDPTTI DIETHPLTHGTDSQQSSISDVAPFKPKYARRRYTQRLPFRRIFTPNVVSTFTASCLLS LHVGTFNSLWFVFLSTPVYDPAKGPESPDAFQRHLPFIFTGGLGLHPREVGMAMAILG VLGIALQLGIYPWLSARLGTVRSWRLFLLFFPFTYSIAPYLSLVPSFSPPPAPKDGFV VWLAIAGVLCFHVIGRTFALPAQAILVNNCTPHPSVLGTVHGIGQSVSSLSRTVGPFL GGFLHGQGLARGVVGAVFWCLSTIAIGGIVASLFVHEGDGHEIWLEGDEDDDV QC762_403100 MTRETSTEPPKPSKRKGTRSVSTLTPTQLARKRANDREAQRAIR QRTKEHIERLEKELEEYKNRHSRDETISQLQMRNHALEREVFSLREELKRFNHNMFSP PGISQPSALACPFRASILTTPAPGFEAPDLLPAGHAGIPSRPPPFGQPSNDYNSAPQT FTFVPTTEEQWPSGVSVSSVPVSSVSVPSVVSSPCSSPSHPDEAFIPAYIPTSMPTMM EGNVITPTSMPCMDAAATKLEFEQRDIDPGTTTSRGADQIPESWPNANSKVSSAADHG YPNPNVSQPAAGYITPQPWSATMYPSYYQPQPHGLPQAAGL QC762_403110 MTASILLPGAPSQPLTAVVAENNKVVESTGAISYTEPSLISQPE HSASLGPPHLYFFKDGLRRVAPYFYTYNTWCKERWRGRKIIEVFESEFRERSVEYYRA AMESGQVAVNGKIVSPDYVMVNGDLVSHTTHRHEPPVTQDPVQVIHEDDDMIVINKPS GVPVHPAGRYHYNSVVEIMKAERGPTFAPRPCNRLDRLTSGIMFIAKTAKAAESLSAQ IFRRSVRKEYLARVVGRFPDGEVVCDQPILQISPKLGLNRVRANGKSAKTVFKRLAYY PSPIIKPSSSNSPSPDEAETGGPGDHFTRETEGYSIVRCLPVTGRTHQIRVHLQFLGH PVQNDPIYANQKVWGFDLGRNDAEATLNTDEDVLSRLARMGKEQVADAVAYYDEMVDV YHKKKAERMSGQLCDVCETPLYTDPGSQELSLWLHSLRYEDADGSWSYVSPLPAWAMP PEGASGPTQVGGMDDLVDAAGEDMKIEVS QC762_403115 MKFPLSSLLASCLSFEAEVFIPFPAAAMSDIRRLKSRTRADYRY ILEYRTRWSDNDMYDHMNNSIYNFLYDSVINTYLMENCGLHPPSSPQYGMVVHSHNDY FASISFPACAELALRVNRIGNSSVAYEIALFEKGHDAVRSVGEFVQVFVDRETGRPNA KGMNPELRRGLENLLVNREASKL QC762_409620 MELLPSPSPALHSVTLTMTSTSHINIWAVLAATVQLAAGIPLSP AAADCNRAVLAEAADAYVASQKAGSLAPLQEFVASGWEYEENNKRIDATKSVLTQGLA IDHRRTIYDLVDCATFTELIAANNPQPHVIGTQIRHGADGKVTLIDSVVSTTGSWLFN AQNTLEYALQEKWDIIPEGKRDSREKIKAAGDAYMSMWDDAKASSLVPWGAPCARLEG GAYTGRGDEDTCRQGIPTNSSQAPNTRRRYVIDESMGSVNILCVWEHMMNAADSHEFR LENGKVRYIHTMTECGGRVCRL QC762_409630 MSAVKDQTATSLDQHIKEIRNRNYDENQRKRAARQIRDLVNAAK QEMGAEQFQRFFDNVNQRTNLLIQGPDTYDRLGGIYILDALVDFDGIEPALKYTRFQN YIGSILRGRDINSMQPAAVVLGKLCKPGGSLISELVDSEVQTALEWLQSDRIEERRYS AVLVLRELARNTPTLMYNYVGYVFEQIWIGLRDSRLLIRETASETVSACFKIIRERDQ ELKKDWMDKMLNEAIKGLKINTVEFIHASLLVLKELLEQGGMYMQAHYQETCEIVFRH KDARDPAIRKTVVLLIPDLANYAPTEFSASYLHMFMVYLGGMLKKDKDRNDAFLAIGN IANSVKSAITPYLDGVLIHIREGLSVQSRKRSSVDPVFDCISRLAVAVGQTMSKYMEA LLDPIFACELTPKLTQALVDMAFYIPPVKATIQERLLDMLSKVLCGEPFRPLGAPHPN SLASIPHIPKDPKDPLAHQRTKDEVKLALNTLGSFDFQGHVLNEFVRDVAIKYVEDDD PETREAAALTCCQLYVRDPIVNQTSYHALQVVADVIERLLTVGVSDPEPKIRQTLLAA LDERFDQHLAKAENIRTLFFALHDEQFAVREVAVSIIGRLARHNPAYVIPQLRKTIIQ MLTELEYTDVARSKEESSRLLSLLTRHAQELVKPYVNSITQVLLPKARDLIPSVAATV LQALGELCTVGGEEMLNYKKDLMPIIIDALQDQSAPVKREAALHTLGQLASNTGYVIK PYLEYPQLLEILQSIIRGEPQRGLLRQETIKVMGILGALDPYKYQVQRNCSFVPLRHA HFSALSSATPTMEDSDDSDDENDNVALFLGPYSPPEVVEKQKRKVTSKNKAKTPEQQV EDRAPRTQKQTEATQLTDVSLMMGGLTPSQEDYYPTVVINALLQILKDQSLVQWHGNV VDAIMSIFITLGLKCVQFLDRVVPAFIAVIRASSQTRLDFYFNHLSRLVGIVRQHIRV YLPDIIEVLQEYWDTTYSLQTTIMSLIESIARSLEGEFKVYLASLLPMMLGLLEKDTT TKRQPTEKIFHAFLVFGSSAEEYMHLIIPVLVRLFDNSAQPMFLRKSAIETIGKLSSM VNLNDYASKIIHPLTRVLASHEPSLRVAALDTLCALMLQLGRDYLHFEHTVHKTISTY GLQHSNYDKAVEKLKKGETLPPNLAPRFEDNAVELHASENSPPKKLDLNPMHLRQAWE TKGKSTKDDWHEWFRKFSTTLLSESPNHSLRACASLASTYQPLARELFNSAFVSCWSE LYEQFQEELITNIENTIKSENVPPDLLGLLLNLAEFMEHDDKALPIDIRTLGREAARC HAYAKALHYKELEFLQDHNSHAVEALIVINNQLQQSDAAIGILTKVKAYKDGITLRES WFEKLERWDEALNFYCQRERELPPDQPTPVDIVMGKMRCYHALGEWDSLASLAGKTWS NSAPEVQRMIAGLATTAAWGLGKWDSMDNYLKSMKRFSPDRAFFGAILALHRNQFREA LGCIDQAREGLDTELSALVSESYNRAYQVVVRVQMLAELEELIIYKQCDAEKQASLRA TWETRLKGCQRNVEVWQRMLRLRSLVLTPPENMHMWTKFANLCRKSGRMGLAEKSLRQ LIGSDVPLDTVIPHWHDRPIDPDAERLASPVLYAVLKYQWEVGLQPAMRNTDRTIAER TLYCLRKFTDETAHRVESARHQLAASTQAGNGAIDGLHQASTFSEFDEAALLSPDVQR HWTEQTVLLAKCYLRQGDWMIALNKDDWQYTRRKDILSCYYKATYYHRRWYKAWHAWA LANFEVVQALGSRKDLDSGVIIQYAVPAVHGFFESISLSSGSSLQDTLRLLTLWLTYG GNPDVAGTVTEGFNHVNVDTWLEVIPQLIARITQPNKKVQASIHALLSDVGRAHPQAL VYPLTVAMKSRQSTRRSKTASVIMETIRQHSHKLVEQAETVSRELIRTAVLWHELWHE GLEEASRLYFGDHNIKGMFDALEPLHDLLEKGPQTLREVSFTQTFGRDLGEAREWCRQ YRETEDVNDLNQAWDLYYQVFRRISRQLPQMTTLELTYCSPDLLQARDLELAVPGTYR SGQEVVRIMSFDGTFTVISSKQRPRKLDIVGSDGKTYTFLLKGHEDIRQDERVMQLFG LCNTLLANDSECYKRHLNIQRYPAIPLSQNSGLLGWVPDSDTIHQLIRDYRESRKILL NIEHRIMLQMAPDYDNLTLMQKVEVFGYALDNTTGQDLYRVLWLKSKSSEAWLDRRTN YTRSLGVMSMVGYILGLGDRHPSNLMLDRITGKIIHIDFGDCFEVAMKREKYPERVPF RLTRMLTYAMEVSNIEGSFRITCEHVMRVLRDNKESVMAVLEAFIHDPLLTWRLTNPA SPAGPHFNSEREQAIAGPQAARVRRPSILEAPMAPTEFLAAQAGPEGLTGARSRARTN SSAAPLPSITNDGVHGPIEIAEVQNARAVEVLDRVSQKLTGRDFKPNEELLVKDQVNK LIIEATKLENLCQHYIGWCSFW QC762_409640 MGNDGGSIPKRRELVKDAARAPTVSELKATAHESLNHAWTHDPL TSELLDLENAVSDWRGRLYNYESILKGLMPGDSDDTKTTESANGESPEATFASTGIKS LRDIVKLKVKRYTSPGTKEKGIWACPVTLKELGASTKSVYLVPCGHVFAETAIKQIHE EVCPECSEPFHSEDIIPILPTEKSDMERLAARNEALRAKGLTHSLKKDKSSSKKKRKA DEANGTRSATGEEDTAKATPQSATSHRVNGINNAMTASLTAKVLAEQEERNKRRKLAA TRN QC762_409650 MRRTGALALLGAIAHGSLAASESLPRGVGPEFVKFFESKTTFTC IGNPSITLKASQVNDDSCDCPDGSDEPGTAACANIDPLSPEQPLPGSVTGTTNTTAAL PGFWCVNAGHVGSYLPFMYVNDGVCDYQLCCDGSDENTHAGGVQCENRCDAIGKEYRR LEEQRRQNKERSVKKRRTLVKESRELRRRVEVKIANLKTEVRDLDAKKAEMQAKFDEV ERSERGKVVKSEGQGGKLGVLVALAKSRVNELRNTLDKVTDQRDDLQDKVDQLEDILT KLKEEYNPNFNDEGVKAAVKAWEDYAAGQPSEPKGDLADSDIMEVLKEDSETSGINWA EFETGEASDVDVVYNWEAYLPVPVRDYVHQKLNLLRVWAIENGILADNPTSGGESRLV TAAREARDSATNAHSVKLGQLEEEQRELEKDYGPDDVFRALKGKCISGEAGEYDYELC WFDKTTQKSKKGHGNTNMGNFVRIDKEFVDEEERLDGKGLGKGQRLVLRYENGQGCWN GPNRRTDVYLGCAETDEVWRTVEAEKCVYRMEVGSPAACEDVQEPGVPIKNEL QC762_409660 MPSSGDPLEALTTVVSDALTLIGQLEVVVSGLSSQEISTTASPG VQQSPGGGSLDALSLAHDSATLIRAHATKISLLIINEPFTPTAITKVVRELIAGPLPG LAASAQECTARRYTRAIQKDLAWKVARVLKELRDLLSQIPKDGKILKDGKKNAAAGAA GGKGSIAATGLLWAACDDLMAFCKRGFGGNLVYKVDQLRETLKDVMEELKEWGEETGD DGDEDDDDEGDDGVAGITNNLGSASLSANASTQAMLDDLMNSQSYIPRDDPHKIRDRL ESCLRRLRLTSLLYQAAAKRRLKPLPHTPPTSDSNVPARLDEVLALLKGIPERFGNLA MAFYDLDPDEIDRLMDQCFFDAFAASELLVKPWDGQKDEFTDWALKFQVEIKKS QC762_409670 MTAIGGSQTPSTQPGLDQAPPYVRLHVTPLDADLLKVFLSSALL PKARNISYHTLDTFPDKRYGYLELPNEDADKLRKKLNGSILRGVKIRIERAKPSRIPE PLGDEAMAKEQKDKRVKDDAHPAKDNSKKGKRDNDEISGIVFDNDRKVKRGWTTADEP KEKRSKKDKKDSKGKKKKQERSKYTDHAECLVKTILPANAVPSAEDDTGSKRKKKGKF REVVVHEFENTTKFPTFLKTTVSSGPSRPPLEFVEGKGWVDEDGNVVEAVTVTPPPPT FRISAPKKVEQSESKSESSSNDSSSGEESDSDSESDSPSSPTKAASTPNHKAQPKPSP TPQHNSSPLPSTLSPELSRPKSSSSVKSLAIKIPPATPSEPKTIHPLEALYKRSQHTD GETAEGGTESKGFSFFGGGDGDNDNEDAGTAADGLQIPMTPFTRQDFEIRGIRSAAPT PDTAHPTGGRFKPWDDDDEDMEDDGGHEDSLCEGNEQPGASTTRSGVPEGDKPASDFQ KWFWENRGDLNRSWKKRRKTAGKEKRYRESRARMARAI QC762_409680 MSTLNIPFSSPKHTSKFWTSYSQTTRSVIHTHSYADFWIRHHRP QHPHILRSYTHSPTALTVSCPRQKETVSPPTVDYSSLHRHRPSSTMDQSSAAACPKNP SACSDGDKVKKADDASSYSCPSTTNAPVNTECDVSSPPGVNQDRNLSVATEDSRRTST GDVDEPTKPACEKKAACDAETACQKESSPDDKPDDKPDDGSDDGSDDVPHCL QC762_409690 MGWFWADATPAAPAVSKPLNHPQSDGDAAPPPGCPMHNKKTVDA FASAAPTPAAAPAPAPPASGCPVPHSNPSANAASSSCPVPHGSAPEPTADNQQSFLSK LNPLNYMFHSISQERAPNQTHALPTSRDESSIPKGTGDGNWEYPSPQQMYNALLRKGY TDTDVTAVESMVAVHNFLNEGAWGEIVEWERRFGKGLLRGWEVSKRGEDNADIELRRL EAQEGGREQPTLIRFQGRPKEMTPKAAILQMLGRVYPSKFGTEPPFDRHDWFVSRNVN GQQKEVRYVIDFYSGPPEPTGEPVFYLDVRPAVTPTAAVERLMRWGGDVWWKASGSEV REEKKNARS QC762_409700 MRVHVARNNSALSVATKRVLPCSWRPARDQSVFSRRLCDDMSVL LTLGRLSRRAEASRCAGCPSNLLHGCIQSSHLPSRTFASHTQSPLRLGQYRHRSWRQP PHSPKLASAGASGLAAAAFVELSEKDNEGPRDTGERRMLEVSRDEIKKKISDSDTGLT RMRHQIVLFLDLYVWEPICTGFRFLQLVTIFVPVILTVPAIWIGNRRADRDSERTGTL WWYGLLVQAMEWAGPAFIKLGQWAASRSDVFPDELCAIMSKLHADAPAHSFHATKQIV RAAFGGCEFEEIFEEFDDKPLGVGAIAQVYRAKLKPGLTVPVDVDEGDTPEDLRRHVY KNVESVLKSSPKRVPSSYVAVKVLHPGVERTVRRDLRIMWFFATILNSIPTIEWLSLP DEVDQFGEMMKLQLDLRIEAANLSRFRKNFKERTTASFPFPYAEFCTRNVLIEEFAHG IPLADFMANGGGVFQQAIASEGLDAFLRMLLLDNFVHADLHPGNIMVRFYETERPSLR LRQTHDSHEPQSEGDVTEQVLVRLRPFRNNKEQWVAELSKMDRGGFRPQLIFIDTGLV TELNETNRRNFLDLFRAVAEFDGYKAGHLMCERCRQPDAVLDKEVFALKMQHLVLGVK SRTLALGNVKIGDILQEVLNMVRQHHVRLEGDFVNVVISILLLEGIGRSLNPDVDLLS SSLPILRQLGAQSGKDMVKEGDFSMLMVWVGLETRRFMQASIEDVERCVKYDLLSPNV QC762_409710 MGYLKTKFKRLLEKIEDRIEGEKEPETNDDRKVFAHYMVGLTCH QTVEQWVHDIKTAKEAGIDGFALNIGPSDPWTETQLSHAYHEAEELGEFVMFISFDMA CGEWSVSQVVDLINRFRESSAQFIVDGRPLVSTFEGPGWAENWISVREQTGDIFLVPD WSSLGPYGISEKLDVIDGAFSWDAWPKAGQNQMNINEDLLYCDNLKDKKYMMGVSPYF YTNLPQWNKNWYCSSESLWHDRWQQVLDVLPDFVQIITWNDFGESSYICDTVPAQVVE GAEEYVNGYSHSAFRAVLPYFIAAYKSGSRLVDFPEDTVIAWYRTTPASAGEGCGTTW GQGGEIPASQGARDVVSVMALVKVPTNITVAIGSRWKSVGTRIDSPLSYFEIPFDGSA TGPVTIAMNGKTVEGPPITNECHEGRMHFNSIVIRL QC762_409720 MDSSKAPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDTTRSIIRN VKGPVREDDILVLLESEREARRLR QC762_409730 MEPKSVFQLAVLSLLSFVSGAYAAFGYTSSGGNYVVDAGSANPL IFSVSQSNCDIRSIKYRGTELQYGSQFTHINSGLGSATVSISQINGSNKYIKVTCVTS TLTHYIVVRAGDSSMFLATYITAQPSIGELRWIARLLPDKLPGEYPYGEVSNTQSSTS TVEGSDVFVVNGQTRSKFYSSTRFIDEDSHCVFGGSDLMHVCIMTPQQESSSGGPFFR DINSNNAGASTNLYNYMNSGHVQTEPYRMGLHGPYIMQFSRSGIPSVKNVDVSWFGEV GVTGWVPPSGRGRVTGTASGVQSGMEGVVHWFNSAAQYWARTSSNGAFTSPLMKPGTY TMVLYQTEFKIATSTVTVTAGQTRSQNIAGTFNTSRNTLWQIGQYDGQPTGFRNADKF LRMHPSDSRMSNWGPLTYKVGSSPLTDFPMAVFQSVNNPVTIRFNLGSAPGAATLRIA TTLSFAGSRPQINVNGWSGPAPSAPAKIDSRGVTRGAYRGHGEVYTVAIPTGKLVAGS NTITISSISGSSGTTFLSPNFIFDAVELFQ QC762_409740 MKTQALLALLAASICSVAAVPSPQDATNRKAGLRLIKTSPKGAP KWISEEEKIVQYAAHEIGFVDITDITDSQVLRALSADEDEDVFQARAVTYPTAVSKQA QANPLINLVSTTQPQSWMTTLTNYHNRYYRATYGTQAGTWLYNTLVSLVAVNPAITVT RFTHSFNQPSIIVKIPGNSTDLVIVSAHYDSTAGSTTARAPGADDNASGVVVLMEALR VLANNKFKGKDTLEFHFYGGEEGGLLGSAAVFANYKSTGKTVLAVVNQDMAGYSPSGK ISIYTDYVDNALTAYVRVVATAYTGTTTADKCGYGCSDHASARSNGFPAAYVCDEVMK TATPYIHTANDALSTIMWPAILRHTKFTVAFLVEASYL QC762_409750 MQMGGTRFTKASQRLHSFCLFLALGSFIWGYNVGVLASVLVHPG FKKTLHRPDASLSGLITAIYYLGTFISYLFFAHPAADKLGRRHAAFVGMGVTCVGQAL QVGATGSAAEALGMVIVGRIVAGVGTGIISTSVPLYQSEIAPSKHRGRFVVMNHIGFI TGLASGFWVGYGMTFWDSERGLQVAWRYSLSVSFVPAFFFLVGLLFMHESPRWLVEHG KIDEAFTTLQYYREGYYTSDEIYDELDDIERSVASFRVTGLVWTSLFADRSLFARMWR SALLQFLAQMCGATAMKYYLPALFRALGLSHRVSLLAGGIESTLKIGCNVLEMFIIDR VGRRLTLVIGAVIMAFSLLINGTLPLIYPNNSNTAADYACVVFVFIYSLGYSMGFGPA AWVYGSEIFPTAVRARGLSFAASCGAIGSIIVTQIWPIGIAALGSKIYFFFMVINLVS VPIIYIFFLETKGRALEDMDVLFGGHDPSVSSEHLLADGEDEDDDQGPRRRGSPIDGG LWRD QC762_409760 MLFSEVAARAAAFLGLTAPVPRQFAFEPDSVAYEDPDTGLKFSS YTSARGISWRVAIPEDIPEGDKIFDTVLQVEAPIDVGWAGFAWGGHMTYNPLTIVWPN GNNVVLSSRIAYGYYSPPEYPNAEYRIVKTGTHVNATHFQITAVCTGCSRWGDEDIGF TELDPEYDSTLAFAYGDYPVDTPEDPSSTFGIHDSLGHPVFSLGTQAKNADFASKIEQ L QC762_409770 MASPKPHPPISTTLPPLLLGTATFNHQYVSDPLAMPYRDIVSRA ISLGVKAFDTSPYYGPSEVLLGTALDSLMNPTASASNPLPIPLERESIFLVTKAGRIA GDEFDYSPTWIRYSILRSLQRLHTEYLDLVYMHDVEFVSPDEVLAAVKELRRLRDEEG LVRYVGISGFPAHVLASLAEMILDKTGEPLDAVLSYGHFTVQNRKLALPWVAGETRPE ESSSSPLARLKRAGVEVVLNASMLGMGLLTNRGIPPDERSEASPLAKWHPSLPELRVA CKELAGITGTAGERLESVAIRWSLQEWARIGAAAGVGVQVPSTASGNDTAKVGATVCG VSSISELEETVAEWKGVLSSLGHAVDGKLDPAYGKERQDKVLRLVQNQLWPALGRWMD YAWESPGPGYVNTRPEEDKGRVPSDSIMIAYQQRLAARSTRDAQPK QC762_409780 MGNANTKESRGGDGSHRSHHGASQPGATGSLHADRSPGRRNRLS RGDLGVLGLVGGSSSHSEHHHERRETKQEREARRLERERVQRLAERERSMKEENVDGG FLVTMGTYVGVEDFNKQIVRQLQIERKIAPFWRGLNDLDDQWTEPQIIAAARGLPIPA ADEVPPDELIPRPLSANDHTEGSRNINSLMVPIAGRTQSTTSEHSMSNPGSALPSPIS SQPARTSSPFRPRGKALAAVLGGNSRNCSTTEIVPREINISNDPFVNGQPIEVFLYKN ATECPICFLTYPPYLNQTRCCGQAICSECFVQIKRPDPHFPEGHNENDPNHNPEEAAG MLVSEPACCPYCTQPDFGVTYDPPPFRRGLAYSIAPAALTSMSTAMSSSSSINSASLS PPAGAAGGGRRRTQSLSATSPNVILTDRIRPEWATKLQAARAHQARRAAAATALHTAA FMMGNTESRAFRSRFGRRNTGGSGSTGAPSGGQSNGEGDNGDSGSATPAGDADSSGQR GNRGGTSSIVVSPRMRAEELEEIMVMEAIRLSLAEEEERKRKEELEKAKQKTKEENKP TKVGGGPYDDGTGNSQASASSLSVERKRGDSASSNLRVEASVTNGLATTAAAASGSAA DDNTKDKGKAVDRNTPITSDGSSATAASRPVLATHQPAGPSHLRQMSSASSISSSILD SQPSSFTSPSTAQDPRGSGLSLESRSATEEGECQERDRDPSASTEPLLNFRSLAEVVG VSLEGENAGRRLSQIELEKSKRSEEPQQKATTTEQAGGNLLAEAAQNGAAQKQTGEEI ERELLHLEAPSTSDPSLPPKVMVTPDTPAVEIGEDSKQLGYQTTTVERTHQLTQ QC762_409940 MSFTGAGSFGNYDLTAQSTGAPLGRTTTNDQQREEVVTAGGSSP GRNENDVSRTRATSLTEVADSEAAIRNEKGNITDAADEDEEAIEEERRHSAVLALARK YTSQSHYGVEPGTNVFEAALQDENSPINPNGPNFNSKAWAKAVVSMMDGRGASFRTSG VAFQHLNVFGFGAPTDYQKDVANVWLELVGLVRKLTGNKGRRIDILRDFDGVVEKGEM LVVLGPPGSGCSTFLKTIAGDYNGIYMDENSYFNYQGMTAKEMHTHHRGEAIYTAEVD THFPQLSVGDTLTFAARARAPRQLPPGVSKNMFAQHLRDVVMAMFGISHTVNTRVGNE YIRGVSGGERKRVTIAEAALSGAPLQCWDNSTRGLDSANAIEFCKNLKMSSDLFQSTC CVSIYQAPQSAYDLFDKALVLYEGRQIFFGKASEARQYFERLGFDCPSRQTTPDFLTS MTSPLERVVRPGWEDKAPRTPDEFAAAWKKSPEYQALQAQIEAYKASHPINGPDAEAF RASKQAQQAKSQRVKSPFTLSYMQQIQLCLWRGWKRLTGDPSLSIGALVGNTIMALII SSIFYNLQPTTDSFYQRGALLFFACLMNAFSSALEILTLYSQRPIVEKHKAYALYHPS AEAIASMLCDLPYKIANTLVFNLTLYFMTNLRREAGAFFFFLLFSFFTVLVMSMIFRT IASSTRTLSQAMVPAAAIILALVIFTGFVIPIDYMPGWCRWINYIDPLAYSFESLMVN EFHGRNFTCTQFVPNLMIPGYGDISPANRACSAIGSIAGSSVVNGDDYINSAFRYYVS HKWRNFGILLAFIAFFTTTYMLAAETVSAAKSKGEVLLFRRGHKPASFKENKGDAESG GVAVAGPVAKAAAGYQSDKESGNIQGSTSVFHWNNVCYEVKVKKETRQILNNVDGWVK PGTLTALMGVSGAGKTTLLDCLADRTSMGVITGEMLVDGLPRDASFQRKTGYVQQQDL HLQTTTVREALNFSALLRQPAHVPREEKLAYVDEVIKLLEMEEYADAIIGVPGEGLNV EQRKRLTIGVELAAKPPLLLFVDEPTSGLDSQTSWAILDLLEKLTKSGQAILCTIHQP SAMLFQRFDRLLFLAKGGRTVYFGDIGENSKTMTSYFERNGGFPCPADANPAEWMLEV IGAAPGSVTNVDWHQAWRESPEYAAVQEELQRLKAQAKPSDALATDDGSYREFAAPFG EQLRSVTHRVFQQYWRTPTYIYSKAILCLIVSLFIGFVFFKAPNTIQGLQNQMFAIFN ILTVFGQLVQQTMPHFVVQRSLYEVRERPSKVYSWKVFMLSQIIVELPWNTLMAALMF VTWYYPVGLDANAAAAGQTAERGALMFLLLVAFMLFTSTFTDFIIAGFETAEAGGNIA NLLFSLCLIFCGVLATPETMPRFWIFMYRVSPFTYLVSAMLSTAVANSEVVCAANELQ KFAPPSGQTCFEYLDSYMEIAGGYLTNPNSTDMCSFCTIKDTNVFLAQVGANYDDRWR NFGILWAFIIFNIFAALGVYWLVRVPKKKLGAKAKKE QC762_409930 MGASLGSGSSGAAVPSQHQAYDSKSNMLDEHLLTPAAINSIEVH GASNTRRSILDRIFKPLVEGPENLSSTLGESLERVGAATRRLSRFDIFKEDGFGAFIS EANRPDAPPNRTELDIAINVKEKSRLVFTAGTDFGNAEGSAYTNGVFRNIFGGAETFS INASTGTRTRSAYNASFSTPLNANPDIRLALDALRSSTQKPWASHDEHLTGGSLKLSW LSDSNATHTVTYNGVWRQLTGLAPKASPTVRADAGDSVKSSIGHTYTLDRRDNPMLPQ SGYLLKTTSELAGWGPLSGDVAFAKTELETSGAIPLSLPDPQAKSGVSIGGGVRVGLL YPLALGYNPAAPACPSRINDRFQLGGPADVRGFKLGGLGPHDGADSVGGDVFAAGSVN MMFPLPRLGADSPLRFQLFANGGRMVALQKKVATGENGRVLAMEPRKVFEGVASAVGQ LGNGLPSVAAGFGLVYAHPMARFELNFSLPLVLRRGEEGRKGLQVGVGINFL QC762_409920 MASRAAHKRLTREYKSISENPPPYIQAHPSETNILEWHYVITGP EDTPYHGGQYWGTLIFPPNYPFAPPAIRMHTPSGRFQPSSRLCLSISDFHPRSFNPAW EVSTILIGLLSFMTSEEMTTGSVSATEAERRMYAARSRWWNSTGGGSHLKRDSKAGKG NIKAGDGGLKFKSEWPEIDAENWAWMRENKIDTATGMKMVEANPASCGPQLGIATGSG HQAHAVVDAVIQQREAGQGWLRNNKMLVVGGIIFIYVLIARLLGEGTL QC762_0064900 MPKNSAEMAASSSNRKTYRCMVRICCARQRDVASHECGCGTLAQ VLARCWCPLAVATSECNNSVPYGVEDGGKHMSSIGDAKNCPVSVTEGMASGKEFGASV VTPPISSLAGQESEEEEGEGQTLTPLAVYPVAPQRPLLTGSETEA QC762_409900 MIGAVEDESGSWFGSLEKRGPIASSWRTGLCIKLWTSSSFLPFY PFQLKPLSVYTPAHYHLPKPQRYTRDHQSTTVNHTPTTCLPSAIVPRAPAPPVTAAAA APTKLHEPSAVPDEEDGTVDHPANC QC762_409890 MQQIRWICHSLGHSASPKEAWCCSRPRGFPHNPSLRWDWLNRGQ RQLEPQTARLGKSCTELTAPERELSWYQGLQDCFHAVQMWIRSEYHPFSAIETLHCAP NMTSKPEQLNWSASLRIAHPSPSASKGLQGDKILLPQSALEQLLARAPSTFTSSTSHT FTAFDPFNPYAVSDARRERAQYRETSQQLPHPLMFQLVNQKNGNSVYAGIREFSANED EVALSPYLIDALEIQHEDIQQEPTFEDEVVDLTDDEPTKTEGLRITVEARQLPKGTYV RLRPLEAGYNPDDWKSLLERQLRANFTTLTKDSFLSVHGVKGEEFRFLVDKLLPEGSG ICVVDTDLEVDIEPLNEEQARETLRQIAAQSQRAPGTDAGTSIGHTIDIWKQVDGQVL DGDYADYDLPSWDKSRPVAIELIIHGDHEVDLFVSPKSNRQRALPRDTEHVFGDFSSP KNGLKRIVIQPTNAELEGAEALLVSVNGFCLPNKEAVGSVPAKYTLRAKAVDAQGSVT APIDLSSSAPKSTTEEQCRNCHQMVPKQTIVLHENFCLRNNIVCPQCKNVFQKKSAEW EAHWHCPVHPEAYGSSMLSRSKHDYVQHTAHTCTACGPSSPFTFPSLPELARHATTNC PHKLILCQFCHLEVPQEGDPLDPSSEAETVLSGLTAHERADGGRTTDCHLCGSIVRLR DMAAHVAHHELDKARKPKPELCRGELCGRTLHGIGPRGQVNGGTQMGQGPGNSLGLCS LCFAPLYVNMHDPEGKALRRRIERRYLAQLTAGCGKKWCQNEWCKTGRANRGLEALGT SVSTALPLVKPLLESITDHSQRMHFCVDEASQRRKKTAEILAAEGVWDLEWCTAAMEA EGGNLSKARSWLADWAPTKVPK QC762_0064930 MARDQVLPSEIQETALLQLLANSLVLSQTAPYLSCYDVLNLAAT SRAFRFLIYHTPQVFRRLDLGNVKTAQFDSDAVDRGGQTWRNVQLDETLTEDDFYSRP LRGIFSNLRRHDILRDVQVLTLDGLSVTAELIHDILIDPTFSVRILSIRDVKNLNERK LQKTLQYACRECRPEGTPRLKGLYVFGPKDPVPEAAPLRESSRSPSPTSPAAVAAAWN TRSQQTLTASLIEEPEAWYSRRGSQFPCRINPDWASTLVACDGIIAFDSVLCTSPRHI NSLAWGKVNLDILKAAGSPASALIPHFNVATHSLGGCEGCGSAPEGFTVWGEDIYPEE RDTEGRRSSHTSMADIGRFPLLPPPPMHSSTLSAAMCPTGQAVRHRLSYLRKGNQNKA RFIPRCFDCIRDRYCAGCNKWWCETCYVGAFAGSSGGHAGLNSGDHVSNPPTITGEND NRDSGPKILDGFCADGKCSLYRSSTDSVTAGSEQPA QC762_0064940 MHCGASPAIQRSHGYAVTLYKKPPVLKSCWECGMNCKDCIESTQ RMCTRCGGGYCLIHNEGSDMISCDCKPFFSV QC762_409870 MRWFQLLLLGSALLENATALKYEVTGTRKGVPIPKEQIKFAPYQ FGRSKNNGPGAVQPAPTKIKKKRANPISSSANWCGAVNHSPTTNKIKSIHSFWQVPGC TKRTGQTYPQAAAVWIGIDGNTWPSALLQSGTVCKIDTSTSATRHEAWWQWVPDTAFT ISTMPLAVGDWIEVTINTTSDTAATIKFDNVSQDEQVIVNVTSGPTLARKDADWVVER PYYGSSLAGFPRFDTTWFEDSYATRTTGGNLGILGATQYQIPSLCNSTEYDNANSVSF SL QC762_0064960 MRQEGLDAQLTAFAPHENRSSFLFPCVIECLIDIHITSPRLTGT VAAQSRLGPWQSFCTAPPLPPTVNTVDTLQLVRKPGIRSGLGAGMN QC762_0064970 MENVTTGEVKAESGLCEHAFGMAGTVSSRPECQLLLAEGVKIDK MSLGDGGAEKGSSEDGDLAAMQLGKADQPIYCLSDTTKKSRANFQRARCRLQTPRITH HALSTYSTLHFLLHLLPHHRWLHAQEDHPSGSSALDSPNHRPHTPTPHSTWPCQNVAT TTHTPNSSREKLGANTTLASSAALLHPTLWTLHPTLPRRYLG QC762_409860 MAGGVKKPVNIFRLQDLGEPKEVFNWRLWFAVFSFGLLGAARGV DEGLINGAFNSKHFQGTINYSSYTEVEQANIKANVSSMVQLGSVGGALIAFLICDRIG RIWAARQLCLLWVLGIVIFMGAEGNLSAIYAGRFIVGLGVGQTPVVGPVYIAEIAPAS VRGLCTCIFTGFVYLGIVLAYFTNYGCQVNLGDTTAARWEVPTSLHLIFAVLIFTLSL FHYESPRYLIKQGQHKMAVHVMARLRNLSPEHDYVTREISAITSSHLEEIEATVGSGW MGILKEAFTVPMNLYRVCLTICAQILSQWSGAGSITLYAPDLFNILGITGTDRTLRVT AVFGIVKLTAAVAVSMIYVAVFLTAVPELGIQEGYLLSPSQSGLSKGAIAMIYISGFG WALG QC762_409850 MGGLPEYVHVNHRDARLFVVSLLVASGVSLQHAETVALGLVQAD LRGVESHGINRLPSYLARIRNGVLDPKAEPTLTQITPVVVQVDGLNGFGFPAAHLAMK TAIEMAKTLGIGMASVKHSNHFGMSAWIVQQAVDAGMMSLVFTNSSPALPAWGGKEKL LGVSPIACGAPGGEGSIPFILDMAPSVAARGKIHKAKRRGEKIPGDWALDAEGRPTND PDKALDGGVMLPMGGPKGSGLAIMMDVFSGVLSGSAFAGGVTGPYDMSQPGDVGHFLV AIKPDLFMSMDEFKGRMTTLYHRVVSSKKMEGVERIYFPGEIELLTEKKRLAEGIPFV QAEIDALNKEAELLKVGKIGESLRLECL QC762_409840 MAPGRKPKSAGSALPSSTLVLDNGADTIKAGFVTVDDDMSNKAP RVIPNCIARDRHNKTYVGAELEKCKDFGEITFRRPVEKGFIVNWEAQKEIWDRAFFDD KAPQRCDPSTTRLVLAEQPNSLPALQTHCDQIVFEEYGFSSYYRGIGPSFNAYQDIQA IFHTPRTPDTIIDVPAEVILLIDSGYSHTTVTPILQGRPLHTAIRRLDVGGKLLTNHL TRLLSVRHFDMRNETYIVNEMKEAACYVSLDFKGDLDKTWKGTRGERRKDYATGGGIA KDYVLPDSHTRFHGIVRDHEPGAAARAMKSAVSTEDILTLRNERFSVPELLFNPTDIG LRQPGLADLVMQSLSVLPVGLWPGLLANIVVVGGNAKFVNFIQRLQVELLERVPDECV VRVARPEDPIVSTWLGAANFARHEHADRLAVTKQEYEEHGAAWVARKFALGLGVDTLR QC762_409830 MATNMSYNSRREQSKHGYDSQSRDYRHGNDRNNRDRSSRARVSS PPPRRDYRDNGDSYRPPSPSSNSYGHSKHNGYDSRSSYGAPAPAPPAYNPPPQPSYPP PPGVDNYRMPQSDFTFRVEKPVGLQQEPDSYRPQNNRRPRNDRGPQGGRGGRDFRSGP GARGRLGRGGKFRQPWRPFVAAERDLLHTDHNVGDEQAFFDEQGGVTYRNLDELSDSE EAEMDISGDEDNSDAEPSSKRARVSIDQSAADDVPKWSNPDPYTALPPETSGQKKKDV VQLIRKARVQAKETRTSLPSEAADFISLDFDDSDDGHHSEDSEHVKESDLVSTRKPGL ESAPAAKDTTLPPKDTGSGRPSRVPVLMPDPTPAALGSRKRTHDDQIKMPHTRIKRPA KAPSGGHIIPEWRALPHTSATPWLRMDNSDSKDPIVWLHKEIVDFYDYIKPREFEQHL RHDLVQRMRSFCRRYWRDSDMQPFGSFPSGLYLPTGDMDMVMVSDSYLHGGPAKYNLK KHLWQFKSFLLREGLAWEDDIEVITRAKVPLTKFVDQKTGLKVDISFENSTGITAIET FLAWKDMYPGMPALVTLIKHFLLMRGLNEPVNGGIGGFSVICLVVSMLQMMPEVQSGA MDTRRHLGDLLMHFFDLYGNKFDYQNVAIRLDPPGYIRKTQVDSFAYKNYDRLSIIDP NNEENDISGGSSNTGSIFALFARAHDDLRHKMRSLAEDPRRGKGSILGVIMGGNYSSF EEQRSFLESLANQQPGSPLPRRPRSHQQTHHQSHQSHPTHQSHHTSDRRRNTRGSRRR P QC762_409820 MYQASNVYFICGFAAIGGALFGFDISSLSGTLGTQAYKRYFNNP VSYTQGGITASMPAGSLLGSLVSSFLADKYSRKVALQISCVLWIIGSILMTASQNVPM LCIGRVVCGFSVGIASAIVPVYQSEIAPKEIRGRVVSLQQWAITWGILIQYFIQFGAA HGTGGGPSDPDQPTAAFRIPWGIQMVPAVILFVGLFFFPYSPRWLASKDRWEEALQVL ANLHGKRNARHPKVLAQYQEIEDALKFEREQAVSSFKALIEPKMLKRVALGMSIQMWS QLCGMNIMMYYIVYIMEGAQIASPLATASIQYVINVVMTLPAILFLDKWGRRPSLIYG SFGMMTWLFISGALQQYYGQPNTEETRTPDNSDITWIVINNRPVSSAIVSCSYLFVAT FATTWGPVSWTYPAEIFPSKIRAKAVSLSTSANWFWNMVLAFAVPPLLWNISYKMYYI FAAFNGAAFIHMALMAPETKGFTLEEMDEVFDSGRPAWKKFDRGSRLEELEREIERGN VKVSVPAGGRPVAGDPEQGVELKKVATPPAGTPIS QC762_409810 MSGPPKTQALIDSLANSKCEYRRLGQSGLRISVPIFGCMSFGDS RAMSWAVDEEKALPLLKAAYDRGLNTWDTANMYCNGASEVLIGKALKQYEIPRHKVII MTKCFWAVGEEIELAAWQNNKEMVTKSKDYVNQGGLSRAAIFNQVEASLKRLDTDYID LLQIHRFDPETPIEETMKALHDLVQSGKVRYLGASSMWATQFARMQFCAERNGWTKFV SMQNHYNLLYREEEREMNRFCNDTGVGLIPWAPLCRGHLARRLDQFGSTDRSKGEKDN QPGAHGTVEPDITIIKRAIELADKHEWPISHVALAWINKRVASPIVGFNSIERMEEVI SANGKVLTEEEEKYLEELYQPKAIQGHA QC762_409800 MAAERTGSQDSMIEMDAQNNMTEKPAAYDPNSDFEAATIVPDPS ERGTTSGKDSPAVNDEAVQDPNIVDFDGPEDMDNPMNWPMKKRWQNIAVISVLTIITP LGSSMFAPGIPRILAEFEESSSTVATFILSVYILGFAFGPLLVAPLSEIYGRSVMYNT GNVFFFIFTICTALSNGIPMMMAFRFLMGVAGSVPITLGSGSISDMMPVEFRGRAMAV WAIGPLLGPCIGPVAGGYLIRAAGWRWVYWLIAIVTGLIAVFTFFTLRESYAPVILER RAARLRKETGNPLLRSKLADNTTTPAEKLKTALVRPMYFLFRVPIVTLLSLYVAVTYG VLYLLFTTFSLVFGQNMLGSSYGFGEGEVGLVFIPSAIGMALGIVIFGAMSDKLVTQK ISSGEKHKPEIRLTPFLTIPAGVTLPIGLFLYGWTTHYGVHWIVPMIGVVIFCFGLMG IMMACQNYLLDVYPQNAASVTAALAVLRSLAGALLPLGAIDMYIALGLGWGNSLLGFI SLGLIPIPLLFFLFGERIRGVKKVPTATEA QC762_409790 MLITYRCHQRQHYPTDSFSIEQAPWTAQVLNNHSFPHALTDEPT SQPTAAIYTGNQRMQITMESAKDSSKSSNMKEILARLGGIFPMDEEAVLKLLPMGTKL IVVEKFGVSAFTATGRIKALEPTGGQKEYFIKVAYGEQGRVMLNGEAMSSLMIYDLMP DFIPKPVGFGAYQQEQAAYFYLSEFVDMDVTTPPDPVEWTKRLANMHKQSQSPTGQFG FPVKTCDGKTAHTTDWEESWAVFYRTLFLGVCKRDIKANGSWPELELAAEQIANAVIP QLLDNLKMSDGQKIKPCIIHGDLWEGNMGISKETNKSLLFDAGSYFAHNEMELGHWRC EFSTVFRDKSYTEHYQQNYPPAEPVEEFDDRNRLYSLKGAIVYSAGHPQSSMRKTAYN NMLYLIEKYAPLNGVDKYDPNIDPSITGTCIVPHLAEGFI QC762_404160 MAAAVLYLRESIDRPDSRVSFARSEASSHSTYHSFQDLDLQEPE VPPPAPSNPTSRPPVRLPAPTSVPITHQRPPVAWEMRRQDSGYESITPARKDSFPSHH KGASTTSLASNGRKRVRPATRRSPKSAPASQLQRSSRNSVSPSPDYRQSEEVQEEVSY FHFPQPEFTSEPALDDTIGSHNPRDFATEGNYTHKSEVAVYPLPPQTTHYWTSDQTRR LEYAAIDAASKGVRGWVMRHVVPECFVPPSKRRVGFEDDRGSVIRYRLDLDTEDDGEE KGNSDSRKGWRTWLFSVRRR QC762_404150 MSMFYLDVWISGFAAAHAVLSGIWITPLLVLWFVSLCVVRRRGD PARVGVAWMKVVYPFWIVSSLCQVAQVGLSIWGFNDIAGRITKTEYFELAVSLVLFLA EALQNISDILLFIAFVEIGSGFVLCLNGAKKSPIRRRLRFAAFALGIILLALALTHFG VAALYLTSTILTFCRLLVTMGINLSEYENYSLIWSGNIKGHTGIRTDILPLVEAFFNY TLMFIVLTLLFVVAIRKRRGLWSNSQMTWDASNKLSNVATGSSPTSATPGYSDDGLQE HGFCLESQQTETSSPPTDPQPSSQPLLSSAPPALPRQPPPAAHLDPEPISTAQQRIPR RPLAPSIPLSPTKPSSPSGTPSSPQSIHPVHGGFLTRQRTIEELDAQKVLVLEMSPIH HPHRITSSDDGEEVADGFQMQNRVHLSVGESSANAMVATRYQAAQGHDPSLEEDTELD TVVDGFQMGSAQRRTKEVESTTKSQKSQGHDEPLAESDVVADGFQMQSKLPSYDEVAP ARTGLGYLREKDDQDKKARGRARSF QC762_404140 MGSIVADLPHPFDPLSLAEIETAINIVKKAHGQVFFNVVSLQEP RKAEMTAWLANPETTPRPKRFADVVVIAPGGKVYDGLVDLAEAKITKWDLLDGEQPII TMEELQLVEHVVRKDPKVIEQCIISGVAPEDMHKVYCDPWTIGYDERFGNKVRLQQAL MYYRPDIDNCQYQYPLDFCPIYDADKGEIIAIDIPKVRRPLSKAPPMDYHPAAVEARG GYRTDLKPINITQPEGVSFKLTGREIEWQNWKFHIGFNYREGIVLNNITFNDKGTVRP IFYRLSLAEMVVPYGNPEAPHHRKHALDQGEYGAGYMTNSLSLGCDCKGSIHYMDAEF PTRDGGLRTIKNAICIHEEDAGILFKHSDFRDDSVIVTRGRKLIIQQIFTAANYEYVV AWVFHQDGTIAPEIKLTGILNTYAMLEDEDTKGWGTQVYPGVNAHNHQHLFCLRIDAN IDGPNNTVFVNDAVPSEAPVGSPENFYGNGFYNKRTKLVTEGEAMTDYNGATSRAWEI ANTNKLNPYSKKPVSYKLVSREVPGLMPKEGSLVWKRAAFARHAVHVTKYRDDELWPA GKHVPQTSGEPSRGITEWIGDGTTSIDNADIVLWHTFGVTHFPSPEDFPVMPAEPMML LLRPRNFFAGNPVMDVPPSYASTPSQILAGKQGVLDATDKLSTLAFANGNGASCCKSN GFNGTH QC762_404130 MKLDFITPAWVLSLMFAITNASIVSQPVRRAEDRKTDYSWYWTG LSINTTTDSPISALQSVVVVPKFFPPATSEKANISFVLHLGTGANCGSSAAVGIDMKI KTDGTTDIAAWSHSSYASGVEVATVHWLDEQRGKRFDIQPGDEVTLKIKTLDSRNLWV YWKNGRTGEHETVMIGNYHNDVCRSFAAWVTERRPGEIIVDDKKGDFNHIGEFGTVIF KDMQWGTEDAQVWNTGERKGPATEKWYLLGSNDGWGEVVMLRCEFFQNKPDITPDGMM CTQGKLGDS QC762_404120 MEHLETQVSDLRSFLAPQSAAAPGLSLSTPAAYSPSGPLSSLSA LGDNSAPQPASPLPLESSHGGGTTSANTTTSHAKRRAEPDEDGEVSAKQQRSKRNRYT SIACNECKRRKIKCNGQTPCHRCGNLNLQCLYAPNCCPNFKDSDEFRDMSAQVTRLQE QVDNLFSAMNALRQETSSLRLAPIHDHILPPPVTTGSPSPIPTLPPLTRPRLGYRVPS SFHGPTSIAFTVDVAKSTLHRMGYTDVAEDSSVSQPTEPTPHPSPALAPLAAPPPLAS SSPPSAVPRDPILEFDEAEMLRLCELHEEEVGAMYPVIPIETVKQHAQSLGPWFATVK LNSSTAQQSLPDLNDQKTLVLKIVLCCALVVEEHGNSARAARLYESIQPIIDRMLMSE PADIVKLPFLALVAGYRYLSNDEVLAWRVVGHVGRLCLELGLHRREGLQNITDPTVRR NALLTFWSVYVLDRRWSFSTGLPFVYHDDKIDPGLPKPDDYPFLVAMVGYSKLAAKIW GLVDCFEPAVIRGLKAHNFEPLEQEIWEWYESVPVEIQTDPSDGDRIAMPSGPTDKVQ RVRIWTRLRLNQVRIWLYTPVLHSATSIAENMQRAEKAVDLAKQTIRLLAHINQTTSL YRRCQVFYHQFLTSSIAVLFLASTHAPVEFSSHCRKEFYMALDLVRDMSSRSWVSHRL WRTIRSLKAVAPRLGLEEDFAASPSATKPSNTGSAAQLHRHQSTSDYNQSRSHSISQS SPRTAGTVPSVRGVAHSHSSMSPFSPQIRGSEMSHHPNSAVSTPHQQQMHVDERTNGL RLQSEMSRIYEGYAGLGVNGSVPTAAGMRSMSLETGAMSADDLGYGNNMQMGQGLGLV QEVVGLGDESVYQHIKDMF QC762_404110 MANESWISHYSPSNDFSLANIPFGIISTPEDQTLRPAIAIGGFV LDLKTWLAIVNKEKLADVFSGVDIDQLDHALSQPTLNGFAALGRPVHRIVRKGLQDLL HKDTPYAELLRDDENARTKTLSRLSDVTMHLPMEIGDYTDFYAGYHHAYAVGVMFRGP ENALQPNYTHLPVGYHGRASSIVVDGTPIRRPVGQILLDPKAEPKQPVTGPTRKLDIE LELGCFISKPNKMGESVDVKQAEEYIFGYVLLNDWSARDIQAWEYVPLGPFNGKNFGT TISAWVVLADALEPFKVKTEIDNKTELQEYLKGEEDKTVFDIKLEVDLTTADGSTTTI GRTSSKYLMWSFPQMIAHHTLGGCSMRPGDLLGSGTISGPGGVEERGSLLEMTENGKK EVLLAGMNARTFLKDGDSITLRGFCADDGKGVRVGFGRCSGTIYGGPQI QC762_0065140 MGRGGGGWRRVVVLTDLEYIVKGATEWLPRRWRKSKVGRSGRKY ANRDFWEELQHRIEELGGEGCEVSFWLVRDGELIRQTKAAARLAAWEGKKEGLPVERY TRLFGIMI QC762_0065150 MNEELAIFIASPDPASTQFFPSRKRLTRRSRIRPASIFHPEDTS LTPEEHFPLQTVRAPNRPVHPASREVLLVIDASCINNGRHADKSSPPIGSSSFKFKNS PGTPNAAVMLPLSEEYNGPVTGTIAFRLGENPRVM QC762_404090 MTASMAQATKTIVATGTSSGLGFELIKQLLGSPTSLSPSTTHLN LVLGARDTNCTGQAYNALSYPKTDHILSILPLELSSLKSTASFANATLERLGPDGKID YLLLNAAVSDGTDKPAKESKSGFCEAFVVNHFSQHYLVHLLEKKLIDSSTRIIFVSSG AIRRVTDPSTLEKDLKVGSGVEANDTYSQTKFLNLLSAQWWRRRLEEKCRVVAVSPGL IPNTGIGRGSGMKLSMDMPDAKSVEHGAKSILAAFTRDDFPKDREQMFLTSWGEWWEK GVYEKVLDKGLQDKWCWTKEEIEKREGLN QC762_0065170 MNLGGRKPMVALWHLVVIAVCVSWQATKRELGVCSSSSTKLAIL QFCFSAFALVASAYALTPPVKPYFWQPSSAIVLPALNLTGNISPFTGNNASFNAPWYT LNQAGHSVRITGGSLISLADANITMPLLSATKYTQWIEFAVTSDGIVECPHSTFRAGV AITQNLTSNTAPQYYTFYTTQIGGQLYTRSSRFSPATKLIIANSSKSGRVELYNGTTK AFWNQDVNDIIYLRCA QC762_404080 MVGFPHIPAPVIGRDSHDVTKWGFGLSQPAEREMFLSSLNPPLN PRVNCVYRSATKMTGVKLQPTRFVRSVLKSFMADSGLEPRLFGPHLRVMNASKGRVDL ELDITKDHTNRLKIIHGGTIASLVDLGGSLAVASEGLYATGVSTDLNVTYLKSGGKVG DKLQAVAECEKIGPTLAFTKVTFTNPLGELVARGSHTKYVKAAWKGSHPYTPPEGAEI ADEVD QC762_404070 MSSFGPSSFLLDDYLEKLPGATFRKLYQQPSTAFAIFRRMLPPL AKVYVQALLYSPTPLTTNDIELWTRPEGKGTSIRAIARLRSLHIAQLSQSARAKKAQD IQLTANFKKSLRLALEGGGSHNSFGVPSTLPTDPKIHIQYLDHWAGRIWQDILYYVVN SVPMKANESGGRHGSGGGGPKRAVRELLKMGGLVREGAGGLVQISEHGFNFLLQEANA QVWTLLLLWLEAADRNKALAKEQGTDITGTAIDNVEMLSFLFMLASLELGRAYDTSAL TETRKNMLPALADFGLIYIDRDRPQQYYPTRLATTLTSLSTMRSVSASIDAATKKTPG DAGSLGADSTPTAPADENGGIVVETNYRIYAYTSSPLQIAILKLFCRLHMRFPNMVTA RLTRESVQEAIKEGITANQIIDYLVAHAHPQMRRAAAAKGTTVIPPTVMDQIRLWQLE SQRMQKTPGFQFKDFESVEEYRQLAEYATEIGVLVWKDDRKGTFFVSKVEQIREFLKA RKKGN QC762_404060 MEPPARRHGWTHTSSWVQSRASTKSTRSETKARKSTSATSIPIS GPIGRVTRNGTPLEDTNLMRPSDMSKPASTYPHPTPLVTTVDYHSIDNSRVAENQRPA DNTSLPPPRQGLLTKSRTFSVLSSITNSLSRASLIHHGSTSRNDSSSSSQPRVTPPVI AIPRKQPPVATRRSMEPLLNDSQKPPCQEASPPLPDNPRFVTTAMPSQYWSGRFAALD DKFHNEVLLGRNLNMVVEAQTNRSGNAGTSSSNSAARAQNNPSASAYSLIRPVPQLAG LARYNQGLPSRQTTSHEHSFQGPVSRIPQSATSGAILQTTPYSTRIREQQQPPGSAAS TRTAAYYHKPLFSRPPSYEQSVGLPGTATAPVSPIFEGSSDSLDSVVPALPPTLGFPG PKRSHLSGVHVQGYASSLSSDDGSVVTVEGDYYTQGRGVVVNNAAMLTDDDARCRRVL VHLEAMCVTETARESLRAWRIAYARKTGRVVLLPCGETMEGACGRGRAERERERQHLV YGLGKGREIVKKLRRSFGGATGSGGGGGGYCHAHHVNAYNEEVPRRRGGMMMGMM QC762_404050 MCIVLLTTSHPKYALVVIDNRDEYILRPTSRPHWWKATPETPAV NGSANGVAKAAPPAEVEVLSSRDLQRAERGTWLGITKGGNFAVLTNYRETDTHDVAHP VHGKRSRGGMVTAWLGADPAESTERFVTRMLEDGGVKGVGGFSLICGKLRKVKGDSNN IEPLAIISNRCDHVGQVPWICGERSSIYGLSNATYLHPEQEDKEELWPKIREGREAML RAVTEKMDEKELQEALFEILDTDRFPSDHTMDLEEGIPLLKDSIFIPAFGGKSHQEEM AEARLRGQVKHKDRDSPAAEVLTIVARPDDQPNGFQTGLYGTQRQTIILVDWDGNVTY TERALWDPHGNPIPRGSGDETFRFKIEGWEKEEELCN QC762_404040 MCETSNTNGAANGTNGASNGTANIGGDHQGANFRSNPYQPVGDF LSNVGRFKIIESTLREGEQFANAYFDTETKIKIAKALDDFGVDYIELTSPAASEQSRR DCEAICKLGLKAKILTHVRCDMRDAKIAVETGVDGLDVVIGTSSFLREHSHGKDMAYI EKTAIEVIEYIKSKGLEVRFSSEDSFRSDLVDLLSLYRAVDKVGVHRVGIADTVGCAS PRQVYDLVRTLRGVVSCDIETHFHDDTGCSIANAYCALEAGATHIDTSVLGIGERNGI TPLGGLMARMVVTSPEYVKGKYKLHKLKELEDLVAEAVEINTPFNNPITGFCAFTHKA GIHAKAILNNPSTYEILNPADFGLTRYVHFASRLTGWNAVKTRVGQLGLEMTDDQVKE VTAKIKALADVRPIAIDDADSIIRSFHLNLHEGPIETQSNGKAIHVEVRGDETTELAT GA QC762_0065240 MAHRTSAPDLVRERPDRFERGRFEYERDRDRFSEIRERFEDDDD DYVYERERRVTSRPPPRDRDRSVDRRSRAPYDDDETVIRERRRVIYDDEQPRSILRRR PSPESEVERRSSVVIEKERRYRSPSPSNAPRPGRLLRRQSSLDTFDRRPRGYYEREEY GPPARRLDHSVPPYAESHRPLPRHRALPPPRVYAEREYFDEIHVDDHHHDHPGRVRER EVIHTRMRSRSRESRIRRGRSRSSSRSSSSSSSGGTSLTARSEYPKKGKTRIPARLVS KRALIELGYPFVEEGNTIIVQKALGQKNIDDLLKLSDDYKKSTPTPLLPHQDKKVTFP GEFEIMAARSSAGDIIEERRTEIVEYHTTAPPPAVHYHHQHPPAPAGNGPIIINAQPA PAPAPPVEVVKTTMIREQSPARSYTTTSYDTTSYGTTTSYDTSLTSRGPPTVIVDARP REVALVEPSRDTWRYDDNDELRSEIRHLERQLARRERSKSRHSRHGSRGDLVRAERLS TGELVLYEEEIETIEEPARGGLRIEKDKRGRMSISVPRNR QC762_0065230 MRFSTLILASLAGLATANFDLYLGHQIIPVDGGVLHDGWYIFDN DPSKADVLAYGPYLSQDDVSGRTTGVRCVGSGCYGGAATDINVLEMHFSNNPLYHWTI YKDRGHPYKMYGLDGRTYGECILFPGVSFHHMTNFAETRSGVRKFRCLTQFTARQIRA AN QC762_404030 MPALPPLSRAYDLVARNSTADVVLPALAAAVNTPTKVVCSWPVS GQYGPGSRVLYYVLVAACVLARKTEWLRNACLAAALIFPAVAAVHGVALAALHVPNAV DMDIYGAFQFCSIGILTAPITVRLSTTYFNNPGRNTIFLWAGIILVGLLALTVEFFRT EAVPCDTDESLFIYGESLCGLVCSVEDGPFSPMRQGSADEIYVIPEPFIMTFGTATLF CAACCIPAILSMVSMWDKILKVNWQERFGETYLDQPETGTNGATPERMNKVNNIIRGF LSVVEIPVFGAAVIAILVVGELNFWSGPVNWQTEPMANVGQWAPIVASAFAACGSLYM LLAEDMVAAASPTPAHCNCSHHHDEFDAQIGLGISHSGPRRISEDKSDGPRRPDAGRS KIAKGLSAIGHILGTADLKSFDDSEFQDVAASKYPTTPGEEVRNRNLRHLKDRYNMAR PEDDERGRRRSRANSFTGSVTGFTKPSPPSSSRALSPNPVSLQISPGGPSRPDLNYGT SHRASHDSGPRHNDDADTTSPSAKPGSSLTIQPSGFNSPSIVVSVENEPLGIWPPPPV HEPPS QC762_404020 MATTTDSSSGNRDISEWRSIVTLIVFVITNVVVLFPFHIPFCIP RWLYNGFLGALSSMRIISPRNKTQGTSSPWVRLRLSMNIVTAPVFADLFLLAIKAIGG KEVKDGTVGADHIHPIDIMVFFITLAYIAISIDASGLIRYLAFRVLQWGGKVGHRLFF YLYLFFFGIGSFVGNDPIILSGTAFLAYMTRVSSNIVHPRAWIYTQFAVANIASAILV SSNPTNLVLAGAFKIRFIDYTANMVVPVIITAIVLFPFLLYIVFASESLIPVEIKMHE LPEDARGKKPVNPNIPNARGTAEQQEDEPGEQGKLLSLEEIMNPFLDKRGAGFGAAIM ALALVSVLSLNAASQNLPEDVVLQVYWVTLPAAFIMLVWDLAFGWLHRHETREIAQEG RKQVEEAKAEQARLQREELELAASQGGDQVVSVTGNDVSGIGSDSEIVKCQSTTIDEK KEASLEKDTPPTEAATKTNNSDQRTLSSVARDAYRWSQETFPTATAVLSHLPYALIPF AFAMFILVQALVTKGWVEVFAKGWDHWVNKTGTVGAIGGMGFLSVILCNFSGTNIGTT ILLSRIIQSWLRLHDASGIPISERTYYATIYSMALGVNYGAFSTAISASLAGLLWRDI LAKKHIHVRRLDFARVNLPIIAIAMSVGCAVLIGEIYIIRSDRPFDIVPVLEE QC762_0065270 MSRPRYPFLFFVLGLLLFPGSSLQNSSPRSTTSQPKIILDNDWN PTAFIAFLLPLYYNYTVLGLASDTANSWALQTGLHALASLEIASLSSCIPVYKGSDYP LLQTAHTFQTYELLHGELPWKGVFAKENTTNEKLGNDPTSGDPRRVVKEAFTTKGYYG YPNVSFAEGSAAEFMVKAVRENPGQVSIFSAGALTNVALAVRLDEDFAKNTAGLYIMG GYVDRFMEQAIGDVLRADLVSDINFIVDPEATKIALTADFPNITLVANSANGVIPNQA FLNELVAVNDNPLSRLVRANQPTYLPFWDETAAAVMVDREAVVLDEVEVYVDVDTSYH SPFYGYIRPYQAALMPPGLRKVKYINAVNNTKVAEMIKTVVQFPPKGCADLHG QC762_0065280 MADELARLREALAQAKRQASEQQRLREEAENRAFDEQRRREEEQ RRREEEQRRREEEQRRREEEQRRREEEQRRREEEQRRREEEQRRREKAEEVARKSQLQ ALEGYLETCHSLSLAIQVVTDRSLTTQGDTTNPIGRLYPQRIAEWHDFPARQEEIWEQ LSIPSFADNPVFPSQHQMAYVESLINPISSEQGLRSFERDTVENAAQKLFAAVSENTQ LRDSLGLRGTVTFESHTNLGTVDDTLSKPLERMSLAGSRATDTALTTTTAIRKPRRGA KGKGNRADQFCIYRTADGANIPAMAIEYKAPHKLSQDEIVTGLASDIQPRRDVINKDC EGFALASRALAAAVVTQLFSYMVGKGMQYGYVCTGQVFVFLYIPDDPATVFYHVCVPN LDVIEDDENRLHRTAVAQVFAFILQAVLTPPPPQSWHDAAERLDIWDVEFEDVLSKIP VTVRKDKEHASPYKPQRWRGFTRSPIRTRLSCKQASIESGLPHEDDDEPPPPSPTADR LTRSGRKPATSGTSGGAVATSDVSPGKGGGGGRGRRPDIQDRAYCTHQCLVGLALGGP IDPSCPNAPYHKPGHISRVDFLHLLRAQLARDRGRDADSAPLYLAGAVGSLFKVRLST HGYTLVAKGVESANRGRLQNEENIYNQLSVIQGRHVPVCLGLIDLVLPYYCDGRVSEH FLLLSWAGQPLSKCVDRVDKVVAVDAIAIAYTELHRLRVLHCDAELRNVMYNRNIMVV DFERAEVRSRQPLGPLNPNGQNRKRKRELPQKQGKDPFTEELQKVVKDISGYFGQS QC762_403977 MSTSPQFSLASAEHIDPSPGDNKALFEQIDTLAVEDLHNERSPP MIPSSATWSEDVMAELSSSNLKSILGEDRGKAIPCIPKTTRIVIKARGGQKSSPIDYL EDDLATRQYGPTDSEVDNAARFAR QC762_403970 MPGSSLWLLPPLTHPLYSILTKLISSTLPSKCPSEAASSPKVKP HYFSPHMTLTSDIPPSVYASDPQAWLDSIPFPSAEHVKVRFCKVKSQDVFYRRCYISV GYEGVKYLAGISRARGVFGEEDERGEKTKEWLEWWRKQFGPHVSLMYGDVPITEEKMR EVSRIIVDAGVALPDDGQAASGERGMYDGWDGGVVWLVPSDGPIQEWKPIAVREL QC762_403960 MPTTHLGTKGQPLIAAKLALGTNNQNSQPQADAYIAHTVLSKGY VAYRATLTNWLPNYQYLHRAPCLEVPVNGGEHWRHDNQSQVKRDTTLTLLPHKRLVVS EDFPLGSPWPSNCAAKKGHLRDVKALSSSSSKLTGEKKRENKAVKETVWWLKFDCRGV PEEMKGAMQEEDEEKERVKQRQAEKARFQGGRMVQRGNAKVWRKEPRFRGSGRVVTLD DPVKDVKELAFGEQILPSDLWRENRRWNAPTVEEGEGMRMEDIIREEPAYTIRTVQRR TPKKNVKKTIKKRVSFKTPLVEEIPYLQPPELLLPDSASDCGLSLGSDSGCEYDGFLD FSEDEAQGWVPVMVREDQKEEEEGWVSLTGSWMMLGGVPEEKKMVKL QC762_403950 MVRLHLPFATALAHSISALASAIPHVSPNTIIDTRDVSSSSIED TVLAKPNTVILKPIRFPRPVNTRRELRAAFSLKSEETLYWSGEDGTIAKLRIETAGEN ENLVNLELIDDLITQVSCPQTDGELKLTFSKEADFDEAEDIWQWVNKKPDNHFFLLVG DGACGNNTERIIYNVTGLIYNDEKETVILSVEQTTWKKAAHTFDLTVGRPAVPPSEIE KRQFFKDAWNKIKDGFTKVGEKIKETADKVIGKAKEKVEEIPAVTVPVLGEVNPFDPA FNPDFSIPFESNLTAKTISLSRDQIDASATCISCFTTGSLLIEARFAAKAFKLSEANV EISLSDELAATAIVALKAQGSVLNGAALSQSIPIFEYSPAGIAIPGVLTLGPTVAISL GAELGELKGSLGVTLGGTASLPKGSTAKLDFLNEARMSKSGWEITFEEQPLKVDANVE ARASAFLKGSIGMEVSVVETGFAAELTVKAPTLSASLKTITCLSALPQETLIHDWL QC762_403940 MLDLVTSIASFAYQTFLIYNGLGDDAKDLAKEIKSISGKTSTCK KVYERVYSGAIRVSFPEQLQRRLITSLERCQKTLSEAEEKSNKLLKSRSRILLQVLDP DEVKRLRDNLRSRDKDLRSVVEDIHLEIGAQTLKDVRQIKDMSYQTKNISRQARDTAD QTKRMTSKLQSDVQKNGTVACQTQATVLNIESQLKKLIKASCAPSPGRNLQIGFRHCD PDQSDNKIALVSFLLDEGADPKLTTEGAQSTSLHLAAYNNNVKALKAIKRSLVPEDDG PADAKTLAARATKLPVFTKEQEVTTLPRRPSTSMSTVLTVQRYRRHKKEWKSLLYKAN KNGRNVLHMVAWGAAPEAAEFLVSEIVRERLSERLVREQDDKGRTPWDVLSGKFFRGD KDGRVFRKMKTALEKAGAHLAAAQEKELAKEVQAKAIPQVDEQHEECVKDHSTPATTP EPASKQV QC762_0065340 MVYKMWDNAFLTDQPSSISFSATVSPPVVLIALSLLFLLSKIPG LLPDRPTYTLFSNSIKMATPAPQAAAAPSTQAAGPISDADVAEWKDKFNKVFAAPSEH FNSKSPATAQPWTHNFWNFIKPLETCLMTWCLPCVVFGRTHHRVNKSASLRGYEPINT SCLLFCGSTAVCMQWLPMAIQRADFRAKYNLQGSCAVDVALACCCGCCDIVQMDKEAE LRASGEQSQNGIQEQYKAAEVMVVPEQKQ QC762_403926 MAPTIDWPSWRTIILKEYKRRHQQSLEKASHGVAVQIKPMYDRL KMATQKAEKDAEEVGSWDKELREVKEKILRLDEKKARAMNYKKTVEQKRTSLLYGIAD SLALMESGSRAMNSCIVDQYAIEMSPQPSSANGGARVVVDIDCLSWRTSRRGSSPMWP TWTSCTSTARPRTGAEPPSGEESLRQVSQVTLNLLCPNSFSPEQRPADLTTAAAEAPP AGTSSQSSKSNSSVAKQSTSTVGRSNEHSIPASAPRNLNIESFSLPTLRFQPEVNGPP FTIIRYGSRMVLVHLALGKI QC762_403925 MRVAQSLNMALPRTIPPQLPPLLPPLQPIPDLSMIKDGKVSYIG EKALRGIYHGCIKKNAVMKEIFSQQFIRPAKVWTKPVVGVGVKRDHRGNPMYNWQPNA NCEAALAHSRGDIAPEPCDRCREGQGRFAECVVMPGKFKGACANCRWAAKYWSCSFRR Q QC762_403920 MSDQIQLTNHKQYDVIVVGAGLSGLQTAVKVQHAGFSVIVLEAL DRVGGKTLSVQSSSQGKGVNDLGAAWINDSSQSEIYKLFLEAGLKPEKQLDEGNTLRQ IEDGSYISVPFGQLFVDEEEAAAFAVLFGALRKVTDTSDLEDPITGPDAKRLDSLTFA EYCKELLESPSTPIIATYLARALLGVEGDEVSALFMINYFKSGTGILNLVSDGPDGGQ YLRANKGTQTISKYLAAQLPSGSIHLTSVVKSVSQSAAGVEVVTTANKTFRAARAVVS LPSALYPTISFSPALPPSKSILAEGTAIGAYGKIIYVWAIPWWRKAGLSGTFEAPLSG HVSFTRETSVPEDNQWSITCFIVGDPARELSKYAQKVRREKVWAQFKQVFEGSGVLKG AKVPEPIQVHEIAWKRNQYADGAPTAVMGPGVLTELGEVTVNPLREPWRRVHFVGTET SLVWKGYMDGAVRSGIRGGEEVVKALREEGR QC762_403910 MKTKSALHSQPLTMRCTLHQIPRLPFTRSCRTSFLPASSRSLCA ARQIQGPIGVEEFRKQAMEKNEPLLIKGQQEASQAMTKWFEFHPVPRTINKTAVALSE HFTHPFDVKMAPYELTVPTWRPRGFDGDNVDRFISWMAKTQTGWPHLWLSSYLHDIKQ QLGDEYDEHHRFLRFEAPISLMSAALRYNAVKVSFQRVTQLYIAQAPISDLPATLQDD VATPEIVLKAGKGDVYGSSIWLGLEPTYTPWHCDPNPNYFCQIYGGKVIRLLPPGLGK SLFRKVQAELGQTGSSTIRGDEMMQGEERTLLTKKVWTEEAPEEMMEVDVSQGDSLFI PKGWWHSVKSVDYKGDLNGSVNWWFR QC762_403900 MSTSSGSPESWISSFCSLLGHEYFAEVSEDFIEDDFNLTGLQSQ VTMYKEALEMILDVEPEDDEDDEDEEEEDEEDISGDGRDGLAGRHERRHHSRIASDLS VIESSAEMLYGLIHQRYICSRAGIQQMSEKYELSHFGVCPRTNCNQTRTLPVGLSDTP GEDTVKLFCPSCLDVYVPPNSRFQTVDGAFFGRTFGALFLLTFPDYDLTKSGGEQLAN LTRTDESLNINGMWARNIAPGLGKGRVYEPKIYGFRVSELARSGPRMQWLRKKPEDIT VLDEARHFAEEDGDSDDDDENMNMSGRPVARRRRPGNARVKRQGQNGSPMATEANGAE SEL QC762_403890 MSTEPGTGKEAIVEAVVTEKAPPSPSSASSRTQNAADLVEQARR NSPNGTTRAIGGVSVEQAVSDFAQLQRELSHMSRVSHSRSHADAEKGNAQTETSSETF EQFDLEAALRGDLDAEREAGIRPKHIGVYWDDLTVKGIGGQTNYVKTFPDAFTDFFDI ISPVRKLLGFEEKGVEATLLDGFRGVCKPGEMVLVLGKPGSGCTTFLKTIANQRYGYT SITGEVLYGPFAVDEFGPYRGEAVYNEEDDVHHPTLTVEQTLGFALDVKTPGKLPAGI TKQEFKDKVVTMLLKMFNIEHTRKTIVGNSFIRGVSGGERKRVSIAEMLTTNACILSW DNSTRGLDASTALDFVKSLRIQTDLYKTSTFVSLYQASENIYSLFDKVLVIDEGKQVY FGPAKDARSYFEGLGFLPRPRQTTPDYVTGCTDAFEREYQDGRSPENAPYDSLTLKAA FKSSKYAQDLEQEMLSYKESLARETDKHEDFRVAVRDQKRRGASKRSAYSVGFHQQVW ALMKRQFLLKQQDVLALILSWLRNIIIGIVLGTLYLNLGKTSASAFSKGGLMFISLLH NAFQSFSELAGTMLGRAVVNKHRAYAFHRPSALWIANILVDQVFASTQVFVFSVIVYF MTNLSRSAGGFFVFYLMLLSGNIAMTLFFRVIGCLSPDFDYAVKFATVGITLMITTSG YLIQWQSEQVWLRWIYYINVLGLIFSSLMENEFSRIDMTCTAESLIPSGPGYDDINHQ VCTLPGSTPGTLELSGSSYIDQGFSYTPGLLWRNWGIVLVLMAFFLFVNIVAGEYVRF GMGGNQAKVFQKPNAERKKLNEELMAKKEERRKARAEQSDSELKINSESVLTWEGLCY DVPVPGGTRRLLDNVYGYVKPGQLTALMGASGAGKTTLLDVLAARKNIGVIHGDILVD GVKPGKEFQRSTSYAEQLDVHDPTQTVREALRFSADLRQPFETPREEKYAYVEEIIAL LEMETFADAIIGSPEAGLTVEQRKRVTIGVELAAKPELLLFLDEPTSGLDSQSAFNIV RFLRKLAAAGQAILCTIHQPNAALFENFDRLLLLKSGGRCVYFGDIGKDAHVLRDYLR RHGAEAKPTDNVAEFMLEVIGAGSAPRIGSRDWADIWADSPELANVKDTISQLKESRI ASATAAQKDPSLEREYASPLSHQLRVVVKRANLAHWRTPNYLFTRVFNHVIIALITGL TFLSLTSSRQSLQYRVFVMFQITVLPALIIGQIEVMYHLKRVLFFREQSSKMYSSFVF ASSLLIAEIPYSILCAVLFFLPLYYLPGLQPEPVRAGYQFLMILITEFFSVTMGQALS ALTPSLFISSQFDPFIFVTFALFCGVTIPPPQMPAGYRTWLYELNPFTRLIGGTVVTA LHDLPVICLPEELNNFTAPIGQTCSEYMSNFFSRGGSGYLVGGGNNTSDCSYCAFEVG NEFFEPLGFSYDYRWRDLGIFIGFIGSNMVILFLASRFLNFNKR QC762_403880 MATTVYVKNIAYNTEDKEIKDFFSFCGKINSIDVTSEGETKSAT VNFEKETAARTALLLNHTKLGTNEISVTGGSSSDDAHPDDSTTDRSPDAGLTQEEKPR ARVLAEILAHGYLVADTGLETAIQLDEKHGVTNKFVNTVRQLDERTHATDKAKAADAS YGLTARANSLLTGLASYFEKATQSPTGKKLVDFYTTSSKQVQDIHAEARRLADLKKAE HGGNAYAASGLDKVFGRFTGGAAKSNEEVPGGAPANAAAVESETKPTAPAGTGESKVI H QC762_403875 MMKLTLSSRQFPDGGADVSYGSSCVYKKLCFTLTQLFLDTNNAP QNTHSLQFLQDSQSFHHNSYNNYQTFNMSDRAAQSPPPEEQSGRQLNDPPASGKGTDD ASNKEQTNKSDLENLSSNPKGPMDDEVTKKFAKNTKMGESQ QC762_403870 MPDLNSVPPSPHFLSRRASQQMPPPPAPSSLILPSNQAAVHNPN STSSPVLPSPQFPPPISQLPGSTMTTGDNTGVGSGPGPTRHPRPPTAAELHSELEKEQ EARINRLTRELATLRALHNESVVSNASSTSATGTEPGDPRSGRHIRTLSNTSTRSNMG SVSTTSMAGISSPAPIRPSPYPTTALGGVALSRQGSTTSRRSRAGSPAPLVYGSSYSN EPTLANYFSSRVPHVSSSTSVLATPGSTSDLSPGLIPATDRYQETAFYREQLEATRRE NDELKKRVRELERMVRARRGSDVSGSGATPGAGASRRVRSDSVSTTTSVAASVATSAT GAGGISVAAQRRPRITSATSGQGDGGALEQEVRVGESASSSGLQRGVDFPAR QC762_403860 MPYFPSPTPGPSVSIYYIDESPPSSTPTHTCLLIPGITCDLSDW SWQVPFLLSKGFRVITPDPRGQGRSSAPPTAVDEGIYTPENLSSDLSALLAHLDITSN VIVIGHSLGTCTSVHLAATNPDLVVGLVLLDPLHSMSSATCDELFSDPATTMQNLMVN FAAKGLVPIPPLGPEWDWHTTWIQRRGMAMHPSIIGAMTYACWTNKNGLGRKEVAMEL SKGAKAKRLTLGCSEYWVGTDREMGVETVTVEGVGHWFHHVKAQETNKILEGWLERER FC QC762_403858 MWLAMSSARKYSPGQVIVATTAVDVAQASEQVTGETIPTAPPPG LFTLVLNSQLSLLLRSCPALSAETGLQQHNNNNNNCAKYQQRTIPRLFKQHLHTTQTI LDVFAKMRVSISSIPRSTILIEVLAEEDRSLFDKLDLTGMIRDNSKSDALSFHIKAFF DQLPLFPDDSTPSPPKPSPKKVAFSSDSPHYKSPTPSKLRKKKKLFGIPTIPTVKTHK QYTCPEATNPLSVIWPDNSNPLPTDSRQPTCHPHLRLSCTDPSPWTTPDENPPTPCHH TSWYAATSTLFQHASKLLASAFTKQNITTLSAPMANLAEAQTLELNILPKLHLAYSLY TAHVFITGRFRKLVIHICRHCTRSLTLANIQILEHKKPWTLLEHWLEKIKAVYDALNT MHLWLFVAAKKLDKAVKYLAEAGNVRMKGGDGMTRVEMLPLYRCMIEVRGWIRREAIQ GVMEDKARVKEVVGRLMGVLHPLTGLGYDKAVVEALGGLWKVEQEEKEGDEKGEEGSD EEMADGRESEDPDDGAGNSDESDDFDDSGESDNSDGPDDEDQDEANSDEEMKDDETDI GEDEISDEGIEVSMSNAVEDDLGELNGDLDDDFDMEMEEQKFVIRKAILEAAVEVRSV CETWRNHAAFIQAIERGQLNDHQD QC762_403855 MPDLPQANHLCPTFNRNSCDKTPQLYNSCVLSTPSTPPNTFTMA DQYQSGHQEVIPCLLSDYDDDVEAHRESFQAFMTRQAEEHWATEIRALDIEGSADRIA TVIEQEVNRLAKKLDVIATAMAAEADGAQANRLLAMIPTKLNLMARLEDLAFSRLQPV EGSAERPLAIEDVSPSTSQGSTQCEISGRLDGYDRHVSQTPIKKRSESPIKIESPTPT KRRRVNLSEDGASGVSEPTPTLSHALHTSSPSLPQALLSKGGNSGSGHQDPSPSPLLS QSKIAPAHHATPSNQRYDLSKAAPPSAKKQPAQTKKPAQASKPCHGKPPCISRASLHE VQHREWIFNFQSSGWCVLRCGRFNCLTQGPVTGGIFDTGSVQQISAWVNNSNDVIRYT NMNTSEK QC762_403850 MSDTAENVSILALAEGILSKTKEITAYLQSNNLPASTFSPSSAS PPNKADYRELQGSLRTLLEDLQRLVDGPALFYRHFLMRGYEIAAFQIALDFDFFTLIP AEGEISVEELAKKAGLDTDRTGRVVRLLITHRFFQERRKGYFSHNSFSYALQQDDEVR SMVHYSFDEMIKAAAESSVALKATPNESDSVHCPFYARHGVPIFRYYSKHPEHAGRFA KAMAGWRKMENSITELRDNFNWGSIKGNVVDIGGGSGHVALILARTFPHLNFIVQDES DDMLAQGQRLLTDDVRNRVSFSRASFFEPQPYKGASAYLIRQCTHNWADHDVVTMFRS VVPGLEGSPEGTPLLINDIVLPEPGTVPRYWEREMRQADMVMLVSFGAKQRTKQEFEK LLKEADERYEIRKVHDKGALGLLEVHLRR QC762_403840 MSSSSILEGPHEPVAIIGMGCRWAGDIRDPSGLWDLLKNKRDGW REFNHPRFSAKGFYHPNKERPGSMRTPGAFLMDEDARLFDHSFFGITGREAETLDPSQ RKLLEVVYEALENGGETWESISGSRTGVYIGNFALDHILIQARDWESPKSYAATGADT SILANRISYIFNLHGPSLATNTACSSSMYALHMAVSAIRSGDCDGAIVAAANWISDPS MQFVLDKLGALSPTARCHTFDASADGYARGEGYAALYLKKSTIAVVDSLPIRAMIRGT AINANGRTGGITRPSIAGQEDVIREAYKHAGGLPFSDTTFFECHGTGTQAGDPIEVTA VGNVFASSRSDAPEDRLLIGSIKPNLGHTEGASAIASVMKVVLSLEAGQIPPTFGIEE LNPSIDFAGAKVEVVKDGTIPWPEGKLRRASVNSFGFGGANGHCIIDHVNVVLPDYIK PGISGAGTSANGHTANGYSNGKAHEGNNGVVTPPADHSPLTTKPRKTTKADATTRDLV LLPFSAHTEQSLKSNIAALSRVIHQWPLADIAYTLSSKRSRFQQRSFRIVAKSDIQTG LATDRRILTSPLRPANIGYVFTGQGAQWHAMGAQLFEYAVFRAAITHLDRVMDALPSR STWKISDVLSGNCEPDLVLSPQVSQVACTAVQIGLVDLLASWSIAPAAVVGHSSGEMA AAYASGYITAAEAITAAYFRGLAVSQNKAKGAMLAVGVGMDAAMEYLNGKEDRIKIAA INSPGSVTLSGDEDAIESLSASLNEEGVFNRVLRTGGNAYHSHHMVALGGQYNDMLSK GLEHIDNIGLVDKTQRYPLIRWVSSVTPDEAMAFEVGASYWRANLESPVRFSEAVGGM MSLEGDQAVDILVELGPHPALKSPVDQILKSIGKSAPHVASLKRGEDSRESVLQLAGT LFSLNAEVDLVAVNAVDDGLHEGRWSLAHGCTSVDLPPYQYTYGPVNYYESRLSKEYR LRQVLRHDLIGARLPGASKLRPQWRNILRLKDVPWLGDHRLIPDAVFPAAGFIAMGIE AATQVYHELPKAYEITGYSLRKVDIETALRLPEDDRGVDIILSLELADTDATVKSPGW SRFTVSSVSRDSDEWTEHCTGLVKVEISEKPAVASKMGSLTDPRFPGTRAWYNKFTEI GIGYGPTFQPLSDIRADPNQNLAQATVALNTTDNTIEGGESSYALHPAALDGTFQLGL IACYGGQLERAYTAFVPVHLSSMYLKAGLSPHTPATAIAHGQTQGLRGAYIKLQMTDE SGNIVLDVDTLRCLSFKESKSDEYTMQSKKALSSPFTRLTWKPDIRTLNNDQIRALFP PPQENNQGAASLEVVDMICCLVVADIYEVFIKSATSVPQPKGELRHWVSWLKWCVEED NRENMVEAKSLPADQRHQLLKKLYVEAGDRPEAQAARRLHENMGEILAERKTGIDVLV PDGLLTALYETGHVIVGSYPQLRNVLDCLGHANPNMRILEVGAGTGAGTRVAMGALTR SNGIKRYADYTFTDISAGFLTVAQEFMSGYRDVNYAVLDIGEDPLANGFEPVYDVVFA CEAIHATASMDVTLENCRRLLKPGGRLVLVESTRMRVLLGLLYGTLTGYWLGVGDGRT EGPFMDLETWDRRLRKAGFSGTELALDDYNRPHNTTSILVSTRVEESYVVTNKGTEAK EGPAAVIHLLHGANGPSPLLEQVSSEFERCGVKAVASSINEASETVQPNARTVVFLND ENDLFDTENASLLNSFQHLARNTKSMVWLTSSGIANGRDPRAAFMIGLLRTIATENPA GRFLSIDIDAETFGEQDDTLIRNIVKSELALQNEDASDEEGSKDREFVWQDGCMWVSR VVPDTELGVYINLSKTPTSRGSQMVPIGSQGPVRAAFETPGILTSLYFRAYTELLQPI PADYVDVQVAAVGVNWKDLGLTSGRFDATGSNLSSEYAGVVTKIGAAVHGLSVGDRVY GVGRGQFGNYTRVPAAFAQKLEPGDILTEMATMPLVYMTAIYAFDYVARLRKGQKVLL QSATGGLGLAAIQVARARGADVFATVGTTEKVSFLVDTVGIPADHIFSSRDPTALSNA AKATGRGGFDVILSTVVGGDFLCESLKALAPMGHLVDVGRLDVLEAKDIGLEHFQRNA TLTSFDLNVLLDNDPELGRELMQTVNDLYRWNVIAPIRPFAVHDVSELDRVLVGLSRG THIGKLVVSFDNPASLIKLVQEPPAAKFDSEARYVVTGGLGGLGRAIIKWMVSRGARD FVILSRRGINTPAAKLLVKDLESQGVRVEAAVCDVSKRENVVKAVRNAASGDRAVKGV IHAAMSLTDLSFDKLTIDQWRDGFAAKALGTLNLHEATLSLPLDFFVMITSTESIWAP PTQAAYIAANSFQEYFARYRRRLGLPASTVSYGLVADVKSDFLHNSVGTDDMYVRNKT MTITEHQVLAQLEPAFLPAETTCWVGQDQDPLSEANILTCLDPVGLAELASMNDHIPR WYRDGRVSVIMRAMKDAQRQASGADAAQDGAGRAGKSAVARLRSSFSEGIKGGAGARA NTVALVTEGVIQTVAGMLFIDASTVDPAKSIAEHGVDSLIAAELRSWFHQALKTNLKM GELLDAQTSIKTLAENIVDAALKE QC762_403830 MAGSYDAATYLLDKENIRDTVIRMMFAFDDAATETLINDVYAPV IELSYDKLLLGDEFHQKKISSEEWAKSLEHMHDKFDTTEHIIQNVLIELPQPGGGVQR PKNVKARAIAHGIFYKRDGGEGRPCVMALRNGVSQFLARTSWSWSGLKRRRRRGRIRG GLVGWMSRLTGRIGLVLEGQINRCLFSQPRHLLPVSKVLSVERKCLAG QC762_403820 MPSLYCFVALGITLTQALAAAYTNPKDYAQMPVPRQSPLPSESV QQGLGPLLSKNASIFGPDDSRWSDATERYQDFAVPQVQVVVQPGVEDDIPTIVKYANE HGINFFVVNRGHSLTSTVGRFNGIQIDVRSLTDISINKDAMTVKLQAGARNYETIDVL WKEGYVTTTGSCSCVGMVGPALGGGHGVQQGVHGLIADNLICLNVVLANGTAITVSET SYPDLWWAMRGAGHNFGIVTSFDMKIYPVTVPSYYYRNYVFTDKHVEPLFEELNKFHA NGSLSPTWGGAFGVYTMEPSVSTTEATIFWTFIYGGSKEDAAADLSPFDALGPVAVDE GDVPFTSISDILISGLESDLCAPSKVHITGTAGLQVYNVTVQRQLYDLYNKKVAKEPL LSGTKLVHEGYAVEGVLRGKPEDSAFPLRDDNLLMYFDAMPPPNSGLENFAFQWARET EDLWNAGEGEWRKPTTYVNYAAGHESLESMYGYEPWRLEKLRALKAQYDPLNKFAYYN PIVPLES QC762_403810 MALLNIYTTLTSFLSPTATLWLAVSLTVLGSYLLYQWLLPKPLP GIPFNTEATKSLFGDAPAMSREISVTGEFSMWLAHQVERMGEPICQVFIRPFSKPWIL VGDFHEAQDILMRRTEFEKPQFLIDGLLALGDWNARYKTNDPTFRARRHLKQDLMAPN FLNSFMGPFLHSEGLKLVKLFEAKMKLADGRPFSVRSDYYHAALDTMVHYAFGGNIPD SATDPQLETISNMRPSQIPPASKDEPVIFPETPISPFLAAVHHAPDVLEKTTIAWAPK LSFWWWSQQPWFRKIFSQKEQVVPKQLDVAIRNFEAGEVKTALEHHLMREKIAAEKQG REPLLNSHIMVDEIFADMIAGHHTTGGSMGWVTKYLTGYPEAQSKLRDALYSALPEAV AEKRFPTFEELRRARIPYLEAVIEETLRLTPFSMTREATEDTEILGYKIPKGCQVFMV NAGPGYLSPSLPVNENARSPTSKAAKRRPHWDESKDLKLFEPERWLVTKEDGGVEFDA GAGPQLGFGMGIRQCWGRKLAHLEIRTIMALVVWNFELLEIPEALGGYAGFDGISRQP QKVYVRLRKLNSW QC762_403800 MLGKPSLDPGEVDTSFSTLNAESTMQSSQRKRVVSSCIPCYTRK QKCNRQYPCNHCSRRRRPEQCAYNPSQATLPPSPSQTQKDHLHDDEIQTDESQQEAQA ERRPSASSSVQDTINWGGLKEGREPTSLAEVFGYFENSKSNTIALVRKLGADDDATGH SSEPVPVPEETAIIAQRLFASMPNRSILDFLVRYFIAEVSWMDQLIYPPWFLSHYQKW WEMERTSTAYGIEFAVLVLRICSYASQFLPSPTCTIDSIRGVPLADIRKSCDRVADAL TPICSRLDAHGSLIRVQHVAFAGLRSLCQGRTNAHWEALSCAVRVAQRIGLHVDATSG FYSPNMDELEKEMRRRTFCNLYVWDSVLSKRLDQIPFLPDALNPDTMPRMHLVLGLDD VPQADAPDLFTERVLEAQLANFWRTHGSGNATEYDPIAAEERYEKFCSEFLPEIPPVF ALYPDTCDKWDTRLPTLPLQRQMFHMAILESLCHNFRPALFQEANSIQQLPAYKQILL SSHKRALAVIALNLLEGVSALHLMMGGSHTRHASLIIPTFEAAVVLLCLCSDGNFPPK AETTLRRGSTTSMTKSSDPFGVGVTDVTKDECIQAVRSALGRLQTLADMNHMAEVGAR TLTRLLGKIEKISDTTVSEANTLMRLDTDPIVVTDPALQLSEAWNCLQTPDYAEVEFL AENTPTTDMSVFSWF QC762_403790 MITTCRSLTRKGEVPISSPFVSTCHYPSPTLPHLMDDPTPANNT EIAALGNGRDMEKVEKSSPSRSSSPQQALTAEDEPQRKITGARWAAFVISTLTAIFVY SLDNTIVANIIPVVVNDLNGVDKLPWLSVGFMIGGMATILPFGRLYTMYDSKWVYIIS FVFFLAGSALCGAAPNIDAEIIGRVMAGAGGNGMYVGLQVLMSMHTTDKERPTYLSYV GGTWGVGTVCGPAVGGAFSLYNWRWGFYINLLFGAILLPTYLFVIPSANPLPNKKQSE KLALMDWVGVIISIGAMATIVMAINLGGVQFPWNSGSIVALFVVSGVLWIAFALQQSF CLFTTEHKRLFPVPMLKQKMPVLLFIACAGGSAACYMSTYWIPIYFQFSKGDSAIYTA LRLLPFILALITIMPVSGHLISRWGWYKPWFVGGSALTLITAALMAHYINGGTPVGAF YVIELFLAFGIGAYAQNAFAVVQSVVAPKDAPYGLALMLVGQLTGITFGLSISGAVFI NTATSGLHDALPQIPVEELSHVVAGASNQVFESLSLEQRSRALEIIVQSWNKTFICVY VAAAASLISSVFFKNTKANVNTAAVVL QC762_403780 MTVFASTVTSEQAVAAFAPQVKGRTFVITGAGQPSIGSSIAIEL AKASPAHLLIASRTAENVHPVIAAIREQDPSVKTTFIQLDLSNHDSVRRAAQEILAAT KSKIDVLINSAGNMALKKYTLDKQGIEMQMSVNHVGHFLLTNLLTPALLTGAKSPYGA RVINLTSVGYQISPVRFDDVSFCDGKTYDMWTGYGQAKTAQILFAYGLTDRLKNRGVV AFACHPGSNLDTKLGSHLVMDDYSDVLPTTKRNTGQEFSFTVGDEPRFKTYEQIGATP LIAALDPDLAGRGPAYLQNGEVVEPVARHAVYDKGGVDRCWRLSEELVGQKFEY QC762_403775 MFPKKAMRVRLFKPIQQAVSLAFLVQHVVSAVEPLGVTWINPPF DDLTGIPQRFALGSKILIEWSVGMAYSDSLTIEIWHLRPGPWDSGSDLIGTLLKDIYY SSDLLVQEWWDIGDHDNINNSILFDSREFRLRLRRSDDPNWKSDSGMFYIQDNPHPDA SSMTPTTSKIGTVTAPETLENEALDSSNELSQTAKTGIGVGVGFGSAVLIVLAILTTR LFMIRQNKTKQADISGEDVETHDVAKLTNIILVSLPTHELAANKAQPMSWSRTNITQH MSLLERNTRTWWLSCRLSQVLKRGKGNMRLNLPGQALRERIAVNGRPHSFCGANFTFK HCCQLENGARLGIDVMNMFSSLECKVTARERLAGSR QC762_0065570 MDVAIPFGLPRGVSFIIFWVLYTSLARADRFTNPPYDSRDLQEH YAIGQKIQVTWVAAGLRKITLEVRQWTQKRDGDVIGILAQDAQNQQTLQWVIGEGDNI DSKAIANNPRFVLVITDPPPGNHADIMIEKYGYENGSLWSRAFILEDVAQTSLMTSAT STETFSTLTQPTISSTVFPTSDPSTPVNNGDLPQTAKVGIGVGVGLGSLLLITLSVLL TRFVLKRRGRDSKADFASVPNEEMVHDVGKPPLYSSPFVVHSVNGHSNAAELATQPTS VEAGTEIGYLEG QC762_0065580 MRRFSPPESPVVSPTTGSCPNIMPMMANKNMKPAAMKSMMATPV TYIPPLCDGACGTTPRSQQPMWSMMWQEIMQMMRMMPNMCWAMLTQRKHMSWADMGDM MIHTMLTCMEMCMMVMAVPLWMMMPGAMFAIWMCTCALMVMGMCWMLNGKEQMHMCAM ESDGWMMGPEMDNEKWMFMGGMGMSSRHCHQQALPMLSRMFNRPMMCICMPTWGMPFD MMFMMLQRCMVMPSQVRRNLYAQMRTALLDDSIHRCVVLCHNDSAVLVSQAMAQLCSD LPAEKMCKLEIYSFGAAACEFMMPRGESTMDSEPAHHQSMDMMMNDRKGVHMEHFAMT TDPFAQMGVLESVRQNMSGRFCGGVFIMDNKKAMSMGKMSQDAMNMQMMCSGLMMEDY MMMMFSAQMSMGASSGTPSSMDSNMMIDRDCAEKREIAAMSNYYAASQTKKGSKRLSW TGLAATAGQKNGVSAGMIGLEQARKGCKGCNGHKAREVSWLSRYVSMGHMMDKNMSEG NMARSP QC762_0065590 MAAGFMFLLAIMGMMLGHEPVVGETTGDSGGENRRMTLRASKTN VVEFPEPCSSRKRDGQITTTWHSRVAIIWRASNVSKNSRTFPEKDEARLDPTEQTKPM LSEVFGVMLHFYHRTMR QC762_403765 MKTAIFSLLALATSALAGPIVTESQLSPRQLESQADQLDTLLAL VQTHTGNINSTTAAVQDNPSVDQQNAAAAALAPDFNAITSALTSATTLLAKRAWEDTV IFARTGGDDKDGGHGGGKDGPNKSCAKECLLVKIELLVWEIACTIKLVIIKLGLACVL QILTPLLLALVGLIKALDKVVLGLVIVVKALLHTILGTVAGALLALIIW QC762_403760 MDAPEAVGPPVKSLSHIFRRNQLRIQSPGPWSPRKWPPKSPLGM YEFSTTSARNKEEGISEFTTKGGRDKDNNAGPTIWGFRRPTFFLSVALAVVILIAIIV GGVAGTTAVANARSESSLCPLPTTVTITSPPSPTQTTGPEAITVPRLGLLDFDCGRIA LSRQIITLGTNSWSFDVNCMMDFKGVGVDLTGMTSYTFEDCIKACAIYNNIARNNTCV GVGFSANLTTILPKVGGNCWLKGYLPEMSPEMNLGAVAVVVSGPKFMVEG QC762_403755 MEASHPGWNTSFHAQLVGMGITTSPKASVLTDEPESYGCLTDRV SLTWSREIAQGIDYLRRVSGMAKSGPGPGNCGRVSCNWNAAIWFCNDNKYEKEVEWNS IADGAEWVLDRCTVKQQWVAGVSTYTDGWNVRVRSDVC QC762_403750 MKCLKNIGRYTSFWGPNPRSAGGGSAAGKTPKWKLSTKKAAISV LSAIQTLANPHTSRNHQKSILIEQGHRDEFVPYKAPSELPFYGRSPPVYPAPTANGTT PLWSHPHHLASLLVSNLTLQEKLNLTIGHAGPCVGNTAPIPRLSIPSLCIADGPASLR GQEYVSAFPAGIHLAATFDTDLMSLYGRALGREFHNRGVNIALGPVAGPIGRIIKGGR SWEGGGPDPYLAGKLFGKVTRAMQEQGVVAVGKHWVGNEQETRRRLDWDTGRHAVSAE VDDRTLHEVYVWPFMEGLKEGMGGVMCSYQRVNHSYGCQNSKLINGVLKQELGFEGFV VSDWDGQVSGVGSANAGLDLVMPGKGFWGSSLEEAVRNGSVGEERVDDMARRVLAGWY LLGQDRGYPERAIWGNLERHGAVDVMEDHGELIREIGAAGTVVVKNEKGTLPLGKKRR FVCVFGYDAQVKASPWTNRDRYGGGYEENNGWETFNGTLITGGGSGSTTPPYVVSPFE AIQHRVRKEGGILRWDFWSGNPTPYFNADACTVFINSYASETFDRKNLTDKFSDDLVL NVASWCSNTIVIVHSTSIRLVEAFITHPNVTAVVMAGLPGQESGNSLVDILWGDVNPS GRLPYTIAKSEQDYGAVLDPAGAEDEDFPEDDFSREGVLLDYRAFDRDGITPRFEFGF GLSYTSFSYSSLHTTAKPRVDTNMGEWPEKNKEIVQGGHPDLWDVVAVVTCHITNTGD LHGAEVAQLYLGVPNSEKDGKTPVRQLRGFKKVGPLAPGETKKVIFELTRRDLSVWDV VKQQWRMRRGEYKVQIGASSRDLRLETAIILE QC762_403740 MNRFRTKKKQKEVEAPTTRSSEDSEQSSLPFRGFRNRKKVQQEE TKPEFDLATALPSTDDFRTSLLMSNLSARFSMLREQDDPNTKIGKASDDSVLYPNRQS RMADFGFAGGAGLADIAEVESIRAATFLRKDSFASDDTDVKGGVMNRSKPLEGNNLFG GRQKVYKIPAGSKAAAGGLGGRALYDDDVPMSAFQKWRQAERERSMERGEAQSDQPEE DVEPTRSASPPPSAYDQKRETSSTMSSASNLARNSTAATSITSQTANSIKDPSSAATS STTANTGPVTRARRLYEQGLNQDLQEQQSSALSRLDTMTRQRPFGTRNDAGAAPSPST AFALDRSVSERRAMLAKASAPNLRTPSSATTASPVSSASSVRKPSPQPEAKTNFGAAP PLSPPISETGEQPFLPIQPNDVGKATALGMFQKPSQPYDESTYAQRQIQLQQGRETPT PKISLDASSNLSPPSQASQGMPPTPVPDSELPTPPIISNLNLSLSTPDLNLQRPADED HPALRQSAMPTPLSVTRRVSSPPPTIQTSSEESEEPVPIDSPTLGPAPGLAGLSGMVR QHLRSESTNSSIYDGGPQTSGVDSRFNTTLFSPSIVNDLASRSNPWMNGDQEWAVSYY GGNPDKEPAPETANPDVTPEAKADITEEEVDEFATQLADARRRVREKLTSYVESDSSR AGSPVPQEAAPPPSSTSLSLGILKSKSSKGSIVERSRNTSAAPSKVTKLLGIGAATMS TSPLPTKQSFDDKDVSTLATMREESRQQEVESQDTRHSSESATSGEPATKPEDEDSSR TPPGLRAFQKARRELQKRKELETLARRQATQTSAAADGQTPLQPPPRADRSMNQRSPP SGDRRPPPVTYRPRAPSDESNYSNSPSGRDRSGSERSEGGGRSHSRPPRLMTNGNIMS HDQLAPCSARTPMMRSPGLPGTDIKGSPIMPPHPYPGRGVPSPAASPMLNQSRSVGNL ALHTNRLGIDVHPGQPSPISPMGLPSPSPYGAGASPSPVGTPTSFGPRIGRQPSVSQS PALGPVNAKRIVDKREISEPTLMSATTRVPTVNLPHQRAMGPDGNDRGRPYPESRSRS SSRGGAPPPLPPINPRRRRDDSSAGRMTEDSGMAAPRLPFASQANHSASSLDHASEDE MGRRRLRKARPSDGPTPPSPRTSGGTRDKSPPFLAKGPLPRMNPGMGPGGMI QC762_403730 MAFIRPFEPKDTEDCKATLPPSLASSPACVAMAPYLWTLQFTHL FPEHCFVLDDGSGRAVGYVIGTPDVFALEEMYPRYVEEVLGSEQGRRDVPPPKQMERL EEWWVGGVEGGKRVNERCLAQTAYSVRWLVLEGVEGKRELVEGWRGMLHIDLLEGWQR RGFGREMIRRFVEGVEGVKGREKGYDYGRGIQLGVAGENRGVVRFYKGVGFRVYPGGE KEGNVWMVRDL QC762_403720 MAGGSRRGTRLRLFTPTPTPAVPILSLFSSLLSLILLVSTICNP SRDQIISSSTYVLSPNPPFGFLTIKKIPIITMSDNGKNPAVTGPKGSLPDGGLINSLE EMLTYQKAKLASMAGSPKVDPKADTPKCESGSEYSKSSSFHEGSKAGKGKKVGKRKGG KGRGGKNTDRPKSPLTTKLVVKDESAAADKEQSVKTETPAGSEYQVDVKVGTDDRVDS KASVKVEAPADIEHEHKVKSESVAAVETDEIPIDVGENDPSIKTEIKEENASGSGHGF EFKSPSSPSIKAEIKEESASGSDRGIDFKSPPSPPKTPVKAEDQAALGPQINKESSGS DYAVTTQEESPVISDEANTMKLAKSSSENLLQNTKGETAGSNNSDVQQECDDSPPVQI RQKVQILTYHRDADMYVRVRNAASLGYGYAHVRKDALISASGAMKAKFGNAANAIMMT DKDDSPFGLNVVFSILHHKYHELGIRPTISELYGLAQVVEKYDVAHVIVPFAEKWLIH DLNFYIIMAGENLNNERVMVMTWIFGEGRWFSRTLPKVAREATLQDGVLTGADGPFAG RIPDHLIECMSKYRLFCLRKLHKCIDEPLTALINGSRQYCRAGDATADIKESCNHQHL GGMISGLTIAGLVPFPEPERYQGSVLDLIQKLQSIRPVRYKVPGISPHIDTHQNCGIR HLQLLKAVGAEPIRLTNELYQDFKRRGQKTGVFSEELYNELKVEEIHPAVDNFKDDQD HFIQEYWELDFEVGQERKRGWCVVC QC762_403710 MNGYHHHNEHANRYHHRPTGGFASRGVPPPPGFSPASTGLPPLQ PAPGRPPPPSAHAIPLTPPPPPPPPSATPLTSIRHQQPIHHHHQNHHPSHLITSTTSP PLPSPSASSIPSETRTLLTFPTPGLPSPRIICPSQPPVRYPPSTIKYNNSDPHHQRHI VSTLQTTSNLRNLSFLFHPPAPSRQQQPTDSNAHLPAQIQTNRWLCCQCAEEGFVDPV GTVHLVGETEEMYSTCLFRPSSCHHKKCVNCVLYAGPASSRDPVRGVKFLVRTVGGLY TSGRFIDPVRWECGVCGEWDSNKIDGGVRMGTGCQGRGCKAQGWGGWGGGRRGVFTRE SIVLNRYGQRLGTADQRVAFEGGPWDWHRRGLGDGRCVLSKGVREVLMRRGGGGGGRE RKVWGVGEQVPGYEYRRPPPLDEDDERENSEYEGGFLAGLPVEGGDKGKGKEMEGRME MEGVVCGGQGNGIDSRHHGGSERREHGFISPSSTTMTTTRSPDTGQGQGAKPRFFPGL SNMRM QC762_403700 MAPSNGTAEKPATRKASSHVVPVVPVLPLSYVKRLPNQPATPSP STQKVAEPSTRPASPEKKNSGDHDATTPSAATIHVATSTSSTTASNSNTPDSSTICSE PTEQPFVSGAPPPPSGHHNPAFEQPARTPAPELPLPAPHPAIANRPVFHHPRPSNGSL VFAHHESNASSPVPHSGGYGFPPPGIVPYPPSAALPVTAVDAYGRPLLVSPTVDSYPP TVIKHHGPPTPHSYHGSQSSQIGDHIYNQHSTMSGTHPTMNGTHPTMNGTHPTMNGTH PTMSGAGPVNGGQGGIRGPQPTTNGVQPTLNGSGPYHPTGGMSPEAAAALAHHMNSMS PYIRAALGDNSYSDCTLDVNVPVSHIFQDHPDCSRLNTAIRTPAHRLMLGRSPMLAEK IGEDGVQAGGVLKLEVRDEWIRPDVFWHCLRALYGWDIAAGSLPSQLRYWSAKDELKT ALSYWAAGQMLLCPRIRGIASDCARRLLGWETISIAAWFVSHNMALGPSLTHDVFLDS TLNWIIYHLPQSFDVDENAGDCGFSRLPRANQSASYRPNPPNAHVSSRVAANPRLSKI RFGDLAEEGFDVDQLYPGSPFTPAQVNGLFSRILLNLPFDLLKHVLEHPNLCSNAGPL PPGPRLRIIDAVRAAREKRRWELLESTDMQVRVYQERIASQPEPVPVVNLADFWHNSL GFKEETFIGDVPFLIRTWSLGPEGSDV QC762_403690 MAASPSSAAGATTANSSPSSDPILRNALRYTISAREYALLHKYV ISKSRALKRRAPTVEKVRNMMDGPQQMPQQQGRKGSIGGQGDKRRGSIKGKDVAPPTA QGADDYNAKAIRHSIRVFMATGAVMKLWGLAQTRLLGKKGDGGGKDKQGGLHKSPTLR LGLSLSTILFLYRVLFRFFTRLRVQLLEPSAAPFRKRNPKTASTLTSPYAPAVGASLA GLALGVYPAEQLRVTIALFLGFKAVEWGWNCAEENGMVWGWEKTGLGGKGKMRERPWW WGSWMLQPLAFGQLLHAAVFDRECFPKGFGNMVERFSLTYLHPKPEDFPAGMQWPTTE VVVDGLARMAKLSWPAFISPTLFPGKEDTLPPGLSAIAPLTSGAHPLITSLSCATLHP SDPSCTRTFLTFWLRSFPPLTRILLLVYSVLMVPKMKSFYHFPVSTIQTLVSNALRMS TFLTGAVATAWSSICFFQSWFPRTFLPTQRMFLGGFLAGLWAIIEQGRQGSRQIFLYS AKASVESFWKVGVKRRWWRAMKGGDVWVFVAALMLTGVVYERDARAIKEGSWRKGISW VRGEGLRDWGLRLREGRRMRNGRKRSEGVVLMERGGC QC762_0065700 MLAITRHAAYAAIVLALILPSALATLTTTTATPAIQTQRQANFP GNPYGVYRPRDCVANSSYIRAETCSWRGDRRGIDPDAAWLRCTIYTDQVKWPKPPSVR TTESVAPRVPPAVE QC762_403655 MEKHSIGPENTRIQKLVEDGNPITCCTLHVVLYVTYLNIGVDGP SKLLRHCPKTSLGARPTTGYLAIHTLIPGNPSTNLIRICRPTCPSSSTCFKTEAASSA SPTHGGELNRHHPP QC762_403650 MDPAHHQHQQSGDPSPRKSASVTPQTLTSTELHALLDILTHHET YAEVESFKHPATVSGYGYPFAQRTKNDSTSAPTYATDSSSPLLAGVLRTIVLSFPGIR DLPPEFWHQKFQGILEKLAEANLSESYDKGSLGARKTLATAAASIHEVVSRGILGGLE QGEKRNLKGNYDRSQAEDLERAWEDAVHQLLYGNLIDELFRCAAEQKSLEEHSSGVQA AVDYIIIHLATLLHHVFVLTPEGPYLLKLMENVHKLLPYSMVKQTLRIGNAATMIHGM MRLLLAKVGVGALSNWVGLTQDADDGMNLLQKIISMVLSWDNTESRKTIDKIEKTRGQ LASSKCQLDAIKVHVGKPREEHDEARNHSIRTPETMVVTILRRSNPSLLKNLTEEQHA QYLEYFSTLLMIRDREEIPNVLCRQQPDLLTKAVRDLVAAFEPFIRVLHEHVDLREHV AAVEGFIGDFINIGKAKPKNGNGFLGSWTSGSPKNDAEARTPSVEDYVILLRRNRQLL YNFLHQVASQCPYLTNDFRVWCNSTIKVFQQHQRPPSEHEQSTKSPDSSKLRKRPGGA GAVSSNLQHLFSSLPSSTRERILPSINAHASYLSSLEDLSRKRMQTILDNLPPDTPPS SPRPASSLSNSGWSTPLSWGWSGGGGDSRKPSPPPTTTTTTTTTTTTTATTTTGKTMP LKQSYTGPGMFLSRWQQLLDDTVIGPATLDGKLRSGRDVKGQLAMGKTGAMKEGGLDP AKLARMAEEEAPRAPDVKVVVEALGGEFKGLVGDILKEDGRFAVGVDRVVERRDCERA RHRDRVEV QC762_403640 MKTATISSLWLGSWLPAALAEMVTHDHNFHPDHILRVTEAQVPL SCESRTDILVNGTSPGPALHILPGTSSWIRVYNDMPDQNLTMHWHGLTQRMAPFADGT PLASQWPIPPGHFFDYEIATNNDDAGTYFYHSHVDIQAISCTGPLIVDDCGSSPYHYD DERILHFQDFFQKSDQEMMSDVTKGPFKWAGEIHGILLNGKGVATGQQASIGPSGGGR GFFGGRLGGRPDGFGGNFRHHGGDYDDQSDSKGCDSKSDDTSSDDSNQAQVEITAGCT LPVIDVEPGKTYRFRFIGANGLSFLTMGLEDHDDLTIIQVDGSEYNAPVKTDRLNIGA GQRFDVLFKAKTIDELEKTGNKSTFYLQFETLERPEPYTGYGVVRYDQSTPIPTAPAN KVLDLPRDPTNWMEYTFTSLFPEQNEAPSASEVTRRIIIDAEQKQENATGKVVWELAH LSWTEHTYTSPLLVDIYQHGDAALPNWEAAQSNWGWDPKTKSFPCKLGEVIEIVLQNT GSELGGIVETHPFHAHSKHYYDIGSGPGKYDAEANNKKLEQTGYKAVRRDTTMLYRYD EQVGVGEPAGWRAWRLKITDAGVWMIHCHILSHMMMGMQSVWTMGDAEQIRKLPPTAI SGYMTYGGSVYGNSTHAPRLYQYFNGTNQCRPVEKKERIRVY QC762_403635 MHHQTLLPILALALGAAAKTDIGGCVSTKVPYMTQDTLVYSSLL WYLPDTGEICEILDCGGGRAPPKTNVPGCGNYAGTEEYKPRFWTGFNAEPTTTSVVAK PTTEVKTATTGVEATTTKKSEVPVETGAVGNEEEETEAPKPTTLVTVSTSADGSAVTR TVEEVVESTEGADAEGAQDGGVAEGERSSSSGSSTSVSTAGAAPTGVVMMGVVAGVAA GMAFVA QC762_403630 MASDSDQSSQIMVNGIRYEDRLMVDGPSTPLDDDTIIPMSQQSI PATEMPNSPLNHTTASFRSDSTDDLAVPAVIPSSLTPPPSSQVPGVAAAAPFNAQAFN GSSQLTGIVSPPETGLAAVKREDGVPGYMTPTQEQISEASPETLRRMLQSLVAEHAQV KMQAAHHRMQNVLLTFQAQEDANRAQVEHELTRREVDVLQKAESARQARREISTATET AQARYMKLEEMYKELVEENKALNQRLRGARKVLEERADQIASLQEDREVMLNRIRENR EHFHILCSPGGMFHGALTPKVTQAQSPQQQRATPRQTPRSAQKEVHHRGRGHNLADLL EALSQDNNSAPSTPITGHRPRAAVGPKHTRNVQSMSSLPTTPVRFRGDNGGLLPSADL VPQTEPPQRFPRFLAHTPTAPKSAERERRRSRESTISADDNEELARQALRSITTAAPF APRASVSSQRSRGSQRVQDGEVEEEEIYESQASQAASEMLRWDPRESFEVAASVQNSR DGTPAAADKSAKLQAKLFGPLNKSGGALAGSGKRKLGELERTMDVYAVGGVQSKKLRD GRVGLGIQYSQESA QC762_0065760 MSLPRGLKSVLTKAPTDVVILSALRTPITRSYKGGLKDAYPEEL LSTVLKATLDANPNLDPKLIEDVAVGVVLNELGGSKAARMALNHTPGFDTSSTSLYTA NRACASSLQAITLVASQIKTEMISVGIGAGMESMTRNYGTRAIPVDVWPELKESPVKE ARDCVMSMGITSENVAERYNVSREDQDALAVESHARAARAVKEGWFDKEVVPVHTRFQ EVDKQGNKVGEEQRVIVTKDDGIREGVTLDKLAKLKPAFKPDGRSTAGNSSQVSDGAA AALLMRRSTATELGLTGQIMGKFVASAVAGCKPDEMGIGPAIAIPKLLNQLGLKSEDV DRWEINEAFASQALYCVRELGLEKELVAGKVNPDGGAIALGHPLGATGARMVSTLMHG LQRTGGEVGVVSMCIGTGMGMAGVFVRE QC762_403600 MVRINLCNWGRTPSASNSTLPLRTREKEELPRSQSMLQIHPLET EDGVLIKIDPPKEPELEDLRERNHVPLDLVLSIDVSGSMGADAPVPAKNGTEGEHYGL SVLDLVRHAAKTILETLDDHDRLGIVTFSTSSKVVRELTYMTPANKAKILKQLDALQP LSMTNLWHGIGDGLSLFNNNLKAVNDRRNPGSGRVPALLVLTDGMPNHQCPNQGYVAK LRQWSTLPASIHTFGFGYSLRSGLLKSIAEVGGGNYSFIPDAGMIGTVFVHAVANLQS TFATNAELQLTYPAPLELRQTTGDAVEKQQPFSPSGDDSPNTILYISLGNLQYGQSRE IYLSYNCTSEYIKSVKTRKSSTPLPTITAVLKFHEDTHSFNPNQPTRLTAKRNITDHS VSLPPAEIAYHISRSSLISFISKFFPLDFENEHQPLSDLPDDIPSQLTALVNSLPAVK YTTTHPGCKSLLIDLCGLNIDPLTTPPSSWTGQISLALTNTQYYFRWGKHYLPSLAGA HARQICNTFKDAGPKQYGAHSPLFITCRDTLDDAFDHLPAPKPSNALPPVYARYTPAS DSPPAYDTQDYRDEGWKWGSGAGNSGDVYNTANVTINMSDYNDEEGACFAGFTLVTLA NGNSVKIGSLRRGARVLTPRGERKVAAVMKTPVRRMGMTVVQGGRQRFLVTGWHPVRV GEKWVFPQEMKAGGRVRYTGFIYSVLLERDDDVEAHAMMLGGGGVWGVTLGHGMTGSS TAGDDVRAHGFFGDYDMVGRALSGLQRLGNGLVLGGGVVRDPVNGLVNGFKRAVVTQT PGPVARGSCGKQRKMMLYA QC762_403595 MASDQKSLNGFQTPPSNRTENCPQGAVDSQANPAMSSTSATPPP NSLAPHVQVSFGSPSTITSPAPFNRAVSVPRGPPKSFSSQLNAVSWSTQDIADVRNEL RKALPFYKNIYATLEVQPEVLSRLDVLQHLGVNIHHPHIARPGGLGSLLGIWNELAGY SNKQIRDLKNKNASLNHEISNLKRKVTDLEASDTKPDQEMAGTEKKETAKEPTRFDGS EPNKYVRYFNFMLWKRDITRAWSDKPDTFKTEKVKICYILFFLDGDAFWDIADAVEAI VNSDQSSDAWEFKTGEELLDHLTEKYGKRE QC762_403590 MAIKHNQQIPNNHFRKDWQRRVRCHFDQPGKKVTRRLARRAKAA AVAPRPVDKLRPIVRCPTIKYNRRTRLGRGFSLAELKAAGIPKLYAPTIGIAVDPRRA NLSEESLAANVERLKAYKARLIVFPRKSNKVKKADTPKDQQSGETVKTIASAFGLGTP LAPGFTEISKSDLPKNVEGGAYKALRKARSDARYQGIREKRAKDKADEEKAKK QC762_403580 MPLPLEEQVALILKGNPGEKPKFWEAVLRKLVTLLPRADRDALA CISGQFAVAARDPSWREVIGKSGLLSFLLDTVPVYYDGVFQGSHPLNKQALRVIANAC VDNDENRKVVVASGKLTNMLKMFLSEDHLLPFAVITMLNVCVDYSSAQLQVSNAALSK VLIDLIPGERLRACEAHLTQIMTVLELLTNHESEFKICYPRTPAALLTLAINKEDRLS SPLDREIFLSICTSALSYLVNRGLQVFFIQNKHFRLLQEAFLQSYIRFETAGEDVEEE TKEQLQQVQHGFVTLFADITDVEGFGDVYGIDSEEVGTLVGWLELEKFPQLQNAACLA LGNLGRSDESTKKLLPRVGEGMVKILGRSVPGKVRQGERPSLQLTHAALGFAKNLAIP AANKPVLGEMLLKSGTLAGLWEGFGNSQPSMMFAAVSLGRLLLVGCRENLRLVCKPVS IASDEKDENGLASAESSSQGETATYRTNLDLLYRSATGSPNEDPTKLEAARAVVSAVC RVLSQDPGVLGSSGELEEFYKTHSDIIAGSFTTMLTQAKWPSVRSDAITVLALMASQH PEGSNMALKVVEDTAAEEGVLKAIVKAATGDDEMVGKFLGTGDNRVEEIEEEKQGKND EKKETKEVTELVQGLGLEPQQADAQAQKQPKRMVKVDRENALVLMAMLLGMFKDQMSP ARRRLVEAVLERGGELVVKDREGTSQV QC762_403570 MRHLPRLRGAVSASLCCSSPTHIRTTTAVAAVATTSSSSTKTQS LQRRTLSTSLPRSDAVEAYTPPQGLKPPPQARKAAVRPDKITDPAYEPAQDGLELEEV GGLDGWWENPDNYGVGRQWVGYGPAEKITDPAVLEVAVYRALVEAMVARRQMNTPDTT PARDALLESGWGPAALTATTVQTKLCGGSHGTLILKPTEDVETATAAEQEAEVTEEAK EQLEAAVTPEQEAEVVEAKEEVTAVASERVAEITEETKEEAETGVASGQETETEVKEE VDATEDSADHVISTEEARALLKEIGHEWKRPMIKDLIYKYFVAKRIYKLTGHRIPDGK LVAINSGGVLLKEIVKPPKAKKLAEEIENKQLFQSLPNVKVFPRRVTPIDKEKMVGRW KVIREELERRGLPVIGTANIDNAIEKKWVTGAK QC762_403560 MPISTTPRSVSDATRFTATTPHADSKTARFSKPPNTSSLPPSGG SPEGNPIRIETPDEKVARLRAAHQRAKLANVSKLEQYLAVGRSLADKGHRLSVMALIG FSGLGIVATTYTFYDMMVLNRKRKAEWIETQKQLEADELAQARLAYMTGKADEDQIAL VEEVMERERQQGMAGKTSFFEKIPSGFAPAERTHSPSVTEVVSWPSSAAPVPEQQGEG EKKKGLWTWLTANLKKEEEGEEVMGKERRLGWESLSEEDDGMGVRDSDLVRASEGRGV ARGLKEKAREAFEKEKENERRGGALDRIGLEEKQEGEKKTKKGWFW QC762_403550 MLSNESWPALQRKRGREEDLAEISPGGTLGFTEHRSKRLQALPL RTSPPSKRWAEPPRFHAPPTTFIIPSQPRTITPNSSDSEEPGHFAFAEEPEIVTVPTL QSPINVSITMADMDMDMDMMDTAPEPNPNHLNAFQPEPLPSVTGRIPTPIHCSFAAQV RGQNWNEAHGALATTPEEPTSMAFDSNGMVDAAVAHNSNPTTNALAMADWNHIQNRRL PSPISETGGEDSPAMVLDSCCSPHPITTSPSGHLSHLTHEHPLLASMREQSPRPGSPN GGMEVESGSPSPKRGHARSRHTVNSWTAVPPGVKRSFSIGYRADCDKCRMKVPGHFNH IIIS QC762_403540 MPGLDMAPLQADDMRVLGQDPLIPPALLISEIPITEDALQTVVK GRRDAVGVIMGRNDRLLVIVGPCSIHDPATAIEYAHRLKALSDKLSEDLVIVMRAYLE KPRTTVGWKGLINDPDIDETFKINKGLRVSRQLFRDLTSTGMPIATEMLDTISPQFLA DLISVGAIGARTTESQLHRELASGLSFPIGFKNGTDGSLGVAIDAIGAAAAKHHFMGV TKQGLAAITRTSGNEHGFVILRGGTKGTNYDKASVQAAKETLVKKGQKLAIMIDCSHG NSNKDHRNQPKVAATVAEQLREGETAIIGVMIESNINEGNQKVPAEGPAALKKGVSIT DACINWENTVAVLEDLAAAVRERRKVNAGKEAAAEAKTTPLEED QC762_403535 MKPIPLLSTIFLLLGIASASAPTFCKCTCFTNSTIIPLGPLHDN PPSPNPPPGFLSPRASSSSCTQCNRAFCLKYNLPICKDAEEKDVVTSCFQRDSNKDKI IVWGFILGTAGLLSWAGVKRVIDSRMERQRQERGNEGGGGIIGVIGGLVSGGNAQRGG NIGGGGLTRRGTGGGGGEGQGTYSPLGEGGGAR QC762_403530 MERRSLSHRRLPSDPELDRFPGPSRAATRSDGPSSQPSPSNEFT VPKTTEPENVEGFKLAALLASVTVVVFLMMLDTSILATAIPRITDEFESLEDVGWYAA IYQLASAALQPLTGKIYQKFSTQWTFITFFAIFEIGSAICGASVASWMLITGRAIAGI GGAGLINGALTILGTSVPMSRRPTYTGIMMGFSQLGIIAGPLVGGAFTSYVSWRWCFY INLPIGALVIVALSFVHIPDAFQKRRAMDVLRRIYIELDLLGFALLAPAAVQLMLALS WGGDKYPWNSPIIIGLFSGAGATTIVFLIWDWYLGDVALVPLSLVTQRQVWTSAITNC FLLFIVYVASFFLPIYFQAVHGATPIMSGVYVMASIVSQLILAVIVGPLVQKTGYVIP YTIFSASIGAVSNGLCSTFSPTTPPVQYILYQILGGIGRGAGMQMPLLAIQAILPPQD IAMGTCILVFVQNLGISILLAGANTIFGETLQNELSQAVPYSREILQAGATRFREVVK EGDLDVVLEAWSRSIGKVFYMAAAAGGLAVFTALGMGWVDIRKGKVGGRGRGAEGDLE DD QC762_403520 METTSSSSTTTTNGSVDGMPAPYGRACTNCARAKCRCIYRTGGA DCERCHRLRKECIPSVSVRKRNGKRTHVSRAAQLEAKLEDLVSLLRHQAGPGGTAPSP GEPSVASSTPAPSARSAASVAGDHSPHPVPVAVLPPQLPDCHPAHGKGRPTPVARGTL LGSVFEPQDVGPRSPTPPPVEPPAIPYCTYQPSPFEAADGLVTFRKYMLIFLPFVYLP SNMTEERLRKTYPFLWFSIMTVTSKNADRRLVMSESIKKFIAQKMVMEHEKSLDLLFG LLVIMGWTHYHIKREKPILSLLASLAKSLVFDLGLNKVPSEPYISACLKTAFHPPPRE KTMEERRAVLACFLLTSQISHSLKRLDALTWTSHMEESLQFLTQRHEWEGDDLLVAQV KIQLIVEQLNRATSQSIDNAPPSYYLSALHTQLTNVKTQLPSHLQQNDTILSHISYTE LAIHEAAVAKPRISPTTTVPDLQRYAAMEACLNAIKDWFDRHFSIPSYVYIGMTFSYW CHMAHCLLSLYRLSVLDDPAWDRRAVRNKLDLLRICDQLKLGFEELSAKRRLDSGPTI EEDGFTKFNMMLRTMKSGWVAELAAIDGVDLSGGGHHSSSVEQYLDAGNANELNLPLF HAEDPEAWMAGLFDMNWDP QC762_403519 MKTGRLLLSVTVGVIAQVDVVATVVRGRALNPAIPPSNLPHEPI HHLSPRQDQEPEPPRRTTIITARTTITTRLSSRTPSPSSRTEDDDTTKTDDTQTTTSA VETSTTLSATETTSPAASASPSAAEPPLPPSEEGSGLSPGTAAGIAAGAVAAVALIAL IIFLLWRKKKGGIRGAVVTADYPDTMNMVDNTKPQQYPEPTLPGDLSSRNGSVTNDDP FFHKDEYAFAAAGARRQSSFSPSQVGVAVGGSPGGQGQGQYGGYQREGQQQYGAYLPP LPTQEQQKFAMVGSPGQEQQQQQHIAFAQTSPGQPQQQFAYAPNQGYYQQQQQQQYIP SSQGGDLGANGVPYRPVSAFTAYPSPGHPILPVSPLTPHRQSLGMASQASPYYPPHPS QPSRPPQGPENVASPNLPNFMIPGGIKSRAMSFSASQPQYEGEARQEWAAPPPPVPAM PTAVALISPPLSPLRRNPV QC762_403516 MSTIPRRSNSTNPRPGSSAFPRVPRSKLAPQPLDLKRSNTTAGT QSSQPQQEQTSRSTPYSSSARERIDPRAPLSPIPSSAGSRSTPYSSNLKERTDLRSSA APRPLQTAQSLNRRPSNATETTTGHRRRPSLGGESIGQFASSRRPSAPDGHNRRPSAS QQQQSSNTPPRRPSAPTHTRRPSGPTYQPQPQRHNLRPSAQQQNHGRRDGGGGGVGGR PPITQQVDQATKTQINQTYAVTMMASPYNPLPFFLPIPLGIAMLLTPSGKDVRGAGPA PMRAGTVGGRR QC762_403514 MQLLSLLALGLSTTPCLAAVAAPAEAARLPASEVIRNLELLTRS TRDLIPAARDLSTRAAVQFRDNERGPFATVVDGLEDLASTATSDRNSIIDEDRRGREP KYTGRDARNIDKAISDLFDRAKELSERIGDESRLGRLFSNVGSKVGRGLERWGDAVYD LAKTIDERIDGGLEAGTWREVLRVTGTIDKVVNDYTSGRVRLSSILRDIGRPGDRAGG ISIDLGLGPIGLRLGPGRV QC762_403513 MGPKGAGRKTTPLPTPTWNFAKVASEVEESGNTTNSPLKYHTGG IDDVKHDLLALKKGEVYSRDEFYNRVKAWYKAEIDRVLLLEDHKRARGENPGTRVYCG LSEPDGVDCLLPPRSSTDKVQAPTRVQPSRAAKRKVAPTTAAPATKKRATGRKKKEDQ LQYFPLVGGDYELDSYDRERAIVRCDGFFKNAPDNDVSIFILRVSRYIASETALIHTS GYIRRRKAGNLRCALDSALKRKKYKLPKESKFQSAAVVLPHEDWVDEDQWGPRLPPFP NAGKTYLVEEFLEASKSTAGSPVPEAEMETSPTLGKWPSSGETRGGSWRRKSVDLRLW CPTEEVGQAREKREKELKSNPKRAILEKLERDCTVG QC762_403510 MTTSTSPYARTPEFPSYSRQPEGHPSDMSRQHGNRPSPHPKMAA SKGEPDPLVQNYAHILELKQRRKVDEQQLRLKLDNKKMDLDSWFRTDRERYLALEGHP ELLNGIVKLLKEVNQFKARDLDREYDEELAALRKRYEEMEASQWQNVLNIDVPPPSTS GPPPLPASERPQPPILSSSTAPPNNPHGPLMAPSVSGYPRGFVGSSEPVRHGPLTIAP HYPPTMRSSLEPPPQTNGSREPPVSSMHAHPAPVSSSMRNMYHPMPQMAPPQPQLTSN GRRILPGAPTTNGWHRNPSYGPAMYSTPPGRMPPLHPTYPKSDGTNNPSAHERQVLPP ILPPQLHRPGPVEEGQQPKRKATLTDSAPPDVKRAKQDHTPSSADLERATPTASEDVR PQRTVQFSEVWGGGNPEYKHIIIQFPDGGDYYILRCEEHGVNFGEHPLRGAAKHLASA QHGRMSKEHTQAIRTLGWIVEGCDYKLMEMNNRMVIEAFKNGYKPFNANQLNKTERAK KGFPQLDKNGTPMSSPLSAAASRQRKAASGIANPAPAGLYTGYCTADQKQHPVVILPW DEENLNSAGLLELTLESAGLFSGPLPKCYEYDRDDKGQVRRIKGWAEGYGIGGPLVKK REFPVLIIDSNDRQSWQLGWIEAKYLTPFDFGDTSEIPHSAVAREYYAKTIRKYPTYD DLRQDMTARGQPVGPAQTPLPKKMADSPSPSASVSFPSLTAGLATAQPATSQPLQQHD VEMADVGSDSDQESVTKSMSNSTNDIDMATADSRRTSVSNPDEGTETEKELPHPSAQA IAAQALINLETPARSTGFTAINSRSAASSAEPPSRRSPVPGASTERRRVEKIHARSKN VLATTQPATSIVSPQPMSSIVVNTGVANGQKPYRAESAPVHQSTTTAERDQERAQSES LTPASLTTPATPAFVYHLPSVEPVPPQQQEYPTPLPSATESASAPTVSLASARETSPS AGPLATPSASEAYGASEFPVKTSFPVIKTEAGEEPETFTIGAIRAGDEEIFNSKIAGT LFSIEDDHTTGVLKAVPGSPEAVSSFQIDPKQIKTAARSMRGEGGSCEVTIEFIGDKT LTLVFELGRVNIEERLESGKIQARRFCRRLLAWNKAVECPSIANAP QC762_403500 MAAFEPPWLTHMSAPPRAQRSDQLDPNSQHPSTPSRGDGAASSV FSPAPNPTYAIFSPATLSSTNPSTASAAKRRSTILVHQKSPLLLATPPQITRALAYSH PFLLPLNNVVGLLTWTTGDAWESFLLVAFFWAVVLYGDFLMRATGPLILVLILIMGMY GRRFSPLSSSSWSEPGLGAGDGTGVATDANGAKTLKKSKSKNLLVDGLPEKNTKTENG TAATPTAGHKRNQSSMSEATNTRHQKTLDEIVETLKEFTARCNILLEPLLELTDFLST QQTATSATTRPALTTLFVRILLCTPFWFSLTLPPLRIITTRRVILFFGTIILTWHARV MRVTRAILWRSATIRKFLTLITGLQFEIPVKAGATTTATPSADAANTVSSSAVSTKTK SSAAGTNKATRHESELTKALRRARGGQDTGVRFTFIIYENQRRWVGLGWTTSLFAYER PAWTDEHNNAVPQRDEFELPEVEDGSNMRWRWVEGSRWKVDGVPDEAVMAEDREKEWD YDGPGGKVGWIYYDNKWQNGRRGQDGWGKWTRRRKWYRDAELVEADTEDAAAAASDVK SIPSIDLTPTTPGTPTTMTVGSPPTTNESKENLALEREEEYDSASMLSTSSRSTSRFI KPSSLRKRVTDASSLSSSHRRSGSRRASGVSGSLGSNSGDYDDAGVGTLQTRLAMQDA GKEEGSWGVGDEVRMGLE QC762_403490 MSRIRHQGLMETANLAGSAALRYITLSRCWGKPGLDALPLRTTK ETISLARVLGCYFLWIDSLCIIQDDMDDWLTQPAEMADICANGYFNIAAAAATNSSES LFSERHQFVWLTNGM QC762_403488 MSPSDELEEMLLDFTAQVASLRDLVLRPEYSNFEDDPKTYDFIV SRVNKILNIAEAWIMKLEHDVRMQQEQERQWAEEYGWGGWGASALTRPAVESRGEFDL LLRGVERVKMGVFFAVEGNVMVGIETVKQGVRGFEGWV QC762_0065960 MAKSAQAEGGVKTPKVYWTLYKYQRVKHGVGHVGKTRPKPAVNK EGDDAEAGEKKGAVQGLVKEVVDEVEIAQREMLEELKSWDEHARLSNLWGKMVVTAGV E QC762_403485 MVTQLDPLVVGEEAELGQVNNTMVCDTRGEINNNKRVQCSPTVW AATERSSSAQIFCAAPPLLVYLQLGLLLSEHLTTFFSSPCASLLSSLQLCFLLFVTLL FSHLFHNVPFFQGKTPASPAKPNKMATMFMQAGAPGGDAPSSGGGRRGNRYDPGPYYN WDDPEEEEETEYENGNIYYRCRFCQQPIKGRRTNTKRHHITCHGRDHRNRHQWAIDVG NGQGRPRKVRKPRKARAPRHVSSRSLAEQQPTSKPPNRSQAAETALPAAPPFIPAQQQ HVMYATDHFKSQYPLWPLERHGAVVEVPYWVSQARGYGQGYEEEERLNEASLEEGPPS TDYNNDMSRASIPDYLVDPTLPVHESAPPRPGPSC QC762_0065980 MECSFYMSKHPTTSTMAATAHSAMPGFGGPPGGGPGPAGGPKWS SSSDEPRARYIFADFHIQMEHFVSETSRQDGRNEDTVGR QC762_403480 MANPHPGPVLREEEITTSKYATDPRPTVMERAFPSLNLRPSRRG RCLFPACNAKARLFGTLIRHLQQAHNIRPINQANNWRVIMRRVGLWCFCHVCSLPLKS ETHCLANVHQFPCLYPGTPG QC762_403470 MTMASPARPAPEELVTVIITTSPTPSAPSTELLEQIAASFRKHC ASLIHCRVIVVLDTYDHVSKKPRLKKGHVTPDSAAKYADYKANAKRLILKEYSSTERP YGTGDLVKAQEKAEFGSGAAAYASQDNAVVMNITTTKDGRVTFVEPVQRLGFGLAVRS ALRMTVTPYVWIQQHDWALVTDIPLGPLLQIMQQHKAPPSAEQEDKENNEILPEAVRP PVEYVCFPSIRMMEYATSDHVMLYPALRALTQLHKQNFTVQSESEDGTAVISRVPLTP LFLWHDKPHLASTSHYLNQVFYSRIAIQRGAFIEDTVGHLARDEMKQGKWNKWACWLY YPDEGKHLCLRHLKGRTWRGIEAELAAKLEYMRLNGLDVNVQVPN QC762_403460 MSRIPAVNAKPNPLVLSSLLLGIASTASAQNYYGSPGNPYSSGG SSNSDGSSSSGFNNFNAGAGFDINAAMRTRAIHGILAALAMAVLFPSGSILMRVIPGR FAIWAHGISQAVALVVYIAAVGLGLHLVREVSRARGNNGDMFSDPNRSYHPIIGIVVL ICLLLQPIFGFIHHAKFKRLQTRQMWSYLHLFNGRVFITLGMANGGLGLWMAGASKEL KTAYVAVAAVMWVLWMLAAAYGEWKRWKANRLGYPPRNKKFHDGEVPF QC762_403450 MDTPVKTNTPSQTYPSTSPSTAATSPRILDSPNSSTPSPSSAPS TPPLKLFIAGNHDFALDPLAFLPKTTSSQGVFQAPAGAATSLLESASSENIIFLDEGT RTLALANGALLTVYASPYSPSRDNSKAFTHHRKKGHTFKIPKEVDVVITHSPPRGILG KDYNSKQAGCDYIYDAIATARPKLHCFGHIHEGWGG QC762_0066030 MSEWANLRGLNISSGWSPRGGQTSAAYYSNSTPEGSSYGSAVAA ALGLSMAAIGRETFGSILEPAELNNVIGLKPSRGLIANDGSLPTSARQDVIGTLTRTV SDAAHLLTTMAGRSENDERTWNIPFAVPDFTYCKDTDLSGITIGVPRSTFTADSTSPI MISFESALETLHRAGAKVVDNADFPDVEEFMKLNQQVCGIVRTSEFKRDIVRYLQTLE ANPNHIHSAEDIIGFTKSFAGEEHPDRDIGKFLWTQAEGIDVNTDKYREMVSQGQFYG GEVGILGAMEKHGLVLLTIPSSMGIANDLAAKRWDSPYLKCR QC762_403440 MPVTNFSIPEKYEYLVGFDSYHQSEAHPCALPLAQNSPQKPPLG LYAEKLSGTAFTAPRHENKQTWLYRILPSCAHPPFEPVTAHNEAVETQHEKKHYIPNQ LRWDPFDHDEHAEHDFVDGLKLVAGAGDPTLKQGIGIYVYACGRDMKEKEAYYSADGD LLLVPQEGGLDVRTEFGRMLVRPMEIAVLPRGVKYRVEIVGDGKKARGYALELYQGHF QLPELGPIGSNGLANARDFQAPKADFEEDYGATAQEGKNEWKIKVKFNNGLYETVQRH SPFDVVAWHGNYYPFKYDLGRFNTIGTISYDHPDPSIFTVLTAPSEKVGTAVADFVIF PPRWLVGEDTFRPPWYHRNTMSEFMGLIQGGYDAKKGGKGGFVPGGASLHNVMSGHGP DRDSYEGAREAELKPQKVGEGSCAFMFESCLMVGVTDWGLRRCRKVQGGYNKHSWEGV EVHWKGRNSQ QC762_403430 MSGEPLTKVDSAVQGLGTSPPKETKHRRASSSAAGVMNINDLEA QGIELQIAKETQKTGWKINTSPSTIEEKDMLKKLLTTPPVKKIDLHFPLGLEVTARNL KGVTIKDALDAIHKQFKKRADDELDEPYLKGFEWDKEESWTKLIVHLSKDAGVAPGGG SKKKKKHAADE QC762_403420 MTLTAPKPDNHSGTEAKSTSATQHRDYSSLPDVTTHDRTSFPYI LSKNVSVPVSGLATGPGLIRCNIYRPHDSDTNPVPVLVTYGPYGKDISYSEFNPKSFS EVNPAHKSVHSCWETPDPSFWTAHSYALLRADELGTGQSPGFLDTMSRSTSEAFATLI TWASSQPWSTGKVGLLGVSYYAGSQWRVAARQPTGLAAIIPWEGMSDYYRDRCRHGGI YSDGFVRWWWERQVVGNQYGLANRRGGKTVDENGEKEKGELERERRDQTVDNRENRYR DEGYYREKEYDMGDITVPVLSVGNWGGILLHLRGNIQGYLHAGSEKKFLRMITGRHDL PFYYDEEVEVQRSFLDAFLKGEDGGGWTDGRRARVDLVIRRGDAGVNDAQRERDTFER REEEEWPIERTEYARFYLSGDMAMARMDNWVHEGGQKKLGYRALGTVDESQGLTFKTV PSDMGPGQEMEITGHIVAHLNVSVSPDVGGPTPSDIDLFLTLRHIGKDGKEIFYTGTA GDPVPLTKGWLRVSLRKVNEKHPKHQPWLPHRDYTSRDVLPVIQGEVYAVDVEMWPTC VVLQEGESLALEVASGDTQGSGIFLHNDAVDRSPDIFQGTNYVHISKQYANYLLLPVI PRKEDVGHV QC762_403418 MQTYWGQAVGGQGEQPHSDGEPVLPTIPPGLELLNSAAKQIPVR GCGEYFIYSILGSRLPCLPFSRGVVVWAHSSHPTSTRTLGGPKSGDRRKRNPHSGFTA YPLGRPQLGPTGTTPETTLQHCIWRLSRISWPGWANPSNFLASHLTFDHRERYFHEGF VSLIIIFLLGWIREPAGRTVAHFLLEREKGRRTHTTVSIWSHLRQLKGFSKDDTNHTA PDAVAAYNTSFKTTAIPCPRNKGDIQTKTRDIVFFPESKDESRHAMPNLSPPNTLPLA QLLTTFLSSFTDLYHTLLASLQKRFNPHAALAGDEDHLLNGIWFFLLLLEALIVLGVL LQAVVRGLKWRIKRRKAMVMSRPGSSYQWEGRGGSGQGWMVPSTPSREKGFVRRDSNA RWEQDGWWQEGEGHGLERDYGVGGHDKGY QC762_403410 METLEVTLRILEGLLEPMDNRRRCLNHTGNRPLDWAPCPSTEQP NLGTPCRPICGRHSASLHSTTQCMMGQQQYQNVLGLFLAWEEDGGEHASSGENPFHTQ LEEFVHTLQLGYNYDIEQWLIPSEKYPRALDKKLNETVERINECSKKEEGALDLLIIY YGGHAVANPYEPDNDLLLVPCPKSKDVSVSWATDVLSRIQYVEGADILILLDCCYAQR GQHAIDHMHRPPPRPMVTLAAVDIDGKAIQDGDYTFTQNLCAQLEEFGNNTETFSISQ FHRALRRRTASWRRRQPDGKIPDPLMSSNTTHEFGLLGPLEPQVDPAETSTVGRAISA KPAGHAKEERCPVTAERSSSALAASQLKPPSPRAISAGTHSPASLKNASWEDNVSCCM EFHDVDEARPPSAPSSVLSSRSLSPAYSTTSQNGRLRTESPDTHPWVPSIVDMPATSP HDKLNIYVSPPDVEAVMPTAPMSCSPAASSSSLQESILPPRNKRDHQKCYDVRLSPSA PYESPRITPRGSKERTDNVPYPRHSQTVMPILRNRRSQTFDNADFGRAKDGYGGPRWQ AQADSDSEDEFWPPEHSGPRHQLYGGYRR QC762_403405 MTIKAESFQFEDYAYGMRKSGFGHALLNPASDLDAYPGVCGFID DRGDWQRIVDVTKPQELKAFGLSPFVGRTWEKRRESSKWGPKTTETVSRVAISTSAAT QANIPATFSSAYRYELKGEFGAILLCNDPVEKRSFGLKDPFSTWANKNAKDLLRRFPD IKKSGGFYVITSTIAARDIRITTWTTKGTSVVIGASAEIDNVAKIDASTKFYVGETAT EWHEPQVEEGEKKVLFFGGVYIKYSRLWPLREKDQKKWSGYRGSDDGKVMIEEDNYAW DVEACQI QC762_403400 MPTNTNTPPNPHPPSTLLTTLLTLTESTIIPLTTTGVSSGSKLF GASILSRDTLSPITTATNNEALSPLLHGEINCIQQFFTTIPPSSRPSPSSCIFFATHE PCSLCLSGITWAGFNEFYYLFTYEDSRDAFNIPYDIDILEEVFKVRGENEGEGEFKRR GLYNKNNKFFKSKSLGELIEEVEDEKEREYFRGEVKRLKGLYDALSETYQKGKAEGVG SESVWK QC762_403390 MSRRTSQEPETMASYSPYASARTAEFFYDDNKFPSPSPTPSPRG PGYYGPPPVQRPATRAHFRTPSTTGASFRHEFPSPRTPSFSPRYNSEGQYATANVNGG PFFDREREAGFASPRFEEQRRTAPISGHARRSSTSVPQRPQTARPTANPHKKPPPPPA ARAATEADAKRHKIPPGYSLKNWDPTEEPIMLLGSVFDANSLGKWIYDWTVYHHGPST PISDMAGELWLLLIQLAGKIKRAEESVPKIRALENKQMVEDFIEAGDRLTDKLRKLLK ACEAPMLRVKTTQKKDPQLGKNAGVEFVETLFGRERELEKTERFMHSVRLWNLRFDTN CEEILRSPTV QC762_403380 MLMLKTLGSLVLGLTTAALGNTERLSGPSSELVLGDIRIKAHNL VNGETKHQRAMGRIGQLGDSYTRPTDSSRSLSEKTTESSSRRPRRRRENTKDTTGDAD STRAVCQGKNGTTIDCLEEIGCCMLPTGEAACCSAAGVTCDESDCVQTAASIRPLPAA SSLAIITVTQTITSTVTSFAETRLAIITSTQLLTFINPTQRTATSTVTVTSFVRRAKR TFGSPVEITPVQTRLVLAPTSSPEPRTTPIPSREYMGVRVAVGKRQEATLTSTVVIRT TVFVPGVTTRTLTNIVFSTTLAAPNAATTVFITTTVFRPLSSSTTTVPEATVPMDQSP IEPTPSSTTGSESATSLAPTDSTETTNLTEPTEPLPTDSPALSSATPSSPAPTTLASF TDVTTTTTITTFPSSETTTTSVMEPTGMETMSLSPTPTAGPNTPLTPQQPTLTPAQIA ALVLGIILALLLFIALAFILRRLVIKRRQAQAKIRQHLSPSPPPLMSGGGPSAPAPTV PTGPSSNSSYSGLTGEGEVRIVIRPAPKRRTQSSGIGYGQGMVWPLPPGRLSAQSGER GGEYSIFVDSPSERGREKEREWSIASERGSLGDDNGQQRGESMLSGAASSGYGYGYGY GGYGSVRGESYPGLGTLLTPPPPPLRLAERREMELEREREVRGFRTGLLGGRDTDESA GEGGSGKGKRPVTRGTEDSGFRGDESGNGGSSNGSPESKKSGQSGNGGGRGGTLGKKG SFGVGKAW QC762_403370 MAYRLITQVVLIGTRVLGRSFAEAYKQAAASSAYQRAQAQSGNG TTGRASLSSGMTLDEACKILNVKPPQKGEANMEEVMERFKRLFDNNDPKKGGSFYLQS KILRARERIEAEVRPHMEKAEQEAEVKEGWNPKLYKDKK QC762_403360 MSNYYGGGPAQPNPGLQFYQSNYAQPVSGHATPSQASYGYGGPS SGGFGSSFTSGFAGAPGVSGRMDEQGGLRTGWLAAFSAEGYDGEPPLLEELGVSFTKI QRKTFAVLNPFSRVDQHLMDDSDLAGPVLFFFLFGTFLMLSGSVHFGFIYGLAVLGSV SLHTILSLMAPPVDPSTAVPSAPGQSSYPGAPVHHHSQSQSGATTTLTFARSASVLGY CLLPLVATSLVGIVMPMATPLGIFLTTLAVLWSSYAASGIFCSVGGMNRQRFLVAYPL ALFYVGFGIMGVFSSRGGKAGKA QC762_403350 MPPKRAAATAASAATKKTAVATTTTKKTTATTATKKTTTAAATK KTVATTKKAAAAPKKAAAAPKKAAPKKAAPKKKTVAEVAPESDKENTEEPEKKVVTTK RKRTRDDEEQAPVASSSEDEIAQEPVDAQAQPAKKRKVAAPKAPAAKRPARVLKAINE KPERVLDMFVFGEGTAGELGLGSVKVDGKKPIDVKRPRLNPNVSNVVQIACGGMHVAA LTKDNKIFTWGVNDQGALGRDTTWDGGLRDVEDEGDDSDDEDDTGMNPKESTPAEIDT SGLPEGIEWVQVVASDSATFALTTTGQVYGWGTFRSNEGVLGFSRSVGIQRTPAHVPE LSKIKQLAAGLNHILALDEKNKIYAWGAGQQAQLARRLLERDDTAALYPAGVGSLPGR AKAVKLACGSYHCFVIDTKGRVISWGLNNYAECGHEDSVGVDGGYVMRPQIVESLSGY EIADIAGGEHHSLACTTDGKLLTWGRIDGHQVGQPTGSFTEDNTIYDDRQKPRILVVP TEIPEVKDVVQVAAGTDHSFAVTKDGKVYSWGFSANYQTGQGTMDDIESPTLIDNTAI RDRKIVFAGAGGQYGIVAAEKEEAQN QC762_403340 MTAPTTCCRSSNNNASSAECVCAKQATCSCGKQSALHCSCSAAA TENTVAGPRCSCRARPAGQCTCERAASENVTPEKACACGSRPADACTCEKAADGGFNP ENEIDFTTKK QC762_403330 MHYIKLLRPPVVEFVRSERCLRLVLAVTTDLGDSFFSPKDPVEL LVVGAYTTTKDGKEQLVPVILTERNTPKWKAGMRVLKLDLPLPPHPIKTIQIRPADRQ LTALGTTDIYPPTGQGLILAAFSDVSLDRNTAVPPVCFRSLRLPTADNQALQVEEDMG DSIARHIWDSGIATVSLLADMFLSTSPKGNPMPALKQLLQQDRDRPLNILEVGCGIGT LGIGVARLLALKKAPRQTTTRILMTDLPEAEERARANIARQAEALSQAPAPSLDFESL DWIDGQNGVFGAQVQDNTWDLIVVSDCTYNTDTLSPLVKTLSALHGHSGEQSVRPKVF LSTKSRHSSEREFWDLMAADGWSIEEEATLPLPHIDGGGNPVELYLFEKK QC762_403320 MDLREEFYDAVEEVCKIDFAGGKGGVDMGRYLGGLVAGYDLSGE WGLLERAGELGGLLMEKGKGREGMRTGAWLEFTRLGQLIGDKKYCDTVRGEVDVLEEG QERTKLRGLWPVKGAEGDEVFGLGKGAEGVYGNLLKTAALLGRGREGRLERMWKEAMG VAEDWLLFRPMLAEEDRDEMGDRRDVLLVGEARMNGDEVETAPKVQHLGCYAGGMFGL GGKLFGNEEYVKIGEQLTRGCAWAYGAFPTGLMPEVLEAVPCEGDWRDGPCKFDEDKW RKEGSRKLRKPFKSVKDPKYLLRPEAIESLFVMFRITGNKEYQELAWEMFQSVVGATE TELAFSAISDVTVHGLLKKLDSMESFWLAETLKYYYLIFSPPDLISLDEWVLSPGAHP FRRDSSGFITRGFDEPPRPKMKKKKKPGVP QC762_403310 MGEKRAITPEASSSSDEKAVAHHTEGHGENHAAATDEYVFSTLH CTMDRASTVLTQRDRYGNALIHIDPEAERRLRWKLDLMIVPTVALLYLFCFIDRANIG NARIAGLEKDLNLTGYDYNGLLSVFYISYIVFEIPSNIGCKYFGPGWFIPTISLGFGG LSIAMAFVNNFAQAAAVRFLLGAFEAGMLPGIAYYLSRWYRRSELTFRLGLYIVMAPM AGAFGGLLASGILQLESFGSLTTWRMIFAIEGVITCVLALISYITLTDRPETARWLTQ AEKDLAILRIKSERVGTTEVLDKMDVKKLMRGILNPVTLSTSFIFLLNNITVQGVSFF APTIVRSIYPGKSTVMTQLLTVPPYVVGGFFTLLLPAISWKMDRRQIIIMSCAPLVIV GFIIFLATTNTEARYAAIFLLSSSIFALGPMTNSQVSANVVSDTARSSAIGMNVMCGN IGGLVSTWSFLPTDGPNYPIGNGLNLAAISTIFIVATAMLFWMKKDNKRREALSVEEE LAGMSREEVQDLDWKHPAFRWKP QC762_403300 MAAQIGLTEPGHTKFNLTFPLLQPSSNITPPSTTDDASSPSPPQ NIIPGILFGILTPHIIGTLFLLSRLFSRLFLLKKWFWWEDTLILSSFLFSTAVCATYT ITTTTTSFPTTHHDRHYTLRTYLALIFYQLSLCLTKLSILSFYLRIFSSCASTRRLRL LTVLTITAVMCYGIPLLFITIFQCHPLPGLFFNSPTAVPHCFDFKPLLIASTSLHTAT DVWLIMLIIPVIVRLQIPPRERAILGVVLSLGVFVAVASLTRLQLSLKAGGYIHTHHS GGDDDDEDEGVEVANTLAFFVMTVLELDVAVICACAPGVGVLMRRVWPGFLGGGGRGG TDTSDTEGGSLDLGTVRVVDGEGGKSVTELYFAGENGEVREPPALLISNHRTPHTTTL SLRSFISGLGPPRSRGKGVEGRGEGRGLLLREDFTTCDDMGTPTTTRTSEVGLEGCCD QYRVGFDRGQPPAAGEQTTPEKKRRGGVRRYSGRWGDSQESFVLGLNDPNSPSRLTPV DRARKREGNRQGEKKA QC762_403290 MTSRKLNKLNVLVYTGTGSTLESVRHCIYSLRRLLSPNYAVIPI TETAILKEPWAPTCVLLVFPGGADLGYCRVLNGPGNRNIAQYVRRGGGYLGFCAGGYY GSQRCEFEVGNASMEVVGSRELGFYPGICRGGAFKGFEYHSERGARAADVRVRKEGFD GDEELPEVFKCYYNGGGVFVDAEKLAGEGAEVDILAEYEGDLDVESGEVKAAMVYCKV GEGAAILTGPHPEFDAVNLGRHSDLPEYEKLIEELAADEPSRTTFLKACLTKLGLEVS RGTAVPSLSKLHVSSIHHNEVGELLHSLDDIITKEDGGEYIKGENDLFHLEKPESRWD LTSLSRALQSELERPRISPSRGSPDPTTNYSHIPKRIVSHETAWPEPKETPYFNHAVY YSSLRQSREQNPGAEEWGDVLMYGEVVTSTNTLLEKNHKLLSHLSTGFTLAATTQVAG RGRGSNVWVAPPGSLIMSTVINHPAHLASTRPIVFIQYLAAIAIVEAIKTYDVGYEDF PVKVKWPNDVYVRDPNNPDSVTYVKVAGILANCSYTAGNYQVVLGIGINTNNARPTTS LDAVIPLMSNKDSLQPFKIERLLARLLARLEVLYGEFVKGGFSKELEEKYYRYWLHSN QVVTLEAEGGVRARVVGITRDWGMLKAEEVTEGGINGALRGTGRVWALQSDENSFDFW KGLVKRKI QC762_403280 MGSAPSKDNDKRPTVEQPTPPAAAAAEQEDDEPDEWDKRIFSTG CAEENARLTDCYYEKKDWRQCKEEMEMFKQCWKMQGNDKRTDSKDV QC762_403270 MRPPTMRTTALQAYRKGVQSLTRPSTTSRLLPPSTTTRQASSTT TPPRSNPYIRKPISKPLTSKTLPPTPSPAPTAEEEPLIPEAPQTHDLDPSFNPPIHNP SASPPSQTFTTAISSPSRPFPPSDSGIDWSSSFHGLSTIAFSPETSSILMAPLDPIDV EMKPDGIIYLPEIKYRRILNRAFGPGAWGLAPRGELVVGEKVVTREYALVVHGRFVAQ ARGECQYFGEDNIPTAGEGCKSNALMRCCKDLGIASELWDPRFIREFKKKHCHEIWVE HVVNGKKRKVWTRKDGEPMYPYKVSKGGATTSSSSARRDDLGPVV QC762_403260 MFDIFAKLLSSIASFLFPLFASYKALKTSDPAQLTPWLMYWVVL ACALLVESWTEWFLCWIPFYAYLRFFFLLYLVLPQTQGARYIYEEYVHPRLEENETAI EELIASAHERLKAAGVAYLKQAIEYLKTNILGFPPSPDAASSASASQPTQPQTPQSYT QSLLAKFTLPSARWGSTAGATPPSHTASLGSDFYSFLASAVSAAASASAAPSTPTPQQ QRPDSWSSIIPPSVRGAGASARISFIQAQRERLNIVMSALDREEQAALHDNRPGSVSQ DGSPRSASGLSKSRSEQDFEKLEAESGEEDEPRVHRRQVPERGTSTGGWMPWAWGQGG NTAGTGGKKEEHGRSSGFDGGQ QC762_403250 MATERILLTGATGYVGGTFIDRFVKSEEPILKAITIDALTRTDD VEQKLKETYGDRINIIRWPGLSDLEFIEQIAANYDIVVNVGSGFFADGAKAFVKGLAR RVKPKAPAPWILHISGCTNLGDRPLTGVAHPGRVFDDANSRATYDWCKEEDERRPWPQ RTTEIGVLTLADELGVNAVSLNAPAIFGEGTGLFNKQGIIVPVLMRYTMMNGYGFKLN DTANFDWVHVEDLADAYILLVKIILERYDRGIGYIPSGKDGIIHPSVGRVLHTEIFER CLDVCFAEGVLPREDTPKEKTIVLKSLQEITDDVGSGMVDLVELGWAGNKAMTGTVLP RLGWKPRHREEAWAKDFYDELKASREGRRGYVFDSCMGRKV QC762_403240 MEEPRQTKPTGIPRLSKIPVPGQTTSTSKLPVPRSNSIRTSPSR ESLKPSTTTGQLRNPKLRTAASRDQLATAASSPVPVRSVSSPHTRASLSHTSGNARNA ANKANPGFTPQPMRLLKPGGQPANQSTRSTSTSRAPKRQPSQQWISAATVDKPLIEEP EHTVTVEYVGDDVELESPPDSAKLRPSLSERTMETLSRLPSSPSVKGKAAASFFDVTG NGRQSPSRPGSRSSRPGSSHQSEGSSGHSRGSRPGSRVGLDSPVTSTFGSRIATYQTT VSTVENIPLRGRRSIQSFQLHSVKTPSKGVRTSVYEIKSPSTISFPRTRTPSPEKQAP DVAVSRFGAKTVATGLRKRQSVNGLMKKPSTPALRKQPPTETTRKASSASQMSSATSN EGTHLSGGSVVSGSTALTADSGDSTPGQAYRKASSALREQIAKAKAAKRAAASQQAFS ELGSSLPEEPPLVPTDNSFDFGLHHDPFNQHRDDKSQEKVLRSRLETARTSGRLNIAA MGLREIPADVLNMYNLELVGQSGGAWAESVDLTRFVAADNELEMIAESVFPDIDPQEF ADDEDSQGNIFLGLETLDLHGNMLISLPMGLRRLSLLTSLNLSVNRLANNSLEVISQI TSLRDLKLGGNLLYGPLEPCFSDLKNLEILDLHGNNIASLPTNFGNLSRLRILNIGEN GFETLPFETLAALPLTELIARKNQLRGTLVEEPVQSLPTLQILDVSSNQLAHICSTGR SIAMPALHQLCVSMNRLQSLPDIGNWAALHTIAADENSINAIPEGFTKLSQLRSVDFS SNDIRVIPAEIGQMESLAMLRLSGNPLREKKFSTMSTEEMKEILAQRLEPPTDFETQP EPQLEPQPEPHVEAQPEPPAEAQPELQPEPQIEPQPELQAAPRVEPQAQPAQTDGAEE TPVPQVTGPVASHDDADDSRSDMDDFATPPTSAPASPARSRSQTITGQMWPVKPGGVL DRSNTESSSLHPLISSKVAASNQVKEIQLHHNLFTCLPESLTFFAETMTALSLAHNQL VRESYLGGASGNEKIELPALKELNLSHNHITGLGPLVAHLMAPNLEKIDVSFNRISCL PPGTTLRNAFPELVVLHISNNHLVELEPESIRGMRVVDASNNDIAHLNPRIGLLGGVL ERLEVSGNRFRVPKWSVLERGTEATLRWLRGRVPVAERGEAARGEVAGEEVD QC762_403230 MTRAQQTISLALLVTSLYLSLYLGLIPLPAVVQDEIIPVLPFWS LVTFGAWLLFRLGWGMLTFKDTPEAYAELMEEIKLAKADLRVRGVDVD QC762_403220 METSAPKRRRTSPRTAVQIQPESQVSPNAPLSAKTPDSTGGLTR ALRKQLDLRSAKRDAASAEERGQNTGANVGEEDKGLRSPARRPKAKSALPRPVPRPLP PPAPEEAAEAINPFARRGLRRSPPLGVLPEVVVPEPELPPTPERPDPVVSTPPSGIHN TPSRRPKRSKALAERIKSSSPLKQPPLRPAEPGQLDDPTHQPPPSPGKKGQQSPPPAP PEPTTAELRGLRPIDPDADKKNLLNSLHAELASLEKDLSLAAAENERLRQSRLAKKDA SPPPNPEEILSLLRRHALPPEKEPLPTPADDWLASALNPISFLPFSKPLSSSPPEPAP DNLDKPIISHHPLPMSAAESLPFLRAFTPLSFTSQIFPLPREEEGEPLVQQHFITVAS AKPCRGLFTARIEMSVNTRTLGVTAIAVPRMDPAGAEGELGPFVRRIVNGPGDGGVAS SGLENNISVLTWAMGEWVRVGVERAKVWRVLEREVGDKRRLGGMVRKLRERKKRNRVR KRGGEEDEDEEEGEGEEEEERYDTGELLPYMGKTCLDLEVPVLNGKGEGEGEVSGLRV MWKIEFDWTGEARSDIGVLVGMPGKWRKHDERGQLSGIPRLFDELVQGGEDPLIAVRT VVSLLAGEQTS QC762_403210 MRRSIFTAQPAARAMSRALSNQPTRRAFASTPVTPIEPSKEQAH PIGPFYESVLRTPSGPFPKVKPEEPPVTSQSNPKSMPDPAPAPAEKPPAEKEQPTEKQ APAAEEKPSTKPAPAPPKEEKPKPSPKAAAVEEEKPVEAPIAEEKKPLKRTRKPRSTG TKVIFGSSLAGPAERAERLARIQSESRLINGVLVPPKPEEPDNCCMSGCVNCVWDRFR DEMEDWAAKSKLAEARMEAATEVGEQVGVTQDSIESTNTTSTTMEDDGGGSVANWDAD GKTTRDLWDEELYKNVPVGIREFMKQEKKLKEKHAREGTLGG QC762_403200 MVEKLYVTYNDVHKLCQESAIPILSEFKPDLMIAIGGGGYVPAR ILRSFLKQPGSPNIPIQAIGLSLYEQLPNAADQVVEQVGHKVTRTQWLDLTALGSMKN LVGKRILIVDEVDDTRTTLEYAVKELQKDVEEVRAKLGVDEKTEFGIFVLHNKDKQKR GTLPEDITNGRYWAARTVGDEWICYPWEAIDIDEHDANAAAALAKKSQA QC762_0066320 MAAPPTPAATTDATSRQFLTNVEVPDWYTDSFIFSAHRPVTHSV KFNHLLHNLFSVPHFALPLAFLPRSMVRIDYLAILVQILGSFVSGIYLGYYCEARLQT VYGSMIAGLSVATGFIVVNPYLQSPRYRILRTMSFVVTGFSALTPITHAAVIFPYHQL DKQAGLRHYYVEEDIVLIGVVFYITRFPERWKPGVFDF QC762_403190 MDERASLPVSLPVPNPTTSYWHTLTPPPLTLSPTHRSTPDLPSR CDTVIIGSGISGAAIAYNLLQSHNTTTTTSPPNILLLEARTLCSGATGRNGGHTKAAS YRTFLSHISSLGLDQAIKIARLEHNNIKAVHSFAKTNNIQCDLFEGDTTDVIYDAAQW DEAKEAVHKMKEVFPGDDPVGRYILLGKEELERDYFVHDYDYEGKREEVRGGVRYAAG GLSAYKFVMGLLRSMEGKGLNVQAETGVSEVKRDGEGGGWVVKTGRGEVRAGRVVMAT NGYTAGVMPEVFQGVVVPLRGQITAHRPGSKMAKKVCLDTTYSFIYEGGYEYMITRPE GSELEGDVIMGGGLVRGEAEEGLREFGVSDDSGLNQGISEYLRETTARYFGRDWGDDD AEGRIRQEWTGIMGFSPDGFPFVGEVPNQKGLWVSTSFQGHGMVLCWMCADALVKMMR GTEEEELKKWFPDIFRITEERLKKRFKGRLS QC762_403180 MTGAIPKPDVAMSPTTPKTKTKPLSPVQPNRSPPPFRPSTSSKM TIETLLSQDEDELLAAVCTDSTTGFSLLAAATLEKGKARAATTTTTTSNDSAPSSQIS PRGSLNESPTDSAANLAIEDIDSVNSDSYPASMTSSIKAHVYEGGLRYHAYKSGKYAF PNDEIEQNRDDMKHSMSLLLMQGEFFYAPVKERLEEGAEVLDLGTGTGIWAIEVGDKY PNTTVTGIDLSPIQPNYVPENVHFFVDDFDEEWVDPDDKYDFIHIRNVMHSVTDTKAL LSRVMRHLKPGGYIEIQDLDITPLSDDDTLTPTTPYALRDFLKYMAAGLAALGSHMHA VHKLPDELEAAGFEDIKKSKHKAPIGMWPKDKRLRLCGLFLRTAMMDGLRGVSQRPMA ALGWTPLQIEMVLVNVRKALMDPKVHAYFTFHVIYARKPLQAGGCPGSDEP QC762_403179 MTKTFPFPLINLPLAPGVMVTSNRKSTPAVRSPPPYPPVPQTFP SSSPFSCAPLTTALNRIRILEAQVNSNNTIIDALKAQNRQNQQYINCLEKRLADLPDD LGKSSSSSSPHESFFTIALPSSELSICIPPDDGDIVVVSKSAVEVMAKTFGLRSGTSN GMNAPMCGGDSTSEANDSSQLSNATSQTNTMPRTDAASSPPSSAGLPTPASSYSNGVR TPASSSDWEAAFFPTPTPALSNTAESGEATTPPALSEHCCECGQYIQHVFVRQPSAYR LCAECEMGRSTVDEFPLVGVPAQADEAGPCSSSYKSEHLPVSWGESAIRRY QC762_403177 MSRKQDGSMPRYDRMPNRNPNPFGKFELKARIYSSFNCNTGHTS YYLQPILSDPIGTETEVMILKDIEEHLIGGRLKVPGSSTIIPDRTIVTFSGADIVAIG KALDVDFAPDTRKGFVRSKSPDKYSTRDSARGGIDSPSVTPREVPSSSSVMADWRRFV GTVRAVA QC762_403175 MFDSLLQVGKVVDGAPAIGHLHCDFFTLLSPSRWQEIRFFFPVQ HILLPPPYPQIPAKVADMPSSAEQPPSKKRKLSPELENHDPTTTTKATTAAPSTTDKL QDTTPPDDTNLVHGPNNEQSDTQSRREAFECLIDFYNEGDLHDDDKDNTRRTYSLPPP PGSAPTEELLWEAFLAKVEEADDQLIEHIRCHREQRIQMTVLEEQRQRDQRRMGELAV RNGELITQLREQGDRDGKRIEELEEGIREEKKEREGDWKWIKELEGQYMRDRRRIGEL EAEVRELRARGRKRGKLRVFLPRVGSGGTVEIEPEEGGVVVVDKGVVEVLRRAFGMDK QC762_403170 MEPYAYPAEGLDEMAEKLNRLSTADSRHGDSAPLLDGRSYDAYD PRLRPPSSGYPLPPTPESQWSPTDDLFRVPNYELGQRHAAALPLHRPVSRSKSSLFRK DSHTSDRRPSRGSSAGFSLYPAARPPPPKQPLPALPSNKSARRISSQGSFDSSSVASG ETSRYSDSTRGCGLERVPTNGTPTSPHTNAHFVAVPPVPEEYRTRQESQSTAVTVVPW KSFTHQEKAPKDPSVYFFDISTTSATLASKHGNNIIKVWSVGSGEVQSQIKISCYTTA QPRSREYFVRSHAILSEPSNMIAIATGFGDSLEIWDWGKKKKLQSMDKADRWAAVRSN VMEAGWCPLVTYRGDNDTIELWEATYSKKPFKKTRVIELARAGLPVLPKYPELAFSAT GPLLITASGPRPPRLGHPPPERETLLIAWEIHNGAEMTTPYKVVAPWQHAELDTALPS GLATYGSVAVSIWIPASYRAIPVPAARGGNGYNLAPASVPFRYVLVWDFSASSTKTFR IPNAMSCVSPDCRFIAYCDSRGVDSGARGCLAVLDAMTGKQLWCWPDPDATAADVDMM AGFSQLANLSKVTEMCFSADGGFLFIGDSEGGTGVFEVREGGKGISMRPV QC762_403160 MLSSRLIGSALFTGPTSLYILTPLPRVCLISTSRSTPAAYPIRS VRSIHSGCQPCRKWIAHKPPSSSSSQLPCSLSTLSPNLSQHDGREKHLRTMNDPVART ASPYTDPSVKGPRSHLLSSQRPSTKPSDMLVNTVNKTGLHPGGVVPHHEHTELEEELH EKAHIDYNRVAIIANPSVAALYEDALVYETGTAITSSGALTAYSGKKTGRSPSDKRVV KEPSSENEIWWGPVNKPMTPEVWKINRERAIDYLNTRNRIYVVDGYAGWDEKYRIKVR VVCARAYHALFMRNMLIRPSREELEHFHPDYTIYNAGSFPANRYTEGMTSGTSVAINF AEKEMVILGTEYAGEMKKGVFTVMFYEGPVKHNILTLHSSANEGKDGDVTLFFGLSGT GKTTLSADPNRALIGDDEHVWSDTGVFNIEGGCYAKTVGLSAEKEPDIYNAIKYGSVL ENVIFDPETRIVDYDDVTLTENTRCAYPIEYINNAKIPCLSNNHPKNIILLTCDARGV LPPISKLNSAQTMFHFISGYTSKMAGTEDGILEPQATFSSCFAQPFLALHPMRYAKML AQKIEAHGANAWLLNTGWVGAGFSQGGKRCPLKYTRAILDAIHSGELAKVEYENYGVF NLQVPKTCPGVPDELLNPQNAWTAGADSFKAEVTKLGGLFLENFKKYESEATDDVRAA APVV QC762_0066400 MGLFLGSAHYLLAGVVFALLVRALLGKKAIRRNGEPLRNPPDTL PLVGNGIQFLQPRWNLLSWFDKCQRQFGYETLALTVPTLPPGVLIHDPKNLDYVFKHE GLFTKGNFVKTRSWDLFGNGIINADGDFWKLQRKAGLSFLNTANLRVLTGVALPQYLS ESVKELEAAKPDQVVDLQDVFHEITTKLMGKMAYNMEMHFSSPFSSSFDHASGCTAQR FQNPLYPLTEFFFGHKFRQSISTVKKFGLEIVSRAMRDAKSISSSPPPSTSPDDEKLD SISGSLIHSLLSAIPDSPSTVADAALTYLSAGRDTTGQALTWTFYLLLSHPEVLVKIR DEVRGVLAREQAPLDTSSSLPFSPAVLTPLSAPYSLAVFYESLRLYPPIPFEIRQAQA ATTLPDGTFLPKDSVLVWCLWAMQRSRLTWGEDADQFRPERWLDENGTIKHKGAAEFP VFYGGARSCLGRKMAEGIAVQVIPVVAWGWEFEGAWEGGQERRSGDSLTLPMEGGLPV FVRRRGETTGR QC762_0066410 MERGGMRRYIIHHLVWLGRLELLDALRQILRESHTGQDPQVGRV EKAQAGFALEFPKVTVRIDDAIPEKVPGAGFDKVAFGEETFVLEDVVEVFGIVDEHAW GEGWDCEGEGLVAELALAFVEPAEEVPAGLEELYAVAHEGEGVGWVP QC762_403140 MAPLLPRMQVFEIADQEWFPQFLRTHMQAALTAAWTTHIPLLQS SSPARIVARLLSHHLGPSIHNYTFIDFCAGAGGPTPSIEKHLNASISTSPPSYAKAAT APPPVQFILTDLHPHPALWSAAASASPNLSYVSSSVDASSVPQHLINDQKQKGKKVFR LFNLAFHHFDDTLARKILRNTLETSDGFGIFELQDRSLSGFLACCLFGLGAMVMAPYY AFLWGAPLALVWTYLVPALPAVLVFDGWMSSLRTRTVGEVKDLMGSCGLGEGEIRKWE VRSGTERFIPGVGYVNWIIVTRKGSQE QC762_403130 MASSSNPGRGAIQYATPSREDYNKLSDEQKTRVSEAFDLFDSNK DSYLSYEEFRFVLRALGFELPKAQTFDLLIRHGQKPPSWTHDQDCPPIYRLFSLPVVQ AIAGTLIRQRDPREELRRAFRLFDVDGKGMITQDDLRRVSKQVGNNIPDADIIAMVEE FDASGKGGVDEDEFLRLMMSKK QC762_403120 MVKRKRGDDDSSLNVSAVFFKHRDEVFRAIKNAKGRERQRQSKR LKDAKSTPDKKARIEKEIVVLNSLDLHQTAHAHLCSSLLRVKSIAEHEKLPNEIKSGV PKPELTEEERAALHNVTSALYSHAGVKDAVAKALEDVCKALGVSVPEKKGRGKKERLE EKEGVKETSEPKKAKSDKKEKKEKDTKQDKVKDLPVADDSEGEDEDINYGEDVDETVV SRMADLLGSSSEDEDDEEEKMKLAKRQTKTKKALPKELDPMEITSDEEGGDEADSDDD SDDDLDPMQITDDEADEENDNEESEDEFGGFSDSNQSSASDSDSEEEKEEEDQQSDAS SSAQSPPPKKSKRAAKVEVNGSTFLPSLMGGYISGSESASDVDLAPTRKNRRGQRARQ AIWEKKFKEQAKHLKKQQEKRDSGWDLKRGAVDGDNKPWKKGIKNPFKATGANDVAVK KEEEPPKKDRKRDDLGTLHPSWEARRLAKEKEKLTAPFEGKKITFD QC762_001470 MVFKQSAKEENMSTLVTWRHPDLRFVPGVRVVVEESEEESGEEG SESGSEEGEGEEEEEEEEEEEEEGEGGDDDNELPGAMLDTLMGILTRTPQKAVVKYAK PFPFEKLPGFIQLDIFMKVLFKDGQLIHCVSRLDAFHPPSTFPPEEELERRSGLRHFF FWGPEKDCCVNTDGHEPAEVLALLQVSKRFLFLGTHVFYGLNTFAFSSLGEFHRFCQG SGVARVERIQHVEIVWTGNQHLTAPTYRRVAANGEEVGAPMVPFSRRTHALSWLVDMK RLKTLVVHINESQKSCMRRGTEHPAMKRLLGNKTKGQPNYRMNRALRTVQGVNYIYQL RGMERVKWYDLNKAVRSGGGVRHKVEDWSFERDIETVVAMPKVPIRAEGSRLEKLRRL VGRGSFEDDEEDGDRGDGGGGGGGGGGGGGVGNGGGSDSGGGGAGASQGQWKPPEKDW ELVRDTYSLGNGRCSYDELREGESRGYDADVASFALGSNRGGGRRRGGGMARGRRSGS VATHTTTTGYISNLSTRISNLSSRLSQLHSNSSNIARPPSIAHSRAILPLFVGSSDDD SELVILDSRPMSFNRTTEAADGERLRAMLDDLPPANPSAQGSMQTPHSTAPRRSTTTS SLFVSGYNTPLIKNSSTPTPQAPPRTPSMSALPPGIAQPPSGGLFVTPRPSAPTPPRL APSTPSIKRESSTPVPIIHPSRSGEQIDLTQDDDASEIVDLTEQNDEDTDDDKDSVIS SDSDDDSDNEEDTPDANQLRTPPPSSRKKRGLDDAGGEDEGSPSKRARNE QC762_001480 MFRAAAAGPYDEAINKATDENLTSEDWGAIMEVCDRVASDANGS KDAVQSMIKRLAHRNANVQLYTLEVANALSQNCGKNMHRELSSRAFTDALLKLANDRN THNQVKAKILERMKDWSDMFKSDPDLGIMYDAYYRLKQSNPTLHPPSAPQKNSLTEVD RQKEEEELQMALKLSLQEEERKKGKGVGGSSSAAAGPSGSAGAGQQQQQQQQQQQEEV AAPIQPVASGTTAATVSRVRALYDFLPSEPGELEFKKGDVIAVLESVYKDWWRGSLKG KTGIFPLNYVEKLTDPTPDELQREAQMEAEVFAEIKNVEKLLTLLSASNTGPREEDNE EISKLYHQTLAIRPKLIKLIEKYSQKKDDFTQLNEKFIKARRDYEALLESSMSHPPGP TYHQYAMRPQIPGGYPPAGQGYASGPSGPQDPQRFYTPAPAQQESSQYPPSSPSPNFQ RPPQTGTPAPVYFAGAEIPSQPNQAPPQAPQQQAPPQPPQQQQAPQQQQGYPQRPNEQ RVPSSGQQPAPIQTSVSPPPQGQYTAYQSPSTGGPGGNPRPQSYGGPQELSTSVYDSP VAAHHNPSGSYASFYSANNNDDPYAAASPSAPSAPSAPGAPPPAVPGGVQTNPYYAAA ANAGGQYGGEGYGGAPDSPYTSRPAVPVTTMSPPPLKPSGPAFDARQGLPSRIGEGAA GAGGGAAAGGGGGEGRYKAYVPPSAQVAGGGDDAAGNYYRGAGY QC762_001500 MHQQSRHPPRVSSPASSPQTNPTRTNNPRDRIRTGSDAAAPGSP PASSGADTPRETIKKLDQIIQNFYLKAAVLILGSRVNLGPTSRRNADGTKVVNKWFSI DTDDTDDFRHELRVYKTSGSFESPPPPLVIETYIDASSLNSSQSLVLIDDNGKRWDVL EALNPSETSDDGFGSRRPRAQNTEVVLERWAIELKDLSTNGLGDFAPTLPTLYKRAIM FFRTLFMATRVTPCWKYSQQALAKGVHPALQVKCRVSTAEPEYLTTYDPLRQPLHEGD GREAVTTYDFGDLDIPVGRFSASVTYRNDCNFRVDASESLLSSRFMVEENFFRPTIQH RSRRHGRSDSYAEPGSLPAHRLGRETPGPQQTYGSLSTFHGEGALGTSPITALRQVRP IGSDTSSPSESTSASVERPSAPHSLPIRGALTRPPLRTGEEGSRRPSVSFQPFKAGSV SGSPRLADADVPPSPQSLSRPSGLSQFSRSGNRSSLTAGMAASLRGPSVPPQDVPVVS GSPKPGNRYSSSFTHRRGRLSFGGQSRGDDDQASSGKQSLSSSAQPGSGLLTEAGGIA SSGSFVTDDDNISEFLKALDSHKTLKSFEVNSKGESSASKRTANQLSKYQMMRETNNA LTDSMASSMHLQRSSSTSSRQLGNVPSMANPASMSTSTSPGKPLSPHTPHTPAIPSRL SENSIIDYQAPGQVTRRAGRSSGVTVAEEDEAEDMETPVAQEGGQGTGAIDIPLSPRL YHVGNPNRRSSSVVQQHRSLAADVDSYPGQRSASVEAGADKDVPTLSTLLAYQGGAGG QSSTAAEGSSAGNKDGSESLGDVPPAAIASPFGGRGVRYPVRTNRPNSFSSARLGTSV DGPTEEPLLFELSELGPGRRSLEDGSNEREPGLRGVLRKGWS QC762_409610 MTHLLWKSYWEDDVDKFRRLLSPAGQSAQNTARSSNIGAAGSPG HGHGTPPRPTPKSRKTQGHGNGSLGRNEVNSRDHAGLTILLRAASSTAENAVSFVEAL LGHPAIDIYAQDPESGWNALHRALYAGNISIARMLLEKERADLTGHMVSVNRVGQLIK TKDHEGNSPFDLYNSTIGERNLKDWDSAQVADDGSDSDDPEETVESITAASHRNGIGE DLYAFGSNRNLSLGFGDEDDRQFPERVFLNRPDHLLQRFYNEYLEEIGAQRPASQDLS KIPALVLNRPLVIQDVVLSKLHSAVLTTDPVSNLYICGVGRGGRLGLGDENTRFTYVP VQGPFVDRRVGQVALGQNHTMAVDDTGALWTWGSNAQSQLGYALPAPARKDEEPISTI PRQVFGALKKEVVLGVAASSVHSVAHTGASLYCWGKNLGQLALMDADSRSLEHQQTPR KVAASLFSSPIVMVSAIDKATTILLQNHTVCIFTGYGYHIVKFPFASFDYIGNLRLSN RHEVGWNQVSYITSGGETIAALTKRGDLFTMNLDHKMETNPPATSTTNPSKLKGAVTA PQRIWNASKDGVCSVGVGENGSVILSTKSGAVWRRIKRVKGKGASSANNISESKRNFK FQRVPYITKVATVRASAYGAFAAIREDSEVMKQQLAVDEQALWDDVAPLNCLEGFEAS EDGESTQESRKFWENPDLEARLGDIAYEILRSPDLERDLAQHLATWGCRNEPLDTVVC VSSAPELKIPVHSWLLSARSSVLRRALSEFRGTGSYAHELFTVHKDDDDGSKTVVCLQ GLDLLTLLNLVLFAYRDRVVPVWNYTRHAPALAYRYRQVRLEVMRLAVRLEMHNLEAA ARLQVEPERCMDEDFRAALKGDRRRFFEDGDALLELDGTEVAVHSRFLCRRCPWFEGL FFGRSGGAWLAGRRQQEERIIRLDMKHIDSTAFGYVLQYLYADAGSELFDSAVCDTLD DFLDLVMEVMSTANYLMLDRLAQICQKVMGRFANIRNIAHFLNAIGPCSVTEFKDAGL EYICLQLETMLENHLLDELDEDLLLELDAVVRDNQLAHYPYLASYRAQLSSQLDDAGL AEDVLEERQVRVREMGFKVQKEEERKMGVVSGRTKFGSLEEGGLFTPTPDRVRKGRNE PFSPDLKAKGEQGDMMFDMEGEEEDDSSPINSPSLRSRKTGEVPAEDKIAPLGSSVKG KGKKVWMSLEQSSPPVNPYSAPSPAKPTLAATRPVDVGGTTPVKTGTPWGGAAAVLTE KLDLRGIIQSESPKSALSAGLAAQKVKEGGAPRPAQGKMSQKEKKRQQQAEKDAQLAM AASKAEQQSKNAWERAKDESASAAPWKLATIKGRGGPKPPAQPSPQLLPPAVKPVQTI RNASPDTRFAGQRSTPSSSAPKPPAVPAKKEEPLVPHSKNYIVPAHKLERDLMAGFTM EDIMQQEIRVKESVKEAAAKRSLEEIQQEQAFQEWWDAEEKRMREEEGRRLGGVGAGG SKKEGGNKRGRGGRRGGGGGGEGERGEKARGVGEGNARGGGGGRGKRGGGGGGGEGGK GEKGGGGAGPSRENRGRGRGRGGRREQSGTSGPAAAVAVGEGC QC762_409600 MASEKAPLPFVYTFMAGAIAGVSEILVMYPLDVVKTRVQLQTGK AAAGADTYNGMFDCFSKIIRNEGFSRLYRGITAPILMEAPKRATKFAANDKWGKFYRE LFGQTQMTQSLSVLTGASAGATESFVVVPFELVKIRLQDKASAGRYNGMIDVVMKTVR NEGILAMYNGLESTLWRHILWNAGYFGCIFQVRQLIPKAETKQGQMTNDIIAGSVGGT VGTILNTPMDVVKSRIQNTVKVAGQTPKYNWAWPGVATIAKEEGFGALYKGFVPKVLR LGPGGGILLVVYTGVMDFFRNMREAKGL QC762_409590 MRPLQSIETPAPATADENGETRQQKPKTLPCKYCSKCFRRVEHV QRHERTHTKEKPFSCEWPRCGKHFGRRDLLSRHHKLVHSNEAASNRDGGGHRPRKPST AGAGMGPVDDHGDVKMLGMQPQPQQQQQQQPQPPPHQMYRQEVLQPTVVSPIAPDPRM SARAPACNLDLLSDAATHLASAGEVSNMQPSMMSGISDHQPPPPPLAPVKTYHDSNPY GDRVREQDPGVMSGVYQTQPAPFEDYNLFLDDYGTQHFLPPGLEMDQGFGSWSRLGGD MRGPSKPASAFPSRFPSLQPDARDPNDGSRMHEDGMQAPNWRIAGANHIAVKNRLDEF SSVLPNDFVFPSRHTLNRFLDGYISGFHEHLPFLHLPSLAPIDLAPELLLAVLAVGAQ YRFETNRGHALWYAAKAVALEQIRRRHSHEVHGLLPTPAAYSPHSTRPSPSSGFRHSF PSVHQDRPMTQETHREPYSPNTPHARLETIQAILLLFSVGLWGPKAILQEALGLQSQL AVLVREEGLVAESNQQTVDHETWVRHESATRTKLVAFCFFNLCSIAYNTPPMLLTSDV HLFLPSPSRLWRAETSWQWQEARQTCQFVDITLQDAFMRLLNRSSQVPPPPLTSLGNY VLIHALIQHIFLLKQTSFTSLSPYDFRRGMKPEDVEDVTHALRVWQTGVEQHRQIRTN ESGGPASADNFSGGPVAFNSAALLRLAYIRLYTDLSPSRSLETRDHVLIASAFSDAPL LVRNKRLNGAVVPAVHALAHLVKVGVNYVARTKSLEWSMQHSLCNLECAILLSKWLLT LSAIGPADQPLSVEEKHVLDMVCRMLDETEFAVPIDPSLGGGNGGASSSANHHGGGHH QSRSIDMNASASTDSTKLRQLACAVVRLWAETFKGAHIFEILRIMAAGLDGYGDLIEK PRDRTPLGKFVGGQGLG QC762_409580 MRSSSLLVAVATVASAVQAFWLGDIPHRGIAPFAQPNYPVFRNV RDYGARGDGITDDTAAINAAINAGNPCNRGCASTTTTPAIVYFPAGTYLISSSILPAY FTHLVGDASAPPTLKATANFQGFGLIDGNPYYTEVLNWKAVNVFFRQVRNFVIDTTAI APGRAATGMHWPTSQATSLQNIVFNMPSTPDVVHVGLFIEEGSGGMMADLTFNGGATG ASMGNQQYTMRNMKFNNCKTAIIQIWNWGWTYHGLFINNCGVGLDLSAGGPSNINVGS VTLFDSTFSNTPVAIKTAWTPSANPPTGGSLVIENIQLNNVAVAVQGPSGTMLGGTSG STTIPAWALGHLLDRGTNAPRFSGPINPNPRPASLLTSDGRYYVRSKPQYESVPASSF LSVRAFGARGDAAADDTSALQNAINTAVAQNKILLLDHGLYRVTSTIVIPPSAKIVGE AYPVILSSGGYFNDMSNPRPVVQVGTTSGQQGYVELSDFIVATQNAQAGAICIEYNLA TNGGQPSGMWDVHVRIGGFTGTQQQIGQCLKKPGNGSVDRNCVVAFMAMHVTKGARGL YMENVWLWTADHDIDEQLNTQITIYTGRGLLIESTTGPLWLWGTGSEHHVLYQYQLTS TSDIFLGQIQTESPYFAPVPNSLVPFPPVAKYSDPDYRAQCVGIAGNCPAAWGLRVMS SRNVLVYGAGLYSFFDNYSTACSTFDAGQTCQQRITSVEGRAENVAVYNLNTIGTREM VTRDGGMVGGSGWAENRNTFASNVGVYRA QC762_409570 MVGRKRKSAPAAAVEEPVSTSRPQRAASSSTGKTSKYFDPSPSD SESPDPLAKAKPTVPKRRGRGRPAKKAKKQLEPESDPDDDNEFKDNPQEEAKPDSDSD SDAPPKVTYTPLPTLRPEGTTPYRPHALHPNTLLFLSDLKANNKRSWLKLHDKEYRRA LADWESYVTCLTEKITSLDPTVPELPFKDVNFRIYRDVRFSNDPTPYKPHFSAAFSRT GRKGPYACYYVHVEPDGNSFVGGGLWHPDGAALGRLRASVDERPDRWRRALTEPAFRR IFLLQGEKKGRGKGKKNREEEEQDAMAAYAEMNRGNALKTRPKGFHPEHRDMRLLKLR NHTVWKKVDDGVWTREGGLEGEVMDIVGGMVGFVTHLNRIVMPDPGDEDDSEVEEEEE QC762_409560 MTNPSPLARLTTHGYVIIPSFLPPSTLSALRTASSTLISLSSAG QWPHVRTTGKQFPPWDPSLVPHSAGIWGVQHLLHPSLPLPPSQREAFVKLYFSPALLS LSREILSLPPPDGLVMELFNLLCGPSDGKGFELSWHRDDIPASATEEEERERVCKPGE VYSHTQWNLPLYDDSSLVLVPGSHSRPRTAAEREADPHGGDLEGQVVVELKAGDLVFY DNNILHRGVYSGGKQRMTLHGSVGRADGGGEGMKKRARNVLQHGVGSWVERADFSCLG EGEERKTAEGMRRRLVEMGRAAGEVGYSLVG QC762_409550 MPGIPFGALDNLKGKLKAAFKSRAEKKAKKDAEKAKKDEAAPAA PAAATGESSTAAPAAAAGTAATAGTEATKTETAPAAAPVETPAVEMC QC762_409540 MPEGNDCITRSAAEAGPNDEATRSRDDVFPGMDPKGGAPEDGKP TLDRIATDVGDKEEVCTESSTTGTTHVDTGTSIPSEVTQSPTAMSTAVSSWSASEDRQ LGQGTAQDPTDRVFPIRSVISVGEPGPMGRKSSEQEPRRKMSLSEPGHTPIPPTPGFS NGKQLELHSERTPFARGRSAVAASLPVTPAAAPWSPPAPTARERRQQTVSGSLSSIQA DAERYGNPMPLSLADSSDEEQAVTETPSRAEETLSERKSSIAPSIPDSLYTTRFTHVM TDEGHAVITGRDGKLQRCEDEPIHTPGAVQGFGMLLTLREDAEGRLQVRHVSENSQKI IGYRPQELFALGDFLDILSDEQADNLLDHVDFIRDEDADPATNGPEVFSLSLRPPNHD KSVKLWCAIHTNPAHPNLIVCEFELDNDLQNPLRPVDEDTLNLPEDTLQSNPTLEELT ESTEILSKPLRVLRSARKRRGEAGAMQVFDIMSQVQEQLANAPNLEIFLKILVGIVKE LTGFHRVMIYQFDSMFNGKVVTELVDPALTRDLYKGLHFPASDIPKQARELYKLNKVR LLYDRDLETARIVCRSTEDLEVPLDMTHSYLRAMSPIHLKYLANMAVRSSMSISINAF GDLWGLIACHSYGPKGMRVSFPIRKMCRLVGDTVSRNIERLSYASRLQARKLINTVPT EHNPSGYIIASSDDLLKLFDADFGMLSIREETKILGKVEHSQEALAMLEYLRLRKFTS VLTTQDVKVDFPDLHYPPGFQVIAGLLYVPLSVGGNDFIVFFRKGQVKEVKWAGNPYE KTFKEGTEAYLQPRTSFKVWNETVVGKSRDWSEEQVETAAVLCLVYGKFIEVWRQKEA ALQSSRLTRLLLANSAHEVRTPLNAIINYLEIALEGSLDQETRDNLSRSHSASKSLIY VINDLLDLTKTEEGQELVKDEIFDLPACVREGTEPFKNDARRKGIEYEFIEHPGLPKF VYGDQRRVRQAVANVTANAVQHTSAGHVRVELYLAELLERRVRVEIVVQDTGSGMSNT QLDALFRDLEQVSTDEGDDMFSPLEGARDKEKPEKDRTLGLGLAVVARILRNMDGQLR LKSEPGKGSRFVMQLPFELPEDAASADGTATASGALSETSRTGSVAAASTPRPTDGEV IVVNRGSGGSIHTNQSISAKKSFDDSKSTHSHRSIASKSSAKSGMSDAERLIDAIQTP ITWGELDPELAKRRRSSRGAYYNPPAPGTKDGHATRSLSPTRRVRPSEPTRSGSSPTN IKQQSQPLTEPPASHESPPGVRFINDSRTPLKPVRIPDEYHDKPSLPQQSETSGILFE IPPTPDVRENTREVAASTSAGEVVATPGLEVLVAEDDPINLKVLRKRLEKAGHKVSHA LNGEDCATMYGEKPRGYDVVLMDMQMPIVDGLTSTKMIRTFETSSSRPQPPELSAIAQ NHGRIPIIAVSASLVEREKDTYVDAGFDGWILKPIDFKRLEELLKGIVDDGVRERALY VPGEWERGGWFAARSGQQEAMVN QC762_409530 MSGLYRAPKAGENPDRPVNVVFVGAGAVGCFYASRLHHPDYNIH VSLIARSNYRALEESGVKLQTHTFGDYTFRPAAVYPSPDAASKGAVKQWDYIIVTTKA LPDVSDDSALIAPLVSEGSCIVLIQNGVGVEEPYRLRFPANPIVSGVTVISAEQISPG VIRQNRWTRLHLGPYSDSANSTSDSVETGDGTTGALVPPSPTPTPDSDSESLNETLLS VGLDHCDRLGHYWTAFGSIHDITVSSEIDLQLIRWHKLTINAAFNPSSVLSGGLGNAD MVRDPELRRHLYGVMKEIWDAAPKILGRGFPGELAGPEKILKSTERNVGSKPSMLLDW EAGRRMELEVILGNPVRLGRRRGVELRRMGTLYALLRSMQAVREGRKARL QC762_409520 MIIDGEKWACEACVRGHRVSNCQHHERPLQHINKKGRPVSQCQH CRAMRKSRSSHVKCDCGEKTHKCIHLRPVVEGHKESCCCNHGGRCTCCHKKEPGLETV PESDSDSAAAAQNKISKLSSRVRRRANTTSSDGMLAFEVNGHPKPTYKHNKVSQKCGP YQLSRVNSMHSTGSLGDHSLDGFLGDVEGCGTASATGSISGDSMPPSQHSRSEAASPL MTGSQSFAQLPPLDLSQISKYQPYVANHAEFFGNMSDHEQPIFSAGLSAASVDWNNYE GLEFARENNQDFAPSSYSQPQSYGGFDFGGSEQLTMTTTTSNSGEVSEVEDFFTNPLD DFETFRSPFPTGGFLGHTHSMMGSADLGSVEFDELGFMKKTSAKFMNATSSMAGDDPT LLAGAASGFGGFALEDDAFWMNDYHGLPNMTDSPTENNLGPFWAEAQ QC762_409415 MCVQSDWVFTCGHRAFAKFDNCPKFGRGCFGQNGTHQDVKLRPL DPNPQAVSNDPYRKKRKIR QC762_409510 MSLFQLGLRRAATQLSKSSFACGQCLRQQASPAPPSHILKLVAA RAPAIRSYATKTKSPIASLSKNIQNEKTSNKTSEKKSSFPETNAKSVGYWLLGSAASV FGIVVFGGLTRLTESGLSITEWRPVTGSLPPMSAEEWDSEFEKYRASPEYKLINPHMD LDEFKKIYFMEWTHRLWGRFIGLSFVIPTIYFIARRKVTPRMAANLVGISALIGFQGF IGWWMVKSGLKDDLFAPGSHPRVSQYRLATHLAAAFACYSWMLLAGLSVFRTHRALGN PAYYTGHFSALKNPALNILRRSVLGLTALIFTTVLSGALVAGLDAGLIYNEFPKMGTG YMPPKAELLDKFYSRKEDGSDIWWRNMLENPSFVQLDHRILAMTTFTAVLALFAYSRT GRVQAAMPPNVRKGVLGLVHLVSLQVALGITTLIYIVPIHLAATHQAGALALLSGALV LGQRLRIPKNTVALVQRQLKQGAQPLKTQALSQKMQEVAKNAATKA QC762_409500 MVTGASPPENAPASTSPEIQSDAQPGAEPASTAAEKEVAIPAGP TRQATTTSLADPLDIARHRRENISQKQMKIEHPKGNKRRLKKYYAQQNALIDDFLGAD DEEQVAIEKDAKYAPKIKFAIRGSFIINFCLFVIQLYAAISTGSLALFATTADAFMDL VSSFVMLIASWLAARPSVYKYPVGRTRIEAMAIILFCALMTTVAIQLLLIVFAKGSMM VYCLMYRRFPTVFIFYIDHRNDIAINSFGLIMAVVGEKIAWYLDPIGAILVALIILFS WVSNAFEHIWLLVGKSAPKEFISKLIYMGVTHDDRILKVDTCRAYHAGHKYFVEMDIV MDEGLPLKVTHDVGQDLQRKLEGLADVERAFVHVDYDHQHDVNEEHKPLFAPKSNVKR SLKDIILFRKPKMAASGDSSSETTATQ QC762_409490 MDEKPQCGSGEEVGEYDLPLHVAGLFMVLAASIFGAGFPVVAKK VKWVKVPTSVFFACKHFGTGVLIATAFVHLLPVAFGNLTDPCLPDLFTTQYPAMPGVI MMGSMFCLFVLEMYLNAKMGGHSHGGAMGFEASGPALPQPESKPAYQHTHAPDHKRAP SRPPRYTAYSEFEIEDMDYEKRMAQKLYGEKMNTYPRSDNPFDDINELEVRSEMPPWF VVFYEQYVRQRLEMVNMIKSSHAALRKEQQHQYAEAEKRMSMLAPPPSLADSPYIDVE TGKPVDPAVFKKMSMNITLLEGGILFHSVFVGMTISITIDGFVILLVAILFHQMFEGL GLGSRIAAVPYKQGSLRPWMLVLAFGCTCPIGQAIGLMVKDSYDPNSAFGLIIVGVFN AISSGLLLYASLVDLLAEDFLSEEADRTLTKKQKRDAFLWVLLGAAGMSVVGAFA QC762_409485 MPPHKIISAPTRESLLSLPSPDYTLIKSLGNDRFLLRRNNDGLP LLGHYWTEYYQPSTSPVHTLVQRGAGAAAAAVLNHENLVSLRGEAANWVPLKVNGKPV QAKQVLLLWDWCDGGSLEQFLEQSEKEIDISEVQNGGEFMPESFCWHVLTSVLRALQW LHQGIRETYGVVELDREGYGMGYVEHPGQRGRAGRLRVVNRMTLPTPEKREKGGWKRA RRDDDWFPVLHRDIRASKIFLQHPLGTETYGMVKLGDLRYCAVSGTVVSEGGFGLDKV PVVAPERVQELGRYVEKDGGAYINGIGELRKRMVGWWKDAATVDKTERPYTAGNDLFS VGAILYHMMMGTQMVNPEECPVKGCGCIHLFDGPEKDEEAEKLPGCTDGCPRPDTDIR RCFNNTGYTTELKQVVGALLRCNREVTWSASEAMEAAWKGYETWTRTTEDGQAYRDIY EDILFRRQNQERINGEVPEWTNNMAYQVRRSLNPNVAI QC762_409480 MGYPETFTGFCVDSPKTWNQYHLANLKPKPFGDNDVDVEIECCG VCGSDVHTVTGGWGEFEGPLCVGHEVVGKAVNVGKAVKGIKKGDRVGVGAQVWSCLKC DVCKSKNENYCPHMVDTYNAKYEDGSDAHGGWANYIRAHEYFTFKIPDEIPSAEAAPL LCAGITTYSPLVRADIGPGKVVGVIGIGGLGHLALQWAKALGAETYALTHSAHKVDDA KKLGAKDVIVTTEEGWADKNKFKFDMLLNCADATHKFNMADYFGTLKVGGEFHMVGIP NEPLPEMTAMAFVQNGVKLTGSHLGNHQEMDAMLKLAAEKGVRPVVQTVQISEEGCKE VVEKVKEGNVKYRFTLTGFDKAFAGK QC762_409470 MDDLSRMSDVESDFAIMDATPSTNRRRPGMVGAAATTESREGPS AFRSPLSKSRSLSDGGGAPKLSPSPCIVEASRRQSQDDIAIRDKDTDIAALRLPPSTP IRTGFPTRGLSLQMAPTEPSSPAPQPQAAGYVKQAPLSPKLDHSHIYASPTNILPRRS RGLDFSRAATSLHHSTLANQADPDSSPTIGSRAMNIPNRRSGDHAGVDHAASSHWGTM GHHHQERMQISSSLGSTNHVLSESSSDSDEDDYMDEDMEEAYIMTPQASRTGMGHMAG GPSAPGWMPGSPAVSNFLSFQQRQRQRKQSTKKKMRGPLGLGFHSPAASGAISKSPPN NLINSRDLPHTRRESISWAANQLHISGNESDGQLEGLDSPSRPSIVRRAVTRRGNLLP KTKGFARIRAALAEEGAPIETEFRREAEVVRQVRESDMDLEPRLPPAPSAATTALSSP NLDSQVHPDEMMPDDAMMIEGPVASHNPTNNALGLSPATFKQQVLKNSKGKVFWDTFS ESSSNGGAGPRTTTPPPPSFVTRASSSGVSLDDVNMDSPSSNSQSQNPFVLPLTTGTS SSGNGTPHQPPGGSMPSAAEITRRINSKRRRGDDDFNDPISIKRRAVSPGMSAHGSPV LQSPLQRGGEQGWGALSLGPAITTTVGQQGQGQGQGQGGGGSRPGSSVGGGGEGGNNG MAGSNNKRGRVGLQGMVDTNDGITRLSIE QC762_409465 MTRPETATDPKTATNDHPKTTPDTETAWDELNEPYTGPLREDQK AAAGEAGSGGRGENGNNSKKRNSKDNKGMKCRTRCNIPAFPATNHTLRDSTDIHQPKT KFIPTCHLRFQPPTIATSPPPSISTPIAIPHPPLSSQQCPNPPPPLPPTAPLLLTKRV SAFLRANLSPSITAAILTTPTGSLLSHASSLPASALRRQCAVAASIWAVQSSSSASSQ SSPQHTHKTRKHKSTPSLTVQLDSGLVAVCCLFAWVVKVKVKVKVKVKVKVKGQGQGQ NQGQEQQQQQPEEGNGEGMGGKTPVGSPSANSEVGRASVMSGGTTSGQTVTSQTSASS AGVVMMRRQVEELARWLDEKLAGLCIPEEGIGLENLGRAGGEQLEMR QC762_409460 MVQTRSRVAASRKAVAAEPEESSSDSDSDASIPANPRPSNIKSP VTLRPNKASLPELNNGVNKKKRNASPSLETPARKRARAHEPTDPEDDEGVDETAMHVI NAFSNRQMPNPKQKGIEVLLRSGGKPARRKRDGTSIEDTIDETPESVRNNRRPAPVVE EPEPAEEGLVEGEDSDHDEVHRRVAREDGSPELDSSPPKPKQPQQSRRVASRTLQPVA TALAAPLRPATINNLKPPAPPRQLGEEKSSSSSHNILPTVEAEDELFVDQANNRQSDL EEEAESDDCGEQDRREEEWEELEEVGAPDNGVQLAVMEDPDDVFEDEDEDDTALHPAI HILVPEVVDKRSIVTLDSEHLDTLRGMMGKEQWSDLGKFWELQIYSADGFRFDSESPA TTPGNRCLRALYAFRECLVGLKCPRDLSRQNKHLVRVHRQLNEELTNVARKIVRVRNS LKQQTGNYKQQVSADIQQFIIPTLILTLRDLFLLGTLGYKAPRHQTLPPLPKSGQFTC VTVQYVSSITKRLSFLIRDLMEHCENEVAKKQLGWNDFSQVFDEWKFELQEKISAVNE EISRQEKIDRDKAIKQRRRQLLEIEAAKATEQWNRMAVSTQRLRHQPSPMAEKQRLAD KYISPPQDPISPPRPRPDSSSRPYSSYIETVRTVPSTLQRPRVNALQRRTPVIRAPWP PSRRRLGLAQSDQASSASSMVQSSAVKRPTNQPLIRQPWPLMRQAPPQSSPVRHQKKD VMVIDSSPEPEVFAVPDHIDTPSRQAKPRVELEVEEVEGEELEEEEEEEEEEEEEEVE EVREDVEEDEDDEEEAFGKVWDNGERAFLVDQLEEAAVEGITRENLEEWAECFECSVP EVRQQISSLQKEGLWRGSL QC762_0066690 MPIYTVSPKDIEVLTETLAAAPAVTLQSFVRLQWQPAVIGHIGA YRPRRYWGSPNLEKSHQSLGSFIHSLKSKCRSHTLGLSTHRISRLFAAPLRRQQPFFA PKPYPTTMN QC762_409450 MTTMRQHGVTAARPNSLFALRSGFVGLARILSLTLSTAYAAPIA SLGHGGGGNDEPDAGGASLGMLYLASAILVLSGGAFAGLTIALMGQDSIYLQVMAGDA TEPQQKNAKRVYHLLEKGKHWVLVTLLLANVIVNETLPVVLDRCLGGGIAAVIGSTVL IVIFGEVVPQSVCVRYGLQIGGYMSKPVLAMMYLTAPISWPIAILLDKILGKDHGTVY KKSGLKTLVTLHKNLGDMSQRLNQDEVTIISAVLDLKEKPVANVMTPMADVFVMAEDT VLDEKTMDMILSAGYSRIPIHETGNPTNFVGMLLVKILITYDPEDAKLVKDFPLATLP ETRPETSCLDIVNFFQEGKSHMVLVSEYPGEDHGALGVVTLEDVIEELIGEEIIDESD VYIDVHKAIRRLQPAPKARVQRRQSEDQAGRFAEHNGLVDHGGDLIQFDTTGTTSFDS PALSSSPKLATLMMRRSSAGREGHHMTVPVRANFEDIRQHLKHLGPSNPATNPRDTKS TTVKIKPGTGLLHTAGRSSSVAEGAIEESPLEHVREHAEEEEGDETTSLLNPQVTGKD GIQALQQTYGATSPVTVQLASPINGVPTLTLETPDQADKSSMQNTKQSPTESTSAGHR SVSSGDSTHSARNDVGNLIPAKPYVRSGSITENIVESRGVRKVVLETTSSNDEDEFAV IGTSPEQPKSRSTFGLFGRTDAAIKNEGAGEEEEEEEEELLSPETGEEGTSKGADVAK GNGALGTAAGGPSSGGGGAKKKNRRKKRKGGKS QC762_409440 MNSLRASQRLRFLVPSLQKKPSFVPPVKFYNHLGLSLLKKLSFP DAKFDLYFLGYDAPGAVSHGKNLWDREGLIELTHNYGTENDPEYKINNGNVEPYRGFG HTCISVDNIQAACQRIEDAGYKFQKKLTDGKMRHIAFALDPDGYWVEIIGQRPVEETE GVRETDLGTYRMNHTMIRVKDAERSLEFYREVMGMKLFRTHEAKEAGFNLYFLGYEGE QGAVVEGGDTAKREGLLELTWNYGTEKEEGFSYHDGNKGPQGFGHICVSVDSLEKACE RFEGLGVSWKKRLTDGRMKNVAFVLDPDGYWVEIVQNERFADKANF QC762_409430 MAPSAIEPVSSSPNNNTPRILVPEKLSPEGLSLLSSSGFIIDNP APGSLSAEDIAARIGLYQALIVRSETKVTAALLAAGSKLKVVARAGVGVDNIDVKAAT EQGIIVVNSPSGNILAAAEHTIALLMATARNVPRGDGTLKQGKWERGKLVGVEVGGKT LGVVGLGKVGLKVARVASGGLGMKVLGLDPYASADVAKAAGVTLVGSLEELLPQVDFL TVHTPLLASTLNLLGEEQFGKMKKTARVLNVARGGVYNEEALLKALDEGWIAGAGIDV FTQEPPKEGSVPSRLAQHPKVVSTPHLGASTVEAQENVSIDVCKQVVVILGGGLPTAA VNAPLILPEEYRRLQPFVKLVEKIGSLYTQHYATNAGDKKGGMIGGRRFELVYHGDLA SVSNTRPLFAALVKGLVSSISDAGGRDVNIVNATIIAKERGIAIDERHVRDEGSSAPS TYASAVTLRSISTDGASGGEQIIEGYVSGNAVFISKLDKFAANFQPEGTLLILHNYDE PGKIGNVGMVLGRHGINITFMQVAGLNQEARRAVVDGPVDTENGLKEALMILGVGGDV TGELLEELGKAEGILDVSVVGL QC762_409420 MSDVETKDQTLAPEQQQEEQEEQQEQQAAPREEATPTPPPAPPS GSDTSPKAKAGAGAEAAAAKKRTASTSTAGVRRPTGTSSATGKPAAGTASRIGTAGGL TRPSTTRTAAGSTVPKRPGTAASSVSHRSQPSQSEDEKKRPTASATRRTSILPGGAAD SPGKASRTSTIAGSTAAARKPAAAGTTTASRSASGTVRTTATTGSAPPTTTRTATRPP TTGAVADAKKRLSTVGVSTAGAAPRHAARPSLASGTSATAAAAAAESAKETEELKVKL SSSEAEIAELEAQIASRQAKIDELTEQLSAAQQARQEAPESAIDQDVLEALRTEHAAV IEELNKKVTETEEQLASVQAELTAKQSELAAAASAKETAEAEIASLKQKLERRQADHE AELKNAKESLSGSEEENTAKLEALRKSLSEQYEANVHALKEKHQEEIQQVKLESAGTQ KELVERGNEMLEELREKNKLLDKEVQDEVARLREALVAAEEAGNVIKAEIAGKNEIVV ALQTRNSELESELSAVRDGLSKAQNAHAELEKIMAGLKEEAAVNEAAFSKLKEEHAQL TEDHAKLTAAHASQTDHHNEQLKQISQDYEKEIEDLRGDAFFKRKFEELEAKHAELVQ AHEQAVASHAQALEAAKAERDNAINALKAKEEEHQQALDALRASHAEELEGAKSATRQ AQEAGEEEIHSLKESHSKHIEILKEESKASLAKALEDLEAGHAAELDAARSAGDVSLQ TRIEQFEREVEAKHAEELEQALQEVRAKHAEEVAKLTGDLQAAQAMAEELKAVLKDSE GQRAEAKALIDYREEEMAKFQAKFAELEASVKEIQAKNAELEAKNAESVAKHNLELEA VNARHDSLLAEIKAKYAADSEAQLRGLEKEQQAKADAEAAAEALRAENVKLQQEVDEM GGQLAMEKMEKFTAQAELDAVKNAKQDTSEINGLREQVSFFQARYDADVAKAQEAASK ASAEVAALEQELAAVQKDLEEAEKKIEVGKADYKDLHDIFVEHGNSKTALENDKAELE NRLSEVEQRLSEAEQRVSEADKEVAELTAQLKIREAELAEVKTKGEPAKGLAASRFAQ PAEENGSNGVPAAEGEPELDNSSAALASIAKAQAGISQLAELDDDIAKSNQRILQSIT DGVPQASSA QC762_409410 MDVTKPDPDAEGVVMQQSYPSPMVDSADAQYYQIANHREHDGQM AAHQGGQEVPDGLPNLTAHQEHHEHEEHHDLHELQELQEPPAPQQHDSRPQVSADELQ LAAQLTQGLTQGMNQMMAPADMATVESEVQEQQPLPQQLGEEHQQHQQQQAEVQAPSV PNLQEQLEASLQNHERELQNQNHNLQNQNHEHELQDHNHELQGHEHELQAHNHELQNH GLQVENVMPHHEQTQTQHHFPQNPPPPPHLPTHLSMEHMPSVHPTYQMPDNTPPRKRS KVSRACDECRRKKIKCDAQSEASEQACSNCRRSSAQCLFSRVPQKRGPSKGRYIKELA DRINSIEGKLNSNVAADGLEDTTRRSSSEAFASPVLGDDGRKRPFSSISADPYATAAS PNRIPTTYGTEHRPILPYVHPDFRPPNPASANDLALKTMPPLPTFPSGNELGLQSQTA DGLMDSISPNGTTGQSHQPDHHHHHHHHHQQQQQQQQQLPEIDDATFDKYLEAIHPTF PVLASTKARVQSLLWQASFPLQNAFHQAFFAMIRPFSPEVPGGDLMVASRLLSAELAQ GGRSPPEDLLTLQGLVMLIIASDCQGVSAGTAKWKNGVFGQAVGLGWAMGLHTRRVPE VEEEGFDPNSDDNVGLRAWWVLVTLDRWDAAGRGRPTFMPNDTVVVLPGLKAVVGEGV WELIRLSHVLGFLIPTISTMRNSLDPFAASASLVMAENYALMAAEMVRLAFPTGAVST GPVVQMAYWHVQILRQLVGASRVPLRILEACKSQVSLLANSHEVVCPLTHHFLGLVGV ALVELGRVKETEGEAWELVGYLLEYRIAASSCNEEVRRGLEGVKRGKGLRRLAELATG DGQGRGEMGRVLGEGYLSFWERSDEEGRVEEAEGHGQQEEQQHHGEVQQPEAPLQEAQ QGQQEDVVMHDELDPGQAQRAQEDEERAAHAAAVAAVAAINSAGLSAAGEAETIPAPV GEGGVSKTEEQQLQSHPQVEGGHQGGDIGLGLQMALGVAPPPAAV QC762_0066760 MCMQKDKVWLECNHRAFFRFEPCARLGHGCFGAGGDHDEVHVQD ICADCKRKDPNPAAREAERVRREMELRARRAEEERVAQIKRLQIEARRRAEDAKRKQE EEALKSARRRERERRQLEKQVDDRAAKALESHKRKHEGGEEDEPKAKRQRGRGGGG QC762_409400 MPGRKRSAPIDNPSPRTIRATRSSARRTAAKNDNDVIPDVVKEM VVEVRKAKQAAAENEDTPTEPPRKRKRPGEKPAKPSKENDAGNDGNDEEIEFEDVPIP APTIQTMVRDTDDEEDDDDDDDDIQFEDVNINGAQANTRYEGPKTLDLDLTAYMASLA PKKGDRRKALGREEKDRRIETHKVHLLCLLAHVELRNRWCNDPEVQDALRPLLSRKTV GFLRPRASLNQFSRTESLRRGISEAKEVFRTRFEITERGLQRALWAENLDQLKDYKPP PDAETTRNKADFLKAAQTLRGSRDVGAQLFCALLRSVGVEARLVCSLQPLACVAGAPA MPKPSSKTTPKAKQPSKAEQYLAAVAKHETKFTPTTPGTIPRSRLGHPDATSYQIPSL LAPSPSTPPPLPTPKTQVKKIKGESPFPICWVEILDHAHQKYHPVDPLVTFSQFNPKV YEPPSSDLLNSLTYAVAFNPDSSCLDVTRRYAKAYNSKTRRWRIDGLVSSRNGLKGER WLRAALRRYQPPSVTDLLQIELNELKAAELKEPMPRNVMDFRDHPVYALERHLRRNEV LVPGAQVSGTCGGGGLERIYRRRDVKVARTRERWFRLGRVVKGGEVPVKVLPKMKRKK KGRLGDGDDDEEGEQGAGNPVFIESQTEVYVPPPVVGGRVPRNRFGNVDVYVPSMVPR GGVHLPHERARRAAFVLGIDAAPALTGFEFRGRQGTAVLRGVVVAAEYKGAMEAVIQG LEDMEVEEEQERKTRMLLAVWRRMLKGLRIRERIMDGVDEEAEAEAEARKQEDKGKAK EVDMPDFDDTATPFHDDEDEDSAGRGGFFPSTNLDSDDEGGGGGFMVEEEEEEGGGFV IE QC762_409390 MSETRKRKHPANESSIERIKSSKMPKETPPSKPPSQPTPSQPTL STTPSNDDPFPVNWPKSLPYLTTPAYSPQITPSQLSLLRTLDPDLPTIPGSFPLGPAP HVKITPITDLKHPAHGQSGLFATQHLPPDTLILPYLGHYHPGSGPGLQDEDYDYTKSD YDLWLDRDADVAVDAARAGNEARFVNDYRGIPFTPREPVPGQKKPPKQQKGKPNAEFK VAWDERTGQKVMSVWVLPRGKKGLNTGIERGEEVMVSYGRGFWEGRKQEGEGEN QC762_409380 MKMADGFTGLSCAAAALFAFLTYRFIFWPAWFSPLARIPNAHWS VPFSRLWILRIRFTHRENKTLFAAHRRHGPIVRVGPSELSIDDVDCVRTVYQGGFEKT SWYSVFDNYGAVCMFSARPSAEHSARKRLISHVYSKSYMQSSPAASAQGHAILSERIL PILERSAKDTQLPHGIDIYSVFMAATMDFIAGYLFGLRNGTDFLGDKAYREHFLELYR ARQGYGYYDQEMPQFTRFCRKIGIPFCPKWVDAANKELGDWCLRLCDQISAFPDTTNI DPRDEPVVWKSLVGGLRKDETTKGKDSLLYPAVSNFRLSVASELFDHVLAGQETAGLA LTYLTWRLSQSLELQEKLRTELLGMTPNLRQRPDGTIDMPDPKKLDSLPLLHAVLTET LRLHAPIPGPQPRQTPEAGCRLGLYHVPGGVRVAALAYTLHRDEAVFPDAEKWDYTRW LPGTGTEDVRKLRNRQFWAFGSGGRMCIGSNFAMNEMKLMMAAIYSNYTSHIVDDEGV ANQSDGYTSRPANEQLYLRFEKVV QC762_409370 MGSSVPRSFIDLPLEIQFLVFANFDCARDLRALALTCKKLHSAV NNDGWRRFVERSFPSLSIPIPNGNRHTWEQLAESLTWQSRCWDRRALQFQVLLHSPHG DEVRRHRGNARGRGLFHSVVDAHFDPATHEELVVWGAGEDIVGRYRERQGPDKPSKCS WHSVNGKELGFRAAYDDVNSVKIVNHHTGRAVVTGRHNGTLSLISAEPDRFGQLISEF KFGPPETSETNNGSEPENLSSLDVLQHGSNTRIAAATRNGLAVFDLPADATTELELSA TFDLKTEIFSLNTSRLSRAKWMEQGETVALALSGSPDPLRYLSLTPTGITHHTAAKNA SIAAQFELKDNGNICPNSLEPVCRTGGCRTSLLLSAWRDGTIRLQDLRTPSPFDAVYQ DNVDPWVDAEALMTYGTERFVAGGGDGLTVKIFDFRWERPYHHTSGLPCLNSSPFPGP SQAFLKNPTKSNLGNERCKQGRACQYHELSKHIYYRPNAKFYLSRSLINSSASIWSLA RGSDISPNFYIGISGGVIEANLEPCPNNYPPDRPTTDPNFGFPDWRGRAADGSGYMSR QVSPALMEIGDGYAYKQNDRPILLPRLQDCGGPPSWSGPILKLSKYHRLDMNYQTRGD FVWG QC762_409360 MVSAYTSNGSEGETEKINTNIVTLTRFLTEEQVKHKEATGDFTL LCHALQFSFKSIAYYIRRATLVNLTGLAGSSNTTGDDQKKLDVISNDLFIEAMRSSGK CALLVSEEEEEVIYFKDAKDARYAVACDPIDGSSNLDAGVSVGTIFAIHKLAEGSTGT KEDILKPGTELVAAGFTMYGASAQLVITMKGGSVNGFTLDNGVGEFILTHPDMRLPKK RSIYSVNEGNSLYWEDNVKEYFNSLKEAKEEGGKPYSARYIGSMVADAYRTLLYGGVF AYPADKKSPKGKLRILYECAPMAMVFENAGGQAVDSQMRRLMEVVPEHIHDKSGIFMG SWDEIEKVKSFHK QC762_0066820 MGALDRLSQLGNQISGGASAGGKAKLLEKNPDDIVVTAALRTAI TRGGKGSFKDTAAADLMAGALKSIIERSKINPALVEDIVVGTVLAPGGGATEMRAAAL VAGFPDSTAVHTLNRQCSSGLQACVDIANAIRSGQIEVGIGAGVESMSTQYGPGAVTE FSELLESHPEAANCKVPMGVLSEQMAKAKGVTRAVQDQFAAASFQKAVKAQKAGLFKE EIAPLKVKYEDPKSGETKEIVVDKDDGIREGVTVESLGKIRAAFAKDGSIHAGNASQV SDGAAAVLLMKRSTAEKLGQTILGKYVNASIVGVPPLLMGLGPWKAIPKVFELTGITK EDVDIFEINEAFASQCLFCANELGIPAEKINPKGGAIAFGHPLGCTGARQVSTLLYEL KRTNQKIGVTSMCIGTGMGMAAVWVAE QC762_409340 MARENNEAPGAGQSKRPSMIHFDSSDQTQQHTAVQPHHRVKSQK HIVGGGSRLHARVPSSKGLHKHHGGATASTTKLNNHHRQHGSGSISPDKDGEGLTLVS KRHHHRRATSELKLTGDATTSSNHIQKNVSQTNLKRNRSQADVGKKSKSTTSLHRHTV SNPNVNKLKSSRGGSKVHFNLGDDEQEDDSQDDGEWVDASTSASPLLSRRGSTIGGNG QPTNQNHPPPPPTNEIQNKQLPTVVASPPSPTSHRDIPSKQQAPPESGSGLHSADSSF TRNATLANTITSRILSRAPSQGAAPKMSTEIAVAPRPPAPRLPSAPPTRPGSSQTMSP GEASLMQLAGGVGPRPGSSGRAELLTSRFVGGSSQEPGSGIAADSFIVAAAANKGGVS RAVLGGKADAGLPRRPRSMGSLSHAHEQLNGGRYDQQQHTDDEEGVQHQSNGARTRRN GNGYVVPRDMNRTQQKLNLQRASSGLEPTASGQAMVPVGGGGAPSLLMGAVGGGGGCF ELWDGEPEAWEDFGEDGDAVFECQTASEPDREVGGEDLAAAWDGDQGAEDPAA QC762_409330 MARHQPMSMEAMLDEERREVLALLEGPQASRSSRAPSSIGGRSP SPFTPRSPVRSMLDIAPVRSMLDVDTPPPAPVRSMLDVDSPPSQKHVLSTPSSPIEHT GSRSLLAPAPSGHPRSMSDAAMRPADFGPRANPRHDPTLDYQFGGIITNHAGAAMPKR VTMGGKRSSAMAEVMRGNDVSGLVLPGDRGRHSIAGPAYSSRKAGNKSKSPTNRLGVR SHSPALLGRNLSPAGRAMLGDNQTVDYNNAYRRLSDAALARSGGSLGELGRRKKSDDG SSNGRLAKDYLGPDGEFVEESSDDSGGNSSEEDERGRKAARSFDKKPQGSSESPEAKR QVRSLLAAAEEERIHVASSQPAHKYRSLLDEPSITVTNPSGEKERVKHSSKNVIHPAT SFDTPPSGTHTPMYTDTEEDISDIKRAQKMSFAMTQVMETPESHRTIQIITRGDYAKL VKDAEEEHRPARKYLVATDMSDESTHALEWAIGTVLRDGDTLLAIYCVDEDAGIEANP NSVVPDESKAMKEQAAAITAVTNNTRQPATPGGTALPLRISSLGHQASEASLSPAPSS MERSKAEEERYRAVKDIGDRAMKLLRKTRLQVRVIVEVLHCKNPKHLITEVIDLVNPT VILGSFSNYLVTKSSVPVMVARKKLRKQSKYKKIAAGASQHQVNNINNPTARSLAQAK ID QC762_409320 MPPRLNLASAFRALSLRTTTPVSQQPLLSQKIAAAVPKLVTVPV ARRFYSDNLTPENNNNNNDDQAPSSELAPPRSEEEVVSLSQQQHKEDNLYALNRLELV AYGLKPFDAEEEGHKYGLPSLPLPSELHKDHRYDDVMGQITRLLMKDGKLSKAQRDMA MILNHLRTSPPPKLNPARPLIPGAPAPNFLPLDPVTYLRVAIDSVAPLVKIRGFRGLA GGGRNLEVPAPLAARQRRRTAFVWILDAVQKRKSKGSGRKMFPTRVAEEIIAVVEGRS GVWDKRAQVHKLGTATRANLMNNQIKNFL QC762_409325 MAPKANTSKTPWQDSLESTCHDLQIAAPVFQIVSDRRGGRTAWS SRVTVYGVTHDARFWYDGKNVNNAKEDAAEVAYKWLNGASSNPSSPSTTRSAW QC762_409310 MPFDTELTRRLGIAVPVVQGGMQHVGYAEMASAVSNAGGLGIIT ALIFPEPEGLRQEIRKCRKLTSKPFGVNITLLPALVPPNYEAYAQVIIDEGVKIVETA GNSPGPVISKLKKAGCIVLHKCTTIRHAQSAVKLGVDFLSIDGFECAGHVGESDITNF ILLSKARQTLKVPFIASGGFADGQGLAAALCLGACGVNMGTRFLCTVESPIHHNIKEQ IVKAQETDTTLVLRRWRNTTRLYKNKVTEQALKVEQESKTGEFSEIAPYVSGKRGREV FINGDPEYGVWTAGQVIGLINDIPTCKDLVARIEREAEDTLKERLALVKPAPKL QC762_409300 MVADAVIYHPTITHYLKFVGTTVGRDKLLRTLQYFARFYAWYLL RTNATKTAIQPWETMKKQFGLVRKVLRAGKNVEHFKAAALAADNKTMDPVLRYTAVGR QLGYAGYLSMDLLTLLDATGIKKSPNAKRLQQEAYRFWAAGITFSIVGQLYTLWQLKK REEKVDRKEGEGVVEGKRIAKERAASRLQLTSDICDITVPLSALAWVNFDDGIVGLAG TVSSLLGVYSQWNKTA QC762_409290 MSVSTEARELGLSILGLGTRYPPYTLDSRAVEELSKRYHPETPA MQKVLAINRFTGIDKRSSIGRPDHWLVNQPEPPTIAQLHDVFMSDGVPLAISASKEAL AEAHITDVSQITHMVSATCTDSANPGYDHYVAKALGLSPFVEKTLLHGVGCSGGLAAL RTAANLCLGHSTRGLPARILVVALEISTTLVRSELESIHSNQETRIGVCLFSDCASAV VVSNDIPFSPTEPLPPPVYSLLDWRHQTVPDTEQDLGFDTHPQGWKVVLSPRVPNLTA SVLEPTYNSLMSGKKLPEGYGSPKDFDWAMHPGGATILTGAEKVLGIQPEHMRASYDT YVNHGNSSSATIFSVLNRLRGKEMDGVAPGGGGRGSWLWVVLLGRGSRWRCVC QC762_409280 MVDTARMADYYGSNKQPKSLQPSYGYHSAATDPLSETEMGDGDS DLEDLEVSGGGYSPPAWRRLGDGGRSSGFWQPQTVIRSDRQRDGFNRQYDLLGRSMRE DSPDSVMDGLAGYGGGRGQMGEDAHWLNDEILQEAIRTRLPESVSPEKGRSPELEDNY WKNHQIYGNNQQQEQKKLGSIDETTIKIKQEDWEEEEQQQGRGVALSAIPEFSPVRDG PVTTPKPEEEIADNYIRFAVHAEVQHRTEPIDATINFFRCVSQSVTRSKTSLFTSLLI ALLSFIVTRHLTHPLTPPPVPDLVKVASVARSLEPLIYYSENGAAQVTSLQATSVAVW DLSESIRTSNLTSAPLIVSTLDDLADSLQILSLELTKFFANVDGDIDGILITMSWARR ELSTLSSSPPPSPLINNILSLPFIPSIFGPTHPQRTQRTLQRTFNELLNVLEEAVESE LSHSLSLFRLFSSIDSQFLTLTRTVTRESSNQESLHNDLLSSLWVRLLGAKKGELAKF EKNRELLKDVREKTVRNKGVLVEHNQKLLALKASLEALRRKLVSPLVRSINSSTLTLE EQVRGLEEAGGYLEGVRSRQKGRVMEMLYGGGSGAGGHKTIVEIGDV QC762_409270 MSITRPANFSTVILRHDCKVSIDFGSPHVQNTVNMSAELEAERQ EYENQLELVVTSLKDDPDNAELQTLKGDLEGMIQMINDSIAELKPKSAPAPPKRQPSP PPAPKEKWSRENHPAFKKAGPEAAEEKESDVVVNYQVNDTVMAKWATGDKGFYPARIT SVTGSKTAPIYTVKFKSYDTVETLRAKDIKPMPAQKRKADGISTAPTAGPSSSSSTPA YGSGSATPTVNNGIVKSAPADMYPQAQAAAENNPDEKPKPKFKKIKATKELEAGKNKW QEFTQKGKFGKAAKKESMFRTPEGVKGRVGFTGSGQTMRKDPTRSRHIYQPNEDLD QC762_409260 MVCLRIADSRSPKAATFSQVASSVPTNQQTMAPVAKKVKPSKRF AQFDEKVIARDFDIENEPQSEDERSGSDESEDELAGTEHYVAVGKSKLREKEGIKLGP EYRGARVSRAALEQSSDEYDDEEDEEDEEEEEFDDPSMADLERDQNFDDDFEIDSDNA LGKSDEERFRDYVFRASSKPKLEKAKANGKAKEELKTKGRISKRPTAADFMTSSGEED EGEEEDEDSEEEDEEEDSEEYDSVADGNEMFDLEAGEGSDDSEEDSEEGEEGSDDDDD DQDDIRRALKNDKKFNEDPDSSKLREALKEDKKSIVSAMSQAAKADADKGMAVRIQRR AFDSILNLRIRLQKALVASNTFNEVENNQEISNKPYQAAEEAAVKLWNTIDSVRTSFL PEQARAKVGEKRKRDTIDVDTSSQEIWENMLATEEVALAHRRKVLEKWSERVKKNTTT GAATRNLVKNESQTLLSALDSQLVSSDRLVKRARIPRSCAPAQAAKKVEEDVEIYDDA DFYQLLLKELVDQRSADTGAPGESVTTVRWAALKEAKVRKQVDRKASKGRKLRYTVHE KLQNFMAPEDRRQWEEHAIDRLFGALFGQKLALKEEDDKEEEEDDDEEMGGVSLEEAG LKLFRS QC762_409250 MPTKLPPSTLLVPRRFFSSTTPKHSHENPLGLPQKGTIPRFQRG LPAKRPIPSVAHIIAVSSAKGGVGKSTIAANLSLAFTRLGHRTGLLDTDLFGPSVPTL FSLNSPPNLTPKNQLIPLTNYGVKTMSIGYLIGSESAPIVWRGPMLLKAIQQLLHDVD WSPGLDVLVLDLPPGTGDVQLSITQQIPLSGAVIVTTPHTLAVKDAVKGVEMFEKVDV PVLGLVQNMSLFTCPCCSTKTPVFGGTEGVKKMCEDYGMEFLGDVPLHPNIGEDASRG KPTVVAEPESERAGVFMDVARRLGEKIGLGGGRGDGRKSVRAGV QC762_409245 MTTPVPTPLLKLPLLLHLLTETPASLSFLFFPHSQLPSASPQAL LILRNYGGLLLSTNLITLIFLLRPNFDSLSALVALSLGSYHIWPIYRASARLNLAQGK RENEEKVLGGPVVHFWVHVFCLVSLVGSGVFGLG QC762_409240 MIVHPPPPSPATPPLAPPPPNTRTTNSPQRHSSHRSLTVSTHLL DPAIIMAAPVAPGAVDQLAADLGNTSLNGGENRNAPAIDTNVAAGQFQNEDQEGAGPT PNSAAPHPQSSASLYVGELDPSVTEAMLFELFSQIGSVASIRVCRDAVTRRSLGYAYV NYNATADGEKALEDLNYTLIKGRPCRIMWSQRDPALRKTGQGNVFIKNLDVAIDNKAL HDTFAAFGNILSCKVAQDENGNSKGYGFVHYETDEAAANAIKHVNGMLLNEKKVYVGY HIPKKDRQSKFEEMKANFTNVYVKNIPAEVTDEEFRELFAKYGDVTSSSLARSDEGKS RGFGFVNFTTHEAASKAVEELNGKDFRGQELYVGRAQKKHEREEELRRSYEAARQEKA NKYQGVNLYIKNLGDDVDDDKLRQMFSEYGPITSAKVMRDSVVESAAEDEKDKENKKE GEEEKEGETAEKKAETKEKRKLGKSKGFGFVCFSNPDDATKAVTEMNQRMIDNKPLYV ALAQRKDVRKSQLEASIQARNQLRMQQAAAQAGIPQQFMQQPVYYAPGQQPGFMPPAG GRGMPFAQGAMGIPPQGGRPGQYPPYAGQQGGRGGMPPQQMPIYPMGAFPPNFAQPNN PQFLAAMQQIQAAAIQGGRGGPGPRGVQGMPPQIPGGPVAGFPPNGRSQNGMGRGAGG NRGGFAGGRGGAPSAGPADVNIAAVLHTQLAAAASPAQQKQILGESLFPKIQAIQPEL AGKITGMLLEMENQELINLIEDEAALNAKVGEAMNVYDEYVKTQATGEAKSEEPKAEE KAEEKA QC762_409230 MFSTFTGQSTGRRRNVNLSGNTAHNPWAAPATLGASTTVSRAAA EREKRQREKEKLRAAERIQRVWRGSQIRQHLRTQDRAAIDDLYHHDQNDLERRSAKAL PLLLRSFQSKNHHDRRRMVWLCRDLCNSSFHLFRSQGAEGFQLDRLVRKLTGALQKEL NLGPDIHVVLSVLLEVMDLRPQAIGHVLDQYYRVMANYCNLAPSLQQPFTLLSQAVTK PLSVGTFPETFLSKAYHAFAFDFLATPDLNMFENNLYLFCADIDLDRLSDTLASEKFE ATQLDVPRARLLWLLAHLIALQEAKQQQNVHASYLDVLCSLLSVLSDQIQLAAESVAD AGLDGEDDVAQGTLPAYISGKLALLTTSRAITGLLETSAPFSLGHESSSLGDASPYAG YVLTLLSCFPNAGEEIRMRLFYSNVPTRTGRMPALEYLWQVMRRTAVFRSIASESEAA LGILKSAPQTSNLHEENDSWHQQWRTILLFLELYVFVLKVTDDADFFAILEGDKPGNG TAGEDLPRTRSSGLDMESVKKLTLFLKHLGFVLYYNMAELLASWASSRNLRGLSRPSM FVVTAGVGLDKVRGLVLAAMRGLYERDSRRPFLPKDHWLMTEKFDMAGFKEAVVVEAE RQRALGHAEGEEDEGMGEEEEHEPEERDWRHGPHRTVGRQALLEARQKLAAREQARAK VAPKLEVLKNLPFVVPFDQRVMIFRQFITLDKLRRRSGHADPDSWRMMMLEADPTRNS LARHQALIRRGSLFRDAQGSLYPLEEAIKEPVQITFLDQWGMQEAGIDGGGVTKEFLT SVIAEMLSDTSLFVANSKNAYYPNPLIVEQWLALARKRGLSESETRTKLLRQYEFAGR LIGKCMYEGILINVVFAGFFLLKWTTADTKQASLNDLRELDEELYRGLLFLKNNEDQV DDMGLNFALDVDISTPEDKQPNIVSRPLCPNGNNIPVTKGNRLKYIVQLAKYKLALQP FAQTQAFLKGLKMVIEPGWLSMFNQNELQRLVGGDSGAIDVDDLRRNTVYSGPYQIGD DGQEHETVKLFWEVMEEFGDEERREVLQYVTSTPRAPLLGFSQLFPRFTIGYGGQDED RLPSASTCINLLKLPRYSRKGVLREKLLYAVKSGAGFDLS QC762_409225 MEPPPPPLPSATGAVSLPRLPILTSDELSKKAFGPLQKRINSGA DVSFFLTSRAYKELMVWIMQLNHSLIPRLSPDTARPVCFPLEPGRRGWSEPVRRLREM LRGCEELILEAPPEENKNRRFGNGACRVWHGKLGERIQGWIDGLGLDIDGGVKEELRG YFMGAWGDKGRLDYGTGHELSFLAFLGGLWKVGFFGGGEEKEGEREREVVIGVVEMYL EVVRKLITTYTLEPAGSHGVWGLDDHSFVPYIFGSAQFTKPILGSRTEATPVEGSCPG APKPASAVDKQTVEDYRGSNMYFGAIGFIYDVKSGPFWEHSPMLFDISGIKDGWGKIN KGMVKMYRAEVLGKFPVVQHFGFGGLWAWEVDPEMVGERSVHLQSQPVQNQAQSLGQG GGEGGTKAPWARGAMGGMSGGQVSMPRPMDGGVMAPTGFPGRQPGMGTPTGLPGRGQV PTPRGGIPNTGPMEQTSFPRGGGMQDRVGGNQFSVTKAPWAKD QC762_409220 MRASILLVHTLAVLAQAQPPRAQLTLEPEPPSCPDYSGYSSTHH EPKSTGRYKLSYQRPRPSCRTFTLPEVEDTIISMKQVIKDPDLFRLFENCFPNTLDTA ITWKGLSWRNASSYLPTDINSPDPPPKPTGNNDPEEELTFITTGDIPAMWLRDSAHQL TSYSPLLTPSNSTSSLASLYRGLINLQARYILTAPHCNAFLAPPESLIPPPQSDDSPT DHIYPPLPSSPGSPKTVHECKYELDSLASFLSLIHTYLTQTSDTPFLTTSLNLLPAIK RILSITTDLQTGTYSRTGTVSYAPYQFQRLTTTSTETLPNAGSGPPFHPGTNLVRSAF RPSDDACTYQGFIPGNMMFSSYLSLLSPYISPISPSTSKKISKLAAEIRAGIEDHGRI DHRLYGRIYAYEVDGYGSHSLMDDANIPSLLSAPLLGGYLDRRDETYQRTRRFALSQM NPYYMFGPVLNATGGPHIGPGMAWPMGLIVQALTSDDDDEIYSCIKQLLSSTDGLGLM HESVNTHSVSVWTRHWFSWANGLFGQLILDVNKRKPHLLARSYQ QC762_409200 MESKMRRPEESSPFRKPFSMAAAPLRFRGMPRRSRFLVYALVFI SFLYLIPRMHLGMGGTLLFFLISPEAAGPYGGGHKVDILRYVDPLIGTTNGGHVFPGA SLPYGMAKAVADTNSHAENAAGFVSDNSEILGFSHMHDSGTGGQPSMGNFPLFVHAGC PEDDHKQCVYSLMDRPIARVNGSVFAAPGYFSLNLTNHVRAEMTVTEHTALYRFSFPG NDTLTLKSDDPLRKKDVDITYSPIILVDLVDLMNSRSTGGIQVYNQSGRIVGEGQYQP SFGAGRYNAFFCADFKGAAIRKTGTFISNNATEGVNFLDGVGNGFYIPSGSAGAWIQF EKPVENNHEIMARVGVSFISVDQACDNAEREIADYNFERVESQARKEWRKKLEVVEVD GTGVSEDMLTTFWSGLYRTLLSPQNYTGENQLWNNSTEPYFDSFYCIWDSFRAQHPLL TIIDPPAQTDMIRALIDIYRHEGKLPDCRMSFSKGYTQGGSNADIVLADAFVKNLTDN IDWDTAYKAVLSDAEEEPQMWGVEGRGNLESWHKLGYIPWDDIDTNGTGPMSRSISRG VEYAYDDFAISLLASGLGHDADAKKYHQRGSNWRNYWNPEQADLYREDPDGPVLKTKF KGFMQPRKLDGSFRYENTRACSPVHNMHICYYDTHLSSYEGSPWLYSFFVPQDMDSLI TLMGGPDAFVERLNYFHTSGISYMGNEQGFLPVFQFHYAGRPAISSYWARSYIPSLFN SSVNGIPGNDDCAMGAFSAFAMMGFFPVAGQDVYLLTPPFFREVKIKAKSGGNKWAVI RVKNFDPEGRRIYIQSATLNGRRYTRNWITHEFFIKGGILEFVVGEEEGKEWGRGEEG RPPSWYSDEDFGDWDGNKGVEGVDAEEEERQAGRRGR QC762_409190 MSTHDSDNESGGGGELGLFSEPTDYYPPSPPPTTETYTTATGEI ITLHLVGHSPLEAHHLWNGSRVISQLFESTPTTTVKNRTVCELGAGAGLPSLVASMLG ASLVVCTDFPDPDLIATIQKNIDGCHLLPHDNDELNIVADGFVWGASPSTLLRHSPNG FDVLILADLLFRHSEHGNMIKTIRETLKRTREAKAYVVFCSYRPWLREKDLKFFDLCR EDGFEVEQILEKKMDKKLFEEDPGDEEILKTVTGWVVSWPEKEWVA QC762_409180 MVRPARKASFSDDDLEFLSSQAANMSIGPTETPARRPQPTFGTN TFNTPARRPAGGQSAFANSNNNNFNTPGTVIDLTDSPAAPAAPTPVPTFGHPTQLPSR FGPKNKNSDHLFIQRKTRPEFHADLYRNSGPLKPKNVPPKKPELPMFSSLSEEAQPVY QYTKPGGGGGYGDTTFYTDPAKANADLKALLEGGMEDEDEEDDAPPKNKEEKKTAGPE EKEPEEGVQEDGTLAGIKVKLLPHQVVGVKWMKNRELGPLKKGRVPKGGILADDMGLG KTLQSISLIVSNPMPEEGGKGWKKHFSEVKRGTLVVAPLALIRQWEAEIKEKVDGEVL GLKVCVHHGPNRTKDAKQLAKFDVVITTYQILVSEHGNSHPDPAKSPQVGCFGVYWYR VILDEAHSIKNRNAKATKACCGLRAEYRWCLTGTPMQNNLDELQSLVHFLRVPPYDEL GEWRKDIDGPMKQGKGHVAIKRLHNLLRCFMKRRTKEILKEEGALVAGGKKALDAMKA KIEEERGGDGEEREMPKPAFKITERKVVTVETKFSEAEREFYDALEARADKSLEKMMK GRIDYANALVLLLRLRQACNHPRLTDTKLEKDQEALAVDSTAQPKGKAGDDLDDLADA FGGMGIRTRKCEMCLSELSKKEMGSGQVKCSDCIDSMQKVISKSPSKMKKRKDGRRVS VVKEEIKIEKVAASKKRSKARRIVEDSDEEEEGSWLVGEDQQGALRLGKCGGSEDEDA EGGGDDIATEDSEHSSEEDDDDESQLDSFIVKDDSQADVQGSGSESDSGSEEDESFVS VSRVSQSQVASQDTEGSGEDEEEISASELISSDPDDDSDDDLPIRRRSRRPGQQEPER KKSKSAKSSGGITQSAKIRELLSILRKEAHEHKFIVFSQFTSMLDLIEPFLRSQPGMK AVRYDGKMPNDAREAALKALRTDPHTRILLCSLKCGSLGLNLTAATRVIIVEPFWNPF VEEQAIDRVHRLTQTVDVIVYKLTVQDTVEARILELQNKKRMLAEATIEGGMRKKGKN QLKLGLQEILDLFKHDARASLGVDGLEGNDGRNVARDVGEFVGGKHGVRRPRKEHDVY GRRW QC762_409160 MDALLANLGYQTVNFAIKSGIALTSKYAVQQCARLLTTVDDKPV YAELKSLQKLLSTKIKIVSPAIDLILLKSGRGNSFLDAAQPLASSLHREIVRLGKRLQ NAAAEEEASGDGRRPRMTEAHHAELTMILSDIKRLLDRIDRDIPFIQLAITASGENMS TPMNPREATFSPSRLMQASTLVSFGDLHFNGTQPIQVGPSFTLTLYMLFVGHSQVETQ NDKHQERNGNVAKNGSPSALQLVESSGSRSADGGNGTENSNEVPYGIGESDRKPIWQE VMHKARVRLLRTPMGCTFDSLHGYCTLHGHNHKAGFNGPANGYSYHLEIIEDLDDGRM HDDKEHGFKPKEFEGVRMAGIREAIPIHQFSKIFYTDTGVILNIGDAENGYNNPVLLL KRDLRMVSPHEMPQQASAQSGIVNHGYSDDESDDQDEIDRQLWEESHLSPDRSDGQNH SNSTNLPPHLDPEWLALEVYMDDDGDDSGSETADEEDSSIGSPQRGFSADNHHLANNS LSADTNLMRQFRQISLHSDSPAGSPIRQTSRDIERRNPSSQQQDMPRSPFDAVTSSLS LLEMLIRLTSLQESQQVSHLAIPDDFTMHFLQNTTTTGYAGEMARRIKDQAKRRMGFD PYDDGDVESEPGKELGVNRTGR QC762_409150 MSNNVQESWEDDASAHDENLARQTQQMNLNQQGGFRPGAASFQP TAQTFQPGQGYGGYNQYNQQQQYYGGQGQGYYGQYGQQGQGYGQGYGGVYGQNQGGYN QGQGYGQWQGYQQQQQQQQYQYQPQQQQNQQQAPKPTPTIVKRPAQPALGEASKPAPA KDIGAKVLSIGGDAKPKAKVLSIGNPAPAKDEAPKAKVLSIGNPAPAKEEPKTEETKK QEAKNEGTAQAAQKVAAAKAVEKTDSQAASGKTSPAPSSGRSSPSRAAAAKAAARDAD AVEKEQSADVDDETLKEVYGKEHVNIIFIGHVDAGKSTLGGAILYVTGMVDQRTLDKY KRDAKEMGRETWYLSWALDLTNEERSKGKTVEVGRGFFETDKRRYSILDAPGHKTYVP NMIGGASQADVGILVISARKGEYETGFEKGGQTREHAMLAKTQGVNKLIVAINKMDDP TVNWSHERYLECTTKLQQFLKGTGYNLKTDVFFMPIAAQQTMGIKDRVPKDVCPWYDG PSLLEYLDNMKALERKINAPFMMAVAGKYRDMGTMIEGKIEAGVVKKGMSVIMMPNRQ SVDIAAVYGETEDEVNLAQCGDQVRLRLRGIEEEEIMPGFVLCSPKRLVHNVAQFEAQ IRILDLKSILTAGFNCVLHVHAAIEEVTFAALLHKLQKGTNRKSKVPPSHAKKGDSII ARLQVTGGAGSVCVERFEDYPQMGRFTLRDQGSNHCNWQDHQAHYRGYCLNWERKEKY G QC762_409140 MTLIDCHFTMSTTTPPPAPAAPAATTAPNAAAAANPDPVSQLFS VKGIVALITGGGTGIGLILTRTLALGGASRIYILGRRLPVLQSAATSVNALVGKNVVI PLYCDITSRISISSTVSVISSDLGYINLLICNAGIGGPQVLLSSTTPNSLEEFQQQQM DTVAGWEETMRANVTGVWFTAMGFLSLLEKGNHTEWGKGGVSSQVIVMSSIAGFNKKA PGGWAYGASKAAATHVVFPSEMAAPIVEAAGGSMTGGGVIPLDKSVVPLGRMGDEKDM AGQILYLASRAGAYCNGNVVLVDGGRLGTFPSAGY QC762_409130 MSSFKQEAEVGRTRGPEAMGSMKNDNLPAASDFLHTPLVRAALP FINGGLSGMVATTVIQPVDMIKVRIQLAGEGVAGGPKPTPISVTRDILASGKALDLYT GLSAGLLRQAVYTTARIGFFDTFMGSLSARAKANGKQVGFSERATAGLSAGGLAAMLG NPADLALIRMQSDGLKPVAERKNYKSVIDALTSIAKSEGVGALWAGAAPTVVRAMALN FGQLAFFSEAKAQLKQRTQWSANAQTLTASAVAGFFASFFSLPFDFVKTRLQKQSRGP DGKLPYKSMVDCFAKVAKQEGVMRFYRGFGTYYVRIAPHAMVTLIVADYLGWLTK QC762_409120 MDPDWNEVNRITYPVPMPNDFRHPTTHPSAHPHSHHGGLHQGVV GQVYGGGPPPPPGIGGGGIVGGVGVVGLQPATALAFDPQAELLWAGDWKGRVTSFANR ELRRYTAFKIQIDPTEGPVQQFLFHEKGVIVLGRRVVHMAMRRGPVLWNIRHEGMKDL RCMSFTSKGASEILVAGWQDTMFVIDVVKGEVTKQVPAPHHYKIMKRGRYICAATETG RIDIVNPTTFKVEKEWQAHQSYINDMDASGDYIVTCGGSYKQQGPGSSSRVPDPYVNV YDLRNMTSIQPMPFPPLAAYVRLHPRMLTTTIVSSQQGQMHVVDIMNPNTTNIRYAHL QASINLFEIASSGRALVIADNDRNIALWGSPSDGIQFTNIGAPITFPEPEEPAPHVDW SVDAPLSTVGMPYYYGTMLFSAWPADIISDAGAPPPQVDPALLATLNKTEFGYFGPNK TGLRRNQIEDTRASKASNKLQAPKFLSERARDGPVTDSHVDQETLAQDAAESLKPEPP PIYGTLEIKYSRFGVDDFDFGFFNKTKYAGLENQIPNSYANSLLQVMNYTPLIRNMAL QHVATSCVTDLCLLCELGFVFDMLQKADGLTCHATNLFKTITGRSESQPLDLLEEDLH SHRVSSTTGGAQPGPAPHTRVQNLCRFLMDKTTVEYQSIQPISTALERTLLKLPDPPS PKELTSKLLTTSAVVRINCTNCRTETARSGDAQVIDLIYPPPRTAIRNARAPRTTFSQ VLKMGVEKELGTKGWCGNCKRYQSLQLRKTVESVPAVLTINTMIVQENRKLWATPGWL PEEIGVIVSGGQFFCYEGEDLRVHLQRGAHEITVYSLMGMVVNIEHPPPQKPHLVAMV NVAHSEPTAPAESKWHLFNDFSVRRVSSAEALTFNAAWKLPSVLMYQIKQANNKSNME WRTRLDTSILYKDLGPPPQQQQHSDEKKTYRLLDEATERPGPGTIVGLDTEYVSLKDQ EIQINSNGEKEMLRPMWLALGRVSVIRARGEREGEAFIDDWITIREPVHDYLTQYSGI TAGDLDSRTSKHTLVTLKTAYRKIWTLLNLGVTFLGHGLRQDFRVMNIHVPRAQVIDT AVIYHLSAWRRVLSLSVLSRVVLKQKIQQGQHDSVEDAQAALRLYKKYQEYVDAGLWE REIEQIYLRCKAIGFNAKFEGSGDGIMGGGGMVKRTDTPPVGGAVGAADGVAGPTTPV RKAAVLGTAGSVGMVGSGGFGSGGGGGGAFTPTKGGSTGSPRR QC762_409110 MAWNHTSTVTASSLQVPFLGNLVETLSVTALVILFTTYAILYFA QTIPLSKNEPPIIPSRIPFLGHVLGMLFQGGRYVKNLGLQNSHHPIFTLPIPLSRIYI ITSPTLALPLQRLPPSTLSFTPLIPNITKRVLGLNPHTVDVISQAMDPLPGQHIGFLA DMADLVKSTLAPGEEMSALLSRMEHELKSLLNAYVPPPPSQREIDLLAWTRNLVALAT ARSLYGASNPLEGLEGSFWEFDHGLGGLLVGICPQVTARKAYRGREKLVQSFAAWLRN GKHKEADVAPIIANRVAMAAKHGWELQEIARSEVSFLFAGIVNTATTSFWGVLQTFND PKLLGGLRAELAVDPNSETIEARLKDAKLLLEAVVNECLRLGSDTYSTRVVVPKEGVE VEVKGKEYWFQGGAVVQISGGTIHASKENWGEDAREFKPERFLKGVSFKNFRAFGGGQ TLCPGRNFALAVVRLLIAMVVTKFDIEVVGGKIPEKEDGVLPVHILEPKERVIVKVSV RDKREFGDFGATDKTSR QC762_409100 MTLTVLTDDQISGLVSNLTKEELQRFMGVLRGALHEYSTATTVP SKENAAVTAAPEIHQPERTSINSKATGATTLFMPSSSSVGTGMKVVTLTSPSAEGDED ARPKENIKPTGAITLFSPHGTPLGFLHASTLTAFRTALASLLLISKRDPSSHLKTITV FGTGAQAYWHIRLSLLLLGQHIHQVNILSRSFSPPVSSLLKSFLTCPNREKEGWENTQ FSVLTPAHNEYERLLKEQLLESDVIICCTPSTKPLWDGGILTSHEGRQKGRLIVAIGS YKPDMQEIPQEVIQQALKRHHHGVGGKHHWHWHKHAEEGGVVVVDTLDGALKEAGELI RAGCRPEMLVELGELVMLNDYHEHRHHRHHRDEKENNKQEVGEKGEEQDKDHWKLSKW LAEGNVIYKSVGLGLMDLSVGMEIVRFAGEKGVGSRIEGF QC762_409090 MEDDHFLYALSSNNAWAGYKSHQNPHFFPKLADGQTPQILWLGC SDSRCPETTILGLQPGDVFVHRNIANIIAPTDINTSAVIEYAVAHLKVKHVVLCGHTS CGGAAAALSDSRVGGVLDTWLAPLRAVRYANKEVLDAMKDERARGTKIAELNVEAGVN VLMANVTVREAIEERGLQVHGCLFEIGCGRIRDLGLGTKGRGGMGLDGQEDVVRGRHA QIVFRGGRGEMSVK QC762_409080 MPPKSGKRTAPAPFPQGKAGKKAPKNPLLEKRSRNFGIGQDIQP KRNLSRMVKWPEYIRLQRQKKILNLRLKVPPAIAQFQQVLDKNTAAQAFKLLNKYRPE TKVEKKERLLKEATAIKEGKKKEDVSKKPYAVKYGLNHVVGLIENKKASLVLIPNDVD PIELVIFLPALCRKMGVPYAIIKGKARLGTVVHKKTAAVLALTEVRSEDKNEFSKLVS AIKEGYLEKNEDARKKWGGGIMGYKAQQRIAKREKALANAIKV QC762_0067120 MAPSLCAICKIERAYIKRPKNHAKLCRECFIRVFEDEIHHTITS SKLFYPGEKVAIGASGGKDSTVLASVLKTLNERHGYGLDLVLLSIDEGITGYRDDSLQ TVKNNAVQYGMPLTIVGYKELYDWTMDEVVQTIGKKGNCTYCGVFRRQALDRGAKMLG IKHVVTGHNADDVAETVMMNLLRADLSRLSRSTSIVTGDNRSEVKRSKPLKYAYEKEI VLYAFHKKLEYFSTECIYSPEAFRGSARGLIKQLEKVRPSAILDIVRSGEDMARLVPG ESPSSCGCKGQKAQAPVAAAVEEGIGGCGSTNGRTPGGEMAALDKQIRENEEYAELEV DVTKKIPKPKQGEKETQRELPIRTASAPSKGGRQVLGQCKRCGYMSSQEICQACTLLE GLNKNRPQIQI QC762_0067130 MPVPFETLIPYVIITAMFGVTGAGLSGIRHYAGGGKKHRWSLDT WDRRSDGPRQTTYRSLARANRQCHSPARLRSQSALERRETLLLINTDGGGVKKALVSK EEGAHMIDRERPHSHDPLRHHGGVQNVKSVSGAGSCSAPPGACMLDISPGLTCTRSAC TVLEPVVLPLMEHWQF QC762_409050 MAEAQLMQEQLQLSVMQSEREAELAADGDVAMGEDISAAASQSQ IDVGSLAGEHYEDAEMDMDADGEPDDGGEEHDDHSSAQHSDSEQEEDGIFEEDAEEEE DNEVVPAKVRQSRRGSKGRTTRDEDAEEDEDEEDDEGVGAVKIKPGETDDESDSAHSA DSASVSDNDSDAGAEEEWEPANNDEAEEDEDETDDGHCVFCKQSEENDPAEEFEVYLA CTRCQDNAHQQCARDSKALDGETSRWSTNTLCRTVLTTAAGPESWKCPKCADTESESD AHNEEDVEMDGITDTSAPPSARRAHAPKLARDLLPSQKGAVKPESHSVFNQLVLDEDP MDGSRVLRKRKTSSIEPDEHVMALRKRRRTTTEEASNEEASAGREGSSRPVSRSLRLK ILQSSGLASVTKKTRNSIIVKMRVNAVELARISSEKPKGLKKRPNRSNRSGRSGRSAR RPSRNDGPRGAGAVQVPIATPFTSTSYSQPFYSFYDRETDELKGKPYGGILTEPEADT SKTMPAPEDRRRFDEAKQKAEEEWRQRLLQVQAETEVPSKKSKKASGPASQIECIQFG GWEIDTWYAAPYPEEYSRNRILYICQFCLKYMNSDYVTSRHKLKCPAKHPPGDEIYRH GSISVFEVDGRKNPVYCQNLCLLAKLFLGSKTLYYDVEPFLFYVLCEYDDLGYHFVGY FSKEKRASSQNNVSCILTLPIHQRKGYGNLLIDFSYLLTRVEQKTGSPEKPLSDMGLV SYRNYWRLTMCKYFVQQREEKGLSIKKISDDTGLTADDVVSALEGLRCLVKDPVTGLY AFRVDLGFCREYVEKWEGKGYVQLNEKALTWTPYVMGRSNATNFELGPALTAIAPREE EEDHQGQKPIVAGDGLVNGNGSFTTQSEGGAGQDVKPPPVEGHVLESTESSTRESNGS AEVKPAEDANGELEDEPQSEDNKNFPGYYDENPQQAEADVEEGPQQEQDPEPKQDPSD WMSQYIGIPPSRFEVVPPLNPRRTDRSRAVVSRPPVVRTASSAARPRPKRSGGSARRP AAARPRASSSANIKRKPGGTGRGPGRWPKGTKKSDYGNADSGPGLPPGWIAERQKEGG EGVMDTVQVQSPGGKGKEGIDLVMSDVVVDGGAGEGEE QC762_0067150 MLESRSNHRARATPNIRYLTYLNSANQGLKEKAKKLNLPSAPPS IPPPAGDTHHPLLAATYHHHFSVS QC762_409040 MSKVIRSVKNVTKGYSSVQVKVREATSNDPWGPTGTQMSEIAQL TYNSSTEFYEIMDMLDKRLNDKGKNWRHVLKALKVMDYCLHEGSELVVTWAKQNIFII KTLREFIYIDEEGKDVGANVRIAAKELSALIADEERLRAERADRRIWKSRVNGLEEYA PQQSREERQPRRERRQPTDEEDTEYRLAIEASKAQEEEDRRKRESRNVDEDDDDLAKA IKLSKEEEERRRRELESTNAAALFDDAPTPTAQPQFTGFNQGYQQGSAVDFFANPIDQ SQMQMQPTGYVQNAFTGYGVQQPQPTGYQNGFQNGFGQQPNAFDPYGQQQQQQQAFQP QPTGYNPYLQQQQQQPFQQQQTSQQFLTPQVQENTLQPGSNNPWASNHSTGLQAMKPT PTGSNNPFAQRPSSAFKATSSLGSLPEQKTLSTFSSFTSQPASQSQPQFQSQPSFQLQ PPQQQQPQREMTEHEARLNALLSTGEGLDTFGNTGNLRIPAQHTAPGVFVNSAGAGLG RMTADQTGSNPFLRQQFTGMPTVSYGGGGQQQQTGPGAFGGVGGGANNPFAQRSVGQQ QQQGDLIQF QC762_409030 MAFGGFGGGGGGFGQNNNTTGSTFGGFGATNNTSSGFGTGTGFG ATNNAAPGTTGGSLFGGGGGGGGTTGGFGTNTTGGAFGGGGFGAAKPAFGTPASTSGG GLFGSTTATAGGTGFGFGNTANTAATSTPFGGGGGSSLFGAAKPATTGFGGTSTGFGA GATGGSLFGGGGTSTTGTGFGATTNPGIGTATGEAPGTAVVAFNPLVEKEPNNVSQSN SFQNVCFMDAYKRWSPEELRLVDYNQGRKSGGATGSTFGSTNFGGFGATGTTTTNTGF GGGATTGSSLFGGGGTSGGFGAATTTPAANTGGFGGSSLFGAKPATATTGMFGGTPAQ PAATGGSLFGGGGGGFGTTGTTTGGFGGGAATTGTSLFGTNTATAAKPASGFSFGTGG TATTNTGFGGTGTAGTGTGLFGAATQQPAATGGGLFGTQQQQQPATSGFGGFGQTAAQ PAATGGIFGTAPAKPATGLFGTATTTAQPATGGSLFGATGTTTNTGFGGAAAQPAAGG SLFGAKPATGGLFGTTTTPAATGGGLFGNAQQQPAATGFGAGLGQNNQQKPLFGNTTT GGGLFSQPAQQQGGSLFGASQTQPQLGLGNSLLGASQQQQQQQGLSTSINDLSAYGAA TLFSGLSDDKIVNPGPLATPLGGKPKVKSRSILPMYKLSPANASRFVTPQKRGYGFSY SAYGSPATPSSISSTPGGFGQSLLASSVNRGLSKSISASNLRRSFNVEDSILQPGAFS ANSSMRLLGSASSNKKLIINKDMRSDLFSSSDKKQAQEDASGARKLAKRVSFDTSTAD SPSNDEVAAITDGSENDLGYLRPSIRSTNNGANGSKASPASAAPEMTKVKGNELAIVH EEESPAAARVTLAAKAPASPSAGSDEAGEYWMSPSLEDIRAMNRTQRQKVVDFTVGRV HVGNVQFKVPVDLSNINVDEIIDNIVILVPRSATVYPVAAKKPPVGKGLNVPALISLE HSWPRGGRDVSGRRLEKHIQKLKAIPDTTFEDYDPETGVWQFSVEHFTTYGLDDDDSD DEEFEEEAIQPMQAAKRAQHVEQIPSPVVEASSTSPVDPDDTFEFKRSRRTLPGAFDD DEAAMFDEEEDSDVSHQGTPPHEPLDADTPIPSREWPEDESMADGPDDFQLEAYDDSY EQGSVDGAQDEFQLSRYDDNAERLPAGIVRARMRALKKSTAPTKIEVAGGDDWTQILQ ASVRAPRTVDRATLRELNETGAVWDMKDRGSPAPKDVAVNNNDDGFATSLDLMKSLFQ QTKGGPTQSSQASPAKGFVKWPYQTRSKVEDDETQSVPRPTWGPDGVLVTAHDGEASL QAVDGTITPGGEAQVNPKILAQLQQYIDSVSGYQGDSGPEFKKVAQGDAVWELASLLF DENGVGLTGFWRQLVLESTKTALARAESPEEKAIICLAGNLVPEACAQLVKGRDMRLA VLVAGVGSQQADIKAQLRDWRESNVLSEISEPVRAVYELLAGNACVCDGVKDAPIESR VSSFTISQRFGLTWEQAFGLRLFYSAAEDGAPNVKGAIKSFQMDVEQDREPEPGSQMW SLLKAFANQEFDWSDGRLGWLMTRAIHASGKVGFGEDDVGRLDRASVGFAGALTGMGD WVPAAFVLLQLSEREARERAVRDHLGRHASFIGAPRNPGSAFSALKKFGVPESWIWEA KALDYRARGETHQEFLALVWAKNYAEANRAFVTKVGPDLVIGREYKKLATYAQLLFKV KRNISDWDRAAVVYLLYPLVRLEKGKKKSKLDETEERLFDGLVSLRGMARGDLRQEAA IADMAEELIRVRGGEARLYGLLPRDVAGRYRRAEVLGEM QC762_409020 MKEESADSGASSDQNPPPKVPLSSSSPPTHTQNENPLAVSSSEA PNDKTLWQKLLPVVACGAGLFSDGYINNVIGSVITVLAIQYGPLWSTSTAKSYLSAIA FAGTVVGQLLFGYLSDKWSRTNSLLLSTLILILFTALSTGSYYKGDAVGMFNVLTAWR FFVGIGIGGEYPAGSVAAAESSGELRKGTRNMWFIFFTNSMIDWGFVMGAFVPWLVAA ACHNTNLEVIWRTSLGIGVVFPLSLFVLRFFLKEPEEFQKHSMKHARTPYRLVFRFYG WRLFVVSSIWFLYDFSAYSFSIYSSTILANIFDGDSAPLTTVFGWNTVINLFYIPGTM LGAPVSDLLGPKRALAVGVLLQGVVGFVMAGCYPYLARPGVVGGFAVVFGIFQSLGEL GPGNNIGVLAAKTCATGVRGQYYGVAAAVGKLGAFVGTYVFPYIVKAGGGSEVLSAQY PFYVSSAGCVLSAVLCWVWVPRVEQDMIRDEDERFRGFLEENGYDTGQLGLRKDRAAV LVEEGK QC762_409010 MMGWGSSSRWLAIARTLQLAAALVSTALHGFNTIWIHVKKLGMT QHMVILELLIVLITVYTTIAILVQHYNWRSITEPWLVAFVVLDLIFCAMTMVIISLLA WNGLPVSCVGLTRPKEYLAGDALNGFSTNGFTDGNQNMPGELDKFCPLERAYFGFAHA LVFFYIATIVLNIVRILELKYIKIGSSGEEEEVNLKAVKDLEEPSPISSAARVNLAPP APPSEGIVSRTASLRSTTTASTSRPAYSAVPARAHTAAIPRRPVGAGGAVPSPHRRPQ SDAFNRVSLDEDSDNAEAALVSDGMRHQHRQPPSRDYVPHPPHQQQYPHPHNHHRMPS LLEEDQMTTTSDMEHALVSDGMRPSAPMLPPYEPGNRRTSIGG QC762_409000 MVAILYFRLYCKKKEEEEEEEEEEEEEEETILQAAATTNEMATD TLQSNNENEKRSTTSCCNKSGRRRRNWPFLWLTAIRTCQLAYFAHLHAVVFLFDQTGY YNGMWAWYSSPGDDERSKAGMRWARALSLLNLIYILITFIFSLMFRNLDPKKWRLFGI HTFFGDLLMAWSLLHILRVLHPSYTNYCYDVPRKFDYENQGILTYLGKGQFNKERYLT CALLDSIFTLGAIPALSHIASIIATTCNIRRATNQQQVEVYPKEEGAVDIEQGTMIIP PAAEPSRSQSPVSSLSRGPSPPPSYRSRSSSGATEAGRPPSYRSAPSVRARASMETVS SVDPDSYLVSDGWRAPEHPPVYSSRPPSLRDGQV QC762_408990 MAEQHEKAPLLADCAIAFVQSKQLSSQLISILSDVAREHGAEVL EPDRQGKIRWKKATHIISNTIDFEEYTESLAAMVPVVKSDWIRVSIHRRKLAQLRPYS PDPRMIFSSVTVTCADIPTLDKEAICGGVLALGGMESKDLNKLTTHICALTLEHPKCQ EALEKKLKAKIVLPHWFDDCFRLGKRIDEGPYLLPDPEVLRTASEEPVKIPSSQQLEG ASSAVPSGPYEPQGGEKLVVFSQKKVLLGDDLPINDSTRKTIGEKIARGGGEVVGSVE ECNTFVGHYRDGEQYVRASQMGKDVGNLAWLYYLIVYNEWTSPLRRLLHYPVPREPLP GFKDLKITLSNYGGEARIYLENLVTAAGATYTRTMKAENTHLITARLHSEKCEAAKDW NIEIVNHLWIEESYVACQALALNDDKYKAWPRRTNLGEVIGKTFLDETVLRNLYYPGE EHMDAAAKKKKRVAAAAQTNALTMNLDKDFGTMQDSFMADSSPAPAAPATAKKGRKPK AAAAAAPTAANLVTPAKGRHLRTGKENDTPSVLSSGSRSAKAAALSKLQDIAPDLALY EKEKRRPLKDGVWGGKRAMDQIDKERSTRSSSPAGHADADDDEEEEETGEKSRPSKRP RLSLPNVTMRICATGYKRWVGNKNLEDSDRKKLRNLGVTLVQDTQPCDYLAAPKVVRT MKFLKCLAKGAEIINTSFLDACLETNKRPPVEDHFLVDEENEKKFNINLGTSVGRARA NKGKLLWNVPIYCTADIKNGVENFKAIAEANGAIFKIYRARSGTTIKPTTAEEDGGAD PDPVYLLSGTTPGEKALWPKFEEMAVNGHCEPRVVNGDWLLDVAMRQELTFDKKYLWK GQEEAGGGGA QC762_0067220 MAESSVDMMALGDAGHGELWGWAKYRYLGGVKEARVCRPRPLIR WLSFLVGLRQADTAGRQKIFKKAPSPTLLALWKKLLGSAAPHILLQPHFPHHSTPGRY RNPIDSVVVDQDHLNQKEKIKIICTGHSAKPKTRQDPSLSAVCLKVWTTSIHHRINSC SFSSSPEQPRLRHLIELVRAQTKSSKKSL QC762_408980 MDAIQTHPTSAAQAKAFTAPGSLSFPTGHADLNQSVAGNGTVAN GAQQSGVQTTNGAGVTPATPAATPGAASAGPSGITPTLQNIVATVNLDCRLDLKTIAL HARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARIIQK LGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASKHHNFSSYEPELFPGLIYRMIKPKIV LLIFVSGKIVLTGAKVREEIYQAFEMIYPVLQDFRKM QC762_408970 MTSSLPQHSSSSTTTTTTTTNNNNNNNNNNTNPPSSILIVGSGL FGLSTAYALTRRHEFINTSITVIDRSDPSSQSTFPSPDAASIDTSRIVRADYADHAYA ALCDEAQLIWRQQDKPIDLGAQGRYHETGLLVVGDATSAAPVADPPVEGGASESSHKK LTGMDYARKSWENVSSLASRDPMLAERIRELPNADAICEVLGTGGSSGAWGYINYNSG WTDAGASMAWLYEQVRQTGRVNFIAGTVESLEHDDTGVTGVRLQDQRVLTADLVMVAA GAWTGSFVDLDGQAVATGQVLGYLNITEEEQEILGKMPVILNLSSGLFIIPPTNGVLK VARHAYGYTNPRTVHFPPLPVSPTATSNCLVSIPRTSLHDPDLVIPAEGEADLRAALR EMTPLPGLVDRPFTKTRLCWYSDTRTADFLIDYHPHWKNLFVATGDSGHAFKFLPVIG DKIVDCIQRNCPPEFKEKWAWKEGEGAKALFTEDGSRGGKPGLILEEELLKARSV QC762_408960 MSNNNIAEFPDVQAKLQQPKEKSAFERQKAEIEAKRLREEAETA AALAEFQKEFGGDDEEDKLPRGSHQTSRFGNGPPTRPAFNSPSPFGGGAGKRHFGLPS GPSLKSGPGSLGPPPPSYGKKRTFDGFQQDRDRERGRNWDRSGFEDREAGSLTVSKAF EASDDEGETTAVSGRAEEKAASRPTLRLSNLPPGRSPAFIKSLIPANLTVEDVKIVPP AGPTGADRGTERKSVSAIVTLSKETPAAEIDAAVSALQNRYLGFGFFLSLHRHLSSAA IASGLTALHPSTSVVSHPFGAKKVEEISRPHGSHSVSSHRGYAPPTHYGPPMGGSINR SGILHVPVSPPRDIKQLRMIHKVIESVLEHGPQLEALLMSRPDVQQEEKWAWLWDARS EGGIWYRWRLWEIVTGLQSTDKVKYWPLFEGSHAWKAPAQRLAYEYVTGVDEFVSEPE YDSSEDEDFDDEQGRQGEHNEQEDTFLNPIEKSKLSHLLSRLPTTTTKIRKGDIARIA TFAITHASRGADEVVEMIVSNIEQPFAYTSANPDYKRDGKEKDEGSRDASPAPDDKLG SGGLDTSAASLLGLYVVSDILSSSSTSGIRHAWRYRQLFENALRAHKTFEKLGMVVDK LGWGRIRADRWKRAVELVLSLWESWCVFPVETHEYFVNSFNNPPALKKPVDVGGTEQK AGKWKPVETEAGAKEKDNGFLPISTKLSTDQDDENDHYLEDVLESRDGDVELQSPYMY YTSVLDEFSDLDLDGVPYDDDDDDENGVSGDVSMPQPTTTESPADKVETKIIGGFSMS AAKAAPVKKRMRAVDMFAGSDSD QC762_408950 MPIINGQKMACGPCIRGHRSTKCNHYNERVMVPVRKPGRPLSTC PCPPGKPCVCGGVRVAIPKKQKCHCPAGTVDSATSSEFDPSPVDTPISPASRTSSNRV TKSGSGSKSASRRQSLALANLERMDPNSINLIPSPNGNGMIGITAMVSPRDATFGHPM GMVPMGPRESFVPAPPPDFGGPMGYNMPPSMPPHMPPPHYPPHIQIPQHIKTENGGFV PMLNGTFVSPVPIPAFADGPHPQGMQAFNGPPPPPPPNPVLEPSAMSKPKGGSGGGGC CGGKKAPPIQAPPPVPAPLPTPPQQQMPNTMPPPQPQNAPSGGGGSCCSSKSSQPPPM PQMSPNAMQAPPQPGFGQGFMPQYQTPIDIKMENMHHHQPFQFPGQTVFTYPAEYGSW QMPINPAIWQQVVSRPPTQQQHETPISATAPNGNNGTVGGNSHECGCGEGCQCVGCLA HPFNSQMLQYVQNAYSPNSSHGNSGSADSSANASPSANPLNLASSVEIPSGPELPPSH QTQPQPPPRPNANESDGSSNAPTPPNEGSPALSNEEELTALDYYFVHLPISALCVGAL DMCPCDESCECVGCLVHNTAGFPQGDGGFS QC762_408940 MLNSRTRSRSPARSPSRRDGDRDRERRRERERCRERSRDRGDGH RSHHHPSRHHHSSPPTLPFHRAPLTKTSLHSHTQLFQSYLSTVKNLSLGSLSETEAKG RWKSFTGKWNRGELSEEWYNHPSLPPPSSKIHTPSFSPAPSIPELNPGTNKSDDDDGD DDDDDEYIPPLPTQNQAQQHGRHGPAIPTRDDLTHRDELLETERGRSLDDHRYERKTF RKLHREQLDELVPKADPGSRERKLERKREVTEKLKGFGQDKEQGVEEVNEGDLMGGDD AIEELKRMKKLREERQNQRQSRREEEEMLRRQEREERVRGYKEREERVMEGLRGLVRE RFGGS QC762_408930 MTTNPPPAAAATAAFPFPKEHSFPPFFTPQINLSTRHAQLSKWS SLILAYCRHHKLYRISLSDATTSPASVIYPLFNNETINRRLEVSYMREIIDFMKRQGR AEWYGGKEGDLVWVYWRTPEEWAGLLERWADETGNKGGVVTVYELAEGEGTRGSGEFL MMGSRKGSWLTA QC762_408920 MSSRRPRETLGGLNLNSAIPQPPSAMKRQSHIGSIGGPASHARS MSGSRHSLALQRPNQPMFQRSSSGTNLADVGLSSVKRASVSAYTGGGGNTTSLKPSYH TAGGGPSSSQDNDRRSSVYRARPSGVGGPSSSGMGIPTGGHQSFFQQAPQAAGVPRDP RPLRDRSYQNRLGQELVEYLAQNNFEMEMSHKLSDNFIKSPTQKDFNFMFQWLYRRID PSYRFQKNIDQEVPPLLKQMRYPYEKSITKSQIAAVGGQNWSTFLGLLHWMMQLAQML DRYAHNQYDEACLEAGVDVTGDTIIFNFLTRAYQNWLNMDDDAGDEDVEAALAPHVER MAAEFHDGNAKYYEELKMLEAENERLQKEIEELEKSTPDPAVLDNHFKIMQEDRAKFE EYNTLVSEKSDRYEAKNRILQQELEKLVDEVREAEEERRRLQRAVDEQGISMQDIDRM TGNRERLQREIESANLRLEDVKRKVLDRELEASRRLEELERAVDKFNTVAYQIGLIPA TAVNAKGKEYELQVTVNDGPSDFSSSLGPSSSAAAGQGDRLLQDSTTGYQPAHILNLD LRGEVKNSFLVLRKEVSERRKQALDVMMEDHDLLDRAKEAIEDKRNEVEALQHRVRAA EEEYEKTKESTSAQKMASDAQIEKMEKELAKMRAQLSESVQLMEQREMNTNIEYEQLT LRANALREELHTETMRMLNDVIKFKMHVQKNLEEYESFVADELEKELGSDEMRDDTQA IDM QC762_408910 MAIREELVASAATFLQDPSVAASPIEKKVAFLQAKNLTQEEVNA ALARVGAGVAPPQAYTSQAVVPAQQQQQQQQHQPPQQYYGQQYPPQQQYPPYGWQPQP QQQTVPPRRDWRDWFIMATVVSGVSYGLFSLGKRYVYPLIAPPTPERLERDKKSIDEQ FEKTFALVEQLAKDTEALKAAETERTEKLDTALNELETVIGDLKSANRRRDDEAQRVR DDVQSLKDSIPRALNNQKDLTDTRLREVNAELKSLKTLITQRMNPTATSTSVNNYLRP STGGTTPAASPAAVTPAAPATVENGDEAPKTTTYSDYLTGNHNRTGSASASSPFNSGM TAKASIPAWQMMASKKGAAAAAAGPSSSSSTEGGEAAAAAGGSSGNSTDTPAASS QC762_408902 MTTTTLQEETLTAASLAGGDVPPTVSPTQPPTSDPSTYKFLKAM LPHLPLLLRTAVLHLLRLSPQSPYLNLKTALIVSVLRAYINPPNPKTISETKHFLNRF PSIKGKIWVATYSIPTPTPETQTAIQSLFSSAITALSHDGKTRLPSMPEVRSVEGEWT GYRANATSSSRLPEGLSQGELYSEMMKEATGKSTILYFHGGAYWLMDPATHRPTTKTL AKVTGGRCFSVRYRLAPEDPFPAAVMDGLVAYLGLLYPEEGAFHAAVPAEEIVFAGDS AGGNLCLSLLQLILHLHRSGRKEVMYNGRTVDIPIPAGLALNSPWTDVTHSSPSCVSN APFDYLPEPSVLHSAESKRPACSIWPSTPKRRHIYVSDELMTHPLVSVVLAKSWEGAP PVYICTGWELLADEDKYLAKKMWEEDGVRVRLEEYEGMPHCFGLIFPGLKEARRCFSG WGGFIKEVVEGSGERGSRFLTVRAGTLEEVERKGEEISRMRGDVVRRRMEGMLRGGPE AVAKL QC762_0067330 MDKHLKTLHSLSKTLTTLHQTRTPYRINHGSTNSTRPRPSSGTA TVDISSLNNVLSVNKATKTALVEPNVPMDKLVEATLPHGLIPPVVMEFPGITAGGGFA GTAGESSSFKHGFFDDTVRQVEMVLGDGKIVRFGPDDEEKGDLFRGAAGAVGTLGVTT CLEIRLMDAKKFVKTRYHRAGSVAEAVEVIRREVRNDNNDYVDGILFSRDHGVVVTGE LTDVKPRAAEPRTFSRAWDQWFYLHAQNQQQSEEYIPLAEYLFRYDRGGFWVGAAAFE YFKFVPFTRFSRWFLDDFLHTRMMYRALHGSGESARFVVQDVAMPFETTEAFVDYTAE SLNIWPLWLCPLRRRAPPTFHPFTTVPEGVVREEEDMMLNVGVWGWGPDEPARFVEKN RELEAKVRELGGMKWLYAHTYYAQDEFWDMYNGRGWYDELREKYNAGHLPSVWDKVHV DPEAAGNKKRHWLKRQVPLGGFYGIWKSIQSKDYFLHRNAAWKWKGEE QC762_0067320 MATSCTTNLALSPDPCNARYIILVCKKSSRNQRENLVKGTNLKY SKAAAPTQKPPRSYRKRYSARVVVVVPDLPPDHLDRLGHTPGTVVSRLDKLFRVHQPN LQTRRDPQRAHRTGRSAEQVPFFFVVRSEPYDLPVSENHLHLSDRVVEEAVLEAGGFP CRARESAAGGDAWELHHYRGDQAVGEGSFDQLVHWDVWFHEGGFGCFVDG QC762_408890 MSFHVQTRQILRRVTTGKAPHETGSPSMDDEESPLLGHRIANGG SEANFWKHLLLDRTSSPGTKSPNALVRLPAHFFNVFKITLLSSWINVLLVFVPFGLIA GTYEWNPTLVFTLNFFAIIPLAAILSFATEEIAARLGETLGGLVNATLGNAVELIVSI VALRANQVEIVQASMLGSILSNLLLVLGMCFFLGGLTNMRDADGNAIEQSFSAGTAQT TCSLMTLASATMILPAALYGVLDSGSDKEEVALLLSRCSSVVLLFLYVMYLIFSLRSH KRLFESEAGPNAGEEEEHDPLLGPWSAGIVLLLTTLVISFCADHMVNSIDAVAESGAL NKTFIGLILIPIVGNAAEHVTACVVAVKNKMDLAMGVAIGSSIQISLLVTPSLVLLGW AIGVPMTLRFETFQTVAFAVSVLVVTYTVQDGKSNYLEGAMLLGLYMIIALAFWASG QC762_408880 MTDVVSAPQEEDEPRPPLPKKVSSLRTRPAARRVPTANRVTIAI PKPSPTSDVTSAHSASGAGIQSPELNVTSTPWSKKLILTLDGGGIKGYSSLIVLQALM QEIARVEQDLDPRASSSAQTERIPRDQIPEDVFREDQYLPCHYFDYIAGTSVGGLIAI MLGMLGKSVDECISEFHRQNKNIPLTTDLAGGATIDFPLLYRRSTWPTKRTRAFFDTF AKFSVSSTTRNKAAVAATFPTPVSSRSSTHSNESTGFRKDVFQCQTLAWCTEVERHQP RRPYAFCTYKEEEEDDAGSLVSIPEVAKAITAPSSYSFKPFKLGSGSFVDGSKQIRDP TLEVLKEISSLLDDGGPSIDLLLSLGTDEHQAWFYEKLRAFTSSASTPLSANSTASRE SLLDQERGKKYKTYYRFEAPDVKLGMRKKYYLSEIEEATREWLSSKEQKEQIARYARW LVQRRRERARTTRWEGFALGVRYVCHHGDCKMPERVWESRGDYYDHLERRHGVGRLRA EEREGELDKGRRFGVGDGL QC762_408870 MPSFDLSKPFYFCWRLFYFPKAYLAWKASSTATMEAAGGIISLT WDVFDSTIRVFRFLTAMVEMPRDCEKYRLQLVIEYNRVLAWGKAAGLIDVPEGSTLGT VLGAEGIELAAILARIQWLLSEFRDYNARYGNELNPYVYDEDGKQIAKEIRGLERDEE KRASIGETDTTSAADADIVKDVSALAVSYEKEKKERRHLKGTNHIRGFLSKAGYHTKD MLTHPGRVRWLVMDGEEFKKLLEDLHFLTERLHELIRDHRDKRIDDITAKTYREMILT RNDIQDLRDMLDAVSGIVATSSRNHATAAAQNNDKTLQDLVQLKKLSRTSDAILSQLH DDNQPLKLSSLSDLITITVPEYTPATLDTSFGWNEDETAHPEYLPRPRGILTTPHGDI PVWIEWKSIGAFKPNSLQDKQSALRTVALAEMLHLPKPGSLPTCVGYFDDRAYNDTER YAWIFKFPEGSDYDTRIVSLYSLLGNRTYRPSLSQRVVLAEKLCSTVLNIHAVNWLHK GIFSENVLFCLDDGRVAYDPTSPILSGFEFSRPDGTATTARDTDIVWDLYRWPGIQRQ RPTERNSKKTYDLYSLGLVLLEVAHWEKLHVLMGFGKGRKAKVVEGEEEKGYPNVSLE ESKNVRDWLLGVRKEGAPFEINPLAELGGLVGERYARVVERCLWAHGERGFGVADGLL GVDGGLVLQEAFTEGVVEELRGIRL QC762_408860 MSQSPSASGAMGIGSVLNNKGAAAAQALQQAGAMPVDQQVQQQL QQQVQQVQQVQQQVQQQAQQVQQAQQLQQAQQPLQQVQQQQQHQQGQTIPQQVPMNRP NSPHGSENSGYTYPSPTAMGGAPLPPANMAPAPMGIPMQQTMQQPMPQSMIPVMHPGF KTEPTPAPQQPPPKAYPCQTCGKGFARRSDLARHERIHSGIRPHVCDYPGCGKQFIQR SALTVHQRVHTGEKPHQCERCGKPFSDSSSLARHRRIHSGKRPYKCPYVDCQKTFTRR TTLTRHQNHHTGTVEDSQRARNEALAQGSNTALAAAAIRNKRGDSEQASNQESPITTP SPAQRPMSMSPNEDLANINNMQQYLTNTSLPPHIRGDVHGGSPVSTASSGYNNGMRPT SHPSGGYAPPPPLEPSLDQFQQGPGSASGSPHIGSVGWASPGPVGSPTESHGQGASVY PDPEPSYQNTAQMGQIYYASAATQGRRPGSTEPGQRPSEMWTGHSSETASPETAFTPS ASLSELDAYYGHPVKVETDGDTGAGLDPAHWDYQ QC762_408850 MPRDPSTDREARGERRRSTHHGHQRNRSSAKHDRDGDRDRETDA EREERRRKRRSQSRRPTSTPRGAGGGNESDAPSSSQGLSAAALAQLNKANAKDKAKSR SRPTSRQPEQRRRSSRAPAPEPEIRDARDLALEWERSRGKGRFAPPEDSEDAEREARR AARRAARTRERSRAAGYESVGQGGYESGEPPERERRRGRKGYEKVDGGGGGLAPKVIT VAGPSGERYERDRTTKGGRRVVSGAQLEEGIENVQSRRPHRSGLRGGGFMGMNFGVSS EDSMLRAKPQETESELWRGKPRPWYKQKKKLWWLIGVCTVLLIIIIIVAAVVVPNSGK DENKRDDGSGGGGGGGKSNLGSISPDSIPKDAPSYLNPFVWASTDDFNLTYTAETVGD LPLMGLFTSWDDSARANDKVPPLNKPWGDYTKRPARGVNVGGWLSLEPFITPSLFDYD SRFGIVDEYTLCSYLASRCASVLEAHYASFVTESTFRDIAAAGLDHVRIPFSYWAVQT YEGDPYLFRTSWRYLLRAIEYCRKYGLRVNLDPHGLPGSQNGWNHSGRLGAINWLNGT EGDLNARRSLEFHDRLSRFFSQPRYRNVISHYGLANEPKMTELSVPAVLEWTAQASST IRKNGIPEDVILVFGDGFRGLGNWQGELQSLPNAALDVHQYVIFNEEQIAYNHSQKIR FACEGWARQTRESMDRSTGFGPTLVAEWSQADTDCAKHLTNVGWGNRWTGTLGPGGTR PKDVRPRCPALDRTCSCEEANAGPERWSDGYKRFLRMFAEAQMDSFEKGWGWFYWVWD TEDAAQWSYKKGMAAGVLPQKAYEREFNCDLSKIPSFSDLPETY QC762_408840 MRGPSALSLSRALTRRPHHLLYHHHRALFTTRGQQQQLAALLTS SPLNTPKRTYSTHPPNAKLNLPTDYSTTPLLCHTTTTALTNPELPPETRNGTTKRMNL FQAVNDALATALAEDESVIIFGEDVAFGGVFRCTGKLAETYGADRVFNTPLTEQGIMG FAIGAAAEGMRPVAEIQFADYVYPAFDQLVNEAAKYRYRDGACGRSAGGLTVRMPCGG VGHGALYHSQSPESLFTHIPGLRVVMPRSPLQAKGLLLAAIRSNDPVVFMEPKILYRA AVEQVPVGSYELPLSKAEVLKKGDDVTVVSYGQPLYKCMAALEQAERDLGVGVELIDL RTIYPWDKETVLKSVRKTGRCVVVHEAMVNAGVGAEVAAVIQEDAETFVRLEAPVARV AGWSIHTPLSYEQFNAPDVARIYDNIKKVLGF QC762_408830 MTTKENHEIKSRYQKHGGSSQMEPATCLAAGNIPGLGGRRIFHS VPRIFGVSSNCQPAVPACLPRGPPTDDDLLAFHHFACNKAFDDDSRLQELRLWPSFDW RFTDTAMSSNTAIISSFVEGAPPGELANVIEGMSFLLHSSLATTDIKAITGPDPNLIK TLSPAFQKYNEEQFITAKLPGGSSQVIISEFSALGEGRYFDSESQSSFEFDHSTGKAA NVQSHVLEGEQASLVKSTLNTVGTYVKEHYPNAAYGAYPIENDTKVAVVIVANKYSPH NFWNGRWRSFYIYDPSSNSLEGSIKVDVHYYEDGNVRLLTDKKVNASVSAGRAADGIA REISTAEKKYQEDLNRSFTQLSEGAFKALRRQLPVTRQKIEWDKVASYRLGQDIGGGS VRR QC762_408820 MALQELTYQDVAEHNTKKDLYVVIHDKIYDITKFVDEHPGGEEV LLDVAGQDSTEAFEDVGHSDEAREALEPLLVGTLKRNPGDPKPKTPLPGAVSPAANNS SAGLGVGLYAVVLLGGLVAFGAYQYLQQQQNQQA QC762_408810 MSRLDREADAFRASMAAAASKLTGTTGTSAMSSAVKRNLAPPSP SPSVGSTTSAAVGTPSRRDRELPGTNIVYSQPAVTGTGDSVISQMAYAVTWLRGKDEP QTYHDVLSYLSATGRSDSEQEFFVEQMRRHPQIQWIPDPNLSEQTWKTGTYIHRPTIP NVRNKTQLIAYLQKKTDASGVSVKDLKDGWPDCEPAITELEREHKVLVIRAKKDGAAR MVWLDDPSLFHEVEPDLVRAWSRVELPALDVIAQKLIQAGQKPASEDPRLKAEKMPKV EKKKKRAARKGTKSTNTHMEHLLKDYSHMKR QC762_408800 MPPSPTTITTTPQPFHFKIDIYTDTVCPFSHLGFLSLTTAVSSF SPPTPVTFSLTYHPYILYPNSRPSSRKLGAALTYIYSSHTRTTSILTHLDNLASTYNI KFNWEGMTGNSRDSHRLILLAQSRFQASPSQQNLRRFMSRLYQASFQRGRDISSRQTL AELGVEAGLFGTVDKGLEWLDSGALGEEVDKECEKAKQEIGVRAVPSYVVNEKYVVGG MQDPVVWLSLFDKIMRQPTSQLQGGGEQKGEQLGRREEHGGNCRVVAVENDT QC762_408790 MTSTRNPVQDFILTPQQQNLLYAALNSNRKGNTPVNSAMTVSPL QFNGSPLQENFGSFQGSPDFDYDYDFAGADSTLDFSINDGNQPKMIPDLPGTSDGGKS ESPDDTESPDKRSHPDDEEDEARGAKRRESEDRVAKKPGRKPLTTEPSSKRKAQNRAA QRAFRERKEKHLKELETKVEELEKASETHNKEKQALRAQVDKMTVELNEYKKRLSALS NGRPSYQGPTKLFGAPFLQNMNDVNFQFEFPKFGTLPGPNVTNDTKKSSTSTAASIPP KRNNSDTRSPLDKSNSGFSPDHSSTYSPLGLNSHNLDSQFNLNGPTTTSSPSASSNSN MGGPNSSCGTSPEPFTQSPMGFKPVDTLATIGEEQSGFNVNGSSQDFGHFGDANDFNW LPQTDFQFEPQLFGDYREPQENILSNGFDDSFFNDALDADFITPYNLPLTTEVPAPKP DILAQIDAAKEADQVTPNGQLLTCTSIWEKLQTCPKVQNGDFDLDGLCSDLQKKAKCS GGGAVVAKEDFEQVMNKYLPCKDRAGQADLEAAVKEATGSTKI QC762_408780 MDIAYDHILESNFEDKSESQNRQNQPAAPQASLNEDLQDAYRAF SNSPWGARIGGFFGSVVKQQELSAVSQDATRGFTDLRQTIINRTRSLSLNTSPPADAG SSSQDTGDQTTPTRAKALSSEEALAESESVLTRLKEEAAKRLKDLQKAEDAADEALLR FGSNLRDFFRDAIQIAPPTNDQGDNQGNTVLFESKDAAGKRVIHTSRFDAQLHVIHTT TDNFIKDGTGAEYETWAKEFGVDKKTEDIAADLAKYPELRTTMEKLVPDTVPYADFWK RYYFLRHGIETAEARRRDLLKAASAEEEVGWDEDSSEEEEEEEDSSEEESSDEEESAP AKPAIAKPVPTAEAKRPGSSNSASTINPTTTAAKPAEPRKSDDRKSMADSDTSYDVVG AASGVPSQAPNSPKDAKKLDDSDGSDEEDWE QC762_408770 MEAPSPAQTQTTFERGISLLLNLWPALTLAVQNNWGGPDSSDKR DWFAGAVSELFPPLTTSTSTSTSSSPAAPSEEPDAEYIEEFLLNVMLDEFEVNVDDDS AFEVAESIIRIRKDCLKGKFDEVEQLGRRYTEKKGSKVVFAKGEDQEEEGEWDTDDDE EEDEDMEDAPALVQAPRREKQEPEVDEDGFQTVTRKKR QC762_408767 MSQQPTLLIGSTGLVGSHILSTLLSSSVPVTTISRRPPKTPGPT LTPIIESNTDLWTSSLSSLAPPPKTVISALGTTRTAAGGIANQWKIDHDLNVALARAA KDSGTKTFVFVSSGGTRGLFSNYVPYSKMKIGVEDTIKELGFEHAVILRPGLILGERE QARLGEGQAQGVVKAIGRWFGRGVVDRFAQEGEVIARAAVKAVEMIEGGRAPSKYWIL EQNDIVRLGREEWKGETK QC762_408765 MSKEEIPTGTTAASGLRPLLIGPSSGPEPPYPYRMSGPVISGFG RGSKELGIPTANLPVDNSLTPWISSIPSGVYFGWASLLLPPSHPNYSPASNTSWSMFP MVMSIGYNPFYKNDTRSAEVHVLHEFQADFYGTDMRLLIMGYIRDEKDYKGLDDLVED ITFDCRVARQSLSRPGWRVKELGDGGQFEGGWLVEQEEKASL QC762_408760 MPEDGGPFGSEAAEASGAMSETENEYDDHEPHHKDEDDRMSEQN TTPDGVDAGGEVKKKYDPKDPLRPRRKKARRACYACQRAHLTCGDERPCQRCIKRGLQ DSCQDGVRKKAKYLHDAPPEALRPVLGPNYNPNAPSSRHGGQRHHSVSTDASTVRTFF SHSNASQYPVYSSTQSIPHGLAESLPFNSQQSPVSPTFQQTSSNPPISGMVAPPVSSP MTPFGLPFDPSDPNIFNFNIDGLNFGSHYGAMEFGMLGHMSSSAADTPPQESGMGQQP GDVHFGAGLFGNHFDNRMLPEFLGLDAGANGIYSQGNLQHGLPHAYAIPAGPTSLQSP STENSSPQPTTFGFDDRPSPTMSQYPNAPGAKSSSNSRPSKLRKLDKVAILQKRQRDP SYIYDTVKKSFDYVGSFHKLFEVLSSRFSQPHAARIAKSLAAIRPALLASTRNLTTQD LIFMEQCFQRTLFEYEDFMTQSSSPTLACRRTGEIAGVNKEFTALTGWTKDVLLGKEP NRNTNLGGTGVRTTPRLKSLNESSAENGGAASGPRPVFLAELMDHESAVEFYEDYSQL AFGDSRGRMTRKCRLLKYRTDKPAAGGGGGAGEEERKPDASAAPPQQEKDSRHSILSN RVAKIDGEHGISKLERDGKLECSYTWTIKRDMFDMPMLFVINFLPCYYRNHNQLAV QC762_0067500 MATATTTTTTTTTTTTTTDEKTAGHERTDDEDRSSSRDSSSSSI KGPTLEPIRPASRISRKSHASQNRLSLHRERSNNGYGVDDLVDRSSEEDGVAGGGGGG GDIEAVSSQVDAPDALTDPYEVTWDGGDNDPMCPRSMPQWRKWLVIFITSVGSFCVTN GSAVYTASYADMMSEFNSSRIVVTLGLSFYVLGIALGPFWSPLAEFYGRRPIYLASFL FFMIFLIPSALAKNIQTMIVSRFFQGLAGSAFLSVSGGTVSDMFTHDKMLMPMAIFSL SPFVGPSTGPLIGGVMVMFVQWRWMHYYLLILSGALFLSIALLVPETYHPVLLKRKAA RIRKETGDERYYAPIERSTKSIPKTVGLSLLRPFQILMFEPMALILNIYTAMLLGLLY LFFGAFPLIFTTNHDFNLWQVGLTFTGLLVAMIIACCVTPLWNNFRHTLKERRRRRTG VLKDEPEDQLPQVIVGAPLITGGLFWFGFTSTPEIHWIVPLIGSGVFGLGMSFAFTGV FTFLVAAYPRYAASALASNALVRCTFAAAFPLFGYQMYEALGFQWATGLLAFITLGLM PFPYIFFRYGRRIRERSRFASAT QC762_408730 MSALRPSSSSTPSSRAQDHGEPEVIQFVNCRLLRDGKLVKGDLW INTENGTIGSSKRTPDAVRDLQGCIIAPGFIDCQINGAIGFNFSTIPDDISDYPKQLT KVNKWLVQTGVTSYLPTLTSQHSEAYHKVIPFLTPTPRPSPDRGASVLGAHLEGPFLS PRKCGVHDRSVLRTAQSYQDLDTVYNLSRAPPHSVKMVTLAPEVLLQEGPEIISKLTG DGIVVSLGHTAASYEKAREALAAGARMVTHFFNAMPPFGHRESELSIANIIIPGRNEE APSYGIIVDGVHNHKSAVMLAHSVHPEGLILVTDAMHVLGLGDGTYPWRNGTEKSSVV KSRGRVMTPKMDEEKRERMVLAGSAVTLLECVNNFLGYIDTTSVGLEGDEGENEHIVS ALMAVTERPARLLGLEGSIGTLEDGTDGDIVVLERVTGEGGGGMVLKLREVWKQGRMV FEGENWGGVWRL QC762_408720 MVKLDGDLDPLWQDLDWAIGQMVIMGWDGTEVTPQIRHLIEEHH LGSILLTAKNLKCTAKLVQELQTIAHQAGHLQPLLIALDQENGGVNSLYDEDYICQFP SAMGQAAAGNADLAYKVAKATATEVSAVGVNLILGPVLDVLTNARYQPLGVRAVGDDP QEVSQYGIAAMNGYKDAGVATCGKHFPSYGNLDFLGSSLDVPIITQTLEELSLSALVP FRNAIATGKLDAMFVGGCGITNPSMNVNHACLSDQVVDDLLRNELGFTGVAISECLEM EALRSEIGVETGTVMAVEAGCDLVLLCRAYDVQLEAIAGLKLGVENELLTKERIYTSL RRVLKMKNACTSWAKALNPPGISLLSKIHPSHLNLSIKAYDDSITVIRDNEKLLPLNE SMHQEEELLLLTPLVKPLPASSLTKNILEAKNKSDSAPTEHDKWIHRDRGVIMSGEGV FRELGRSLARARRGKLLHTSYTANGVRPVHENLIHRASCIVIVTADANRNLYQAGFTK HVSMMCSMLRASGQKKSLVVVAVSSPYDFAMDKSIGTYICTFDFTEMAMAALVRALCG SSRARGTLPGTLRKSRKAVKSRQHWLVEPYDRDRDSNGLNDLLSALARAAAPNHRFLT TTTAHSFEIFNPNIEESHFVVRNSSTQALYGFCATYHTQGTGIIGAIFVDPTKRNVAI GRSLHRRALRALIQKRGTKKIQLGICFPGVFLGIPTDDGGLKTWFSNSGWDLQFPRRL ANMVIADLSSWSAPEGLLQSIQRANMSFDLIHGLENAETVLAHVAGNANPEVFELYRL ALQETKSCGVVRAKCQRGGLVGTVVICSPGSPVAGYVPALQGGEEVLVGGIIAPVVAP GNGDLLVIQGLALMGLRQNKAHKSGRSVLSWVGEEGMEGLGAMGWEVLEGWEEFTNSV ENVSFLEG QC762_408715 MCRPRLCTRRPRLHGPARHTRGGFAPKFYGSWTFPLETDHPEKP VRWVRMILLERGEGETMQELLSRGELADEPVRLAVLKQLLEAVTKLDWYSKIRSMGNG AMFPPENIFVNSKNGSMKLISFRDVELLGYFEGFEESDDDDDPGPYWIPKAWAEKPQL AARLSIPPTIGGQPTITVFRNTGTTVQMALSASG QC762_408710 MASYNGMPAMSSGATGPDALGHQGQQSLGIDGFDPHSMTFDDPL LHNLPFTPTAAYDFDTLSTTFEDPFSYPARPFGNDVANTALMGNLGGLGVDPESCYNG NHGGAEGSSSPQELDNKLLGFGAVVPGKATLIDPLGQFIEPMMSAELYGMFFVAEDVF GTDNGGDNRRPMELTCYRRNLWQCSGQITLPRQVAQYAVADGQQRMPVVELAASITAL ESIEGKQTEIISIPWKSNNPALGGVTEESTKSAGAPAITVLDLGSGQEIDGTNRVTVG VSWKRLQFKHATANNGRRKGLQQHYVVQINLLVKGKGGEYVKVAEVQSGPVIVRGRSP RNFDSRKDVPLSGDKRLLERKSIEGLSSGSTATKQQQQHMGTPQSQPLSQDFGQGFLH RYQGMGSLPSTTDWSTPRPFLSPNPQQQHASKKLALSSPSLNRPPVPPWVPIDTTLAT PLPTGKQLQHTSNLLKPTRGLHSTSQSNNLPINLSLSEDERSPPNLNRSNSSGGESSQ SPQQHFSMSSSSKAGNSRPGLGHKSQRTESGGAQQLNSPQDQTEVDMLYEYFPLSVDD WMPPVDAIYRPHVVHHTIVPPEVKAQQLRSKGKRYFAAD QC762_408700 MAFLRDLLLAVIKSLFRGRSFLQALLAFWTTSTESSPTLREPPS KDGSTSISDFLAKAESLLLDPTQPDKRRALSQKLKLEFREGLLSNPACMLPSYHTELP TGDESGQYLAVDVGGSTLRVALVDLKGRGSSGGPESVIVSIDTFNIDNDIRALKGRAF FDWMAEKIYQTVAKDSRQGHCADDPLLLGLSWSFPIEQPAPQTYLLRPMGKSFHAADG LLNQNLSSILKTSCLHHNLHVSLSAIVNDSSATLLSAAYSHPSTTTFGLILGTGVNIA AYLPVTTISPSKLPPRPHTLATHVVVNTELGMFGGPSLPSTKWDKTLKASHPRPDFQP LEHLVSGFYLGEVARLILVDAIHETGAFGGVVPDSLAREYTLDAKTLSLLESDPLHFQ TLHPFPYPPSQTDLSLLTSIASLITQRSASILAASLHALHEINLEASSPQKQPQKTTT IAYTGSVIEHYPHYLSHLQSYLDQLTLNTPTTFKLVPAKQSSVLGAAVALACLDKQST RS QC762_408680 MRLIIRDNGEAASRYAANYIVERINIFRPGPTNPFVLGLPTGSS PEIVYRILVEKHRAGKVSFQNVVTFNMDEYIGLPRDHPESYRSFMHKHFFSQIDINPD NINMLDGNASDLEAHCSDYEAKIKALGGINLFLAGIGEDGHIAFNEPGSSLASRTRVK TLTYDTILANSRFFGNDVGKVPKMALTVGVQTILDSREVVALIIGARKAIALQHCIEQ GVNHMWTLSALQLHPYPLIVCDEDATLELQVKTVKYFKSIEQVARSNGLEQCLPLKIS TSHVPTLHDTPPSPAQTPVRFRMRIPTKAELFGESAYTVESGCPSPRLDSMSARIKKD ERCPSPRFDAMSSRLKSDKADHSDHDRAPDSDSLWRFILPLQLQDHKDGHSGTTD QC762_408675 MELLCNETLVTAACLNGTAAAEAGGGGVLPESMLQLHIVVPAVT VLGLIVLGTLMGMVLFFWRRKYALAEEGGDKKGGKWWMDSESGSERGGSVTMKTQQQE EQGRREVVKQGGRTEDKELPPPPLKLVRRERETTPLQEEMGMGMGMAMPEGISSGFGV GGELTNALVWANFGPPPPPSPPVPRVPSEMVLSPPPPLPSPTSQRPNNTRSLIPPPRP YRAPNRNTYPNQALQPPPQHGYAQLRSLTQSASQPEMRQQPHHSVQASLSKERCLTQS TSQPEMRQREREKNTVLMTRLEYNHPDEQRAAASKWERPGLGLGLGLGIPRQSFDGEK GKERERLLARSSRRFSVSQNF QC762_408670 MANNGTTTQALIGAFTFGSVVYAASAALVLYINGHGAAVFRDSQ RLVLVLFLISSALWAAIDFATTLIDTTGSSMPCQIGVIFASIFDQLARFSIEQFLLWA LNSNKGGKLSVVQLLPQILILARFLAGAVFVGFTRPQTDDYCLATTSALPVGVAVLAL DAIIIVLLIQKAYSSGGAAKTNSRSLTSVLLGLICWTAASIVMLLGITTIPSAARTAL PAGGLLVLIVFITAGAMTLISSRKSDSGLPEAPSPRRINISRDISTADSEYPPSRFED LKEANIRSSRSFVNPREVPNTKDETSAGFPFAMSVATQGVPAMPPPVSSEYVRQLERS ASQKKGMWGDFGKRTSSTGGRPVIGKPVLQSNEDGNPLNKITVIDLQSAIMADKERRQ TRIEEEGSLSVSHRSVMQSMHLTPEEGVKRAASMKRKEVASVSSRQSAFPGGLQADSS ASTTSAQLSPASDETRRRSPRQPEPEEQRPWTPEKLTPAPQPARASQNDVRPVTVLLQ ANVPQPIQRPGIRPSRQLPPSPDTPPQEAAKTPLQRRPTIGLPTNPRARGIQVGKEMG ASSQHKTIMFINNIEYHDPVAVGNIMSRANTLPLKPAPTSKAPARVASVVNRPRPIPR KPDNIASPSHRRSKSASSLLGRKSILDATSGSPTQLPPLPPAPRSVGLPARPQPNNTK SMTFDEKMTLLFPAPPRSKSVRRRSSSVPELPRIPMSYLDTTSSTSEADNQTVSNRTT KTSVRTDSILEVDEIPRKESNVQATDETSKAWISAFEAGKQEDKRKSSPVIPAIRASA WTETTVDDLGTATNWSSMNSPEYAMPMAIMPAQGLPAAVRMPAKQVAEEPKEETRVVE SRNSDDVPFMLDTSTFSKFGMRKSWLVDDEVTIPAPEEPEPEPVPEPVSAPKPAPQSQ WHRRVGDECPAFSKDCLSKKGCRKTPPTPLSLRTPNKKAVIVHAEPSPLESPEHALQQ IQAQLKKLEEPEVNADTPSQRLALLEMMEKEMGMQVDHWKEMKHDIGRDSLSSVQTSS PAVKRDSVASVANIVPETANTRVSIGSDRRASRVARLSKHSSMSKIEEQPSVRNSGSA QMSKWQKRLTEAQMEYMDARLLRESSVNFLQLTRAQLASPTPPESDASSINLPEEEEV PPVPELPAKCLEQTPEPSPEQPKAEWLWTPPSRFSAPAGLLWTAPIKVAETEVVLPSL SVRPMARKEPEPLQIHSTHLWRKPYTNTSRSTTGLWKPVWASAAPPAEPVKRVSQVAA PQPQKAPRPVTQRPPRRNKRVTLLPDIIENPEPLPNKRGTLGIFQFPWGEKSDTASVQ ARPPVLMAMPGTMASGAPVRSQQTELNEYSSSFFDDYDDDNDIVDSMNSDEDGSDDGF DETTLWEIASLLKVDNVPSRSSLVPSEDSVLGDYVEELESDDEDQSSREQSIVIGLAE PSELVVSRKRDSATLESDALTILNDAVYQPEAQVQKKPVSKPVARIGLPSNPRPAPPA PVAESQVPAAPASAPKQPQFPRHPIKSTQAETAVKQVSAGLWSPPVTLDKDSSRGGLF AVDSNRTVYRTTTEEPAAQYMSRSPRPAERKPLDSLVSTSLWTAQTEARKTERNWIQN KTVSAGLWTPPVTDRSSSRGGLFVVDPSRTVFRTTTEEPAAQYMSRSPRPADHKPLDK LASTSLWTVEAVVKTERNWIQSKPVSVVTGVWSPPVVCRSSSPQGLFTVDPSRTVYRT TTEEPAARFMSRSPRPVERKPLEQLKSTSLWTLEAVTKTERNWIQGQAKPKKTTRPEF SLADWRAALNEAVAASYPKVTRIAATAAEWDAALEEALALSYPAKFDSSVRHPVFAAK SLITRSEWFHPAATGYTYDVANVHPVFFGSLAITCPLESVHPAISSYAAKKLRRQASK AKRERSASSSTRSRRDTIQAQIEALEQERLFAQQFAQAEYRRRNTSISALFPPPPPIP TEEPTMATTTFETVQDIQRRLSHRIRESLVISRQTTPEQTPVSTPARTHSRSKPSVSR SHKSSRSKVPAVVPTKPAATLWAPPAAVTVIPSQQMWGLAKGVNTPTVDGAVEDAESA ARRQKGRKTIQKKARKVEILGQIRAVKRGEDPFERFEGQGLWAAVPVVEKKSEVDWLH KVCVAKPVVEMKVGRERRRTSVSLVPIPERREVVSEEESWRAGHGRGESKGSKVVLRY QC762_408660 MPRQDLEYPKEAHNTVKRYNHLAEYSLRTIHSIINSTPLLHISF NTPNTPYPATIPMIGQMGSFDRPSADLGDVLDLYIHGYISARLTNLTRTPSGLPITVS ASTVDGLLLSLTPFSHGYNFRSAVLFGHATVVTDPAEKLYAMELITNKVVPNRWNEAR TPPTAGEMAATAVMKVKIDTGSAKVRTGPPKDDKGDLENEEVREKVWVGTVPVYTVLG EPKVAEYNLAKEVPKTLVEWRKEVNQDAKVYAELAAVKNLAPEKKKQDDN QC762_408650 MATTAVPDDPGGGSPAVSTPVISTADDPTPNNTSKTTLPNPEGD ALPLIRSLHQRLCTAPPPSPLPPTTITTTSSSFKSKMSNLKKTVVTFAKFVGPGFMVA VAYIDPGNYATDIAAGSSYQFKLLFIVLLSNIFAIFLQALSIKLGTVSGLNLAEACRA FLPWWLNIPLYLLAEGAIIATDIAEVIGTAIAINLLIPQIPLVAGCALSITEVLLILI WYAPEKGMRGLRMFEYFVVGLVVAVVVCFCLQLGMVKGVEVGEVFRGYVPSRELVERR AMYQACGILGATVMPHSLYLGSGVVQARLWEFDKRMGLVPATGEGEREEEDGLKGYIP SLEAIRHSMKYSVAELALALFSFALFVNSAILIVAGAALYGQAVDADLFGIHDLLSRS IAPVAGTVFALALLFSGVSAGIVCTIAGQMVSEGALRWRMRPWLRRLVTRGISVVPSI VIAAAVGREGLDAALNGSQVALSVVLPFITAPLIYFTGRDRYMMVRPGMARFGGHFGG EGGEVAGEGEEEEEEGVKGRLRRFLRRGSGQGEGGVVRMGNSWWITGFGVLVWLIITV MNVANLVLLGKDDGE QC762_408640 MSIPQLLTEFVDYVDFNQRSFFISAAAIAFNPTFWNIVARKEYR DHFITRAFGSAQKGCYALAATIFSLGLVRDFLYERALRDQPSHPALEGENVTYAAYAL LALGNILVISSTWQLGITGTFLGDYFGILMDKMVTGFPFNITSAPMYYGSTMSFLGSA LLYGKPAGILLTLHVLIVYIIAIQFENPFTSGIYAKRERERAKAGGYEEKKEL QC762_408630 MAPKQRKPTPGSSSASGSSPAKAIPHIDPNSSFTPESFEKELKS LAQKAQSETTLHYLTEQSIIYLKSAALLSLIALYSTVSQLNLSPTYGSIPSSKWHSKL IMAGCFAGWSTNLTLNRVLPFKPEKLLPLLAVYVPVVQFFLGKVSSTLTAQWGPLITE GLTLLPLVTISAACVATYLEGADLPGFLPSWIRDALPGLGGYGFYKLSEKILGGLAEE HIGQSVLNTRVGMELALAGSYAALAPSKLLVFALPALLHTALLNTHLPTGNALAKLNK GLESVGYVVLDRKESLTGYVSVVDSPKEGYRVMRCDHSLLGGEWVKFLNQGQFKGNQV AEPIYGVFAMLEAVRLVKTTEKIKDHEAKALVIGLGIGTTPAALVAHGIDTTVVEIDP VVHEFALEYFQLPKNHTAVIEDAVTYTSRLAADEKGQRFDYIVHDVFTGGAEPIPLFT LEFLQNLNALLKPNGVIAINYAGDFALPPPRIVTNTIRSVFPACRAFREHPRDMEDFT KNQRDFTNMVMFCTKDPSGEVKFRHPNNRDLLNSPSRQAFLYPQHEVKEEDFVKAEGE AEGVLRANDTERLVKWHESSAMGHWGIMRTVLPDAVWEEW QC762_0067630 MPNNYLKQNHREMLFPWLNGSTSERTGNKSPIHKLNNWSKHYLR DVNLGTGVSWQICSQAQQDPLAELFQSRGN QC762_0067640 MDDLHDQISMSVSGDHQPRCQCGRDGCEAINKRMATVTMAIASA SLPNGSTVNPPTFYQRNWQHTGRPLVWKKDWDTGVVRPRCLMDDFREKDRKPPNHEDR QC762_408620 MSDVQGRGIGRGGRGRGGRGRGGHGRGGFEDRGGRGGRGGGGFG DRGGRGGGGGGGGGRGGYGDQGGRGDPRGGFRGGRGGFNDRGRRGGGGYGGGRGGGGG GGGGGGGFAGGPDVYTGPNNVTPPPNPDITALEDRVVQQQNSALGQLSKLSVSDESSF FPHRPGFGTGGAPVVLWANYFELNVNTASLFSYNVLVAPEESSEKKEAEPSSAKGKGK GKPKESKTKEAKGTKLAKIIKAALDTLPPTVVVATELKMSVVSKAKLPLPPNSVIAVG IPRSNGGEERWDVKFNDPVSLDIGRLKQYIQNFEDKGNESVFPKFAAEADALGVVLGH TARSNPNTAAVGKSRFFAFDANRSEVGPVSPGSMIDILRGYVQSVRLATGRLLLNVNV THGVFRPPMPLPDLFQRVGNLNTNTLKRLHGLLAKSRIQCRVPTEKPGEWVKVERSIA GFSGVRDGSGEERRPEFLEPNEYFGKPTNVKFFLRSPKEPRPAPKGLKYDTMVLVSDY HIAKYSDSHGVRKVETFPLINAGSTARPIYLLAEWCSLLPGQPIKAKLSASEAQSMIQ FACRKPSLNAVSVTTNARAVLALDNNKLLDKFGVSVDKQLITVKGRMLPPPTMAYPKS NLVELIKPQDGGWLLKGVRVSKPGRMIKNWTLLEVQGQADQYVKATMGEFAVFMAQSM GMAINKNATPASGYEVASMGEQDLRAAFQRMKPKPDLVIVVLGDQDANVYNTVKKLGD VEFGIQTVCVVRNKITNNKGYFTNVALKVNLKFGGVNHKLQNAHPLLKGGKTMVVGYD VTHPTNLPTGAGENAPSLVGLVASIDSDLGQWPAIAWQNPARVEQLDVKLVENFKSRL RLWQKHNGAKLPENILIYRDGVSEGQFNMVLTSELPHIRTACSQMYGKQQPRITLIVS VKRHQTRFYPTDPQQTHSRSKSPKEGTVVDRGVTNVRYWDFFLQAHASLQGTARPAHY TVLIDEIFRPSYGAQAANNLEQVTHDMCYLYGRATKAVSICPPAFYADLVCDRARIHK HELFDGSSTIASGAQDTVGSRKVHPSLENSMYYI QC762_408610 MSALRTTLLPLTRRAAGLATTTSKRAFSATAAKKGGHSPQYDPP TGWLFGVKPGEKYVNEGWENLYFYGFLGSFVVFGVAYAWKPDTSIQTWALEEARRRLE AEGILEDPDNKKN QC762_408600 MSVSLQHWSSLSGWSNFPRRCQTCSCKLLRDTCPHSIPAQISTN SLSAGSTRDAVASRSLINSQGPELAQQGRPDWSTAIQRPCNLRSNSEKREHPGLQLQL LASVVCPLYESLRLALCLKPGVCHSPLRAFASRSPSVPLPWLTKTNCCFSLFPQSLSD FNFLVHRHTHTTSKMMRRLLPRCAPRAAFNAQRSAGLGAAIQAQSAVQRRQYSITPQS STSQLLDIDPSKLVVEKTSKPKDLLPSKDLVFGRNFTDHMLTIEWTQESGWSAPKILP YQNLSLDPATCVFHYAFECFEGMKAYKDTKGQIRLFRPDKNMARFNKSAARIALPTFS PEALTSLIAQFAKLESRFIPSERGYSLYLRPTMIGTQKTLGVGPPGSALLYVIASPVG PYYPTGFKAVSLEATDYAVRAWPGGVGDKKLGANYAPCIVPQKEAMSRGFQQNLWLFG EEEYVTEVGTMNFFVAIKNKQTGQKELITAPLDGTILEGVTRDSVLALARERLAPEGW KIEERKYTMGELAEASAEGRLIEAFGAGTAAIVSPVRAISWKGQLVNCGLKDNEESGE IAMKMKEWMEARQYGDEESDWSYIC QC762_408580 MFANALKSIGASSNINTNYSISSTLTATAGPWKIYSAKRKATGK EYSVFVFDKKTLDAGNNGLGGRQSASSQKRVVEEVVERLKKEASSLARLRHPGVLELV EPVEETRGGGLQFVAEPVTASLSGLLQEKDDQERGGGFGGRSSRYVTEDANGTRRRRE LEIDELEIQKGLLQVSKALEFLHDNAGLVHGNLTPDAILVNAKSDWKLSGLAFCSPPE PSTIPTTIQPIILGEVLNPDPRIPRTVQLNLDYASPDFVLDNNLTTFADMFSLGLLCI ALYNSPHRSPIECNTSISAYKRVFQSSQSVPTATNNYLSSRPLPKELGQHVLPRLLTR RPAQRMTAKEFQESEYFNNVLISTIRFLEGFPAKTPNEKQQFLRGLIKVLPNFPKSVM EKKLLPALLEELKDKELISLILHNAFKIIGLLPAGRRAFNEKVRPKLKEIFVTNAKQP QEKDANRDAGLMIIIEQLSVIASNCNGKEFKDDILPIFYTALESPTPSLINAALTSLP VVLPVLDFSTIKGELFPVIATIFSKTNSLAIKVRGLEAFVTLCGGAGSGDDGLDGLAT EQKKATSSTALDKFTMQEKIIPLIKAIKTREPAVMMAALNVLKVVGRVADGDFVALEM LPLLWSMSLNPQLNLREFQAFMELIKSLSSRVEDEQTKKLQELTGGAVTSPGLKDDFL SFGAIAGSSLEANGTSETDFEALVKGRASTTNTNPLDSWDTKPSATSSSSSVRNSTPT PTFSWSTPPVSSPVATSHLKAQTGGFRTVTPDLAAIQPMAPTITQFSQPLQPQSNTTS TQPQPQPASFNWSSASSATTPSTIWATPSASAQPTSNVWASSTTQPSPNAWASQPSTS AFSSLSLNQQQQQRPQPTTSSSFALPPPPGAPSASSGNSGFSLPRPPGTSFTTSGLGG TSNMNSLASLGAANRTGTGMGMSMNSMMGGMSQQQKPPQQQPKGGLDKYESLL QC762_408570 MEATSRTALPDGGGQKKKVKGRSKSPKSPTTTTTSNGASSEIAR KSSTRVRNVVDYDEKRAFVGLDSDPVVPPPPRRQSPPSAHQNGSNGSAAVKKTAHNSG NGSGLTELEERVQDLGDSWETESLLNDILGDVHEDRFFTDDADACTPEEAIQYRQMLR ALGPEIFVQRTVDAGRITAKKLLTAFGVRPPDFLEGQDDDAYFSLLSYAFTRELKKRA KLTRYNTVDDAVALLKEKKNIIVLTGAGISTSLGIPDFRSAGTGLYSKLAHLGLNDPQ EVFDLSVFRQDPTIFYSVAKDILPSEDRYTPTHQFIRLLQERGKLLTNYTQNIDNLES KAGILPEKLVQCHGSFATASCVKCGEKVVGDSIFAEIKAGKIPRCRKCPSGQSRSQSR KRKTANSSNSKRRRDFDRDSNSDSEFDLVSSSAGVMKPDIIFFGEPLPDEFSQRLTQH DVDRVDLVIVIGTSLKVAPVSEVVPYLPSHIPQIYISREAIGHNNFDIDLLGDCDVVV AELCRRAGWELGHEMVPEGQVVEVKAVEGWGSRWVFKEVKDGDKEDGVKDEMKEKNGE SGKGKRGKNGGGGKK QC762_408560 MAEPQDGPKEGDFASGRRRAPTINIDTTAVSPSPVTNEPEVDAN SPISPGTTPEPSTNSHKRSLSGESRPTSPHNVSNPFASFRGPNPGLLTVPGAPRPRQD SVNSDDDRSITSSIGDTTVAGASTLGEKASRGAADNDSIKNDPDALKPDQGKEADFQV NDNPFAFAPGQLNKMFDPKSLSAFYKLGGLAGIEKGLRSNRSTGLGADEATLPGRVTF EEATAHVGSADTKLAQTDSHATASGRQDSGAFSSRKRVFSDNRLPAKKGKNLLQLMWI TYNDKVLILLSVAAVISLAIGLYQTFGQEHDATNPGVEWIEGVAIIAAITIVVIVGSL NDFQKERQFAKLNKKKQDRVVRVVRSGKTVEISVFDVLVGDVMHLEPGDLIPVDGVLI EGFNVKCDESQATGESDIIKKRASDEVFAAIENGENLKKMDPFIQSGARVMEGVGTFM VTSTGVYSSYGKTLMSLNEDPEITPLQSKLNVIAESIAKLGGAIALLLFLILFIIFLV KLPRQFAPLTPAQKGQQFIDIFIMVVTIVVVAIPEGLPLAVTLALAFATTRMLKDNNL VRHLKACEVMGNATTICSDKTGTLTQNKMQVVAGTIGTSHRFGTSTIPGESPRSEKDV EAQEVMRMLSPEAKDLLLKSIALNSTAFEGDVDGEHTFIGSKTETAMLILAREHLAMG PVAELRSGSKTLHLIPFDSGRKCMGVVVQLENGKARLYVKGASEIMLEKCTQILRDPS QGLVSATLHEENRETIKHLIETYARNSLRTIGLIYRDFDKWPPKPARRVDAEKDEIVF EDICRNMVFVGMVGIKDPLRPGVPEAVRDCQRAGVVVRMVTGDNRLTAEAIARDCGIL QPNSVVLEGPEFRNMTKAQQDEIIPRLHVLARSSPEDKRILVKRLKDKGETVAVTGDG TNDAPALKTADIGFSMGIAGTEVAKEASAIILMDDNFNSIVKALKWGRAVNDAVKRFL QFQLTVNVTAVVLTFVSAIYSAVTQSHPEEKATAVLTAVQLLWVNLIMDTLAALALAT DPPQDSVLNRKPERKGSSIISPTMWKMILGQAVFQLLICFLLYFGKSSVYPGPEIIPD SQINTLVFNTFVWMQIFNQWNNRRLDNQFNIFEGLTKNWLFIGISAVMCGGQVLIIMV GGKAFRIADEGQSPTMWATAIVLGLLSIPVGVIIRLIPDEIIVALVPGVLKRKHTSKV PGINVSDDEERFTAYPAPLADIHEELAWLKRVKGGRLNNLKFAMKHPRETFLNRSPVP SREHSRSNSIHRLPQTPVREDSYGSTAPTPESRRRSRSNRSRSNSVLGAATVMTGIVA GSIAAGWSPIEKRGEPDFGQFPPKPSPLGQEIGEDDQAGRVSTDERREVEGSSSSSAQ DVPIINVPKPKSPSTPTP QC762_408550 MAESCNYYILTILLFRGPSRSSTFGVTAANEQEPTNNTKTEAES TKTEGAPPTTTTTTTLPIHPPEDAKPPVYALVNYGDTYVQPLITSALSSLLPEGSLTY LPTPSTWDANTNPDISLPSLLPFPEAKVLQIMPYESLDFDYISSHPATSLVNSYMIRK ALIRKHYLAKTVENYLVKNPDSVLKDHVRRSEAFEVDFAEFLDDALVEAWDLNESMER NAEKEEEEEREWWILKPGMSDRGQGIRLFSTMEELQGIFDGWEPESDDEGEEGGGEGG EEEGDGIMTSHLRHFIAQPYIHPPLLLPSMGNRKFHLRVYALTVGAMRVYVYRDVLAL FASKRYSFPPEMGVEGLEGHLTNTCLQGEPEYNDSVRRFWDLSVDDLPDGQKERIWEQ VRSVTGEVFEAAAREMMVHFQPLEQGFEVWGVDFLVDGKENVWLLEVNSFPDFKQTGR LTGVVEGFWMGVVDRAVRPFVTGEEGEEVKGMELVREVDLGRRF QC762_408530 MAEIMEPPYPATPSPSSRVRTPPAPHLGYSDSYEPYTPRKSSRI ANRAANRTPSPRVPSLRRQHQQHSDRPEETSEGSPKSINQKKKSTMGTPSLSPQKKRI AAMDSPRRTLTAASVSDAASALGFTKKSAGLLAPRTTVASSSTGMLITPAKTPQKPPT EESKAKVEAFARTLFRAEDEVMPSPRRARTQAHTLDSFTEQGSDESFHIHVDSHERIP EVDRSIDNPFYVEPSRAAAPSAPRRSQRQMVSVPGEGQITIEEAIQREDGLVTVFRGK KQFHLFKKRASENIEGGLESAVEAPVRRLTRASVKPRLLFPVAKPDVPAISIEDEEAE TDIEDHVVEEAAQTLPITPAEAVEKVPGTPEAPRFAPASPPTTVRATRKKATPTAASR GKQASKQATIKGWRQTKAGVSPTTTSQKRSAEPLPVAGPSKRARI QC762_408525 MPSHHRYPSSPKLPPSSDDEFSFSEVQSTTPSRPPPSSRQQSQS QYPPSKPKTPITHNAAPSSPDDPETKEVNLQRELQGVRAINTAIESIISTLERAKGNM STVSQTVTNASTLLNTWTRILSQTEHNQRLILNPNWKGASGDIADMEHEALLKQQEEE RRAREAERRREEARRKAEEEERKRAAGTVSAGSGTTRGRGLARGTTTGRGRGAGLVRS TSSAGRTTTGTGIARGGSSTSSRGTSGIGRGGFGYGRVGAPGTRGAKKET QC762_408523 MARTRSKGGPASTSADKTASTTAKAASTSTYTLPAESTNPPKLF VLPKNTSSSARIITLENPRYGNPTRYLVCPETGSFYEFTKAAPPNKSTPRSWLLSSEK SEKEPSLESQTIQSPDLYLATPYDPLFLLLPALFKSSSNSKSDNQQRQYLSLDDYLDL IPNTDRHFSEILTLPNSRIEKVLEARLASVCDLVEMGDDKMYRPNESKLSTIILSKAS KMAENLPPSMEEKFIKKALEAPVMGVVKDQPPPTTSTSSPEETASPSASESQSTFTTS SGTTPSTAVTTPATEEAPEPTFAPAITASPATISLQRLRTSLNFILSSYLPPFLSKTV LSSLPSETFTELEEYLTKLSKLRTEAAASRNIDINGGKRARDEDDDERLEKKRKMEQE EKVKKANTSRGVKQLAKVNTAGMMKLSAFFKKA QC762_408520 MAAQWPPRSPREALLSTPGGREKLRRLADRSSPSPSPSKLRNSR SQPSLGMGEQPMYDGAMDLDDDEEDEEMLQLKLQAIQAKLKLKKLQAARAHKKASSLS EPGPQNGGAVGAGVTREIPFQSRLALARERIERPPTQAPVEVPASPVRKSATMSNLDA SPKRVLLGIDKGRKATEMSLKRPASQRQLGTNNHQQTQSGSGMSNFPRRAKSPEAARP LSFNERLASARTEEAARQEQAKRVQKARSTAFSIGRQEMEEYKAKAEDLPEVPYKPDE LSRDQLLSALGRTNSTATAPTGAPTGYLRRSRTASDLRNTITTKNPNADSSDLFLGPS SVSSSFSAPNNNNNNNASDSSFEIFSSFHLSKRILPHPVLARAVSSKKIYQLKDLLKH VKAPDWSLPDVESDIVVFAILASKSDPRSHRPGPSGTQSDRGKYMVLTLVDLQFEVEL FLFNSGFDRFYKLTPGTVVAILNPSVLPPPKGREDTGRFGLVINSDEDTILEVGKARD LGYCKSVKKDGQLCNGWVNGRRTEYCEFHTNEAVRKARGGRMELNNTVGSGMDGAKKA NSREWYGKKEKDVNQGYDRFTGTKYFVSRGTADGDDGDEMGMADRVERQEALKRRLLK REKERDIARQLGSWGGGAGKDYMSRAAGKGGQGGGSFTSSTVGSSQTQPQTGSSVNVS GSFGSTVGTSFGSLDGGAVMGSQQRERRYDAAALGLTGRRGQDAPKVELGPVKRKRPV SSASSSTVSTMSASVQGVAEKAQPKVALGWGSGLTTKLGRMREGERLDGRKMEVETLI AASRAARDDKSPVRKKTRFVTEKGIREAGRDSLGEGLGVSVGSGTALPAASVLSRSRR RVMLDDDDDDDLIIVK QC762_408510 MPGQMLEGQHGSMAARQHTRPRRVPSKCVVEKGTFFPRSPGHQD KPQTGPVDSIARYFEPGFAPYTFASPFWSSIRFVLPTSALCFFLVFIYIIHVVTPLPH DTMPPLQKSYSDSNKLPSKRSQWQRSKTHPNPAPPRPALRVSSKTKTKLKAFQFDAKD CESAPESDSTVDFLKKEEIVDSIPQVAPQSSPSLDDVPKAAPKADQEDSPSERLMWAN DINPHESGISTILLYQNRKRRARSSSPVSSPITKGTTPAAGVRKTYQGLGPLRDDPTS ALWGSYNIIGTDASPSRLANPSPADLMVSSSPRPARDGMAPGSQRSLRKTLSLGRNWP KRRKLGGLDETELGTRTNASQSKSVMVEEMLKTVGGELSRSFEVQARKSSSQGSQTVD TSRSRSPSREPPASSPLAQKLSRVAPDGAPARSKTSSDYGDDDFDDDTLMELDATILP TKEVDPPREEVPSAKPQPKSAADEFDDDEFDDFDVDFLEGAENLITQIEAQHASQSQT PAQQQAQPVLDDGGGDDDDDAYGGGFDDDDFDDADFDAMELAATQGASAHALPTHPSQ KPKAIQRYLITNVLESSYVDDRGRESEEKVLWVEHEGTKTTYMVHLRGDWVDTQANPK AYVHIIGDFEPSRRCIIDNNHNIIILHPDQLISSTVIADSFTCMRRAVLQDRVKATSE ATPALVYGTILHEVFQAALMANEWTMDYLRDVIDKTLQKHLEDIYVIKVSMDDARNHL VSKMPELRSWAQAFVSAAPQANANVQGRNGEKVNMCVSKLLDVEEHVWSPMYGLKGNI DATVQVTMREGNVSQTLTVPFEVKTGKNATANHQAQTVLYNLLLSDRYDIEIAYGILY YMETSQTLRIPAIRHELRHMIMQRNALACYIRERSVQLPPMKRSKNACERCYAQTSCF IYHKLADGGNGDTSGLNEKFEAAVQHLSPRHQEFFLKWEDLLTKEEKESQKLRRELWT MVSTEREKVGRCFANVIIEEGSAFEDENQAKINRFTYTFIKESPAAGFSFMDSQLQVG EPIVVSDEQGHFALALGYVTAVRKQRISVAVDRRLHNARIRQPGFDEVDNQVFASIME VAPEGAAPAQSQGKIKEPPIRYRLDKDEFSNGMATVRNNLVQIMTNGSQGFQRIRQLV VDLIPPSFKSAPTQYSVPGKNSLNVDQKAAVEKVMSAKDYALVLGMPGTGKTTTIAHI IRALIGQGKTLLLTSYTHTAVDNILLKLKDDKIPILRLGAPAKVHPEVRDFVTLASQP MSSFDEIRRTWHGTPVVATTCLGVNHPVFNERVFDYCIVDEASQITLPICLGPIRMAR TFVLVGDHNQLPPLVQNNEARIGGLDVSLFKLLSDAHPSSVVNLEHQYRMSEDIMTLS NTLIYKGRLKCGTESLRNAELHVPHIETLKQRHHDAETFSQKPPAGTHCCPAPKPNSC WLHDLITPSTRVKFINTDLLPDSQEQAKGNRIVNPTEAKIITQLVDSLVLCGVPAEEI GVMTHYRSQLALLKYHLRGSTGGRDVEMHTADRFQGRDKSVILLSLVRSNDSGSIGEL LKDWRRINVAFTRAKVKLLVVGSKKTLLGEGSEQQQGQEGEKDMIKRFVELMVQRQWV YDLGREQFEGHYFSFVEDYLSPAKGRTPVRRSAVVKKMVEESPLKGRKLMERFVAGGL GVNRVGDRNKENVRGGVSKQPTMGRKRIGERMLTKGGPVVRDILNELMG QC762_408500 MDEYPAGSLDHSVPFLLTLGTSGDSTYQSGLSAVLKEQAILIRS ELPPLDSDQAHALLRYIQNRDASNLPCNGRDAPNKHRFHVKTAERSILLPPRRARLPD GIDMPSPTAVLHSPYSPLSPISPLYPDGLIDTQWIRKHQDLVPSVLLCFYTLTSDPTL ATLNDNKIKTDVNNIRALLSQSGYKTRLAVVLLSDKTSASGDHVQDRLESLRRGCGID AKSLFLVPPGDSKEELERMAENMLTTLYTASLDYYRDLGRHSRKKRGRGVAPQPTVPP TSGTSQTLSLAGWNVRYDFKSAVFAEFRQEMDVALRSYEQAYENLLSSELMEVIPSWS PRWNEARFLADVLAVRCLRCLLWNGQHSAAARRWQSHRERIADFLDRRGRGTGNYGWE AWESRWALLMANLMEKASIPEFAPVTHKLYLQPEKNVMGERLQPWEFLHHMGYWYRLS AKHMQARRDFAHAIPEDDRRSPSMSPASFVAKSAFAYDTYMCPDPFEEYPLHRGGFDH GRFVVERLMKARSEFLKREQVRLAAEVSLECARELGLAEEWSGIVKLLRPLWKDLPFR SEGWTTIAELLSWNLRAAAVELDDAELVVTIDWELLNQTFQKRPNWEYDITKALDQID TESKPIVSLADGQVLPFIWTSFLFREEEGKAGQNVRSQLTIKSNAHQGSTPVSLTSLY LNFSGSLDRVVIEHDDAAAVQEKRGNTSISAVPLTRDDAEAEVTDLGATNKSVILQGA ADLTLTPGQTLVFNLEIPLREPGETEAQSLVVNLDTEEFDLHHELKFHQTPKTNFWYL SGSATKRIARPNPLAIKVQPRPPKLEIKCPVWKDQYYTDEAIVLEFELTNGEDIEALA KLDATLFGENPPAFTVDIAGHESQTSSSSRSEESKLIGAPLGTIESSKTSSVQLRLPP VDRSSQYDLTLKVTYFLPTNPGTPITQTTTFQLNIVNPFEANYDLLPRVHPDPWPSLF DADGVRSAAPDDDVPLAFKGLSQSWCLLTRYASFASEPLRVVDIDVVLSSLPSVRCHA IKHNNLPSSGEGRLVAPKTIEEAAFELKAQKASLDERGPSPLDVSLVIKWSRPEVSDR INSTTLPVPRFNLFGTEPRVLATVSHMFTPHPLVVLTVYIENASNHLLTFGLTMEPSD EFAFSGPKQTSLSLLPVQRRGVEYRLVPFDDLGEVGRWVGVGLVVRDKYYQKVLRVVP GGEGVRGTKEGGVEVWIPGRGEVEGGEGKGG QC762_408490 MPCYKGIAVSIHANGAPVPEYGIQKQSRLSRINTYIPVPQPQVN PENNKPEPAKFAISITLLTPGLAIPYSAPKATESNPYPKPQYVGALPSSTGERGKFHG IVAPYIPMTQSENETIAAYIYFDGRAKEEVATLLRPGEETWVNSRWVQIPESEGGGLA EREFLFREVGLERWLNGLDLQGHDAAEKLERRRQKFEKRRRRQKKLEEEAGSSHHGSR GAALRYGADDKAPLEAVDDSLSSSDSDDEPPEATGQIKVAMFRVIASGEIKKGEYSPQ FDAHDDSEEEGKGNNNGVDADVEHTTSFAKPKTLDPKTISTQTVTGIDGPDKPFAVFT FFYRGERQLQKMGVLAPPKPQVTSPNLKRRSGQLDFSGLGPLKTSGTVGFSAFRDRDS QPARRKKSRTKSNGGMSIDADSDDDDDDEDLSEQILGKMEDVDTKYDKSKLGPEDAKF TGELADGVNRIRRAHSAEPDAASSPSKSPNTGAATPPDGTGVGSAAAGSMPTGLAAMF GKSLPDDTNIIGSPMKKARPSLGDIGELKGGLGGSGSPGTTSGAGFTSALGDILAKAE AAQASKGQTGIAAGQVAQPPPMLMEEEEEL QC762_408480 MAFDFQGIATQFVTHYYTTFDTDRKALAGLYRENSMLTFESAQA LGTANIAEKLTNLPFQKVKHHFDTADAQPTATGGIVILVTGQLLVDEEANPLKFSQAF QLVQDPQGQWFVFNDIFKLVFG QC762_408470 MTLKEEFQTRNFSIYGQWLGILSMILCFALGLSNMISSIFSLNI VIIAFSILAMVFSFVILFVEVPLLLRICPTSSSFDAAIRKISTNYMRAGAYGVMALVI WLSCISTRTSLIAAAVFLTLTGACYGLAGAKGQAFVGSKTLGGQGVAQMIV QC762_408460 MSATTAQQPPVIPPRPSRSQERAPLPMVPPRPANRRFQRSISPN PDRFAPSPLNESPLVKSKSLHPGGRTHGDPIPRSTSVDLPSLGEEGAEYANLAQESSP SNEESTSPEHTRTVGEDVKLHAPKPSLPAASAKQRVMAVTRTDSDRAAAFGIGRPSSN DDSAGALPSNRSLKKKASTTSQLSAKSDIDDEHGIPEIGIQVPMLKHAGDVQAPSPAP STVEKKHHSRRSSSRGNLPPGSYGLHGHGVGPQDKLDKAYFEKHPELLKKEHVLHHYD RVKDFSMSSEELNKIVRETITRGSGLGVKNYSGTPTDQVAWQALEESTSRVASPGPAS PKKPSSVISDSDKDKEARRPSSISDVIHVEEPNRRRSVMFSDNESPAVEEEAYEAPIL AEDEVAKDPSPYVHQPAVELPNEDQPTSRPTSRPASIYKEHSFEHRSTPLEDVEEYEP LFEDDDKVVKKEPVKEEKPKSVKKQRFPSADIWEDAPSSVYYTAEVSTPDLFEGEDKS ENAASIVPPTRDGETPAQAFARHQEELAEKEVRERGADGFIPGRSAKPLWVKHQPHLA SETKAAGRPGMHNRFPSRDVWEDTPESLQLETTVSTPQQDDSVPSPVETSSPTTAAKK PEIPARPKPKVSGDDNKPAIPERPKPAIPVRPVKAGPTSGGLEPAEAAAPPKQKPAVP ARPVGGKIAALQAGFMNDLNRRLQLGPQAPVKKEEPAEEKEEEEKKEKAPLVDARKGR ARGPQRRAPSAKQEPPKEAPKPTVIFGVSSAFTFFEIDPEEGELSVGAGHPSLGEPES PVEKTVEEQVLVEEKREETPVVEEKKEPEPVPEAKVEETKAEEPKAEEPKEEPKVEES KEELEEKPKPEAEPEKEEEPASKPGIIETVKSLVTNMAGETIVQEKVEQDEEKKTVES ATAEEK QC762_408458 MTSPTAFSDFNPSSIAEFMPFPATCIPSSSRAPVQAAPTDIYNA SFPWSSSVSPDSFPLADSGLADRSWIPLDQYNSSRAYMTDVVVSVFLIPLNMDRSSLR PTSLQKSVPHESWIDSVPFPKLRDNLIMYQDVYNTTEFYNNIANGGSQGYQDDEVDMV LCDPWGEEGWEMSEGFVRKWGPLLEGCEMLVRVTQV QC762_408455 MSTDNTITITSPDRLGPHGRYRPGRVLTLFKHRAPGPWGTHYNG EPPRRYSHVGHNHQQHPGPKSSSLTDHIATRNFNDLENEGGPHVVICYMDNKPNNTFV AKIYDGVDRPPHGNPGCDLRMKGKACYEYLDCMARVELDYATETHAVFVLFFFGEGKG LKVIVPQFNGSFTFEVETHVPEWTARWVRMVLMDHYKGAETMEEKIGRARTIDGTVGH GMLPDEKTRLDILRKVSEASHKMWWNVEIGINALGPGKVLILSDGSVKLFGFTRAFVY RWMDQDVFAHPNHRGDRAMMGLPAERLKP QC762_408450 MAQRNTVAAGEDDEFALLSHQWSQITSGLRALLRETKKGVVYLL TSRTVQRSLIWSILLLTAAVILYLVAAVVYVASYYVYLPKQVHEQEVYLHYGYGQNPV ALTSLKLLPDQPYDISVSLTLPLTPENTKRGNFMVLIHLLDTDLTEHTPAGGAGMYNP VVLMDKTTGQTDLVTSLSLGPLMKSSTVLLTSARPAIIPYTDPLVSLAKRILFLPYHV LFTQRADATMLEVKMVEGVAFGSGRGDSWLRKGQGQGEKMPRSMVVEVQAGQGLGVYE CRVEIVARLRGLRWVMYEWRILSFFGITGLFWAVEMGCLALGILLLGGIRGSDQQKQI EDWEPRQEPTLERSLIKEEDEMSDTERTFPTGTGEPPLRYESSSALEGKIKKEEGDEG LDLLMTKLPDFGEQGDDEEDGEGSDWKDSGIGTSTNYSDAAKGEAGPRRRRVSKS QC762_408440 MTADYNDGPAVALSFANNFWGKDDAGVGPLLERMANAKLTCDEL KNFYSARASIEDDYARKLLSLCRKNLGSQETGTLRQSLDIVRAEVESMGKQHQHIAQQ MKSELEEPLAAFAGGMKERRKIVQNTVEKLLKTKVQQTQMVNKTRDKYEQECLKIKGY LAQGHMVMGQEERKNKAKLEKTQISLAASNAEYEAAVRALEETTARWNREWKAAADKF QDLEEERLDFTKSSLWQFANIASTVCVSDDSSCEKIRLSLEHMEVEKDIITFITEKGT GQEIPDAPKYINFCRGDMDSQSEVSDDDNYSVAQFSRSINPAFRSSSPQPSTFESHHD PNSALARKLHGDPEPARGQAAALPQKAIAAAVPVIPQQDLKQDQRRAAPRGYQSPQAS NMDYDPNEFAPVPHDPYPMDGMTMLCRPTASDLSTAPSATSARPSSRGSHSETSFSSQ EPPQQAMAPVKQQQQQPPAQQRQPPVQQQQQQPPQQLQQQQQPQQGSESPDKKVIKKK SGFFGNNSPFRRKSTKEVAPNSRNTWHPTNNQRPQLTGAFNKSNDQVITPDRSVSPDP IAANASLALNVGGNVFQVDDTARQKQQASPATPEDDPIAMALAELKGVTSGSNKMNGS GGGGRVSADHYHGIATPAPGGRSGAMSVSGNSAVVAAMRGTPPPSYDQQVQVQRLGVP PPAVTSKAMKAASEKFQTQTRSLFDGGRPGSGGGGGGDYARSMSRPGTRGNDTVRAAS PAPTRSASPRPGSRASPSVGYGNGSASPNMFSSSTGSQHQSQQHRGSVSSQQQHRGSY SGRYEQPGRGSPSVMGDGRSASPAPYSQRPASSMSGHQNHSMALSVAGSIPTGGGGDE GSLYGGSQRGRHGRSNTAGNVRAGSSGNVVASNMSLYEGSGGNGGASRARSKSVAAGG AGGRDRQVGDPGTYTRDGRAIMHFARALYMYQAAIPEELGFAKGDVLAVLRHQDDGWW EATVQGGNGQVGLVPSNYLQPLS QC762_408430 MATQQDLQELIRLMTVTRKMPMLQAMTSIKALQAVDLRSIKQIS EASLPTVQEALKDDKVARALQNACKAVIKRNATQSSSPPSGGGVLKRGSSISSTSPVS KRAKSDVFMTGPVEMTPQELEASLELPICTDEDTIKETVIETNRAPLVLAFAVEMLRY TMPEQPLSSRLSLGQAVVSANSRSKAVSLGLDKGPSADQEGYGEGQPRVKVMGREVAV LKRSGYEWKGEERSGDEKKDGAGGSQETSATTASTLDPTPTPTATWSTSPPIIMKDSK FVARAIHLTSPSQRKYLMESLMHNHPELKSATHNAWAFRLKPPENANWLTQRTMREES FDDGETGCGDLMLKVMREAGAVDTLVVLTRWFGGTMLGPDRWRLMRNAVTSALGERMR KTGGSISLGGEALWGLDLEAMRKAQPGTTVGGYGSGKQLQPLTHVVGMHIHRPEAARS YLLRSFGKPSPPPADETATSPSKKKAVHKTAKVLEAEREENLGLLLGALRLLFDSWAD HLDVAELDRRTWAWYCAIRPEVDSGPNGWGGKGSVKLVDLLKLRRGDQS QC762_408410 MAPTTIMRPERSERPYNTRRKSLSLHSLGIHVPVTHAARAAAAA SRASSSSNNHNSSTQSKPLPKRRDSQTVKFEDTPPPSPPAAQQSIEMDEEDSKPIDMD AVNDEIVEAVIVQLQNTGNRPHLVKELAAVLMQQLKIVQQSANPCAIISSRLATYLKR SGWSAQSPCPLAKELESVHPRRTYFYLTTCPHQPLPDPAQAATLSHLAHSRTIISPSL SSGASNSEDADSDAIRRRELSPSPEVDLSSPEFDDMDDEMPSTPIGSYSLPGFCLPPT SRFIAHHSNGRSSSRRAASPPLEKDEKEFTQTATGLQKRKLNGDLLRANPPTIVEPLP VLDLEKEEGSLFGGNNTTSNNNATNLSFVSSPAIRSTMYFPVSRKDLETESWNKWDSM LEWDRSPENIELDELDGLLSDY QC762_408400 MTITGDLSTRDFKDIERKGGPCVVTCYSDDDLTIKRMAQIFDAL DNPLMGDPNCDLRPRETCVECMDCTARADLDYAMEAAVYEGFSAPGNEKFKHIVPTYH GSWTFALPTHQAGKPRWVRMVLMEHCEGEVMDKIMGKQVIPKDDDDDDDYREPNNKYT LDYGKLPPEADRLSIMKQIIETEIRMMWNVEISITPCNARLQTENIFVCNDGSVKLIT FREAKVYRYLDETEDHPNQIYDGRWDLGVPAAEMRPMKSPIERYWSKCFLEPFGRWLP EAWEKNEELANEWLIDTFGS QC762_408390 MAPKEITITSFSTRDVRFPTSLDKTGSDAMNTAGDYSAAYCILE TDSDLTGHGMTFTIGRGNDLVCAAISHLAPRILHTPLSSLVGTNFGATWRHLVNDSQL RWIGPEKGVIHLALGAVVNALWDLWAKTLNKPVWRVVADMTPEEFVACIDFRYIIDAI TPEEALSLLQSMQEGKPERIAQAERNEAVPAYTTSAGWLGYDESKMRRLLRETVAQGY RHFKLKVGGSLQEDIKRLTIARQVLDSSPTPGEKILMVDANQIWSVPEAIEYMKSLAG FKPWFIEEPTSPDDVLGHLAIRTALKPYGIKVATGEMCQNRVMFKQFLMSGAIDVCQI DACRLGGVNEVLAVMLMAKKFGVPIVPHSGGVGLPEYTQHLSTIDYVVVSGQKSVLEY VDHLHEHFVWPSVIEKGYYVTPTQPGYSVQMRGESMERFGGILEGEKI QC762_408380 MSSKTFNLSKPDRTVQVGVILLGGLTEFLDVAPVDLFNSLTPRF LQTLSSAFLPVHLLQQAIDMEFHWVTETGKTPSVSNLTARTTIVPTDSFATCPVLDIV LIGAYHAGYNPTEAELAYVRKAYDNCSAFMTICGGIEVPLRAGILEGKTATGPRFMLD ILRQLAPGTNWIEKRWASDGKLWTSGALLNGTDMVAAFQREVWGGKLLTEEDSLVEFM ARVGGVPVRDVDYKDVDWKL QC762_408370 MFAVPIEEFRKIRENRRKLATSTKSSTPPPPPSLDNVSTDIELQ LPASAIIDDAQGPDAHKPLINPRLISDATIGLSDGLTVPFALTAGLTALGDTRTVIYG GLAELIAGAISMGLGGYLGARGELAAYEESHSRLMTLLQEPGPPTKSAITAGQDAVIE ALQQALFPLQVHRLDLKHQLIEGESEEWVGLLLRLQGISLPSSCTDNDCTKSHDQKAE EKRRRRRQRDDSRTRAMQSAVTIAAGYFLGGLLPLIPYFFVAGHIGKLMAGLYISIGV MGVALFTFGYVKTAVVVGFGRQHAKKSVLGGVQMVIVGGMAAGAAMGLVKVFEGVGTF GS QC762_0067940 MSDKITSSTSSAPSNNPSSSSNINPQQTSNVPTGAGVNTGAGVT RPKTEAEKEADRKYEEAMEEEYAKREGGA QC762_408360 MVPHSKKGTFTPNPNSASQPLHTGLAHSQSPSHHHGALSSGANP STSSPTGSSSLAKIAVAQVLLLLSTIKEDKGDPRRWKSQTDALQKLIHEHGMDVYSRY FTRLVASNASQIFPGAGRPVANSNNNNMQLLVAEMELLARDFSQARKIAESIETGTED IFHNFDLSTFMEHFQLDALEKTLLALAFKLGSRADLKTKADAVLSTNYPTFVNILSRP TGEHMDMPAEFVAELLDRFIQLHPPNFNAAAKKELEYKVSMRYSQGGDGKPPPSQVLA ALDLIRLLADRPPNALALYIYRVGSAFTKDEETCVSYLQNRPSNIQLSEEQVSAALLY TTISQTPPHKPSILVAALRRILPDSFQWQDVVSYFDHANARVTSAQFLRLYNALLPIA LEHLDRFNIQRLWGGEWENPETQLSFICAYASLTPEQLDATTIPGLKPTFTVDEYVQS DRAIQEIAALAVRHPLVSEAALSAVFNVALHSMHASQSTEAKRLFQDVVVPNLHVFVT SAFGVPKPWPTMAEETLASLFEGFLINKTPTSDFVMESLWRRDKVWVMQRLEEQHALK PIVLPTIFEFAVRHKWLQELVFLPTGFGLDLVAYAHAQGFLNLEQWASQNAERPGEMA RAINQFLLIKTNLEQTYQRSGDNGQAHTPLQVKTVYMMLDILDRFNLKAPVVDLIHVQ RHCITAYPRLINYGEGYDDIIDDNGRGGNMLAPAATTRMEEHYKKMYGDELQVRSVVE VLEHYKHSRVPLEQDIFACMIHGLFDEYAHYVDYPLEALATTAVLFGGIISHKLISRL PLKIGLGMILQAVKENRPEEPMYKFGLQALMQLFGRLREWPAFCKDLILIPGLQGTEA YRKAEEVVREHDEELARTLRNGLPAIAGSDKQLTNGGLEDSLSSEQHPPPFLSINVGP PPAGINYEDPTPDAQGKIQFALNNATDTTLQSIFKELQKMLDVKHQQWFASHLVEERA KMQPNYHHVYLELVKQFEDRLLWTEVLRETYVSVQRMLNSEQTQSNSTERTHLKNLGG WLGLLTLARDKPIKHSNIAFRQLLIEALDTKRLIVVIPFVCKVLTQAASSNVFKPPNP WLMDIIHLLIELYHNAELKLNLKFEIEVLCKCLDLDHEAIEPSGEILNRPPLEDVGDL VGPDNLDSFESLSLNGMTGVNSSLVSHPALAIPDLGPNLSVPSTEVVSAAKLHDIVRQ ALTRALQEIIQPVVDRSVTIAAISTQQMIRKDFATEGDPDRVRMAAVNMVKSTAGSLA LVTSKEPLRANFTNYLRQLASELPQGLPEGIIMLCVNSNMELASSIIEKSAEERGVPE IEDLLAEDLEARRHHRINRPNDPFMDPRLNRWAWTIPAPFKLQPSLSGLNDEQMAIYD DFARQSRVTAAAAAAAAAAAAASVTPSHVPSSSDARSIANEVLGDQYNSVSTIPTPAE TPSLPHMGVQPQHYPPVHAGMVNGRQPGISPVDARNVAERVNSLLEKLATAVSNTAEE HFEDLPRTHEVINIHDAVVQLTIKTQQTSDEFAVYVATQISGLLFRPQPEPTSLLLET LVHVLETLRKVAGPATSQQIRWLFYQQSGSTFLNLPLILALLRTDLIDWHSIDAAMAK ALNERSSGSIEFLESLMDLTLFSEAPVNLYTDFVQSLEEAWAWITEEPEVPGGQRFKA KVLGPSPEIHPDRTPEAQFEQFDYIFEEWVQLCNNDCASEKAATKFVQQLNWRRHIVT KEDFFVFARQAIDKSVDRAEQSGHLGEGFSNESFQAVDALVKMILIFTQSHDAPGTSE SSRVAFLDSVLALGVLVLNNHHVRRGDAFNPRVFFRFFSNLFHQIMNLTERFSDSESE KIVLNFAARLWDLRPVVYPGFLFQWMGLLAHRAFLPAVMRMPNQAGWEPFTKLLTHLF SYLGNMLKAFEVSLAAKDLYSGTVKLVAVLFHDFPEYLSANHVQLCQCLPSHATQLIN MILSASTTPFDKVPDPFRPGLKIDRIPEMKDPVVSLYDCAGQLKELGLYDILEQSLQN GPSEDAIAQITHAMHHADTDLTTFGFVPVNVDRRVVDAVVSHIANAASQRAVNNSDAP VFVSGAPDVKTLHMLVTEVPAETRYYLLSSMVNELRFANASTNYFSHAIVEIFGHDLD DPEETEIRQQIVRVLLERMVGYWPQPWGLVVTILELLKNEKFHFFELPFIKAAPEVAA RFEAILRPVVA QC762_408350 MDGNTGANNPTDKADIDRGAEKRQEKDEAEEVIALEVLPTRIQF KDSLPSRSSREFTERGRSISKGRSPSPPCINLGAGFAEYRGDVGGHGYDETEVDIIAV PCPGADPIQTWIYDSDSSSAESNYHVEVGSHITRSSQSTRSSQSSLRRSSPWVTLRLR ERVNIARVFLYRHRHLEEGMNLKSLANDLLEQVQDIRKGSSRPLFFIAHSIGGLVVKS ALVRASQKTKYQDIMDDCHGVTFFGTPHRGSSYMSMPNLKDSIQDLLQLESPLPRSLT DEILVNNPKLKQLHEQFVDIASELRLWSFYETRECLLSGSGAQFTNEVQFTAPLVSVK SAILEIWQEDIFGVESDHAHLAAFGPDNEEILHSYLGDLAGAVLKAAELSRDHVHHPL YLKSHVQVEVIGFYEDPDGWMAATQQYAGQGSDASAESGSIIRLYATKYPYKDFLKKG PEKCLSERLHDRSQRKRRRVRSPNRAGGRTDLRPPQLPQETTADANVLGITQGQLDVG HQGGAGIGPEIIISGGSPVALERPALLRVPAQTMPELRPPSPESLASVSTTASDPLFI QRKDFAGDGPLTVDLLARQQAEIMLKEHELGASAGFSRPNPQLKKFTWIHMPFNNPVW VKEIFNVLSDIQGHDFSKLFDYDNWQSKHIQNRHSESQPAFLKPTCKYLTNTGITSPR PTPLVGPSSSFLTPNCLFVYMPYLHFDTYRSMIRRRKIIRERRERGRAKPVPKHVADE DSLELKMIWEYIGFDPPLNCRRTLDQFGHHSLRDTNSRDDDQMLYKLTKKDAFSLTKQ LNGVATKSQAGPVGSSMYSNKGSNGSNAGSHNDDDEYEEETEAELRDGYVLMVDQLWL WSIDKTTLTTFFPRRYSTPTEGTLFHQADLRNSVYNELNGDLTGRTENALDLAALIVY HAVIVFLDRSTHPDLEIFRLFDEAIGMLAERMTLNMKQFRLQSLSMDDDEDDEDTDYS DWEGESPASIKKRHRKELERSERENRENTSALLELADLKDELTVLQTLFKNQESTVKQ MKDFYEIHCKETRKNWQEPLDDALEYLDDFKGTVNEMIERVNTTRNDYEKMLEMVQRQ AQVDEVRWSRLQAELASSQNLSVMIFTTFTVIFLPLTFFTGLFGMNVTNWQEEHMPDL EQVGWISLPTSILLIIFSLVAAFSWRVQRGFKGIYKIIRGGYKEVKKGYTQKLEPMWR KEKKRRRRQEKKRKYVEKQTAWDKDGMYDFWDKVKENQRKIRYQIPEQNRRTLRG QC762_408340 MSASSRLTSTLCRRAAATATPPSLTATSTTRVQTPQLARGIRGI SSTTPSRLRPTTLSRTTNLLQRTAATLPTLPSTRLYSSTSAEEDSFDPASIDRESDEV DVCIIGGGPAGLSAAIRLKQLANAAGNDDFRVLLLEKAGEIGAHILSGAVIQPTAIDE LIPDWLSEDNENRFTGATPAGKDSMSFLTKKWAIPCPTPPQMHNDGNYIVSLNEFTKW LGERAEEVGVEVYPGFAASEVLYKADGSVKGVATNDLGIGRNGKPKDSFERGMEFHAR VTLFGEGCHGSLTKQVIKKFDLRSESQPQTYGLGIKEVWEVKPEKFDKGKIVHSMGYP LPMDTYGGAWMYHFGDNLVSVGQVVALDYNNPWLSPYGEFQKLKQHPLYRSVLEGGKC ISYGARALVEGGFQSIPKVAFPGGALIGDTAGFVNVPKVKGTHNAMKSGMLAAEAAWN ALQVPDNNSIFLYDYEDALRKSSIWKELKEVRNMRPSFHSPLGIYGGILYSGLEAFVL KGRVPWTLKHKTQDHAATKDAKDCSKIEYPKPDGEITFDILTSVSRTGTNHEEDQPVH LQVKDWEKHTRETWPRWKGLENRFCPAGVYEYVEDEGKEEGVRFQINAQNCIHCKTCD IKAPRQDINWQVPQGGEGPKYYMT QC762_408330 MDFPPNKTDELERRLSTRNSLRISVISADEDPELAAMGMVADGF RPVNSGPEIQPPSTPSLASGSSTLLGDGSPESARIGRSPSISKPPRPRDASSTRQHGG PEQADESSSLSRQLSGSTESTAYLAPESPYRGPSGPSHPYQMYPQNVRMARTMSTTTT SSTLPASELSYTGPRGPSHPYGLYPQSDGVETGAMPDTVPLGFHGLPDQYRRRVGADG EEAGDIIGPDGHTEQLPPYTRYPDEAYARKVAAADASTPTGVAQATTNTPNTAAAAVT APPSITTSGSTIVGAGGLGLATRNPEFDSTDDLGSPQSRHSSRSFTSDSTNRVIKPYE EVVNEKGQSPKGWKLWMRRKLCGIVPYWVICLTFLILLVVGAILGSVIGTFLSKQKRP MRKDGTWSPFNSPAPVPTFGAVPIPKPADLQPLPVGTFSMPLTTNRVSNTCFQDPTLS QAWNCFLVIAGLHLTIAENHGEHSMMLNFNHSFTLMNNVYSYGEQPPLVEKPVTLTLV NDTFEPTRGPAFYNMLTYDKTVILPEAALSPTTPSVTRRNIRNLAGMTDFKRKNIAKV GDKPWVCRWPDTYLEMFIYPHQNSSWSGIPPLSAGGPGGGPGSPGRGPYQSFLTSTTT TFFESSSATLLTQTSPPGETPPPQTSTLPAPIGGENSNNQPPAPEGNQSPPPPPPPPS EGPSPTNTNKPKGYWPEPRDSKTLEFPPFPTSTGGFGSGSGWGPIDENFTPPPPGYPR VIKLAERRVPTITTGTAAARVPECTQMEITGYAQEAKVVVDGKGKAVTIRIVENEQTV VGPFGGAGRPGGQKRRRKREGEERAGREGGGTEEGVFTQQGGGPPAADMSPCGCMWFV T QC762_0067990 MEKIWGRHCNLQAAERAISRSIGIRLDDLSLWVVFPSWTQHRTV WDKRGGWSDLCYGEMKCERNVWHCAKPREEIRRDDIVPVYAVVRAAGAEHLMQSVRSV DMRRPQERRQEVAGGCYN QC762_408320 MPRSSSSSGSSAGSSSSSRRMKQRMLPKTPAVNTLIWFTGRDPR KVKGVRAYYYDDDFETRSNGSYSSMWSSWTSNRSNVEYYLVESKGLYYAEYPEQSKNT KSRPSGRVSQPSATAAWARNASVRDANGDDDSDSDDGSSSDSSESEYGHQQPGPFAQP PGPMRMGMSNGGPPPTGRPPMMSGPPPMGGPPGPPPGGFPPGFAGMPRPSPHPQMPPP GHGMPPPQGFRPPMGMGMPPPPPGAGHPGPFPGGH QC762_408310 MAASHTKHTKHLSSQTWTNGCSNGVCWDTAVSLMPCGYYQSMYI PACYTSGRVALFRSEDNSSPEFGKTANERFATRQGRETQDKRKLQENVFKCEGVEHVN GVINNKFVVGGVELKVPILATGS QC762_408300 MNETLIPPGIGGESAALSPIAMLDYLFPGFTLLANFLQSHLGIN LNLYIPVIMSFAFVAAAWRYLSSYLNDLMESYLMSVVDVRTDDEIYNMLMGWVAQQTF SQGARRFVANTNLNSRMYWWMWGSSDDDDEDDGAEIDESGCVVTKKKKKALAYTPTFG SHWFFYKRRLLIFKRQQPATQSPFYTTSEREEISISCFGRNPWVLKELLNEARSMYLK RDEAKTLIYRGALKGTGLEPTWQRCMARTSRPFSTVILNEDVKKKLIDDVTDYLNPAT RRWYANRGIPYRRGYLLWGPPGTGKSSLSLALAGFFKMRIYIVSLSSMTATEENLASL FAELPRRCVVLLEDIDTAGLTHTRDPASQPDSSSPGGEPPVLLAGPPVPDPKGKPTSL PGRLSLSGLLNILDGVASQEGRVLIMTTNHLEKLDKALIRPGRVDMQVKFDKADTSMI AAIFRAIYAPLEEDTAPAPLSSSQSPALAALEKRLNPRSDASRKEKDEKKQEVLNKVD ALAKEFASKIPTMEFSPAEIQGFLLKNKRNPEKAVEGVEEWLVVARKEQKEREVEQAK KKEEEAKKAAKKAAKKAKKKAAKRKARGKKRRGDDTTESEDGTDSESGSEAEESDSEG SESEEEKTKKKNKEGKRTEKKKKGKEKEKKLAVEVRVDTPPPSGSEADKGAVPELKLD EKPAAAVVVAAPPAKAMTIDTKKANEEEVERAQVSGDSGYGATAAERDAAAPVEVVA QC762_408290 MASRPQNIGIKAIEIYFPSQYVEQTELEKFDGVSTGKYTIGLGQ TKMSFCDDREDIYSFSLTVVSNLLKKYNIDTNSIGRLEVGTETILDKSKSVKSVLMQL FGDNTNIEGVDTVNACYGGTNAVFNSVNWIESSAWDGRDAIVVAGDIALYAKGNARPT GGAGAVALLIGPDAPIVFEPGMRGSYMQHAYDFYKPDLTSEYPYVDGHYSINCYSEAL DGAYRAYCKREAQLTKGVNGHANGNANGVTDDILKTPLDRFDYMAFHAPTCKLVQKSY ARLLYHDYLADPEHKAFAEVPADIRDMDYKKSLTDKVVEKTFMTLTKKRFQERVNPAI QVATLCGNMYCASVWGGLASLIGHVDSANLQGKRIALFSYGSGLAASFFSFRINGSTE TISKTLDIPQRLVARRAVPPETYDSMCDLRKKAHLQKDYVPTGEVSTIAPGTYYLEKV DDMFKRFYAVKE QC762_408280 MASSFLIKNHTIESQHIREYPHATAHSQEEPLLLAVKQYIPLNN LTPSPGDVSIIAAHANGFPKELYEPLWEDLLSLLNSRGVQIRGIWIADVTHQGQSGIL NEANLGNDPSWIDHTRDLLHLTNHFRHSLPRPLIGVGHSFGANIIVNLSLLHPRLLSS LILLDPVLSRFQSKGPKYGFAPMKASAFRRDIWPSLAAAKSAFQSNPFYRTWDPRVFN SWLEHGLRPTPTRIYPDAPAGSVTLLTTKHMESFTYYRPIRQKLMGGGKHELDWDLIP DADEVVHKNPDFPFYRPEGGPATANKLPHLRPGCIWIFGSESNVNPPDVRQEKLDLTG VGPGGSGGAKNGRVKAVTIEGYGHLVPMERTTEVATYAADFLVEDLEHWRREQEEFER WAKKRDEEKWVISEEFEGWMGGRPVRKPKKEGGDKSKL QC762_408270 MPRPQDPHLYGQPPPKKQKKSSPTDLSGSLAFTSQLTSLLASSS STPSTGRPRPSKSKTEDLFKSVKIKRKDRPKDQDEKLTLKSPTTTTSSSSAELEDLAR SRQRLESKSRLYAAMQRGDYIGKEYGLVDFDRKWAESNPGPPEDLSSSSSSEPEEEEE EATIEYTDTYGRTRLLTPSQKAALDRAAASEIDLEKMAGRPVAVPSDLIFGDTIQARA FEETNQMEELARKRDRSATPPPETHYDANWEIRTKGVGFYKFSQDGETRQKEMEGLEE ERKRTEREREGREREKLRRREEMERRRREIEGRRREMGVKKAEREASRFLEELGDVLG GGGGDDGSSSVTEKKKEAEKEGQV QC762_0068070 MAGLLEVLAWIYYAMTEPSWIPSQFHPFLHGISGFFMTLGSLFI VPIICLVIYDITLYVFRLTGSACETFTNALRARQATQPQQSKLPINGNGSTPNGHAPS EKTQ QC762_408260 MAAAKQVNIAIIGAGGVGKCFLGQLETLAQRRPSPKLVLTYIST SKKAIYNSDYAAIAINDVSSKLASSSQAPLAPPKLIEYLKSSGSKTVLVDNTSSQDVA DAYPLFLGNGISIVTPNKKAFSGSYKLWQEIFSAAEQSGAKVYHESSVGAGLPVISTL NDLVNTGDEVTKIEGVFSGTMSFLFNSFAPTSGKGGQWSAEVRKAKELGYTEPDPRDD LNGLDVARKLTILARLAGLEVESPTSFPVQSLIPKELESVATGDEFLEKLPAFDSQME ETKAAAEKEGKVVRFVGSIDVASKQVKVGLEKFDLSHPIAALKGSDNIISFYTKRYGS NPLIIQGAGAGGEVTAMGVTSDLIKVLSQVA QC762_408250 MAAKKNKKQKREDYRAAMKQDDVLTMPRKKFYRQRAHANPFSDH QLVYPPHPDQMDWSTLYPAYVAQEESQPEATPSSTTEDLSAPVKVKKLTQDVEVADIG CGFGGLLIALAPVMPQTLVLGLEIRVSVTQFVEDRIKVLRRQNEESKAYQNVSVLRAN TMKFLPNFFRQGQLSKIFICFPDPHFKARKHKQRIVSTTLNSEYAYAVRPGGVVYTIT DVPDLHGWMVQHFEAHPMFERVGVEEQEGDPCVEIMRNATEEGKKVERNKGEKFVALF RRLEDPVF QC762_408220 MAALYYGIGKKKQLALAATASFIAWGYAVDLLPALRWAGYAFIA GITLSLAALLALTVLTSRSGYQLRKLRSITSRPNGALFTGRENWRREVAALRQRQGYE KASLCPDSPKVAAAVDEVLGFVIRDFIRVWYGVISSNPVFENEVDRAIRGALLRVRDR LAEVDLAGVVTMRLVPILTAHLRDFAEAEKSVRGRKLNRSVTETEELDLAIASKYREG RLHPAVGLGFSDVKTAQQDYLRGLMGRVLPKVLGREVLGSRAVGIVVREIVACAVLGP VMGLLAEPDTWNQLMEGYGRSMLQDRSTVRKLRAALDQHASPAPKTGGKQVVAFPRLG VGDSERRFEKFVRAIRKVNNLSDARRFRSEVASQLKRDSQQEGVDQVYMRRLEMGKRL LDQKVQHLATPGDRRGFQPQQGGSNVVPSDSKLEKASLTDLLRDPSGLSYFMEYMDRQ RLMPLVQFWLVVDGFRNPLEDDGLEGEQLPLQLPPWTESDRLDLAQIDAAYLSRPELK VPDSSKRIISDFLKAGKRATPEQYYRARREILRAQSAVLEEMRVKHFQNFRKSEIFYK ALAAEEASRRTTASVPTTALPRAASYAAPSSSSSSSSKPHPVSRLAPRLQTTNNPQNN NRRAGSATDLRAISFNSNGGSDLGPLISSVPDLRDIRRSGSLDEGRGSYSPNPLFDDE VGGGTGDNDPMADSIASLDQDSGSNNNVPDTKVVQAMERALNNILEDSQQTPLKVEDL HGGLFGDEDADNGNMDGGLFGTTSTTAAGKQRAPSDDRSAANKRGSMDLATRSSRGSL DTTNTTTTTTTTTITTNNNNNNNNKMEKPSLASLGLVSAASRIGVFVDDDLFGDENRF LSDEPSDIDEAKDSEDDVAAVHLAAPGDLGLAEAITSLTNEIDKLVTQEAVVDSLLRK AELTNNTAELRILRKSKASLQRELRRKELQRRQYVIQESDNSLYGRSTVRILREIEVG RDEEGKEFAVYAVEVSRNAGEKMPAARWVVKRRYSEFLELHQKLRGGYPSVRGLEFPR RRMVMKLEQGFLQKRRAGLERYLSELLLLPDVCRSRDLRAFLSQRVIDGGSNGEGSRK DMISRLYDSVADGMEDILGSIPVLDQLSLAGQNLIAAATSQLSQLPLNDETVSGTGVV LNAAEAEAELNAFENGTGAGKELEPFVKPICDIFLEVFELNKGNNWLRGRAVVVVLHQ LLGGTIERKLRDNVKMLVGEEQILRYVDMVKGVMWPGGEMVRDKVPRTKQEKLKTRTE ASLMLATLVPDLAGSVVGRLNAQAASRRVFATLNNGRLNAHLAFTFLDEIIDILFEGA QC762_0068110 MTEVSATRLYLGNLPRNATKADIEAHFSTHGTGDIAEIKLMNGF GFIEYKDPMDARDVVPAFRMFTITPPTDPRTAVANDDNVQDGSTFLGERLTVQFARGN RHRENNGGGGGGGGFNNDRSSAPRPRRTPHRLQISGLPPDTSWQVCYLSPAPPLDTFG PGRKFWP QC762_0068120 MQVTNATCRFVEFETAADLRTAVEKLNERDFKGVRVTCTANTQA DIPRGDRGRSMSPRRGPGRMNDYDRRGPPRAYSPHRNGDYRPDYRDRSPVPRRDYYED RARPYRSPPRRGPPMDDYPPARRYDDPYRGPPRDYPPPDPYMNGGGRPYDRPPPPRDF APRDPYVREPYPREYDRRY QC762_408200 MKRKLNQDDEPPAADAAAVAEPEKKKEKKEKKEKKAVEEEKELS FAELGLDPRLVQAVAKLGFEKPTLVQRRAIPLALKGEDVLCKAKTGSGKTAAYVLPVL QGILGRKKTDNTPTTAGLILVPTRELADQVHKAIEEFSAFCAKDVTAAKLTENVSDAV QRSLLANVPDVVVSTPARAWKSVDSGALSVANLKYLVLDEADLVLSYGYDEDMENLAR SMPKGVQTTMMSATLLSAELDTLKGIFCRNPTLLDLKEEFGEEDEKLTQYYVRTGEDD KWLISYLIFKLQLIKGPCLIFVADIDRSYRLKLFFEQFSIRSCILNSELPVNTRIKVI EEFNKGIYDIIIASDERSEVFGDEKEEETKEEGEGEEKKGKKKGGRKGKRDEEYGVSR GIDFKNVAAVVNFDLPTSASSYTHRIGRTARAGRTGIAMSFVVPKELFGKHKPTSIKS CEKDEKVLAKIVRAQGKMNRKLEPYNFSKEQMEAFRYRMNDALRAVTKVAIREARTRE LRQELLRSETLKRYFEENPAELSHLRHDGELGHKARQQAHLKHVPDYLLPKEAKKEIT KGSVGFVPFKKVDKDKKHKGKFGAKGKGRSFKVGGGRKGDPLKTFKVRRKK QC762_408180 MARKEDGLTSPTTQSTADEKEVLTPNNDAMNATGTGWRKLSEGD ERRGAASGEEMQIELNETVATTRDTAKKADAGSGGASVSAAEMVEYKVYRRRWFGLVQ LTLLNVIVSWDWLTFSPVASHAARYFSTDENTINWLSTAFLFAFTAITPLVIYVLHLG PKPSIVTSATLILVGNWIRYAGCRSGEKGLFGVVMFGQILTGLAQPFVLAAPTRYSDL WFTNRGRVAATALTSLANPFGAALGQLIIPFWVEGPGDVSRMVLYVSVISTVCALPAF FIPARPPTPVAPSSQTPKLSLISSAKFLLRQTEFYLLFVPFAIYVGLFNSISSLLNQV LLPHGYNDEEAGVAGALLIVVGLVASAITSPLIDKYKSYLLAIRFAVPIVGLCYLVFI WMPGTRDSGGVAGPYVVMAAMGAASFSLVPVVVEFLVELTHPISPEVTSTLAWSGGQV LGGIFIVVSGALKGEGGKMERALVFHAVLALVAVPLPLCLGCFGRGEKLVLRRVRSDE VEGRRGAEA QC762_0068150 MLLCPCFFVRTTADAGGCFLMYTECRNSKECAPAKHHFDECVER VTAAQSEEGGAKEDCVEEFFHLAHCATACAAPKLWSVLK QC762_0068160 MGFWDTITDLVEAATPWSVAEAEAPAHETTEETTAETTQEDTKT KAEAAAAEEEPAAEEAAEEEDEEEEEEEEEEELQDPKDVFEEGGYIAAATPVAVAAYA VTNKSPHTTTTTQTCTPRSRQQQRAIVVEEEEQEMWRAAMSLCELSGQAWARSGRPTR QC762_408170 MSINSKPVPRQPHIQYTFFSSRLMDQALAEQHQWTILRYSRSND EDSWVMLTRDGEIVPIPGEKILHTSRPRVSLEITTPKELNIANPYTLKVDNGIAYITN ERVIYLPIRPSENFKSFFAPALNFTDTHVQSSWIGPWSWGGTVRPVPGGGIPMHIPRI EVKFVFRDGGHSDFQNKYEWLKERLHHAREMGLNPGSNLEPPPPYEDNAPGPSSGPAG SAPAGTSDQPQPPPPVPDEPPPDYVEAQTQAISTQYEERVRQDAERQ QC762_408160 MVPPPLDNNNNNSSSRGEAYDAAAYPSSQHHHHHQRNKSSVFRS FISGNSNSHSTPTPPVHGHPHHKRNNSDGTTTLPPPNIATNPDFYASEQNLAAINRAG VYHVGWEPPTSPVMPPTNPISTSRYPPITRHGYALEELVQNRQDNTRSPAAERPPPVP RGRFDPQYTSNNPPPSSPTKLSSFSTLKALGTSKPPKSSSSPVKPKKAKSATNLGGLL LRPKSYKNLKNGEDESGQGKDKENRSPGGVESPPPIYAQFASGGLSTSPPVELPIRNS SSGTHSVIPGGGDKGGGKGERGGDGVSRPPITTRPGGMGVRPRPKSFTSYVTGSGLRD KSRERGEEERGREREKGVGISFKRLTWGRGGDKNKDSGGVVVGERPPAGLNNHLGGGS GSSNRSKSTTRVPNGGSGGRPRGRAGSNTADLPAIEPQDIDRHLEAMLDRRNIPENQR YKMRNLSDTVKMEFIRQDWAEMVAKKNEEVGMEGRQSVNLARGSMDVGARGRPTEREL DEAAAGDKSTKKKKHGRGLSLTLGRGRWEGDGSGGGGGGGGGEKSPTKKKGDSSLGRH FRGKSSSESLVGGRVGGGTAGEGGWAAADGRGLYTGFIAKVKGQQLPGDFVSYLRKVQ KPELVEVGKLHKLRLLLRNETVAWIEEFIRQCGMEEIVDLLKRIMALEWREEHEDALL HEVLLCLKALCTTSLALKYLHTIHATLFPSLLHLIFDPEKKGPSEFTTRNIITSILFT YIQHAPSPGEKITRASTVLSFLRDPDPIKTSQTIEFIAQMQKPRPYRVWNKEVVNVTK EVFWIFLHNLNIVALPPPRDETSPEIVFTHEGYMERHFPQERPPVPAAPYVGGVEWDA TNYLASHLDLLNAIIACTGPTREERNQLREELRVSGWEKAMGGTMRMCKEKFYGGVHD GLRTWVKAAVEDGWDVRDVRFGPPVEAKSRGASPAKKQQPPVQPPRLEMPKFDFGPLP QVVPAVVGDGGSGSQGVGLGINTSVAHVGNGGGGGGGGMTPKIGTPRVGGVVKADDFW LS QC762_408150 MASGIKSLINSAKALYIGNSGSKAELPDLFPVVDKEIDGEDCDR DCSNCVVQYPKSFKIDETDALYGFVKGWSTHVLVATGKSDWVRDVADEKGSIMQAISH AKAPSNGRLMVSASNIPTPHRTTSYSEPTTVLVLPAFAVVENVTPATVPTLVSIINDS PTNTSPLEPISIPPSMTAHLPEPTPAMLKDITTRPSPHRALILLCSQKTRDARCGQSA PLLRKEFQRHLAPLGLYRDLDDERPGGVGIYFISHVGGHKYSANVMIYRRPDAFGLDE VELGKLTEEERQRVVPIKPQEGEDDLGAAQCIWLARVKPEDCEGIVKFTVLQGKVVKP QSQLRGGFDRGRGLMSW QC762_408140 MEQLKGGLLVASPARGHTGPKLRKKLRKTVSKQRSTVSWRLGFS SSASTKNDQSETADKPPVLSLPSPDLSDPKWSEFFKNGGCFYPQDESVKPAQTSQTSV SLESSKPSQSPKASKPSLSEPSKALQPAESLDTLHEGQIVPELSHLVISDSDAQKRMS MCSNSEAPASPRTAMRRRAKTPIFSIGQLEGIPRPSNALARASTIELIAEQYRALLES DNAAREASHSESHSEPPPSRQERRLSIRRRQSSDHLRDESRAPRPVDTASSSPISDDG TLVSFEEETVYFKPVSFSPEPSPRPPPGSIANSPAPDNLSLQICLDLLTRDLASALAS RPSRTHSETSALQVWVMIEAYERLRDQLGSAGLGCEEYGALEGMLNFWLRALYSIHDN LTGGDRYSESDYGEEL QC762_408130 MDDDFGADAEFLDALASSADAITSLNNNKTNKQPLPPPPLPSPP QQQRPPGPPAFLPPKIQQPTPQRVEKPPPPQRHASTASGPPKIVQPTPQPLPSRASGS GSSILVSPRQKGNPVLACIKSIPWEYSDIPADYALGATTCALFLSLKYHRLHPEYIYT RIRLLQQRFLLRILLVLVDIPNHEDSLRELSKTSLVNNVTVILCWSAAEAGRYLELYK SYEHASAAGIKGQQATGYAESLVEFVTVPRVVNKADAVALVGTFGSLRGAVNADGETL GTVGGGGRGRLRLGGGRWRPVQGRKREGGKGVLRGRFLSGGAEWWWCWKGTQGVRGGR GGGVRKTSGGR QC762_408120 MRIPCGVAAFLAASHSVVVVVVVAAAEAPQQRQHMAWPSAEPAS ANQKLPSYQNPKYHPDRKRANAVKQAFRISWDGYYKHAFPHDSLRPVSNSFEDDRNGW GASAVDAFSTALIMGEHKIIDQILRYIPDINFNHTDSEVSLFETTIRYLGGLLSAYDL LTGPLKPRFDYSTHQTSLILHQAVRLADNLKVAFDTPTGIPDNDLFFSPPRKKGSTSN GLATAGTLVLEWTRLSDLTGDPQYARLAQKAEKYLLHPKNPAMGEPFPGLLGSSLNLD TGLFEDGAGGWGGGTDSFYEYLIKMYLYDPSRFSVYRDRWVLAADSSIRYLISHPTTR PDLTFLAMWRNRTLHYFSEHLACFSGGNFILGGLTLDSPAYLGLGLDLVAGCRATYTG TLTGIGPEIFQWQDNTAPLNASNNSPPPTHQKLMYSRAGFWVTNGGYQLRPEVIESYY YAYRATGHQKYQEWVWEAFLAVNATCRVGSGYSSLMDVNLPEGGGWTDFQESFWFAEV MKYAYLVFAEEAPWQVKAGLENRFVFNTEAHPIRVAGGREKYGGWRG QC762_408110 MVPPPWDRAPEKDNLFVLVTGANSGIGFGICQRLIDDYLSTRSL TSHLILIPTTRSAKKSQETVTALRAHAQQFAESSPALRSRTGQNYDSHQATRRIHILS VQLDLCNLPTITAAADQLLHGTLSSSPSTSPDFESLEDVKIPRLDSIIFNAGIGGWYG LNWGKVAHNILTKGIVSATTWPTFKGGNSGQTIAPVPGSKETMGEVFCANVFGHYLFA QKLVPLLSRPKPSALPPGRIIWESSIDADWDTFSLEDFQALKTDAAYESTKRLTDVLA LTSSLPTSKPYVDQYFSVAEDKTPPKMYLAHPGIVQTTLFPLNAFMFFWYQVVLYLVR WLGSPWHPITGYNGSMAPAWLALQEQEALDSERAERVKWGSAADRWGNVYVRKTEVDG WGWEGRVEDVEELKEKGLLSGRKGGMEMVKEERLVEFKELGGRVWKRLEELRGEWERK V QC762_408100 MMPSKVLIFTGAPESSTLDWESGLLSAFSDPIARFAGITTDSQQ PRPAIEDHAAWRSLTLERTDIPHDRQKQVALDPCYDESADFLPGTGPDFFTTVYTASF ASTRNGESQSQFQSRAESQNHALSQLYEHSIAIHQEMPSSHLVNHHSQSDQLDSCISN ETTSFLSDGPSQHEPARGPLPFRGDSHLTDLKDIPPASCLTKIMPQTASVNLIVGIIS VARPRVVNTRWGSKHLVEILVGDETRAGFTVTYWLPSDDVEKSCLAGLRPGDIVLMQN IGLNVFLKKVYGSSLRKDLSKVHLLYRVKLDSQESGGHYAASDLASTTNRHPQLDKTR QVRDWVLNFVGGGARHQGKSKNKLANPKRRWERPPDDDTQLP QC762_0068250 MMRRRHKKSRRGCLECKKRHIKCDETRPRCINCTTVERECQYST PGYQSPSETSGSPAPVSQQTPFPGSVSTPASVAASDHSMPAPALSPEAPAPPMLDMRT FPHTGDMNGKVDIVHMQLFYHYVTNHSVIYPFVDYDGGLKRIIIEVALREPFLLHSIL AMASRHLSMTGTGNTAYYHDLAIELQTQALSLFNSFNVEHFAQSIERRVPVFLFSAIL GFHALCDMLAYQDDTYSSNLARLTGYFRLHRGILSVMEGHWEDLKKTELSILFDHIVP RWYEISDDDGGSDCDDIKQRIRESPDLDDGQREAFFKVLKYLQWVFDATPNYRSRAHM LCSFAVMIPRPFVDAVEVGKPEALAILAYFYVALHFCRDIWLIGNSGQFLLTSVATHL NQLGPEWSAWLEKPCQMLRESLEEDKLNTRSSATSIASASPLPTWSHSGLDDP QC762_0068260 MISLGKLDHLSLRPVGHPPLHHNINDQRCVCVCVCTAAMGHDIV ISRPSEADAGRIAEIHISAMGSNPLLHAQFPTPEGLQALRRFLETETLDEIRDAVSGV LVSRDGPDGPVTGFVKWTSPSHPQDVKLERGDIVHLEGCCRRFLDEYASLAEQAKERS VRDEPPCYQLSFVCADPEYQGRGIGTQLTRKVLELAEEDNLAVYLESTDVAVSIYQRL GFRAIDSFEMQIPGRQETERVVYKEVCMIWYPSGQR QC762_0068270 MSTSIAVPRTAPIAIAPKPPAARFPPSRQGSVHHHGHNFDSYGS GFNSPDSGSVLSLNTPPCEACRNRRSECVMGEDTEEHCVACQYSGTECSLVESSGSSS PLGARKRKLNGGDGAEEGRRRSDNRSQRRRQNQHHQQPSVSCTTTSSSLIEDMANFGG PTLLKRTLGLQADRYCQYIGPTTDFEPSLINLSSFDPQDESLLARGTLRRVSDNDTFL LLPDNITPGYEHIVEDADEIENIVAPHGRRLIDLYFRVVHPGFPIIQRSVFYEKYERS HREFSPPLLAAVYILAINWWEHEEELAGLPKPNVRELERLVRTTLADAMYRPKLSTIQ AGLLLSQRPEGDQWAPTAQLVAVGQELGLHLDCTNWKIPPWERGLRKRLAWALYLQDK WGALVHGRPSHIFASNWAVQPLNPNDFPDIECDETDAEERLELERGRVLFRQMVQLSQ ILAEILDTFYTLQATSQIANAGPQGTQLVLSLAKPIQLKLKEWYSALPALVRMDSTFQ TTPSSSSGSRFSPIGYLHLAYFATEITLHRRIIRSMSALPEDNNPNTPSIDPYIQHIC RSAAKARLISAMDFVNRLTPSHLRAFWYFASKTNFALIGTFGSLLWGTSPGREEAEWY RRRLGEYRWTLSVSSKPGEGTSKGLTEFAMGMLDISTGLLKKLPEKPLLSRSGSEVGF GGPGGVDQVRRSSLFALGHAGGSSASLNSMAGGGGRGDGRGV QC762_408067 MQFSSPVLSSVILFSSRPSPGLSLFTMKSLTLLSLLFSLTGAHA AVASEANTAEVAADAIALNTFKDAIWSAESLPTPLRGPGYRPLIPPSYERCAVDPNGP RDFTYLTPNGLAVTRDRRTSRAIEETDPDDQFQGLVFEPPNNVFSPRGVFDVRLPGRG DRPSRYLAIFKNGEVGWVLRSTNGQTALRDERGQPYVTTVFSVQCDGLSTAGVINGLE FEFAVKDGKLYARGIPPTKKHSHVFSKRQENGGVQVSESSGGRSSITVGLYVLPRLPD VIADPPVTKTCPKGADYTTRDPAPPVTSNGCGPTDWWRWYFAPKLHETFEDACNWVDV CWTDCTQTFTTCNSGFAARLLDRCNERFRTESSLSSCRNLASAYVAYYSMANAALTYE GVKDKYCGCQCADPEQHLCGDVCVYKNDPNNCGRCNKVCPSGCINGVCASTCQNPWSC NTPGDLCSADGATPNPETIDDYTDLCLCAEAAESAGNVCAWAGDVCGAKGCAVNSDCE YGSACILLSCCRGKPGVCISVRDDYCNNPALPRNGLLRSPPEGNRLFEGAFAKKVVG QC762_408064 MVCLIRLHPQQPQEDLSFPHRTTIIHTHTSPKQHSPPPPPPPPP FTKMTSTTPNNTRVILTLFSRLVAHTHDPKVTINPPIVNCHLCLEDSEILTPLTSAHP RATTLPGVVLLCGHMVCKPCFKG QC762_408060 MPLPDDSVAEMGSSDDNNQFDMGDGTPTKPLLDKNGMPRIVMTP EERHRILRQLSFPVENLTAPFWVKSGGDNSLLAKQISVSLNIAHIMAKRPLRTDEANA VANFRTTYVNTMELETPVWIATTIALERRGRDKLRFPHYTPSPEKYNINAFPSLANPK WTGEAAVRAWRLARLAAYGFVVNIWTTVLFASFANTSYSVKLLRMFKESDEQQKHRPE MGDFYGQDRAPQQDYQRGQQQEETPQQPVQYQRPKWAQQAAQAASKPEPPKSWEDDDG FLFDDASPVAPVQRDGARSSTGGKTGHSPPKLTNSWDKIREAAKSGENPASWGKDNQA APVRKSESYTYTENEKDYAKNQAQKEFDAMLERERSGKADAGNRRY QC762_408055 MKFLSVIAPVLLVLSGPSIASPTPEPEYPTISNTTVADELLAKR ATCSGSLSTWTSSSTTCTGTAGQVITNPNSGQCYTHGSGAAQLFRRALWSGSPATVTF YSSTNCNPGTVVSTTTTGLLCWAGWEFWSFKVTC QC762_408050 MRWNADEELTASGVTVACAKFAAWLLGELCIPPGWAIHFGDPDL FSRAVAAEHKQTAPASVNLRLDFTCAIQLVHQLRDLSFLVQEPEDILLLCDKKFYTMP SIAMTPLKVFKAALLEPSKWQGNSSSRDDDCWIGKIHDSAQQISSQNQHLWMDTMEAR PQFPRRPAFGLGVGNDIFEPRMTMEGGLHARQNLTDLFLMEGGACEICHHQNSLSAYF ADMMGNLKQEPTMFDILCNRRRDVISLELTCADSRTKISHISLVNEVLESHIRYQADK DSPTKFQVASWQPSIPTDIVCHGDAQAVPTYRDTGNTDEFVYDKVISQ QC762_408040 MSSIYTLNLTALLALNAALFYTRQKVHPIPPTSKPSPNPNPKPQ NASPLQSLLTSPLTPFLTVYTLTMTSDWLQGPYLYPLYTSTHLLPPSSLPPLFTTGFL SGAISGSFIGSLADTHGRKKACLAFCLIYALSCLLTTISPSLPVLYLGRVLGGLGTSL LFTVFESFLVSDFKNRGLEGRLGETFGVMSTLNSMVAIASGIGGEWLVAGTGTNKAPF WLAAGVLMVAGGVMKMTWKENYALNEGPDKKSDEEAKEEKSLFKTLSDPKILALGLST TVFEGSMYLFVFFWAPALQSSSSSSSSSSSSPSGASLPYGVIFAAFMASTLASSLIFG KITERLPFGSLLLSLLGVSSLCFLAASNTSSSQFTFWVFCLFEACVGIYFPTMGFLKG KLIDDGVRSQVYGFLRIPLNVFVVVALLVTGRMESDKAFVVVFRVCSGLLLLAAGGFW GLVVRKSGVDVQVE QC762_408030 MSNQQQALVMETIIGIRKKLKRKSYDSDSDSSIDQPTNRGNKLK KRSRFVARGRLTGSAGPAAYKEIAEHAGYQRAIINHNPPLIDEDGYDITSDDDEQEVQ EAIASAMDENPYSDVHLEQIFAPLTSVTDLPTHPAMSKPFTSKALTELVDQARIIMQS ENKALWKVKPLLTKLVGDNTWVPCGLMSGPSDASLFTDPTRFFNRPDRQRLRPTAPPV AALTNGVMSAHEGTFAESAVRERIEGVLSGPSAPASEDTVDGETLPDAPVVTNGETNT EAPKPAECPKEPPLVNGDSKPDERPAEEAHSGDTTKDEEDDEDVVMAEAPKRRDILNR PDIRLEPPRSNGTPAVAPTSDPFGPDAPFIHPMFIAPREVRQDRNMGLAEHEAEELRR WLQAYVQKQEEVCRGAKKLYEQLLRADRLRKQVLMWAKAEAHCGPNNHMSDGEDWYDK EEWGLTEDLKKGEDEVEEDVGTTQKKTRNRK QC762_0068360 MADKDKEKDAITTSSTQPPSTSSKSPDRAPSPTPIQDTAAALPS DAEKPPTPPRDDSNMVYPTGPKLYLIISSLCLSVFLVALDQTIIAPALGAITTEFSSV RDIGWYGASYLLTTTALQPCYGSLYRMFSVKYTYLVAVFIFEIGSLICAVAPTSNAFI AGRAVAGMGTAGLFSGSIVILSYTLPLRKRPAAFGLIGGMWGISSVAGPLLGGAFSDA PNPGWRWCFYINLPIGAFAMAAIFFFLKINRVDNPEGLTFLERILRLDLAGTAMLIPA VICLLLALQWGGTEHAWNSSVIIGLFVGFALMIGAFAVIQVWKGDRGTLPPRLFKNRD VVCAMLFAFFFGAGFFPLVYYLALYFQAVQGDTAVTAGIKLLPLLISVVITSVATGGL VTVVGYYNPFILPCMVLFATGAGMITTFSLTTPFSAWFGYQVLAGLGIGVGFQTGVLV VQNVMPLEWVPVATACIQFFQSIGGAIFIAVAQAVFQNGLIDTLAKDAPGLPAELFLN IGASQVSQVVSAPPPAGLGRPDDVNIVLNAYLQGLRNTYYIAVGCACGAFVAACGLSW KKIQRHRAKAADDSEGGGGEKSAVVVPAH QC762_0068370 MTLGVPHRPPLDQAPDQGIVPDWVKPSTSFTTSTMADSGSASSE LEFYPQFCFHLSPTAGRWCHLQATDIAALTFNPGFEGQDVYFYLNHPIKWARIAGVVV AIQEFAHRIIYTIDDSSGATIECVVATPPQYPAVTNYKNPRDPDTSADGKPLPKTDGP IDIGHVIDIKGGISVFRDVRQIRAEKITHLRTTEQEAVFWQKIALLRKEVLCRPWVVD PKEVRKLRREEEGRVKKSKLTGLERESKRRKLEEDTVVEGEGRPSRVSRKTGLEKGTQ SGGVLVAEVATDGRHSHHHRTGLERRATTRAEVLHHESGSPDRRRRKTGLEPRRPSVG DGGRPAARLRQPLERPDLDETMSGSHSQLRTGLERRSTRSKAQDPIPSSLRSRQTGPE KTSILEVADHDTALSTSRLTGLERRSLLRKAELGPAVREIKHRKTGLEKSSILEATDD TTLSTTRPTGLEKRSSRTIEQAPASACRSQKTGLERTSRPKRQDMTEDATVISTGSRP YKTGLERAASQRTGDLGHKTGLERQRSRDLEKQPNTHYRAAGLEPRKQGQHSSDPSQA RITGLERQGSRRALVEKSSQNSQNSQSDQQLQEDKCEPGTTTLRSSSIKRPESPVLVR GKMRLTGLERVVLAVPPPDGRKYPRPWFPIWRTVTVTAQGQPAAATGTCVAVTGDSSS STASKRSHSIPFGSPGWTTERPSVIISTRSDSGSEAEQTIQAVSEESPDGDTTLFSDE TSPASGQQPASSTGSGEVASEVTPTPEVVTQPASPTSSTQPTSTTDLGDTNTVSVITS VNPSGTLQILPTDVPIESTNTGTIPTDAPSSGYPTSQPLITSPIPSPTELISATASVP TDQPSAPSETVSMIVPNIFAAPISTDPPPSQIPQRKDHPAPRIGIKSAGPIGTNKFYN NFFLGNQTSPSYLFPYSVTWARGGGASGTWGMAISHVDASQRVYGQNDPGSGAARYYI NPIGIHSVCLSAKELGPGTVLTSDNLTDFSSLVSLRAREGGQAVIQFPLVQGAGFVTG VYNGGQPVVQTGIFFKTVTRASKEAKEGVVKYRLHLEDGTTWLVYAYHTKGEALNLQV VNNGRAESTGPFYGIVQVAKASDGESEKVYDQACGTYAVGVDLSGGVNGKTGTYRFGF RKQGMYQSPVAQFALPHHLSSFDDGTRGKVTGVKLQTPTKGVAYLVLADSWTMVETEL PTGIGFLPWSPEAGEVKGLSEGVRSFVKGVALQEVSQDMLQQSDQNSMYFSGKALAKF ASIILVIRDMLGDEALALTALNQLKQAFARFAENRQQFPLVYEGGWGGVVSSASYVTG NSGADFGNSYYNDHHFHYGYFILTAAIIGHLDPSWIPANKAYVNTLVRDVANPSAADQ YFPVWRNFDWYHGHSWAHGLFDTLDGKDQESSSEDTMASYALKMWGTVSGDQNLAARG NLMLAVQARSLNSYYLYTESNTVQPKNFIGNKVAGILFENKVDHTTYFGTNIEYVQGI HMLPLLPHTPMVRRKEFVREEWDAYFSGGRAEQVTGGWKGILMGNYGTIDPRGGYDFF SGGGRGGVFKGEWLDGGASLTWYLAYCAALGGL QC762_407990 MPRPKRPVLPSDFESDDERVDDLTTDEPPTIDPYEVLSLERNAT LDQIKSAYRKSALKHHPDKATPENQSSAKEKFHEIAFAYAILSDPVRRQRYDETGSTS EAVVDSEGFSWTEFYAAQYQDAISEEAIEAFREKYKGSEEEKEDLLAAYEEFEGDMDG VYESVMLSDVIEDDERFRKIIDEAIEQGEVEAYKNYTRETKKSRQQRQKNAKKEEKEA DELAKELGVYDKLRGVGKGKKGGKKEDDQAGLAALIQRNQKNRMNMFDQLAEKYGAKP EKKGKKRVVEEPDVDEEAFQKLQADMMKKAKKRKA QC762_407980 MTDIQPADHKGYMQLALEQAKKSPPKPTNYRVGAVIVDTASNEI LATGYTLELPGNTHAEQCCLLKLAEKHGVSEENLGDVLPTNLALYTTVEPCSKRLSGN LPCVERVKRLAGCIKTVHVGILEPETFVAENTGKKSLQDAGIQVVAVEGFEKDILEVA TAGHIKS QC762_407975 MKASWHTQTLLWAATTTALVARDPELYHYQPCTGDYCYDVSSLE PRFFPELESERLRISYGPFTTPPSHENNGHFKTYFRTNPPCYDCYLTAVKATLQYPNG TTANTNTSLWLHHVAIMNLVQSSTTCPDGAEIVFASGNERTEAKISLDGTVSAGYYIN PHDLLFLLPELMNTAHITQEAVIAVDYEFVPLSDLSVEAGMKKVTPVWLDVDGTCSPD AGAVTVPRGVGERFGLEMEPAWRSDISGDIVYVVGRVHDGGTGVEVRRNGGVVCDGRA KYGETEGYISDEGHSGGYRYGDDGRVDRRQWRALGKGKSRGKKKGKGKGTVPDYDDGD EELSTPPRQGLHISSISTCTLPGRIEKGDEWTVKANYDFTKHAPMVHGGGLAPVMGIS LMYVVEDAQ QC762_407970 MVPLSNPIWYILENYIYALPPPPPRIRTKPLEVICVGLPRSGTE SLQHALLTLGYDHTYHGWDIIYEEPNYAQEWVKLCRKKWFGPPSGRTTFTPLDFDPLI GHSTALTDAAASVFAAELIQAYPSAKVILNHRPDEDAWHNSISTTIARGESLWHLWLM SWTSGPCFWSWHVYLRFMWPGLFRCLDGNVERGVKGNGRWVAREHYAMVRGLVPKERL LEWSVEDGWGRLCEFLEKEVPKEEFPHVNAAKGWVGHERRLARRYILGALRNVGVGVA VVVGLWYLCKEILGLRVMMVV QC762_407960 MSQNTFHQFIKFSTDAYGLERTLRFLQSLTQLLLSYPSLPLAFL PFLGFPAEKIPRTLSPRKKLTLTELKSRLSLGRRYFRLFRFLESFSQAFDKYIEASAK SKAEGKPPSSGAKKAKAGLAVGLLLGGVGIEKWLEILSKSFNGVYYCAETATFVDELK VDGLGVWGRELARKVMKEGNRMWFFALILAVLAGGWKVGRLGAEIAQTRREVRHLEKE VRRERSAAGPTLVKPPPPQTSSRSKGGHGGWEEQQQQWREQETRLGRVRVGEEKLEEL RTKLDKLLDTRYKAGRRAVADVLDMAVPGKAVGWVPFSTGAVSALMLASTWLTGLEVW EKCGESVAKSEAKGEAKAKPKSE QC762_407950 MLSRTAAPTKASAKTLTNAVAAATTQQQCRSFATVQDGAAPSRT YGGLRDQDRIFQNLYGRFPPDLKSAKKMGDWHKTKEILLKGHDWIIGEIKASGLRGRG GAGFPSGLKWSFMNFKDWDKDNKPRYLVVNADEGEPGTCKDREIMRKDPHKLVEGCLV AGRAMNASAAYIYIRGEFIHEAAVLQNAINEAYADGLIGKNACGSGYDFDVYVHRGGG AYVCGEETSLIESLEGKPGKPRLKPPFPAAVGLFGCPSTVANVETVAVAPTICRRGGS WFAGFGRERNQGTKLFCISGHVNNPCTVEEEMSIPLRELIDKHCGGVRGGWDNLLAVI PGGSSTPILPKHVCDDQLMDFDALKDSQSGLGTAAVIVMDKSTDVVRAISRLSHFYRH ESCGQCTPCREGSKWTEQIMQRFEKGQGREREIDMLQELTKQVEGHTICALGEAFAWP IQGLIRHFRPELEARMQKFAQENGGEALAGGWKRDSREKGVLVSPGM QC762_407940 MSSKRHSILPAVSAGPKPPVQFSSSITIAESALLTGTNLITISS ESVIHPRAKLDSLAGRITIGRRCVVHERTMIGAIGTSGKVTEQAVTLGDYVTVEVAAS IEAGNTIIGEGTTVGVGSKVGAGAVIGKHCTLTPHTEVPAGEVIPDFTVIYSNGMRRI DKRGVADLKNKGQARQIDVLRRMIPTNPAKFA QC762_407930 MASTPNQSSAFLSGHRRARTRQLIKKPFKKIFIRSILLSAFTQQ QPPPSPPPSTSFASSSSHQHRDPTPPPHPRSSPHSRPTSRRSSSTTTTTSSQLIWRLS DDTLSCSSLQLAAHFNTTTRLSDSCTIPLSPYLYQEQQQNIITPSNDSHLSSFSTPHH HHYYYSTEHFHTNNHFYVTTMSGSRSSSLPPTPSGSMPIEQTPAFQKLLPVERARAFI HSVVFEEDEELSEEERAHITIKCEKTWTDLLKLGNLDEKEGTEECQKLVRAPVIGERI CHKLWKRLAAISRKGGYTNEASGFEAMRKNLVVEGKARREEKKREKEERRKEREGRKG MEGLLDSLKLSPRRKDKEGSGERDEGEGGGEQEGEEGFWQAPETYTPRIAPPEMEAKD TPCPPGLLGLLEGDDDEMARWDQAAYHGRVGVPNRKIEETVGGDEEEEAVKNAEDKNK RKAAEAKEGSRSCRPKLTRSKSWIGKIASMGEKVSYGLGVAGPAGSKGPKDDRSRKKS I QC762_407920 MSEAYPSTPSPSPYYTSRRLGHHHHLDDTPIIADLNPRPRSLHL LVASNGPRDVAYAQTIAVRLSKETQITTRAIVDDLTHRLAQEIMVHQNKPASLATNEQ QASELIEWADLLVLAPIDADTLAKMMVGIADNLLLQVLRGWDASKRVLMVPGMTKQMW ESPVTRRQMGKLHRKWGWVRVLPPVLWSYAGDGSGKRVVEWEGFNELLGIIKNQADLL KVGFNVDVGGGSLPVVVVKKGKQKKARSQLPPELWSMIFEFLGDWELATSLGVYTTLE VPTGEGWRREPRDKGDLVGVFMHELEWTLLGADTEEICRKLAKAPAGFCDLSALAVHL IFKFSLTGVLTWIEGNSPHFFKCFDGKTIPTKASAYYGRTDILEWWRKSPSFLEKQYD CEAVDKASMRGFVHVLEWWRRSGLPLKYSEAAFEGASSKGHIHVLEWWREASLQNSAV ALKPGKALLGAAQWGMVEVIRWWEESGIPAGHHEAVCKMASRWGQVEVLECWRRLRGD DKLEFDNQILMEATYHAHIHVLEWWRKYAHGELPGMNGKKGRRVEYKTMDVEEALEDS LGDQTKVRRWWAENGLNLGLGTTEWMKIKAL QC762_407910 MGKSSKDKRDAYYRLAKEQGWRARSAFKLLQLDEEFNLFENVTR VVDLCAAPGSWSQVLSRVLIKNEKFGRCAWEDREAQFRQRMLNLIPSASSQDDSQQET KQDVEMANTQDETTARPDVKIVSIDLQPISPLPGVITLRADITHPATVPLLLKALDPS YDPETSTNQQAQHPVDLVISDGAPDVTGLHDLDIYVQSQLLFAALNLALCVLKPGGKF VAKIFRGRNVDLLYAQLKVFFEKVHVAKPRSSRASSVEAFIVCINFQPPEGFKASLEE PLGVGSRLAELQEQQQDPISQSEPSKADDDGITEVILEDLSGSDNKNRWIAPFIACGD LSAFDSDASYKLAAGHVSLPPVQPPTAPPYKRAIELRRQNGGAYGKPVVAK QC762_407900 MAFDKKRKHSGEQAQAKRSQSKGGPKRQKTQNTEKHFVPVDELP WQTVEVPEMFDDAEGFYGLEEIQGVDVVREGDVIKFVAAVPKGKAGKKKDDKKAEDQK MEDNEDEFEGFDDTPEEESTPVETKAGDQKKEPKTKKKDAKDQKKEPKEAKKDGKKDA KKQKKPAPQPTEDPELESNLFTSLDTLPDIEPEEDVDTSEWAPLELSPDLVAAIGNLR FGKPTPIQARAIPEIINGHDVIGKASTGSGKTLAFGIPIVEKWLAKQAEGKEDEKKHP IAMILSPTRELAHQISDHLKKLCDGLTESPYICSVTGGLAIQKQLRQLEKADIIIGTP GRLWEVISTEIAVMNSIRQIDFLVVDEADRLLKDGQFKEAEDIIKALDRTRPGEEAEE DSDSDEEPTPKHNRQTLVFSATFNKALQQKLAGKARYNLMGEAESLEHLLKKLNFREA KPKFIDANPVSQMADKLKEGLIHCGDLEKDLYLYAVLLLQPTRRALVFTNAINTVRRL TPFLQNLGLPAISLHSDMEQKARLRSLEKFKADPPKNANPGSSVQAPILVATDVAARG LDIPNVDLVIHYHVPRSADDYVHRSGRTARATQSGVSIMLCGPKEDIPTQRLITKVHA STAASSGKPKKDLLGVQTIDIDRRLVSHLKPRVTLSKKITETTIAKEKGAKEDDWLKN AAEELGIELDEDEFEKVGSWKGKGSGKKQQEKQARAVGKQEVQKWRWELKELLKRRVN TGVSEKYLNGVDVEGLLRGVRSEFLGQVEGLGL QC762_407890 MSQPTEHKSWTKTVITTSSKSLTFTVSTDPTLISLDALSSAFNS DFIYWSKPLTHAHLQTLVQNSLCLGLYDEEKELIGFGRLITDRLTFAYLTDVYVLPSH QGKGLARFMMSCLDEIVSEWDEHLRRLLLFTRDEEAAKLYKHTLGAQDVRETGTGKLI CMERVGGGNTFQAPDH QC762_0068500 MGGHAGNVVRYLTNY QC762_407880 MERSDCLIPRWDENRTEFDKFELNFRGWCYLRPDKVYSATTILA GYFIDATWTPSVDWEITVQEPTPETPISPLPGREGLAYVALNILFTTTDGGSEKLASE SNCRSYFNELSKQLLWPCLDASRNKIQIDFVTWKLEPSWGYSTAFSRYWLKGWSHKPT QQQMELPAYNISYVLRDLTNLEGLRKGDTCHDSCPKGAVVECTKHLLRQAQQELADVR KIASGALRQPFGAEEEENVEALIEENIRHALATNNSIRAILNILNRNVGVGRSRFFFM RILRRILNRPKYLNMVITDKTFGSKKESMSGDVNFFECIARTMAGHIRLRSAATRHKL RCHVQEAVSGTLEETQLVGYFGLVAAKVGQQAHHDAALDKVGQHYFDAFWDVEADIEA LIQILDNEYAANGEKMFRKKRKAVPKGTPRCLLEKAALNEKLDKLENDSDSELEMDEE YDEKC QC762_407870 MAAASFPRLGVARVVCWVGLQGRIVRLQFLFTHDYVIEPVREMD VHVENRHTAWDVEQRGQKGQATFSGRSR QC762_407860 MAREGTRSSTGNSKPRVFQTVDTAPAVKRTTKPKAAKGETKGAK PTGVTKKKAAPKKETVAKKAKAAVKKAAPKKIAEKAEKTEKADKPEKAEKAEKAEKVE KVEKAEKPKTTTKKKEAAAAK QC762_0068540 MGRRGGPARVVRRAEEIKVTCARVVQWVLQPVVEVNNVWYWFGI VGGMVVVMVFKRGRLAVEACQLGFGRDEGLLDPFLTRKWVRLSHMVPESVEVGRGYVD DYAAVDDSDETVGIARHDHLLGFRIASSMLKVVE QC762_0068550 MIVSRNAYRLVAIIYGCIVIYISAPYLYRFGDHVRQTNPFSGQK WIEQAFVPTEAELACLNGQSSSFEHHHNHHTTDDSEPIPNIVHFNYGLKNPLYNPGAG HFDFLSYLAVRSAIVSLKPDAVYLHYTYLSEPPSPDPHADPLTNPWIRRLSKDITLIH HPPTSSSDHYAHVSDTLRLKALLTDGGIYLDIDAFALRPFDHILANPSPHDVILGAEG GNRWGLCNAVIAARPNSTFLTRWLESYNNTDLSKEWNYHSVILPKELAEEHPSEVCAL APDAFFWPTWTWRHIDWMHERLDKEKAKYWEGEIERHGGSLFTNQLAYHAWSQMAWER YLRELTPEVVRGRDTRFNLLMRRFLEDDL QC762_407840 MLFPPLTRPRGPLRTLLTRLHPYRIPLTLLLLALLTEVYLHNSY YTIHHPARDLDVPFYTSCQEPDLSPSAPREAAAIVMLARNHEVEKALRTVISIEKHFN RWFHYPIVFLNDEDWDPEFVSTMNETVSGGAKFEVIPKEEWGFPANINQDRAREAINR QGQQGVLYGGLESYHHMCRFYSGKFYTLKALREYKWYWRIEPDVEFYCALTYDPFVEM AKHEKVYGFTVALPKEPATCPGLFRAVADWKEEQDYRTTELWKAMVSPSWLPWPIRKM MSGLGHRDGRGDGWNLCHYWSNFEIASLDFFRSNAYQDLFERLDERGGFYEERVSTLT PFFRLGKNLMLIMRKQWGDAPVHSLALAMLLDSHKVHHFEDIGYRHDWYFQCPANAPG GQLPQSEVLGGPAFEVREEREDGIGCRCECDGSRTRNHASYCLNRLTQPNKSRRLGTL GWVRSWWA QC762_407830 MKDPINYDHCLYDTDSDDHETHEFLRRSSWDNHHRNLTHLHTKY ITPTKPYLLKAQRFLSRLRLLRRTAWRATPRPLILLLKSLLLFLTSILILTPIFLPSY NNPPIHYSQTLHACRGTSPREGCANLFNEQVFIATILYDKNGKLASGPFSDRLLRLIR ILGPDNVFLSIYENDSGPKGKAALEELKSRVPCKHAITSDDHVSLDNFPTVLLPDGTP RVKRVAYLAELRNRALRPLDQRTKEDRINGVRKFDKVLFLNDIVFDPIDAANLLFGTN VDKQTGRAAYVAACAMDFWFGHRMYDIYAMRDADGYASYQAIYPFFGERGRGLSRKDV LESKDAVRVKSCWGGMMAMQGRYVQNVEEEKPRGKAWEEGVVAGHAIDPGNHTRADAA VTGPVRFRHEPGAYYDACECCLFSADLTEAAKRQGDLPAGAMKGSESGIYVNPYIRVA YEEGVFKMIHVVRVWEKLIRIIYDLQTRLFEPVAQNPWRTVQPGETFEEEIWNGKDWE VVTRVGRPGLFCGVREMQVLRVGGKRAGKSGNNWANTRMPPGQRMEFATWWGEILPES WRKDYEDTPEEEKDEFFYKLYSWDK QC762_407820 MKVSSLVHLLVAAVAAPAAAEFSWKNVNIGGGGGFVPGIVFHPT TPGVAYARTDIGGLYRLNPDDSWTPITDSLGTDERWGHWGIDAVALDPQEPNRVYAAV GMYTTNWDPNPGAIIRSDDKGATWVSTDLPFKVGGNMPGRGMGERLAVDPANSNIIYF GARSGNGLWKSTDGGATFSKVTSFTNAGSYIVDPSDLYGYNGDKIGLTFVTFDSTSSV RNGATSRIFVGTADNITASVYVSDDAGATWAPVAGQPTKYFPHKCKLQPTEKALYFTY SDGAGPYDGTSGGVYRYDLTTSTWKDITPVSGGDLFYGFGGLGLDMKKPGTLVVASLN SWWPDAQLFRSTDSGETWSPLWEWAGYPDMNLYYSIHTDKAPWINTGFLSQDSKRLGW MIEALEINPHDSDHWLYGTGLTLFGGHDLTKWDTTRNITIHSLAAGIEEMAVLGLASA PGGSELLAAVGDNNGFTFKEAADLLTSPQTPWMNPMWTSATDVDYAGNKPNQVVRVGN SPGSPQIAISTDGGLTWSPHYGASNTDHSGTLAYSADASTVLWSSGNAGVLRFHTSTA TTYNPGSVVPSADSAEGVFTPVDSLPSGAVIAADKRNNSIFYAGFEGTLYRSLDGGAT FLTVAVDPRSSTTSMTVVAIKDMVAHPVVAGEVWVSTNIGLLRSTDYGLNYAQVGEGS ITNTEQFAFGLGEGGSKWNIYAFGYGLNGPRLYASSDNGESWVDIQGLQGFGAISANR VVGSASVEGQVYVGTNGRGVMFAKGVVEGGDPGNGGGEDSDDDEEEWCDATTTTSAVP TTSSVVIPTTTSTTLVTSVRPTTVSTSSRVTTASTSSTLTRINTTSTSTRFTTTSSAP ITQPTERAKRWGQCGGINWTGPKECEAPWTCQKLNDWYFQCL QC762_407810 MSHGNVPSAPLGEAPSSGLGPNQDRKLPPSTPDWNNLRIIHRNT LPPRAHFFLYQNAWDALSRDVSLSKAQLLSGKWLFNLARSPLQGPVDFHKQSPVQLAD TPEWVPVAVPGMWQLQGHGKGPQYTNLNFPWPVNVPHVPIDDNECGRYVTQFSLDQQD KGHQLRLRFEGVDAAFTVWVNHQQVGYSQGSRNPSEFDITQFVRFEDINVLSVEVYQR CDGSYIEDQDQWWLSGIFRDVWLHKFPKTHFEDVQIQTELHNKYKDATLHVEVKLNSD ANVTLSLLDADNVEIARKTKAGEGTIHFDVHVKNPHKWTAETPYLYQLALSMPGCSLA ERVGFRKVELIDGVFCVNGQPIKLRGVNRHEHHPDHGRAVPFDFLKEDLLLMKRHNIN AIRTSHYINDPRLYELADELGLWILDECDLECHGLFVVGVDGNKLTSDNPDWEEAYID RARQMVMRDFNRPSIILWSLGNESGYGRNHRAMYKFIKSLDKSRLIHYEGDWRAESAD VISRMYHSIQDTEKYAKDRSWDKPVVLCEYIHAMGNGPGAIKEYIDLFYKYPRLMGGF VWEWANHGLRTKTKDGKEYMGYGGDFGDDPNDYNFVLDGLCFSNHTPTPGLIEYKKAI EPVQTLGIEKGGLVRIVNRYDFLTLDHLICHLSWVDDSGSYNLGMVEVPKGIKPHSEG IIRIELPSSTRPLSHLTLEFRLAAPTGMWGDTGHLVATGQVAVHPPKQLQTLPLKMKR IGAVKTSLINPSLLSVVGSGGISWDFDLTIGQLVSWKNPKQSDENILAEPLGFEIYRA MTDNDRGCDFGRNWFNRRLHQAKFHLVEATWKELHEGAATEIVVKGRMAPPVLNWALE LKITYMLGGHDVAIKVEAKPTGALLPRAWGRLGLVTKLKGVESVEWFGRGPGEGYRDK KMSQLVGWWGAKVGELMTDYEFPQETGNRTDVRWVRFRNKENKSLLSVDWQNWQAQKG GREMGDFSALRYSTRELDEAKHPFELEDKGKEGETVVHLDWWHHGLGTGSCGPETLRE HTLEAGKEYEMEVLLY QC762_0068600 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGQ QC762_407780 MKTNTPLLLTLALSLASFSVATPVPSSHSSINRRSGAPAHPRHI TPSLSKRAQRGSSEAADPTYQQPAGPLSDGSSPRSSPMQVDSGSDRSPSPQQPQHDSD MDAEGETDSEADAIGETDSEADAIGETDSEADAIGETDSEADAIGETDSEADAIGSPD PSYVAPSGGAGRGDGGQGRGGAQGQGAGRGRGTNA QC762_0068620 MNFEFNNTVGGDPLLEQLRYDHRDRYSYTTAVSSAPLQNITSTA LTTMSQSSRQSLSPVTSWLPLDLTGTMSAPVSVHSPNSASGAASSPDDHSAHSPTHQS AMSSPLQQQHSPYHTAAHQNPTGLISDWPLPTQQATTDLSQFIQDSALMHFNPFMTGF QQGAIEYLPATTQGLENQGLQLEPAFSISPVDDGSQALQWGTSGMANWQDFEPIGFHP DGLPRGVTSSLGSHSPTGTYLEVLSLGGSSDNGWTTVDPMFPNYTQNAAIFNPSQTLH LRSESGSSTSDRNSLEFGSYEEVSFPPYSPYSPGSDSYVDPSSNHRNCPPGDHHHHHQ HNHQHSHQQHHPHQSTSTELISPAAAVAPVSIKTVAATSRPVASGSGAGSVSPPARRN SGTRKSPIAKATKSVIRRTSTGKKDGTGEKKVGRRRGPLLPEQRKQASEIRKLRACLR CKFLKKTCDKGEPCAGCQPSHARLWQVPCTRIDIKDIGYFMKDWKADYERHLGRGMSV FNVKGFAQKETLMWITHGYGFALPVMVREVYVADDSCFQVDWVESTLTDQEPIEFDIR TEHLDVGQEGISSEALSEYLDKHIDEGFERFIDDHFEGTPFITDIFKTAYRYYAKERS PVIRKALKLVVAYNLTLHITMIEQPQTEAAMEGQIDDEDSKYYGKVVAPVMINFQIKC AMADLWRELQKDVLEELSALYSSVYSGERLKNWPTIFMLASILLAVWEEIQFDCHYRV PDPVAVEKFCADMETVPVGVIVGLFHAISQKLPSFTEWDTRRHGQLLNNNPAVCDAMT EVRQHVIKHESYLRTRADSKFDRYDFDSLSNKLLSKLVIRAN QC762_407760 MSPPSQQKRVLFSWKNKEKTTSTTMPTSQLPPPGPSQQPPPQAT TSSQPDDLEVVTDDNDSDYSSIDSEIPFSTPNLPPSMTTMMIDSLFTTPPPLHDPLIT PTSTLQDETLSDILPFLSSSPLPPDLFTYNAYNVPSLRREAHIAFLHASLGRLPGKFV AADASRPWFLYWCLSGLAMLGEDVSRYRESVKETARSMQNGSGGFGGGGGQLSHLATS YAVVLALAIVGGEEGFEVIDRRQMWRWLGGLKQRDGGFEVCRGGEEDIRGAYCAAVII TLLDLPLDLTPESPAYKPDDPSFNLLSGVADYVRRCQTYEGGISSSPSAEAHGAYAFC ALGCLSLLGPPSITIPQTLNLPSLLSWLSSRQYAPEGGFSGRTNKLVDGCYSHWVGAC FPLIEAALANSPTPVNDSLFSREGLIRYILNCCQDETKRGGLRDKPGKMSDAYHSCYV LSGLSAGMHQWVLEDEEWMVLPYLEGEQVFENADRVRPVHPVYVIPQGAVRAMRGYFR GKGGFN QC762_407750 MSKCIMGQQDPYPFPIPYWSDLSEEIFPSKSMHPPMLMGWSWSG LSGCINWQRHTPTHQEGHTLSRIFCRHAFDNPSTLSV QC762_407740 MVGFYMQYLESLCRRRGWHDPSYECYRDSSGYTCLVLVNGREYQ TDLAYESGNLAQENAAMRAFMVCRNFSVNGGMLARNGIVQGLPADDSSVRKSRKSSRH HPSTSHRRSGHHSSSSSTTSLE QC762_407730 MKALILVGGFGTRLRPLTLTLPKPLVEFGNKRMILHQIEALAAA GVTDIVLAVNYRPEIMEKYLAEYEKEFGINITISIESEPLGTAGPLKLAEEVLRKDDT PFFVLNSDVTCDYPFKELAAFHKSHGDEGTIVVTKVEEPSKYGVVVHKPGHPTKIDRF VEKPVEFVGNRINAGMYILNTSVLDRIELRPTSIEQETFPAMVKDGQLHSFDLEGFWM DVGQPKDFLTGTCLYLSSLAKKQSKLLTPTTEPFVYGGNVLIDPSAKIGKNCRIGPNV TIGPDVVVGDGVRLQRCVLLSGAKVKDHAWVKSTIVGWNSVVGRWARLENVTVLGDDV TISDEVYVNGGSVLPHKTIKANVDVPAIIM QC762_407720 MYIIKPSWLRHSGEQKDFEVYSCHVSQDGKRLATAGGDGHVRIW STDSIYNGHVEGYSAPRQLCHMSHHLGTIHSVRFSPNNRYLASGADDRVICIYQLDSN PPSHATTFGTNEPPPIENWKTHKRLVGHDSDVQDLAWSYDNSILVSVGLDSKVVSSPL TTYFRRCSWSPDGNHIAAANAVNGPVSSVAIIERSRWDSQINLIGHEGPTEACMFSPR LFHTQNPAEKGASGSLVTVIASAGQDKTLSIWNTNTSRPVVIVQDVASKSISDLAWAP DGQTLFACSLDGNIVVVQFEVGELGWVATAEENDKALEKYGVARKGMGTAEDVDGLHL ENHSKAGELRGAESRMGALMGDLPAAAAAAAAASKSDTAGTTNGTKSTKNGEAKNGDA VKNGETNGTSEPKSGKETPAAESADKAAERINELKSRVQVTKDGRKRVAPLLVSSSGT GLLSLPQSQLVGAKSKTAIQSETPQTVIDLSKPSHGLPKGGIAALLLGTKRKAVVLDG EEEDEPNKRLATGPVPIMADTVDGLEPATLTSPAQGLVPTPEFLRSAVVSPSVSFSQV RLAVPKIRSHIVRALEKGVLQGESTLEEASKIPENTILEAKNPARPREPSHITATKRG ALLWQDYLPRAIILLTGNKHFWAAACEDGTLHTWTPAGRRLLNGIILESQPIILESRD HWLLCVTSVGMCHVFNIKTMSAAHPPVSLAPILDIAITSLSPDGPTAAPGVTSAHLNS LGTIVVTLSNGDGFYYSPTLYTWQRLSESWWALGSQYWNSNDSSLTALSSTAVGPSAP AKKPKSGGDDKPDISAGIIPHLERHTTTEFLVKGRAYTLQRLIKQLVAKDGFEGFESV VSVAHLENRMAGALALGAKEEFRLYLFMYAKRIGAEGLRSKVEELLNTLVGGVLQDSK DGGGKGRGWFDKGEVICGWERKELLKGVVLILGKFRDLQRTTVQYARILGLTAGNEED EEDGEETNGVVDQMEE QC762_407710 MPSYSYHLIFELYATPDPTTSAVADTINDIWVPTPTCPTIFDDL PSHTPRSARPPSPRRLPFRPNHQSHHLYEAGPSSVPPPDFSYASGKVIDCGRHRGTTN VEPNEDITPNPATKRRNIVHVSERQWRERETSADIPLKPEIQGIGPNKATKDWRFGRI NIESFDPASVPSNNGKAKEVIMEGHGRQPAASLGPNLGGMGQNTKGRYIPAVNTKNTE AGWGIVHLYREADEFSALNSPPPIPPPPGLQDSGVGEEGTVLCIPAVPSYMSPSDFLG FIGEPWRGSVSHYRMVSTSRMNRYMVLMKFKDKKTATEWRKEFDGRPFDTLAESEICH VTFIKSITVETPGRKGSEGAGVGKGETGMINSLRPFPPPTPSLVELPTCAVCLERMDD TAGLMTILCQHVFHCTCLQTWKTRGCPICRATNPLTKQQQELDDEGNPYAKPFGHGVS NLCSVCDAPDNLWICLICGNVGCGRYQRGHAKEHWKETAHSFSLELVTQHVWDYAGDM WVHRLIRDKGDGKVVELPSGNTNTAGSGRGEDMDVVPRAKLENIGLEYTHLLTSQLES QRVYFEEMVNKAADKATKAAAAAEKAAGQAKEALRELGELREVCRVLREETVPGLEKD LAREKARGQKSAELARSLGKALQEEKQVTKGLMERIEHLKKEGEKNAALVEELRQENE GLKEMNHDLTMFISGQEKLKEMEKEGQLQEGELEEGTVGVAEGSKKKKKGKNKK QC762_407700 MSETTQLQTQQAAAPQSAANPKPAKELYPMVGWKYDSFLWIMSL LEDTFFREVHPRSAWRVPKHGPVLFVAAPHANQFVDALVLLRTLQKEAKRRVSLLIAQ KSVSGFIGWASRQVGCVPVGRPQDSAKPGRGTVYLPNPVDDPTLVRGIGTNFTEFGEV GGIIFLPSAKGQSGESLDISEVIGPEEVRIKRPPKGKLAMSQLTGRDDVDANGKLLNK EDKKPREGYQGIKYKIAPHVDQSKVFQAVFDRLASGGCVGIFPEGGSHDRTELLPLKA GVALMALGTLADHPDCGLKIVPVGMNYFHAHKFRSRAVVEFGAPLDIPRNLVDAYSNG GTEGRREAVGQVLDMVHEALSAVTVSVPDYDTLMVIQAARRLYNPTGKPLPLPVVVEL NRRLALGYEKYKEDPRVKELKEAVTEYNKQLRYLDIRDHQVEKAKLSWFTVVATFLQR IVILFLLSAGVIPGLLLFAPVFIACKVISHKKAKEALAGSVVKVQGKDVIATWKLLVA LALAPLCYNTYAIITLYMVHKHRYYGWVPEWVPFWAVYIACCIFFILLTFAALRFGEV GMDILKSLRPLALCINPASSYNVAKLRERRAELKAQVTDIINTIGPEMFPDFEHSRLL APADSAARAAARREAGVNSPPISPNRPSYDRRSSYGVSVNGSDSPPFQAPTRRNTTSS SRNIPYNESFSNIAQAQIFATRPTTPSNHSRASSSGGRPGSAGFPVAGFTTLDSAEGF DEASKKIRAAMKERGEMRRRKSQARQFMMGDEGTSEEDGSDGVEFGDRRKGQ QC762_407695 MAQLVYPHPSSSHAASLTSSSPDDTTSKHYKLSTPLTTPLRIIL IILTIASIAVWLAAGDFSDGLLVFNHILLWFLLLSNLLHLLFPSHPPHNHHHKIPCLP TILFQIGDCGCVFNGSDNEKKPRYSVAWVTDIILGIPTIVVTSIDMACLSNCYSCPAV EVLVLSEIVGALSIFVGLFSPLTARKPVIFEMGFMIKDADVERGGRYSRIRLPVDADD RRTAGAVSISA QC762_407690 MAKDKKVKADKVTKSTPAATQLPSQLLDLVEKFLSEHDFTEAHT EFTKARKAKGLKKAKGEATDSTLESVFQAWETSKAKASSDDDSSSSNESDSSSSSDSD SDSDSDSESDSDDVEMADAPADSESSDSSSSSESSDSDSSDSESESESDEEKKPAASN PLKRKATSESSDSSSEDSSGSDSEKEKPAAKKQKTAAAKAESSSSESSSDSSSDSSSS SDSSSSDSSSDSDSSSSDSSSDSDSSDSDSSDSDSSDSEDVVEEAAKVPLPDSSDDSS SDSSSDSDSDSDSDDKSKTKTKKSTKTKANSDTSASGSSNSSATLNGSTSPKVFPVST FAPLPPDPFVKTNNRGKGAAVKEEKVPFSRVNRNIKVDPKFADNSFAGEDWGRKAHED LIVTRGKGFTKEKNKKKKGSYRGGRIDTGLQLGIKFDDDGN QC762_407680 MLKKIIRKSPRKRTRTQSISPTRRWFTRSMADLFVHRPDVVSEA SARSMAVRKAQGSGAESYTRLNGVSNGNGTRGEGWMAQYDCVGPLEAAARRRNPEIDS SSPCLTDQLHAFTGRTSEETKKPKPWSLRSSSRNVTLSAPREFFDAADKDIEGTITTY DELRKALKDHEDALSFENTCRINASVLEIKANAKLQALKKDDIERYYTPAPPRQGFQG QDHPRFYGDHFLSNIDLIQQTQLFSLFRAMPKGAHLHIHFNANLLPNFLLDIAKEMTR MYIWCNMPLVNDKGQVDRTALDRCRVQFSIMNEAAVKERGEGNIFDAAYQNRTVMQFS RFREEFRRRYAQEDVDRVDKWLQNKLVFEEEEAHGLLQTAKGAWEKFNARTQMMKGLF NYKSAYARYTRHCLEEFADDNIQYAEIRVNFMSTNQVWEDDGSKKLDNEGITDLIIDQ YEKFQRQHQGKVVQGLKIIYCTPRSFDTEQVEESLHECLEFKLKEKYSNYIAGFDLVG EEGKGHPLHYFTKQLLRFQKACRLAGTSIPLLLHCGETLDHGDETDRNLLDALLLGSK RIGHGFALPRHPFIMEQMKQHNICVEVCPISNEILGLTPRISGHSVYNLLANNVHCTV STDNGTLFRSRLSHDFYQVLAGKADMTLHGLRQLIEWSLEHSCMDAKEREKVHTAWLH MWEKFCLRIVKGEFDQPQQRRDGEATLGVAGNEKKTAAMPGP QC762_407670 MSSLPLPLDEDAPTGALAWFPYGRQDLPGWRFDVITLLAIIGES SVAEHAQTLTASRLCLLPRIIPAPQALLKPVRPQRLPEANAKMTGVHSGVVLDTVGFF ANILQPLDEMKPFSFKVLEIKHTKEALEAIKADKTREEKGRLTTSAKGSNWWRRGWRG QQKPTAPHIKPTVDTTTVLTLSRPSFFGPANANPTEKPPTRKPTVRFATAAADDDLER GGSSSTTLTTSDNNHPHHLPHHRTSTIRPSVPATLCSPVHLLSIFSFALTLAIIILSA LWEDGTAILAIVLMSLASSVVCYASLWKPVLMNRTTSGKVPEGDVVIRTREGAFLLIK CTEEVARELYSGTEECKYVVESDLYRLYMGLGMVLLMVSVVLLGNCGWNSQVLIGGGY ICLNGLYWMMGLVEEKRFWDVDRRYTVRDVTKEDSKGAHAFPEGKDKWEKDRLEDDEI PSFTRTMWYAIRETKGQVAWVKRSGAMPKTKQWKRWLEEAQKMAEKGERGWKAVRRKG EIMREEGAEDDAEQMAPAQEVQRRGTVRGE QC762_407660 MNIQDLDLDDFTAFEGGASTTYSSPALPTVFDLSSSVSSTGQNL ATVSPQELMMNEPFMSAPNSTAFTALTSPSLYNGSPDFCDSYETSPHFGGGGDFDSNP DNWFPLFPTTNTEPEAPKEALVGPKPEQSPVITAEELEVKSPASGHRRKSSTSPPTRH SSIAGVNSRRRDKPLPPIIVDDPTDTVAMKRARNTLAARKSRERKAARLDELEEKIEK LSAERDHWKQLALQLGAKE QC762_407650 MQAVPSLVGTGTAIAAAAAGLVFGQPSESEVPPWSSPNPGVFSA DYDAFGSQASSARPNTSAAALQQRCSSPVQEFAYGSFRQLVDPTPSPLMSPTTEAAQP QRPGSAVPVTSPRTTSGRQSFLRQDTKESVPRQDVESARDSVSSRESWIRRFSLRPIS QHGSPRSSMGPDSSSLTFSHGSGVPMLGQQSLASSAPNKLVKRNAPGFGEPHGSHQRR GSKSQVLTLRRPATSHQRTATMQQQSQLQGNSVDPPPSAGAGAGPKFSYEPSSVPETE TPTSSSFGGSKRSSSRWTSFFHARRAAGLGRDASGLTSGQSAKLASLFPKRRVSLTPG HVSRAYLTKADCITDIPVFVDEAEQQEEDFGHIEDLEVLQSPVNPTDLPETSSEKRPK RSMSMHFSSAQNWIARTSSVRRPRRSTVDAKGGNDSRYATADLAGMLRDPMQSPGSPT TQEIVVPPNYQPQAPQLEPAPTLSDAPRNRKRNSPSPLPPLNRLSSFNIDVSRLGLSS SSSSTPPPRSFHTPINYMNGSQNPPAPTHSRGPSGERSITLAGSDFEVHDADDEDTDV RSDAYDSFRTIASSSRVRSVETPLDSMFDESPPSTASNGKTKRLSIQEMLGRGWDGET KITEEEDSAATPVRSTHLDGTTKPIKLDGFGYGGQGGLMLVHREFAARLSFDDDDDDD WARDDDNTLSNHLSPPSSTNSRRVSPTLRHALKNLGGNGSPDLSRDSMSDRPRSSIFD WSEPSIHDKLDSDTTRPKTVHGKQEMDLRIGRSTSRKAPKAGHVRSQSVPVVPEPTDE SKPPPKFGTWGLSTKNASEDWDDDFDFDETPLDTTGGKDSSTSFMVVPPSIQASQPSV KAHSGQIRELSLLVNDLKRLCRHGKDLNIIHGAIQPKWVEAENIIALASPDEDEADEF GSVKLSLDFDRDDLDEIDERFIDEGFDGSMLDNINDPFEIPEPQMMTRTTVVRERATV RRRSVFSPDDDIFGGQWPLPDEPLKPPRPRTPDGSVSPNGSSAVLATVIQAMQQQRST SDPIAATATKTQDTKLFFDTNSLQELVKRAGHLRDSLSDAVRKAELLTQSPAATPRRE RLSHLNLDGSPAFTRVFSDPAASSPPRRLPKSHSSNSILGRGSADSPRMQMMIVS QC762_407640 MDAEIRSVVPNIDPVISEYSAGYLTHASTAWSGSGDEEATGPSP LDEAAIAITDLLVSASGNPSPAQREKIQGLVQKWVDKYAAATDKLDRRGPAVRRLDQT IQVSSQRNMSSTLAVATGGVDLESANVRKVESKVDKKKLEKAERKIAAKQSKKTYKTV EYEASRLLNQPDNTQSYEDFYMAVNPLQLGGAQSGKSKDIKIDNIDVSIGGSRILTDT TLTLAYGHRYGLVGNNGVGKSTLLRALSRREVPIPTHISILHVEQEIMGDDTPALQAV LDADVWRKVLLKEQAEITTKLADIEAQRSGMADTATDAARLDKDREALDSRLGDIQGK LAEMESDKAESRAASILAGLGFSPERQQFATKTFSGGWRMRLALARALFCEPDLLLLD EPSNMLDVPSITFLSNYLQGYPSTVLVVSHDRAFLNEVATDIIHQHSMRLDYYRGANF ESFYATKEERRKVAKREYENQMAQRAHLQAFIDKFRYNAAKSSEAQSRIKKLEKMPVL EPPEAEYSVHFKFPDVEKMTPPIVQMSEVTFGYTPDKILLRNVDLDVQLDSRIGIVGP NGAGKTTILKLLIGKLQPTSGTITQNPRLRIGFFAQHHVDALDLNASAVTFMAKTYPG RTDEEYRRQLGAFGITGTTGLQKMELLSGGQKSRVAFACLALTNPHILVLDEPSNHLD IEAMDALSEALQQFQGGVLMVSHDVTMLQTVCTSLWVCDNGTVEKFPGDVQAYKKRIT AQADAAGVAKQLL QC762_407630 MREIVHLQTGQCGNQIGAAFWQIISGEHGLDSNGVYNGTSELQL ERMNVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRTLKLP NPSYGDLNHLVSAVMSGVTVSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVSVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNVQ NKNSSYFVEWIPNNVQTALCSIPPRGLKMSSTFVGNSTAIQELFKRIGEQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAGVDEEEEEYEEEAPAEEE QC762_407620 MSFDSANSSQSITQSQPITLDEFLDWRDDEHFIWDEDGRETGEA WIRPVERAGLDDSKHKVFHHANKEYIRAPHPFIQIGEQLGESGTTVVYKVNVPEGYPY RRPLALKIITCKDNLRPPGPDSHVRMLALEEVRNMASIKHPHIVVYVASFEDYCISTG VRRQQRAKVKAIRVDQQIKKHILGIAMYPPAQCNLRFFMNHIINNREPENEAALHTYF GCLSQAVAFLHRSNIRIRHKDIKPENIVIDDFLLPVLTDFGLSKHFETGQHSEGPTPK TLKYADPEAINETQRDERSDVFSLGCVFLEMATTLLGRPPDFAEQQLRTDNLGEFKYS ESLERLDAYLFHLCQIADRLIDSNPKKAESAAAIKEILPVIRAMMDADFTKRPMAHDL YPLFRRLAIAGGAGACGNCEAERETGRAVPRLRRTRTGSPVMTRTATMNTTISLVRRG STMNGLTGMVVHDSPTDVQHQHHGYENVPPQSQANGNGVNGQANGNGTYVNGSQAR QC762_0068800 MLLGGDCVRYAGRRKGESHLGRFETRAFSYWLCIVHTFISEKDI CDLRGSYHQLSLPLSLARADQDPRDRGVNPAKMFHQWSSPLPTPV QC762_407610 MGDLGDFNPIRFEEGGMVIDIPTLNLDSLKKPEATITPLYPDHI PTLETPNLHPHHDKHLPRGIEETPEEQRRHWFVGSIDQGTTSSRFLIFNGEGDPVASH QLEFENLYPKSGWHEHEPLELLASVEECIDEAMRKFVDLGYRKSDIRSIGITNQRETT VVWDNNTGEPLYNAIVWPDTRTKDLVRDLKSRDQADTLTDLCGLPLSTYPSSVKLMWL IENVEAVKQAYEEGRLAFGTVDSWLIYKLNGGAKAAKPIHVTDSTNASRTMFMNLHTL QYDDNLLKFFGIDRSKIHLPKIVPSSDPCCFGKIAKGALSGVQIAGCLGDQSSALVGQ CGFSPGQAKNTYGTGCFLLYNVGTKPVISKYGLLATVAYDFGGGRKPVYALEGSIAVA GSGVKFLMNNLGFVDKSSAITELAESVEDNGGVVFVTAFSGLFAPYWIDDAKGTIFGI TQHTQKGHIARATLEATCFQTKAILDAMEKDSNAKLESLAVDGGLSNSDLCMQTQADI TGIPVDRPGMRETTALGAAIAAGLATGVWKELNDLKDVNQAGRKVFKPNMERKQAEKL FKKWEQAVEMSRGWVNEVADGEEE QC762_407600 MFLHSGASLHGHEYSNRPSPSTPPVATHNLRSPLLRSAFAPPKQ RVNVDGASAPIRQRPASDYIPRALSPVVRFREDPEEDAPPQTPAMPEPPSDSELSDVT NPNAGTLTARSTPRRHRHRVQRKSTTYGLGYPAPKLLDKTKYVRKVLPRLLLQLQLVS ADGRSRPVLEVFPSSRIAGPVIAPRLAKRFPGIFGVKHSLAYDDIVLVRRDDDHVESD STEGDSDDALEKRRLVAVYSPLRHSDEAEIVLDDGTVWVARPLPNGSWDFAHTDDNGN TTTARWARRHKSAPTSHPTEPSTPSSTTTPQTRFTFSMINPLTRRHPVMASLTPSSLD IRDSYASVSDPSNRYQPPRYGRGRSLSNVTCSTVPNSPSQQSSLCFSTDGESDSGLGM PVSLTQEMAEGPVHLIDEATKNLISVTALWVALSSGWSPAHTPTNSGPESAAATPCTA ATRVGRSRRNTWGSRASNASDAGQRSECADLIMGITKRNSLPAQCLIEELDHNKRSAT PTSTPVISRSSTPVSNMSTNNPKAAPRRATSTGAAYMQRRLQASSTSEATVAEVAEMN AAKKTAVLSQAQAHPEAPVSAPQPIAPIRPSLKAEGSNIHRNSSITIKVVSSPDRETH GVMVKRSRSLFGSSKRASKCAVTERNLGEGLDSPGKEKKRLRERLSRWMCRLGGSSSR QC762_407590 MTASSTSFKSPRAHAPTTTTTTTTPNTRIISSAQPYRTLVTAFL IWKAVLFAIALGSSLVGDAYDTSADLLVHGGVEAATTGQQRAQQPLGLSGGLVSRFAS WDAIYFLSSAKRGYIYEQEWAFGAGLPIVVRGILQGARHVGIAPPADGGVLPEAVIGV TVANTAHFLSVIVLFHLGQVVWRDRTLSLVAALLHIVSPAGMFLTAPYMESSYAFLAF TGYLLFALSSQAESRALTRDVYLVLAGIFFGLATAFRSNGILNGIPFAWEVLRHLPNL PHKPFDTIRRLVALGIGGICVALGSIIPQAIAYGQFCSGASGVDPRPWCEAYLPSIFT FVQEHYWNVGFLRYWTISNLPLFLLATPMLAILVRSGVEQPTSARQPVIAAKPVESAQ LTSLVQSAAAAQVVLAALAIAMYHVQIITRISSGYPLWYWWVAGSLIRGEKVGGYIVK FMVLYALIQGVLFTSFLPPA QC762_407585 MTLPNPFSRSSPPPPTSNITTPKPDIETAIRPISPPDNASLPPS TTITLSPPALPLPPTNKLTTPQFIYLFLLDGLGALILSGGINFAIAYAMYTAPQYTTS PGTNPDGTPQPPDKITLWSFPSTLAGDAAVTIILQCLVTWLIELFLVNRDLKTGGVPP IGMFSSSSLLSNNRLVRWLCSLPATVPVVSSGGDEKGGRGRPEEVVVSVSPYPSSGAG ILGWGGFLLGQAARSMLVAVVSFLIFWGPTVGLLIAAGKANGKGDWEYDATWTPQVFK LVLGGVLGLVTTPVMAGVWLVRAGLVMGEGDNEEEEGGEQ QC762_407580 MNPPVQIPLLFTTSPFAPYPTAKMHLLPLLLPLLGLIPSTAAQS RTTPPSSSCIRVSKTPSAHSAQFSTLSSAITSLSTTSTSPVCIFLYPGTYQEQVLIPA AVKSPISIYGSTTNSASYTSNSVTITQSKSQANTGASNDETGTVRVKANNVKIYNLNI VNSYGKGSQAVALSAYADSGYYGCSFQGYQDTVLANRGKQYYSRCEIVGVTDFIFGQE SPAWFERCDIRVLSSSVGYITASGRSNSASPNFYVFNNCNIAAKSGQSVNNGAFYLGR PWREYARVVFQNTAMSGVVNGAGWRIWNAGDERTSNVYFGEYANSGPGAGGTRASFAR RLGGPVAIETVLGGDYKGQGWFDGNYGM QC762_407570 MPSFTSKTLLAALAGAAAVNAHGHVKNVVVNGASFQGYDINSFP YTQNPPKVAAWTASNTDNGFVGPESFASSDIICHKNSANAQGRIVVAAGDSVFVQWDT WPESHHGPVIDYLASCGNTGCDKIEKTALEFFKIAEAGLVNGAQAPGRWASDVLIDNN NSWMIKIPTNIRPGQYVLRHEIIALHSGGDLNGAQNYPQCFNIEVTGSGTVLPQGVKG TSLYTPTDAGIRFNIYRSLDSYPIPGPALPAGFAPVAQGSSAIVSSATAITGAATNAP APIATTTAVNVVPSPTTIVTSVVQTSAAQTSAVQTAVPVQTSTRPISTRPQPTRCPGL GRRHLRKVARA QC762_407560 MPGQLADLSSLDARSAALREKLSRSRWQNSTTISKESSKVSLGA TDDDIQDLITSIRVTSGANDDNPQPSSSSSDALSRNHQAHAANSTKYTSKDEIYTNTS QPLSFMNAMTSNHHGLPNCEETLVTRTTSSTGSQPHTGNVEVSSSASHAIQELLELAP DIKDWLEMTEYHNTEVRTVKLDRFRRLRDLAAKKKRLEEEERKLLEEAENDPWPRQPQ NKATPAPLVTRVQSVSETRQSLPTPITPNKPEPEGKETTSAMFLTSGIMHTATKRARP EEEADTQGRDKLPRTNHQDVQREDNNPVDESRRLEFADSRPSHPRHDFGRPPPCWHNY KEPSNFQRSRSPPRGPSFRRQYIDDRPQSRYDSNKGRRDSAHHDRENGRSRRLDFGRR GDTRFFIVKSFNEQNVEQCMKDNIWTTQAKNSSTFTEAFNQCRNVILFFSINQSGHFQ GYARMTTAPSSKIPRPCWMKSLPWGTSEPFKLEWLSTTPLEFRRVRRVTNPLNEGLPV FVGKDGQEIETSVGHELLNEMDLERERRWDEDHRGRLVSDYQWDEDYHHGTMVKRESS T QC762_0068880 MVLQQLGPALDISVDNGELITSSLTIIISDLSPNPSWRRAWVLS SMVHHSSQALTHMAQSHLTVGYLCRYVHYLGFLVINQGGLLVQSRSDRAGYVGGVV QC762_0068890 MGTKICIEGSNLRYTSTTSPFAIATANQLRLENENEEHTGRRGR IECVRMHLQQGHCQPVPDPCNMSSGRSSL QC762_407550 MMAGLAAQDYQLEIRQQPKAGCVALTKGKDRRPLDPPPIVQLKV SPRLDPTQRFLQNPYLILIAKLVPKDGENDEQQRRTETKGGDLAGTVVSSLYNLKDTD NTQGGFFVFGDLSVKREGSFRLEFTLFELRSQTKDCWQLSSCISDTFQVYANKAFPGL QESTFLTRTFSDQGVRLRLRKDSRTVVQSRKRGASGAPQVDMKPQSIGYMHGGNHDLS PNGQQHHGRRTSALEFDNGSYDFGYDPRGGKRMRHNSSAGNHPGYDSGYYTHHNPNPR TIPEPMVSAAFSNGIPMTTSYPVHTQPAISGISMRPSMAAFPPLHPLDTQISPHSAGP NSASSTFSPGTSFSPGTRRSPLSAYQYPNTHHNIYGSPTHMNYQTTSAQQPMAQHGDM GLSLQLPGIDLGDIEK QC762_407540 MVQATPKALWALAALLFTWSSLAASIKSRGSAGLFLDLADLGVD VTKRQTNNLAGYLGAFFLGADPYVYFYLSNGNDALSFRALNRGQPVIRPTKGTTGVRD PTIVPGGGSESGRKWYIIGTDLHIGRTNWDAAQRTGSRGIFVWESTDLINWTNERLVT VEDATAGMVWAPEAIWDPAKGQYLVHWASKFYPTSDPRHTGNPTNIRMRYAYTSDFKT FSAPQTLIDKNPTNIIDLNYLPLSPTSFLRFMKDETRKTVFVEVSNNGLFGTWTRPGG DGAIIQSGVEGPASYLDNVDPRKVHLLLDFYGSDGYRPFESTNPGSNSGWVGSNRNNF PKNLRHGSVLPINGTVYEALRQRWGV QC762_407530 MPSEDEELWKAKTALAGDTNAGAGHEQFLASIACVGLELQRQAS SFPVSPGTARGHSDGHFGVGKQSWGSSLLQQDTAVMSTFNGLVAEFPDIRVDFFRSHP DLRPPLACFLSHIHSDHLAGLETLRSPFVYCSAATKEMLLRLERFPCRINYAKGILEA RVQTYRHLKTLLKPLPLETPTVLELEPGNRMQVTLFNANHCPGAVMFLFEGGGKAALY TGDIRSEPWFVSAIARSPPLIEYSCGLKTLDTIYIDTSFVDDVDFPPKSEGIRELLEK VSKYPKDTIFHFQAWTYGYEDVWIVLSKALKTKIHVDDYKMSIYQSLVAKDTDNRFGT QFHLSHEAPALVGFMCGNTYHSGCLTLDQNVRLHSCEKGNYCPTVQKNLSSVVWIQPI VTRLPNGQDIAELGVGGGGEDLEREAELDYLFLEDIGSLLEILWDTEEVSNSMQEQIR HFLLGVVASGRKVPLDLERSALEDKDEIKLADGLHAITKKLKPQNKQHSLNESNAVAL PRTITFPYSRHSSFPELCELTDAFKPRDIWPCTVDLRRWIEEDITIEGLFGAQCSDSV FRHDKVILGKRAKLGCADQDMLDTQATTASTESSQRHILEVPTGETELSAVHQQLPVM DANGHSTSALDTQPSPSGSNSDDDVAMEDDIIIREHASFEDFDGIYPHSSQQSITSEN ALEARINAFQAMLNNARKPGGKRIELISTTDHHTVLDEELGFDQT QC762_407520 MAVALSSQVLESLLTPGRLVLLSVLFVVISFIVDISRLPSCPDS LPRVGFGRGLVASVKNWVYYVSRYNDWIADGYEKYNKKGRAFVVPNSPSRPLEIVVPK SQTAWMLEQPDRVLSTKEAHRDSLFNDYQFGVDDQFPIRTIHKHLARNIVNLLPGIQK EVHASIDDVYGTDTENWRTLNIWNSLIGIVSRVTNRMLVGDPLCHNEEFLKNQVAFAD AIVRNGLIMSFFPKVFHPIVGPLVTITNRRAWRKTYEIGKPVIEQRLRDMGRIDSGAD VQVPEDMLTWLIRQAKAEGAAEELSPVMLSKRLLPVEFAAIHTTVLTASNVLLDLASS DPSLGYIDAIRKETSQALREGNGHWTKDSLANLHLTDSAIKESMRVSYFARCLTHRKV IAPEGVTNPTEGWHAPEGSFLTLDLAGVHLDPEAFPEPEKYDAFRFVKLREQLDPKNP EEAMKIKRLGMVTTSPEHLTFSHGRHACPGRFFVAHELKMILAYLLNNYDIKHIEKRP QNDWVGATVIPPMAATLEVNRRKI QC762_407510 MRRTASLCMVAVALPWAAAQSTTPASFPPSSPSSSPALASATPI PVIGVRTGIDKVTGKPPARLNINGLWAKGGAQWHLYILALSELQALNETNELSYFAVA GIHGYPHSAWNGVGHVDGAPSNRGFCPHGQILVARAVDIASRYPPDLLPEYMAAAESL RQPYWDWAMNPALPVAATRLNMTVQAPEGRRVIPNPLYTYKFQRLKVEEGFGDSALTH YPQTIRCSRSGGVLNDANESNEGLLLAARDLTGSVYDVFTRVNTYDGMSSSSFENAHN LIHLRAGCNNGTMADINWSAFEPLFMLHHCNVDRLVAMWQTIYYNNSMFTSSALSGGQ FGTPANTVITADSPLKPFFRAPSAATTNGNSTLLEFHTSNTVANVSVFGYTYPELPDW SLPAETRAEQVRAKVNALYGDMAGDDGATTLETGPLNRMGKGTTRDYWVVEMSVERGE LAGRLPATVSLFIRGESIGRMTLLGMPCEGVARESVPVQDIRVGNGTLKDLDRESVVG YLKREVGVGIKGADGEEVSVGNVPSLGVVVLDMEYAPRTNLSSFPVFNGKVTRWPVEM RQDLGVNETRSLRRGVVRWK QC762_407500 MAPHNDAPSPSGSFTAGFNRIPFRPVGSSSLMAGHESPLSSSYS TTSEASDLHMTRPLVPGVYVPTMCFFEEGSEDVDTDTIARHAVRLARAGVTGLATQGS NGEAVHLTHAERQLVTSTTRKALNDSGFSHMPIIVGCGSQSTRETIQYCREAWEAGGD YALVLPPSYYASLFAPASETIIEYFTAVADASPIPIIIYNFPGAVGGLDLSSDIIVQL AEHHNIVGVKLTCGNTGKLNRVAAATRKMSKTHDPKNPEFLVLAGSADFSIQALVAGG HGILAGLANIAPKACTRTIELFNQGKHAEAQEMQEIVAQGDWTAIQGGVVGVKSGLQS WLGYGGYARSPLPKPTAAQEKKWKEGYRDLIMLEKSL QC762_407490 MAAVSLSPAPFHQLSAMSTARRVPLSNNPNVANSPMRTSAALNG AKKVRSHAELLREEPYGQPPPAKRQMIERGVASPSRTKPSRTIVHRGTTTRAAVTTSQ KTSQGAVEKASQLELAEWRATYSARFPTWVFYFESVPDEQRSRLAKRVTNLGAREEKF FSIDVTHVITTRPIPRAEKNSAHDQENAAESHGSEQPKTIDPSLLNRVAEAPPVRRKL VFDTSRRMPVPGQDAKPQKSRSTDILLRAQDMKMKLWSVDKLTRFLEALEAEPHKSTN HGRTGTGKTTDRSNLRQLLQQERVHGPSDRDPTVATKEMHHFKGPYIYIYDMEEKTKP VMAREYAKVADKKDGEWPQFRVASAGRCPFVQDYEVPEKENREKTKAKERAAKAAAAE NAAKLQPPEVPAPKPATGKRTLAVMEDGQNRGTPPVAAADALDRSRVSNPPQMEFRTQ NAFMSHAKAGRLLAGEPVASGLQQSAVTSAIRSQMISSTSGVLGAKAGTSKEIHGLQR KVLAKAGPPAVSQDLSSRRMAEMSHDSTTFVRSASASRATHRKLETVDEEEAAKQREK LRRTVSLPVAQRQKRDPKPGYCENCMDKFDDFDEHILTRKHRKFAENDDNWVQLDALL ALLKRRPRHRHEVDNDEW QC762_407480 MRLSRGRAALRQLSLVSSPNRQQIYRPFQQSLTLTGARLKPFRN YATSVSAANLEFGQPVYETHPHILKPGEITQGITAHEYASRRSRLALSLPPDGVALLA AADLKYRSGAVFYPYRQDSNFLYLTGFLEPESLAVIRKTGPGPDDYLFSLFCRPKDPR AEQWSGPWSGLEAAEDVFNADNSYDISRASTTLPSLLRGASKIFTDIPPSLTTPSNIG SLLKSLSLPTSPLQTLVNTLRAIKSPAEVAAMRHAGQVSGRVITSAMRRPWTLEKDIH AYLDYGFTQHGLSGPAYVPVVAGASRGCMIHYVHNTSDLPANSTVLVDAGGEYGYYVT DITRTWPVSGKFSPAQKDLYNAVLTVQRQCVALCRENAGVSLDEIHRAAENGLREQLA LLGFEGLTAGTKRWTGQGGEDLMDVLFPHHVGHYVGLDVHDVPGYGRSVALKKGHCVT VEPGVYVPDDERFPKHFRGLAVRIEDSVAVDEEGPHVLTTEAVKEVEDIEALR QC762_407470 MDSDPLRHLEFFSMPNNQTVNPVDQPFMTSTSAQAPPIWDTTGM GLEGGISMSPSTAPTPLSLDSIAQPSSLAGFNSISPGISSASQASAHSRQPRPVLPAR GVRRDAHERKRSRLSMDATPLDSVDYWLDFDKDEGLASIPEGVEASRREGELRPPVKR SSRNTAHAGGRLKHEETMDDSALDNALSDDDDDDDGFSSINLADHMSKIESAPPPEVP PREGLYSTPLSWERPQPGLRMDSLIGLNNQALNEAEQRRLIAIAMNPGSSMGGLGSNL NLNFTGLNPGMSTPFTAGGSGSGSLGMGMGMGMGMGMGMGMGGGMGGPKPVSPPHSTT PHPKPGSLHQPKRQGSVSDSKAKEKVKAAGGDRTAHNDIERKYRTNLKDKISELRDAV PSLRTIPENEEGEDPNQPSRAPKVSKGAVLTKATEYIHWLERRNKQIVQEHRELSRRL QAFEQLLNATARPQYMMPTYSRTLFDPRGFC QC762_407460 MASSSSPSRPTADDTNTSPPASPVLEGRDSPDLPLTMTASTVLM TLPRDATTALAAAGAFPQEKVIVRFRPVGAAPAVLREQVKVSSSYKFESVVAHLRRSL KVRDTDSVFLYINSTFAPSLDEVVGNLWRCFKDSENRLNVSYSITPAFG QC762_407450 MYLSSLSSVARRSCATLSRGTRPPPTTLSTTSTLNTTAPFASVF RQKHASNQQTRSSSHSPMGTPPTNPRKKVTIGTLRAMHKRGEPITMITAHDFPSAHVA DAAGMDMVLVGDSLAMVALGMEDTSEVLLEEMLLHCRSVARATRAAFTIGDLPMGSYE IAPEQALETAIRFVKEGRMQGIKLEGGKEMAPTIAKITRAGIPVLGHVGLTPQRQNAL GGFRVQGKTSDGAMKVLEDALAIQEAGCFAMVLEAVPAEVASIITEKLSVPTIGIGAG NGCSGQVLVQVDMTGNFPPGRFLPKFVKKYGDVWSESLRAIETYRDEVKSRQYPAPEH TYPIPKDELEAFAETVKDV QC762_0069030 MPDSGSALRIKCYRPGTRDSSAFAIAVRPQLPLRPLGYGVGCPS TKDIGIHEIKAWIFCMLET QC762_0069040 MKQSFPFPFCPLSNKIASPSTPLSSTDSDRRHLIAAALARLFRK CAPANSKKKTIKTDAENSTRACPFESHTIPNDW QC762_407440 MRVVGTIGRSFTCGSRGLLRVCDICGVWKVHVELPDQYPYKSPS IGFVNRIFHPNIDELSGSVCLDVINQTWSPMFDMINIFEVFLPQLLRYPNPTDPLNGE AAALLMREPKSYDIKVKEYVQKYASKEAADDAGAESEDDDDLSSVASFDDDDEEQQPA GKMDDV QC762_407430 MQGFNMGRYVPPDLEGLLTSGNPLHKKHPLGPRASKPGLLTVRF EMPFPIWCATCPQPTLIPQGVRFNASKSRSGSYHTTPIYTFTLRHPPCSGTITIQTDP KNTDYVVLSGARRQNVATSTDDLVTTSIKTQREKEEERETAFGKLEKTIADREQARDA TVRIDELRDSNERLWEDPFTANRRLKREIKADRMRESLVEEIRTNTRAMKDPFLVAFG DNKSSRDKGGGLLPGLKKRKRGAEDEGEKPIPAPAPTQPEDTLAGGGKGELKPTAPAG QRALLVSYDSDSA QC762_407420 MRHFSERWTTLKLVTMKSLLLLGLSARLSASLGHAANSTIYNPI FPGFYPDPSCIFVPEWDDTFFCASSSFNAFPGIPIHASKDLQNWKLIGHVLNRKEQLP RLAETNRSTSGIWAPTIRFHDDTFWLVTTLVDDDRPQADFTRWDNIIFKGKDPYDPAS WSNAIHFNLTGYDPEPFWDVDGKAYINAAHAWQVGPYIEQAEVNLDTGEVGEWNVIWN GTGGLAPEGPHIYHKDGWYYLLAAEGGTGVNHMVTMARSKNVSGPYESYVNNPVLSNA NTSSLFQTVGHADLFHDGNGNWWGVALSTRSGPEYIHYPMGRETIMTAVSWPEGEYPV WTPISGEVSGWPLPPAALDIKGVGPFVNHPTPEILTFSNNTALPAHITHWRYPNPSSF TISPPEKPNTLRINPSNINLTALNGNYAGPGGQSFISRRQQDTLFTFSVDLDFSPTAI EEEAGVSAFLTQNHHLDIGIVLLPPSASTATLLSGQPAGQEEEALIPQIRFRGESYVP VPKPIIAPVPKAWRYGKLRLEIKAANRTHYSFSVGPAGRQSLMQTLLYASNEPVSWGF TGVLLGVYATNNGRNGTTPAYVSNWQYLPQGQFRD QC762_0069090 MKTHHISLFPLQRPALPDHIQHRDAHPPFGVAPLVIQRPRKDIG YTRKDTPGSQKNRKIPDRNEVFWQGC QC762_0069080 MSVDRIRAIDKIEKLPPPVDDDEDKHELGVSLQPYTSSQHGPRN QDRRGSTLLGDVIVEIDPVVEKRVRRKFDKTLVVLVFLAYMLAFLDRSNIGNAQNAGM GDDLGFDDEHYQWLLTIFYIPYILFEGFALMWKIMPPHIWATITVATWGLASTLQSAA PNWQSLMVCRWFLAMAEAGFAPGVPYLLSFFYRRRELGLRCGIFLSAAPLATTFAGAL AYAITSTPSPPLPPWRLLFLIEGLPTLILAIILYFHLPSSPTTAPVLTPTELSVAKAR LSLHTPQQGQTPTGWRSISPREILSTFESLQTLLPSLMYFSLNVSFSSLPVFLPSILS SMSLSPNTSTAQGLTAPPYLLSFLICILSTYLADKTQQRGLTIICLSLVGGAGYVLLA TLPEHLVSVRYFSVFLAAGGVFPSIANVLPWTLNNQGSDTKRGVGIAVLNMVGQCGPL LGTRLFPEGDRPGYTKGMVVCAVFMFVNAGLAAGLRWHFARKNKRLEERERAQVVSRA GDGGRREDGESEGMVGFRYVL QC762_407400 MLSKFLTLALAASMVSAQTYTDCDPTKRSDCPARKAVGSKPVNI DFRQGKNKFFKEAEGTKLTYDKDLGAVFSIANENQAPTIGSEAYIFFGQVDVVLRAAP GPGVVTSFVLQSDDLDEIDWEWLGGDNAQVQHNYFSKGCTETYDRGGFSPVADPIGAF HTYTIKWTSEQLDWIIDGQVVRTLKNTGIEGCAGYPQSPMQIKLGTWVAGRKDAPQGT IEWAGGLADFSNGPSDGYYQSLKIIDYMGGHKEATEYQYGDKSGTWQSIKVIGSSGVV SSSSSVTSKATTKTATSATTLQTVTSSTALGATNGTLTTDATTTPTSTTEEVTETETA IPTGAAGKVALSNMAAMGAAAVLGYLVL QC762_407390 MASSASRAALSSIPLFHEKLLKSNRILAVCGAGLSAASGLPTFR GAGGLWRNHNAVDLATPEAFDADPGLVWLFYAYRRHMALTAKPNPAHYALAELARKRP GFKCLSQNVDNLHVRANHPSDQLSLLHGSLFTLKCTTCSWKDPYNIADPLCPALAPAA ESNPDPTKPLPLLDPAQPLAEIRESELPHCPDCKTELQRPGVVWFGEMLDEDMLDDIE EWIEKEPVDMVLVVGTSSAVYPAAGYAERARTKGRTSVVTVNLEITEEDWGRMRKGDF GFEGDASLLLPELLRPVIGEVKGEEEEEEVEG QC762_407388 MVNFTLNVAVLALVASVAAAPATADASSTTFSFARWVEDIIANP DTALSPAEAIVAANATEVVSTAGGLQKRANCQPTFPDAPAPDAAACLNDLARKGANGQ HCAMGTRVFEIEMCRIGGAQIVASRGGLAAQSVNCQDVARTGGLIFDSCFRADNTVKG SEICITNRLMQINISGV QC762_407385 MSRQSSSASIELSTREADPSLSPNLAQAAHPTELLGEDDSGDQT SVPGSESSETLSPRSSSIEIDISLDEPPPTGHHTNRTLWKVWAVEIVCMIVGFASFIA IVIVLATFNQQQLPNWPLKISLNTFLAFLTIVAKAAFMFPVS QC762_407380 MADPDADSPVLFADLDTFDLDGSLTGNLVNSGLGTPDPNNNTTA ATVASSQPGDTLAATPGSGPSGTPVSVSPSITNTHAHPHAHPHHLQTTQPEQQQFFNP VPSWNFQDTPPYDLNAGDDQFTVPPVPHTWDLPVHVNLGQPVLHQHQQQQPQHQPLYL QSPAASSIDPAFDHTTAAADPANISLSQAQAHSFSNDQFRPDNRNIQNTLTPAQQERL KSIAMPPHLQYHSPNSAGSPDSSVSADKGAGSSPDVQGNSKSNSRKRKSSAEVDDDDD DDELDGQHPVKKTAHNMIEKRYRTNLNDKIAALRDSVPSLRIMSKSARGEDTTEDREE LHGLTPAHKLNKATVLAKATEYIRHLEKRNNRLIDENSSMQARIAAFEKLFMAGAMTG QLPNPLQQPPTPVQYPQDAMPYMNTPVATTRGPDPAGMIPIPDDIKRILASQQMNAGR PYPVPGQQFQQNPAMIRQQQLQQQQQQTQSSRWNPYLGKLMVGSLAGLMLVEAYVEKE QNPETTEGRGLMGVPVHLLASFIRSTHFSIGGYYVSASQILSQLRFFGLLAAFLWATC ASLFNIDIFKPSEKPKHATSAPQAVPSLASPIHVRRQAWLTAIQTVWVPQHNFVLEAA ALVLKSSKYALRNVIGPRGYLMLVGLSEEQEAARVKAWSIALDAQLAGGDVEINKSRL TLTLIASGTLPDTPLRLMMKALHIRVLLWRLNGASWITNLVAAKLARARWNDARELNR ILNSLGENNKSADEMLPEHLAVLLEQDCDEVLNDDIIQRAHNLAWNQPTTHNVDEIID GMDAVVEDAAVRSPMDAVAAWYSSLQLHHVLCNNLPKTRDESGRLALETEDKLNLATK VAPIGSNAHVRVLVARAVLGEQKRGAAIVTAMNALGPSLNPDKHPNYSRGVPPLIDSP VTPITPDPDAQMALRCAMGIAQLQRFSDPPPAAFTVIDSILPAGADIEGMSLLGYTAA YHLMERLHRHAVGRETCSRSLERLAGSLRIWIGSEAGNEVGFDGPMRQKMIDRCLAIT KSVVGMEADPGYASMEEECTEDTAGGGC QC762_407370 MLSAGVSSYNKAAFALLFATTSWPSFCAALPSIQQLLRRGDLWL DYSSPTPSPEDGPPLSANAVRDPAYLPIHIGAIVGAYGFALVIVAILLLALLKTRRTH IRNGELPEEERGLLAFNPFTQQFLSEEEYKKQLEQYQLQAEQYQAGQQHPQQFQQEQF QPEQQQQYLGHNLGQKLSLQTDLPAHTRNYSLPSASPLTARDRLGGPLSPAKSQFSIA TAHSPTSTILACGIDLSVDQTVVGRDRAMAQNQLEEMYRHVMEQERAKAEGRAYEPPP MLTSPSTKSVNTMPPTPGSTKRERNKPSNLNLAQDTKKESRSSSLLNFLKSPRKNKVQ GSGMVISSPILTPMSGTFPRQEEQELNTIPPRHYAPLAPPPLPQGSSSDLPFRRNNHA GSSSQHLPTPDISPVSTQSIDSRIDAAVAAPRHHQRDNSNEEPPSATSSTSGLVGLPT SPKPGVNRFPSMDSLPASPRPGQTSFNRPNPPSAVRAGGALPFRAYEPAVTSPSAASF GTTKQTVFTRPDRDNGLPTGQRTPWTGAPVPYTPYQPFSPVIPVTPSLLSKKERKMMR KLEPKTPTLEMVRDTDDVW QC762_407360 MPPPLANHPILNSLNDAQRRAVSSDAATVAILAGPGSGKTHTLT SRVVWLIDQVGYRPEDVVVMTFTVKAAREMKERIGKALGDGREKKIVLGNFHSIARRY LAAYGRKIGINQKFGIADDGDSRSIIARICKRREVNLDPVAVRSWISKKKAKGDEVVT KPLGQKATKTSGEKDLDECYEEYQAHLKKSNLLDFDDLLVRCVELLREFPSCVSNIQT VLIDEYQDTNGVQYELMKLFAQRHKRITIVGDPDQSIYRWRSAEIQNLWRMLKDFPGT DEISLEQNYRSFDAILRLSLLVIQQDKKRYQKALKPVHGRGPRPVLRRLKSASAEADW IVSEIRRVIMMSGSMLAFNDVAILLRSASLSRHVETALGKSGISYRMVGGKKFYERLE IKAILDYLRVIYQPENNDALARIINVPKRGVGDVTIKSLLEEAESSSLSVWAVLTKHC RGDRTSKTRIPKPMEQRIAAGLIRPLDNIRRKMEEAAKPGGVVFGLVEMIEQLLAALN FQKYLKDTHPNEHEGRWANVEEFVTLAGDFVRDLKESSDEELLPELEGLEQAKEDEVL PRFLANVSLASDVQTGDDGENKPLVTISTIHAAKGLEWPVVFVPAVYNGSIPHMRSDD NDEERRLLYVAMTRAQSLLYLSCSLFSTNGNGERNQLSSFVEPLHRVFASKGPCFDRP VMLEIAKVLGRTLPPEDAVFKALPSMFNPEDNIFPVDPEESQEVPAAGTEARTSDDAP RAKRPRLSNMSGQKNSGEDAQWHRDYTTTMERASSFTVSSLPGFVSAGMHQAAITAAN AAAETRQKAEQEKRLANRRPPDQKSILGFVKSTTKPSIDPSPAPTFHNGLPTQASLAP PQVTANPGQQAIPPSLATHKPAKGTLLSRPGRPAPAPDENPKGTAYPCFSSSPVKPDA IKPDSDEDDEPLPEPTRVAACLHSTTFTRPTLLTAGRGSGGLTRPSPVGKVGRTPIAP IDRLRKPFKPLTIKRP QC762_407350 MTTTMPAAGTEMPIRSQSVRTRRPPTGTRPDPLPRSESSTRAEA SRPSRTRSQRSTNAPSPRHPQQPDASAAPPSHHAEEHRGDGTSTDPRRHHTSKHKYRT MIPAPSGNYTFIKTIGQGSMGKVKLAKKEVTGELVACKIIERVTPDDGRQSREEREKA DAAREDRNAREAAIVSLLNHQYICGLRDNLRTRWHWYMLFEYVNGGQMLDYIISHGKL KEKQARKFARQIASAVDYCHRNSIVHRDLKIENILISKTGDIKIIDFGLSNLFSPDED RKLKTYCGSLYFAAPELLQAKPYTGPEVDVWSFGVVLFVLVCGKVPFDDQYMPALHQK IKKGAVDYPSWLSSECKHLISRMLVTDPRQRATMHEVMNHPWMLKGYNGPPENYLPQR EPLSLPLDNEVIANMTGFKFGPPEYIREELTKRIKSPKYQAAVRRLEREREQPQPTPK DVEKRRGFGFDFYKRRNSVTSRDTLTTASSEGLPIGDDPLNAFDPLISIYYLVREKLE REREGQVAQAGPPAAPPKVQVPPSPQQAQPPPVPPSPIVRPKEKHSLAEIVPPAPAHT DGGRGTRTRARSHSEEQTREPVKNGLLSPDMIPQKKESTAAGIFRRLSTRDRKKDVGD PTGKSIQKSVSMRAKSLGHARRESIQARRAKREAEAARGDAAPATALPVREETDAELG ELDNDNGGETSGGSHERLEPEDPDLAKPVFLKGIFSVSTTSTKPLVEIRADIKRVLRA LGVDYKEIKGGFRCAHSPSIAERQPQYDRSRYSHQSSDERRGGGSRGMDDGEIKFEIL IVKVPIVSLHGVQFKRVGGDTWQYKAMAEHIVRELRL QC762_0069190 MSYKRSRAAYEADLTTQQSPYVFFGTPLPPLDPDVRDDGSYVPI WKQEVRDERGRKRLHGAFTGGFSAGYFNTVGSKEGWMPSTFVSSRTNRRKDDPKSAQQ RPEDFMDEEDLADAEEDRRIQTKAAFSGLGSTENDASRATGLMGLFRATGETMGEKLL KKMGWKEGQGIGPKIRRKARLELRSDSSGPGETYLFAPENVPMISFVRKTDHKGLGYG GHARLTPIGSSNKNNGASHSDDEDDDETMGGFGKPRFTLPTDRKKKKDNKPRGGIGIG ILNDTGSDDEDPYEIGPKISYNRVIGGDKKKKKATTTINPAVKAPLFRPSKKTALEKI ALGVRKCHDGRLPLDGFVFGKEPDALTSAISSEGKYPPPQVPPGWVSSKKPKSPAGGP AEFVSAADAAKASTLDPKSRAAILGEKQLPGKSVFDFLSPAARERLVAATGRADLPQA KGEVPAEYALSEEERMNELLNRVPKLDKETAVAAISRGVSSGAPYADDEKKRARYIAF LEYQAGFKPTPGTQPPKMNSEDWLRELTEFYNCARIFKPMTGFMASRFTTSSTTKPGS RGEAGQKDILSKPPPKPQDPAEEAAKLGMFGPMTRSVTDFYPTRLLCKRFNVRPPEHV QPDEEHSTKQAPGGSSRFDVYPDQPVFRPEILTTGGGVGISRESSNGEGSGKPTPAPE PAMVIDPSRNEALEGKRAGEDVFKAIFVTRDRPINTNTSTTSSDSRPSSRSPTQPSPA IDKLSDAVADPDLVCFTDEVNVELLHHRQAPDEALASGQRSPPPQYPASEASASGSNF RPPPSFQSLFALPNPEAGNHKESAPDSDEEEANPNTAAAPAYAPPDNQVAQSSHSSAS AASRFQDETKRALPRDSKGESSRSKEEEAEPPPAYSEGYSPLHSFTYLMAAAGGESSI ITQVQQGGPPINTLSDINADETITMDLRGTRFTLSRDELLTLPEFVLLSLFPNGLFPE GHMGGGFQDGDAVQVDVGTTDSNYYYGNDEGYYGNGAGVVGDDGGDGDGALSNPIYQQ QPPPGQGNAYDEDDDHIGASAPCAAVTVVATPTSQNASHIRSVLRNLEIYIADHYTHT QYDPASLQYMLDFFRGVASSIPATTSEDGSDVVGVDPAAREQRDDSSRRAGIIVLRED LDFYAIPHKQDIGQEEMMDVKRAAAKKLLEQGGIFSGLKKSDEPGTTEAHLIEMLTAG GFNHDDHWGHRAGEPNKAVICSLALARLRSDIRGNEMGNNAVGMAQKLLLFWRKPARR CWWEGVELEGVEGVPQGQKLKVWIRRVWTLEMSVIGLR QC762_407320 MAEATVPPPPPPGLWVPSITIFTNDDTLDLESQSLYFQYLTSSH VGLTGLLVLGTNAEPFLLTREERSQLLHLAKSVCPPGFPIMAGVSGHSLAQVKEYISD AQDAGADYVLVLPCAYFGAKPTVVEGFFKEVGEYVRKLGRERGREMGVVVYNFPGVTN GVDMDSGMISRVVRESGNVVGVKLTCGSVAKVTRLAAEFGRERFSVFGGQSDFLIGGL SVGSAGCVAAFGNVFPKVIGRVYRLWKEGRGEEALVLHRRAALGEQVLKSLGVAGTKL AAGMFTGVRAGIVQEGMEGVEERFKMRAPYEALGKGERERIWEGLKGLDEIEKGL QC762_407310 MRFQSTTAALLLLGGVRAQTTLPPPPNETSSSETCAISLTPSYD VTIAKGWTAHLLARNLGFARSIKLDGRGGLLVVSAQSGIVHLNVTDRASTCPYVINNT TVIEDERLNHGIELSPSGRTLYASSRESVWAWDYDPSTASVSNRREIITNMTNTDHVS RTLFLSPSHPDLLLVSRGSASNIDPLSIPLENGISQIRAFNISNLTSTSPPYNYPSHG LLIGSGLRNSVGIAEHPITGGVFSVENNIDQTTRLGTDIHNENPGEELNFHGFLNGSL PENRHYGYPFCFPLYNRTDFPDLNTLTTGDQFSLNQTAELNDETCAREYVPPRLTFKA HMAPLDIKFNREGTRAYVSFHGSWNRDEPEGYKVAEVEWDAGTGQPVHSAKSLNAARD LLNTGDVHRCRPDGICLRPVGLQVDGRGRVFVSNDYGGEVWVLEQTGDVEEESWEEVN YGGDGGNDDGEGNGGGNGGTGTDDEEPAETSNPAVKGAGTVRTEGWVVMGMTIVLSFV GGIFVIVA QC762_407300 MTAGAQVISNAGHDDMIHDAVLDYYGRRLATCSSDRTIKIFEIE GESQRLIETLKGHEGAVWCVSWAHPKYGNILASAGYDGKVLIWREQNGSWQRIFDFAL HKASVNIVSWSPHEAGCLLACASSDGNVSVLEFKDNSWEHSSFHAHGLGVNSVSWAPA TTPGSIVSSNPGPGSAGNRRFVTGGSDNQLKIWAYDPATNSYKQEREPLVGHTDWVRD VAWSPTVLQKSYIASASQDRTVRIWTSDSASPGQWNFKVLNFDAAVWRVSWSLSGNVL AASGADNKVTLWKENLKGEWECVKTIEE QC762_407290 MADSMCGPSNGAKNLLAHTDRDRTLHQDRLVNAPLAGPGASFRS QNAGPSNAAQRAFEGFQQGGPVNAGFENGPLLPDMNPAALHRPPMAMSPAPMAARLAA EHHAAVRLESPATGGVGNQAWINEFANMKISNGSSVQAGQTPGMAMSHPGVPVVQQPM MLPTTGVNSFAPYQTGMAFQSYLPALSAPMTHSQLPGQEATAAAAVQVESAEVKDAFA DLFDQYEQQADQLTDYQRQEQEFEQEQAKWMAEHGPKALPPTDAEMAAINSEMERIAD EQEEHARRRENADLARAAEDILRAVSGNSSDKFKHSNFLELMRRIAASEIVVNEENFI DADTGEKIETGDLVAEGPVNGGGSSGDGNPVKGESGAVGGGGDAPPPPTAPASA QC762_407285 MCRENNDREWDSPLLFCLRPHLQPNISVVETLSPFHQHLLLSHL TTTHYLPKMESLSGQTLLLPPTTHFLRTWKSSSPPQFPPPAPQPLHNRLTEEVINPIL SSLIPQPKALQKAIEYDTALLVCGLYPSLAAGSEDFEKLKTVAVWVVWIVLWDDAIDS DSSGGINAREYVEVSKRYVRWCLLREGEEEPEAPTKVCELMKSAGGRLRGVNGWGEGD VRRLWGVLECYMDGCLVEYRVRMSGTTVAELRLLGDEVGEQEFWAWRTGTSGVGAFCL MGRVMNGGEGLSGEVWGWEEVRGMEMMVIKSFVV QC762_0069250 MWDGSRVGRLMIGARVNELFSLKKELKDGAAMNLVSIAMHDRNR DLAGAVGGILGDYEHWGCEFRNLATRFRARAKEEYGGEVMEMVEKTIEAYEAVVTAIL EFSVQSPRYGIKQYQREDGYFEIPL QC762_407280 MADALEWNYTSPLAGYEKAPPLPDERTDDGKSYVNNQTGVKSVA YERFIEPLDNGRRGGFDIHVYYFQNNPEQTQYARELWQRIRHEFPELRIYTFWDRPVG PHPVAMFEVNLFTPAQFGAFIPWLAIWRGPLSVLVHPNTTHEDDVPHELERELKNHTE RAIWLGERIPLDVGRFKSLVAAFKRAESNKGE QC762_407270 MFAGYCGLNNGTSNFPKPTDPPGAMTYYVTDLPQFQTLAPCAAS GLSYALQGQTRGLCPAGPKALASCACLKEGMTLELSREITSSVKAYCRSTATEDVSSA ISVYNYYCSAAENKVTAAGVTNSVDQTYATGVSGGVPRATGGPGSSGGGSSGGDSNTD NNSSRTNLGMIIGIAAGAIGGIVLLGALIWRLCKSSRNRREQERLAALAAPPPQPSVE PKLAPPTYRPFASPIAAVNKPTFASDVVAAPPPVDSPAPSSILRVNSPGRTDNVSPIS TTGPYSPPQNQSAVHSALFPPTPGTSELHAQTPSPYNSTSPPNAPELHGQAAASSLYP PMPGTSELQSQNTQPVLAPPNPYHSPAQPRAPELYGQGAPQANRPELQGQGAMVPPPP HAPELYGQGAPMANRPELAGQGAMYPGQPHSQNMSELQGQGSHLHNVNMNRPELQGQG MMYAPPAAPGTQELHGQGGQYGQPQGQQGGGFQPYQTGYVPPQSQQPPAPTGQASWQA GPVPGTYEMDGEAYHRGR QC762_407260 MAAAEVDLGYLSANAGIPELDLNTVVTAPTPELVTSILQAVLTK LRDLEQEKFQLEIELEGAIRGSESRCEQFKATSEKALKEVEELRQKLQSEESSRRSIE NELQALKSSGSASQSEIDTLRARIASLETSNRETLAIVDSKTSANATLAEELQKQHQK ILKLNSEISSLNQSVQSAQTAANSAKYREEALKQELELAKKNNEWYDAELKTKAAESL KFRKEKGARIAELQRMNEDANSTIESLTRSEQQLRKRLDEAQSKAEEALSKVQQLQES NSRAEESFRQELESQKRLVDLKDQQSETHRERLKEVTDRLEQVKDDHAEEMRRVRREL EQAKQDLTQAEQQTQNLQAEIDRLRVSVVESEQSHGEAPQTPRANGSFMVRPGSPFGT PLSVRGKRATDTLEELLKVKAQLTTEQRKNQKLQQDLDDVLGMLEAKAPELEETLAQN EELKADLDKYTELSQQAWETAEVAKKAQRKAEATVASIQAENKIFRAQVRDLGTQVHV LVFNAHAQEKGMDMLTPDEQEQFERMQRGEISENALEDMSDTHRFITERFVVFKNIAE LQQKNEELLRITRELANKMEDEEANTKAREEALELENPQELHNTIRQLQEEIQTLLVK SRSYVQERDMFRRMLQQKADSAEIRQALGIARDGGREVLASIEQPAQTDDNLVQAFRD LQAQYDAYRADQSADRNALKDQIQKLSSEKASLQSEISRVSSQLTLAAERYSILESNF KALQTEKQEIQKRNQSLSESAAKQDLRTQQVAEDLVEARGLVESLRSENANLKAEKTL WKTIQERLSGDNESLAQEKTRLNNLLSNQQSLLNERELSESETKRRLQGQIDALDAEL TTTKRKLSEETDEGRRLQQRKEYDAQQAQKRIDELLSAISQVKQDNAQLKTSRDHLQA RVSELEIEVRNAQERAERLRPLPTPRPGTIHDQGGISAEAQERIDELDNEVQDLKNQL DLVNMQLENAKEEAERYKTHGQSLEDELNTLLETQQEFTAEWEKDANAKVSTITELEQ RVEALTLELGASNNELNKLRDSQADVARKSEEKERILNSEIARLKSEEEKYREIAKYH QQDLRTQQEITVKAQQEYEYELVKHAEAAKALQDIRTKFNELKIESGKWKAEAESAKL ALRQSEQSWEERKVQLEQEITEIKARKEDAAAQNKLLHQQLDSVTAQIAALQQNRADS AGDVSAGAIADTATEGLRELNNYLRREKEILEVQYDIKLQEAKRLQQQLDYSQSQLDE TRLKLDQERRSNSDSARNSATHKEIMDKLTELNMIRESNVTLRNENQRTQAQLERKTQ KIEELEAKIQPLEARISELDFDRNFKEQEIKQLQEARDSLQKRIESILSKYGQSDPQE VEQLKTTIMELETERDALKASGQALQERLKEAEQTLETKSNEWKALREKFAEDFKGRY KAMKTARDEAINDKAVLQETIDGLNARLAGVEQELTTTKQELASVTEKKKELEQKAVA PAPVAAGPVSVFSQPTENGDNSELVAQLNQQLESLKSELEAVKADKAATDAQIQQLQT ELATAVSERDQARAAASGGDVAMEDALSAAPVGSLTDEERKSLEEKIAAAEAKAAEFE QKAKELEEQSDAIVRTRSEKMKTALNKKLQESKENLEKQAQEEKAKLEAEYQLKLQQE IAIVKAEQVTSAPSQNGVPSTPVKPAGELPPATPVVGTPGFDIANISESQVRSFIANN TVVANIVKSNVKKLVEKAKEATEASLKAEYEQKIATAKEQASALTEKKSALRLNMLDR QHKTAQAKIQIVETAAKETPQRPVVEVWEIAKDAKPPAPAPTPTPAPAAPAAAASATA QAAPAATPTEEKPKPPAPQIPKPASTGIPAPGSAIPAPSTGPAFAQKATTTTPAPSNL PVVNPFGQPAPAAQAQPVQPQQQQQQQIPQPAVRTGIPLPRGGAAAGRGGRGGVYQAP GRGGPGGHRGRGGFQGRGGAAAAGNAGHLNPAAGEFNPNPSAGNKRPRNDSEVGSGER GGKRPRGGGNVGGGAGAGGQQQ QC762_407255 MGVLFLRGRYKRRVKALGKDRGGSSSGGSSTTIGNDVGVVEVEN KEMVIAELDGTPVVKRAEMDVEGGYKELDVEFGYGELDVMEKRLSEKEERYGVDREEI KQSSNKERDEREDEENADDAGGMVGKEERVDQNGGQTTKSKRTSADIAQVEKITYQTS HER QC762_407253 MSDPNGITLEFRDLPPPCWEVCNKAYQQVRLFETFPEYCKPESS YQINYSNCLVCCSTTQTNDIHKTANSINATLVSIFGEYTNRCNDFNGVSGANASRPEP QSSSGFTLAHIIGTVLGVVGGILLLACIVTAYQNRSRDKVERTRLLEQKAEGKRRELR KKDLESQSTTAEVDRPSEIKAEVSPMIREIEGNPRTEMEVEERACELDLGSGYSEMDV QPPNEYSKLNAGNR QC762_0069310 MAGLGIMHNDQSLVSFPSVQSIPYSPESGVISVASTKPKLSHIV CFPFRQIRASMARRLHILCRR QC762_0069320 MSAPTQDGIRIACTPCHRKKITCNKELPCARCTRLKLACDERYS GRYKARSTPHTKSPEAASRTEISLRSAQSQSGTPGDDEGATSVSNDRAADSPTPSEDL EPRPHKRRLVGLRDLLNADETLPTFDAVPPLVHEPWDPRFYRFWYPWRKLASYLEVSA PEVLEFTYNGGYLTSNSVLHVGLQLQIRSERYPDASKVWIERPKLLTSITRHLEVSGT WNCGLIGADSSPKGVMVSCVAVLPGNDGVVLPTTLGEIVIRWQQLSSLLLFRQWGCFY STRLGPAMTAL QC762_602490 MTSKKVCGRSEDEVLDEVQKQLGLGELRIDTNERDCVAAIVDFD GPDGLVAVDGNFYVTGRRKTQSGKYLYVTCEVYVMGEFPSVRWIYSAKAGL QC762_602485 MELRDIISQPGEHVAWEILQAVQERTNVPTKHNPEPLVRLTASG RYSDDESPFDAPKITIAGMVRLAKYCRFSEWGSISRYEMVQRAAFQWLNLADLDKDAY WHHYYNILLRKDTALANKFLLDVTLLKWRDDVDGLILRATGFQESIHEASPQARHSTP ASESPMSVSPAGEAENQGTGVGSQQKCDCAIGDDAATQIRSRLIREIPDAETEIGQMS DLTLSHFAKSDHVGIDRRSLFEIYTELWRAWEHSSTFQAESKGGKRMSVCIGADLDLH CLGDIDFKIKVERSHGLDIASKFRIPIHACAHILPEESTLSASTSEPSEESSRSPTVT VDSSRLENNKDLYFYIKVIRVSRPLRVTVVEGQAVIVRNDRQLCWVWAPTGHCPTSAE LPKGLHIEVINATIRKEDI QC762_0069350 MEDDEDCGIKDIQFGFRHFRAPAKRIYGNRNVFLLDVPPNPIAS WLSLWMPQLVRSWLQRLLPEWFLPTTVILKERNPTKADSYENEIDTYLHLRSLQGTYI PRLFGEVTVSDPHAQRYQISKRPTPAILLENVEGVSLYNLPTEELGNPRLLEELKDMY NLLTEKGVVHGDPKLHNFLRVDKKIVAIDFELSHPLPSDITNEHELEDLKSEIKEREE QAQEAKLERLVRGPVIVNGLRVRRRTDLPRSGITTYNE QC762_602475 MSHEISKLAACACSALFQTDDGLNEHIQEYRSREQYLLAEAKTC RTHWRTSDDRISQSDCDDNQDSKDNEVGNHTSTRREYKRDGGFYCPNRTCSTPKRVWK KQLLRRHFQQHVPCNEVCVECHKTMRLTSEFLRHAEKHVDIKDERKKVYIRATCDELR KLSDDQLNLALMKPKFGVTGLDSEAPESQKRKFGVAGLDSEASGTQHTVIHDHPHLQS SSAPFYSRSIDTESAITQSLSAMTPSSHIVGIADTYTDMSYSTEAQLQPDLLGASDSV PTLMNTWTTDSHRTTNPVNEVLETSRTQGLIVADQPHLQGSSQAHLDFLGTFDAPILQ TLNNVPVSEAHNYGSKAPIMYSNTARESLMPSMYPGSETPAMYSGTVLGAKAPIMHSG TVFGSRAPVMYSGTALRSASFQDGSSVHAP QC762_602468 MLVVAILLRGGSNVVYSEKMLLSPIVTWIRGHRFSAFCLWEASK TNICLNLLHVSGMVGSTTFDESIC QC762_0069380 MMSIETLDFAGQATDLVLEVGPKAVLLRVQAELLEKHSPVFKKM FLPDQTDSITFDGSGTRHISLPEDNPALFRALFYAIHGQFANVTALFTVLNFATILQT IALASKYGMVPVFNPWMGSLVLRIRRSLQLLDSSELLSCALILRFIGDIESLFLAIYI LAHFASRDEEGRMILDSIQQPWSAEQYQTPATGYLQGIPIDAGLDLIGKTESEPILTP N QC762_602450 MNFQTDVSSCFWPVPVTGPGSQTVSPEYCLHEALFSQPTSHDNT YYSPVVWPPPPRSTLLAHPQGAPFYNPTMDAPNYHNQDSQRQECSQPPQSHTLWERPP PRRRRTTRSSSHVCELCNKFFTRRYNLSAHMETHNKDREHPFPCMGCTKRFVRKTDCE RHYKSVHLKERPYFCGYCKQGFTRKDILSRSVEIPSLVEFFSIF QC762_602445 MTLFGNLREALKKAASLRRMLERECAVRDGSEAFEPSGGHIEFV DVSFAYPEKVVLENCNLSFQSGKITAVVGPSGVGKSTILKLILRAYDPQIGLVKIDGQ DIRIFRLQSLRSRMAVMEQEPRLFNRTILENVKYGRQGATDEEVREACKKANIHDCIM SREKGYHEVLSCAGSGWSGGERQRFGLARLFVQNPDIIIVDEGTSAIDTETETQVNMN LREWFQGKTTILIAHRLSSVMHAHNILFLSDNGQVCEEGTHQDLIARKGGYYSLFQHY HGNG QC762_0069410 MPRVLQISLDSDDEDAGLVSAAQLIQRFESNPILEFVPVSKRAQ EAAKRDWQKFEWWYRNIPDQDYSMTTAWMDFCENKDKPKSLIRAFLYEHVLRSRQKRP AIGQSETQVVQTISAAKSALTFWRNIVYMADTTILNEARHNDRDNRHKWKLRWADVGA GGNPGSGPVADVSRWIRVELSDELHLSREQTYEKKVLTSDDIILLLDTLWTRPSDLRC TARQRVAFHSVLLLAGFGFRPGSIVSFKYQHVRIRCLRVDDNPKNLAICATIKIVHNK RRQYTANSHNAVVQFSITLVPCQTICLLSLIIAQAIHDNAFEADFGSLEELLTRPNLD QTDCLDLRWKEDKMEQEIFPVPYHKYWDIWNELWRVAGNRDTIRPYSLRVGAGSVLDG PLTPALRGHLMSNTTAVFESSYQPEHIRQELMPIIFGADAAGEHHSLFRSLQRATLLR DVNAPLYPTEEDKESLRQRVDITKLTWEYKQAKARSSSPDINRAYAALATRRKQILAL IVEKRRVN QC762_407250 MASMFQLNPHTYTHYQQAPMAPARQFSHGTSSAFSPSANPDEDW TKISDLAERRRIQNRIAQRNYRKKLKKRMEELERKAGVSDESPSPGSEKTSPAPKPAK RAPATKARKQSPPATGRQVVAPHFTTPSYGQHDQYLFSHSYEDDRDRSTSPVGTYYQT GYPAPASDEMFTPYNFHQMPQDPVVTLAEYSNTISLPSMQALDSYNNGFFPGMPMHGG HPPPFEHLTPNTPPLSHSLEHSAACSDSGSYTEYPKTPLSMPGSPGYGPQQ QC762_0069430 MSTRLGTNRASLSHEAFPLLNLRAQYRPSGRNGGKGRGGGVQHH TRLWTFDAAPTNLDI QC762_407241 MSRGLDPDIHAIFPTSCWKECGTAHELVRKNRNTPELCNSTTQF EFHYKQCHVCVLGQDRIYCNVGACRYCSADGCWAGTAFKYNLNDPDPGLKAIFDESLK YCNLSQPVPDSPPFIVQLPTETALSTTTYPATTSTTATTSDWKTTSEDNPPTHAVDNE QIDNHQGSDNQDLGSGHLSSLQLIGIISGAGTVFVLLLAALACWKLAGYRRRASQLSK KEEALKKIESQGTQKMNGISINELDDPPAPRIEMDIEPGACEMEVMFPRTELSERSGY EELEVISGQDRRQENPRPARGKRRAPDEKRKQVRFEMSRDLVIGS QC762_407230 MALLRQTWTLTRKNWLILLGRHPLATVVQAFVLPIILVSFLSFA RNLFVPSAVFGISPARPIRSFQNALEASTGRPNVIFVNNGHTGGEIERVIDELAGVAE RAGKNVTILERDEDVDGICRSSLRGVTECFAAVSFKGSPEEGDGNGLWNYTISLDSAL GQFRINAESDRNDGQIYMLPLQRAVDEVISRGNSGRGNELPEDVEEYQFTSQTTEERA ERIRVFYQSAIINFLGVTFIAALIGVVYQMTGFIATERETGMSTLVEAMMATPRRWQA QAARIFSYHIAFSLLYLPGWVISCIILGRGVFSNTSILVVLFFFILSGLALASFSVLG ASFFKKSQLSGVSVTIMAILLAVIAQVITRPGTGPVVALSLLFAPCNFTYFITLMARF QEKQISTDLLKTAPDSPWNVPGIVLFIFLAVQIIVYPFLGAMVERWLHGTSSSGRNIV IGDKNRGDLGPDCAVQLEEFTKVYNPGPLRRLFSFISKPKPPVVAVNKLSLSVSRGQI VALLGANGSGKSTTLDTIAGINKATSGKITIDGTGGLGIAPQKNVLWDELTVEEHIRI FNRLKSPKNHASKEEIRQLVIGVDLEQKIKAQSKTLSGGQKRKLQLGMMLTGGSAVCC VDEVSSGLDPLSRRKIWDILLAERGRRTMILTTHFLDEADLLADYIAVMSKGNLRASG TSVELKDRKGGGYRIHINNNKLIPLLPEVEGVTKKATQEEAAYVAATSALAAKTIKEL EAAGITDYRFSGPTIEDVFLQLAEEVQAEGGGVPIENRGVLSSTEDEKSETVPVGDVK TAVKGNGLELMDGKPIGFLKQTWVLFLKRCTVFKGNWFPSIAAFIIPVAAAGLVMLFV KGQEPAGCSADELSSRQEAVNIFGSDFDFWMVAGPSDKFSQSTLINLLAPIFMASQGL EGNGSTGSSDGIIVKRQNNPMDLFNNITLVDTLDEFNNAVLQFRKNITPTAIWLGDDN TPPTLAYKGNGPEVINAWFGQWIMNMLLTNSSIASSYTVFDRPFTPSTGNSLQVLVYV GLALCAYPGFFALYPNLERRRNVRGLQYSNGVRPLPLWLAYTGFDFIIVIAGAVLSII LWAALANSLWYHLGYVFLIFVLYGLASTQLAYNISLFSANQLSAYAFTAAGQLVMFIV YLFGYMSVITYAPVQQVDKLLIIVHFALAVLAPIISLTRALFLAMNLFSTACDGDALA SYPGGILQYGGPILYLVIQIIVLFLILVWADGGSAGFSLRKLLFRSKPKAANPDEAAA MSDEEVANELVRVKSSATGGNTKITDGLRVINLTKSFGSNTAVDNVTFGVPHGEVFAL LGPNGAGKSTTISVIRGDIKPSGGASGGDVFVEDASVTQQLSAARRHLGVCPQFDAID QMTVAEHLRFYARVRGISDIDAQVSAVIDAVGLQLFRDRQAHALSGGNKRKLSLGIAL MGNPSVILLDEPSSGLDAAAKRIMWRTLAATVPGRSILLTTHSMEEADALAGRAGILA KRMLAMGSIEHLRNKFGDLIHVHLVCKGAPHTPESQILKIRQWVVEQFGEGAEVEEKT YHGQMRFKVPASAVVSGEKDPRTGGDLSQNSAVGRLVVLLEDNRDLLGVEHYSVSPTT LDQVFLTVVGRHNVREEGYENKKVKKWWQGGEWRWPKIWCS QC762_407220 MADSAPAPAPPTEEVANLHLDEVTGEKVSKTELKKRQKQRQKEA EKAKKAAAAPPKASSGKPKNAAGQEEADLNPNQYYEIRTRHVNELLKNPETNPYPHKF QVTYDDYKFHDEFKHLKSGEDDKNTEIRIAARIYNKRASGSKLIFYDVRTSADTKSIG TQIQIVCQAQLVAEGAPSFEQQHENIRRGDVIGIIGYAGRTNPKNRLAEGKEGELSIF ATEIKLLSPCLHMLPSVRFPFADAEQRARMRYLDMLWNDRSRETLWQRSRMVRYIRDF FHERRFIEVETPMMHAIAGGATALPFITHHNDLDIDMYMRVAPELFLKKMIVGQFGKV FEMGKNFRNEGVDLTHNPEFTSIEFYWAYADMYDLMNITEELVSSLVKHLTGGYVTKF TNQHGEEYTVNWEAPWRRVEMIPALEEATGEKFPPSEELHTDETNAFLQRVCKKMGVE CPPPLTNARMIDKLTGEFIEETCVNPTFILEHPQMMSPLAKYHRSKKGLCERFEAFVC KKEIANAYTELNNPFDQRLRFEEQARQKDQGDDEAQLVDESFLNALEYGLPPTGGWGL GIDRLAMFLTNNYSIREVLAFPFLREEKNGPKQPFAAELANVEPMPEEGIPHK QC762_407210 MSDEAPAQSAETGTTKAVKDKTCTYCHQAFTSSSLGRHLDVFIK ENNPKAPDGIHDVEEIRRNRSNITRRRPKASAGTPGAPGVRRRDTSVSVGTPTAASRR SQGSVSVEVDSASITPVSQTKGKGTADRKYPFNTPWEATGVINDLGGRDATTYEGGFR QHQRSASRQRMKQQLDARHVLQDAEDTKRAAELALREIMGSWRAAKQQIDMHSMPFDF DPLALDFPALTLQCLEKPPTLFASTQHSTSTSWSITPPGPVQLQALRNYFGEEFRRWK LACAAATTAVNEDLTYPPSLVPVKPDAREAVRKAEKAADKMEQQISDHITATYSVWCS LPEPERAKLWTLELARGLGRKQDEGAKLKQTQSLLRQENNHLKSQIEHLSRLQQPKEF KIVQPTTVYMDEKLVNHMLELGFSAAKDGGGVGFNMADRHADLDTVVARAINRWKDVI VSSRSAAAGLSAQRTLEAASAGVSPTTTGSGQGMRQLQPQRHQSQHSVPNNDARASLH PSPAASNNAANYAPSTASTTKAGSPSTAVGTPSIITAPASVGAGQDSDEEMGGQDVPE ISETNTAEEDGDVDADADADADGDVDADADVDADADADTDADADMDADADP QC762_407200 MIGKGGELLYEVKWEGYEKKSDRTWEPEENLTENASEALNEYLK SIGGREALLNETHTAVQGKKRGRKDSSTPQASTTSKRSKRNGSHPADSEPPASAKQAV WKPPAGSWEDHIAHLDACEDEETHKLMVYLTWKNGHKTQHETSVIYSRCPQKMLQFYE RHVRIIKREETPVDSPPANS QC762_407190 MPTRGPVPVSLVPSSQAETLKVGPLTVRVFEDGSNTQNRVSAVT ITLPPGTSGPPMHWHRFHDELFFVTKGTVVFSTPEGDVVAKTGDCMTVPPGAIHTFRN GSESEEGECYMTATPGHYVDYFRMLSKATAGLVGGKLGKEETEHLMALFGTFPPDVKS EP QC762_407170 MTHQDTFIDDDEDTCPLCIEEFDLSDRNFRPCPCGYQICQFCFN NIRNNMNGLCPACRRPYNEATIEYKVVTPEEYAAFRANVAKSQKKRAAEQRQKEAQKR EAENHSRKNLVGVRVVQKNLVYVTGLQPTVREDELLKTLRKPEFFGQYGNIQKISISN RRGTDGHNQSLGVYVTFEKQEDATKCIQAVNGSMNGDRVLRAQLGTTKYCSAWLRHET CTNRQCMFLHELAEEEDSYTRQDLSSINGINAQKPIPHAAGSSRSASRQQSHPSPAPV AAQPMIRSSSKDGSDHGDGPALPATANWARNPQVRSRRGSHATSGAAPSPAISNALPV TTESAVEDEPVADVPAPTPEPSTAAPASAPVPPSAPTPTPAAASPATRTKSAKTPAER AKRTTQDTLKSLLKSLEGCALAWPKPSAEQDDASKYPPLFDSRGGERRRAMRESEAAS TTGDQLTASVREPSEGEPESSGSLALGGEPEDRDHVRDTHGFDPRRTAQPPIQRGSAD GFFGQAVGSGMTQSTSNLGSIGTASRTMTPQQRSFMRPPTGFVEHLTAQTNTLFQGQG HNRQGSRFSFANDNRDAASSTSVKLAGNPRIMAQQSSMMPSTFHNQAGNQYYGSSMPP PPPGLKSTGTPPGMFGQHGFGLTAAFGGASKDNEIMQQLINRNRGGGAQAHDSGKREY TFSFNPNQYPPSNSSTPAPASNHLGSLFASQPGAFQEMGSKQKKKGKKHRNANTSSSG GSGIVDLADPSILQARMQSHQQSLQHQQQTLQQQSNGGLGPGVFGGQSQEDDLLSLEE FRPSIDALVADEPIDVNIRHPPGGFEIFGRTGTPSAPPGLPIPSVQHSPAISHASLHT MNFGRQTPSVASPKVSSKSAPSAAPSPLISKRTITPSASEAKNIIKALAAESGLSKEI AKAKAPKIVTLQDEDFPALNSPKASAAATPVATPKPAPSKVSSSKKLIGEAAPEKSAK KTKKEEKKERKEREKAERAERLEREKAEKAEKERLKAEEKEKEKEKAAAAAAAARTAP VKVLEAPSTVATSKAAEKSTAAPADKKNDKRPVPGILNIAAATKVSQIRNLEAPSAST KSTIAEKDSAFPALPTPTPVSVSSPLSRAAPKTLRLVATPKTETPSTPIMGAGPSLTA PSARSSAAASAHRPETPASELISDSASIISASISASRTNSPPPSSRIGSAPTRSTTKS QQRKQRKELTKKESAVLAAQPIKPEPEVEIGPIIGRKKKQKKEKEKPAATPTTAKSSS EPPAASPAPSTKETKESKEVKEVKEESSTYRSTANETTTLTGEPTHKNRYDSNTPDGG NQQNAAVPREGPLPHEILQSLHEAGLVPENLEKLAFFQPTTIPLDRWKNDINAAGLWE LAAKNTMTPTKNMVTEEDQAILLSGKPVRKVVDGVRILITPNGDCVRNLTADEEDRFL ELQNAIAEEAASPASFISSRHDTGSGFSLVKGRAVPNGPPSYFPQGKGAFPSDPVNKI QREEAIYYINQYVLPRLNLNSRDMSFPKAISNWTGGSGQGGGQQQQQQQQQQQQQQAQ VNAAAAANLGAMAPWLYGAGGPGMVGHGGGDMADAVAPELNYPGPVGAFAEAGGGSPG GFASYLEGGVDGSGGGGGGGAEGTGTGTGQRHVPPGAGGPAGPFGNVPLMSLEDAEQA LSAARKEAEKLERSFVSLVKKNRKLLLSTKKEEEDMGVVVHDGVVLIGMAVGLARALR GLGRVHELAFAAVWMAVVLVEGLVSAVVGWGVGVVEGLCGVLDWMGADY QC762_407160 MASKLCRSRALASAFRPTTSSIAAPMVRCFANTARSNVAVPKDA PNPRELPRDQIGTLKAAPVNPADKYQAKADAMHKYGAWLMGCLPKYIQQFSVWKDELT IYICPSGVIPVFSFLKYNTSAEFTMVSDITAVDFPTRDQRFEIVYNLLSIRHNSRIRV KTYADEASPVPSLTPLYDGANWYEREVYDMFGVFFVNHPDLRRIMTDYGFEGHPLRKD FPLTGYTEIRYDEEKKRIVTEPLELTQAFRNFEGGSSAWEQVGSGVDVTPETFKLPTP KPEPPKEEKK QC762_407150 MPLHHLMCGTWTPPGAIFTVEFDDEALTLKLIKRTEIPHDEPIS WMTFDHAKKNLYGAAMKKWSSFAVKSPTEIVHEASHPMGGDPRANDADTNTRAIFLLA AKQPPYNVYCNPFYKHAGYGNVFNVSDTGALKDNVQNYPYQENTGIHGMVFDPTETYL YSADLTANKLWTHRKLPSGEVELVGSVDAPDAGDHPRWVAMHPSGNYLYALMEAGNRL CEYVIDPATHLPVYTHHSFPLIPPGIPQKDKETGKGLYRADVVALTFSGKYMFASSRA NKFDLQGYWSAFKLRDCGSIEKQLALNPTPTSGGHSNAVSPCDFSDEWVAITDDQEGW LEMYRWQDEYLHRVARVRIPEPGFGMNAIWYD QC762_407145 MASDKPAHRFDPNFTKNVIEGMGPATTPRNREVLGALIRHIHDF AREVELTVDEWMEGVKFVNALGEIYYTSNKTRNETHRICDVLGLESLVDEIAHKIISE GELDPTSSSILGPFWSPNAPFRELGGEIFQDGVPPNGRVTKMHGVIRDIITGQPIPGA VFDIWQASANGKYDFQDPENQTPNNLRGKFRANEKGEYWFYCLHPTAYSLPRDGPSWQ LLSLMDRHPMRPAHIHIMVTHPDYQGCTTQLYPNDDPWVKSDTVFAVKDDLVVDFKPI KEKDPKAVLELEYNVNLAPKGYKGKI QC762_407140 MLKMKTGLVVLTVLLPWVDGFGWRAERRPSAPAVDTNERRSALS QQDSRHSDVYTRALNELQEMESEPLCHRVAARLLVNNCELVDGKNEATMLTDSGRQLR DFVDSYAASLAICDLERGSFKIPNECAKFREPSLTQIAMRSEPQLHVTPSEIGLCLSA LAASDAAWSTWVSYRHKALRFCEAARADNEKDQNILLYQRLTQVIAKLTDGVEAELQK RMDDLDNRARQSMENLDRLAPKVDELGEGLSRLESYLSGDLDFAMRKATESMQDSLEN AEGLQKLLGLLFANVLDGSARAAHAHETSLQQTKRVNDGMGALIDIVSTAMASSASLS QQIQFQNQQAVALTQRQDALEQGVGRILAATEKLSDEVEDHTSMLKQAKNITNEILDA LEETAAAALTVNESMFKTATTKSWWPFLVCPSASLVLGSYGMPPSMLRNFGLLAFGEA IGFLVSSYGDLTTQFSITVDAFGDYVMPSELASKFDANNTDSTDLTNLTDTATEASSL QC762_407130 MLASNTTRRALASCSSTATAVLPSFLVPALQTSAPRRTFSATAN RPSKLGRNPISIPPGVELQVGDLFVKKDVTTYLQTKKRKVVVKGPLGQLELDIPSFVK VDHDAEGRKAVLTVEDKEQKKQMEMWGTTWSYLSNYIMGVSEGHTAVLRLVGIGYRAT VEPRPALEEYPGQQFVCLKLGFSHPVEMGLPLGMKASAPQPTRVLLEGIDKEQIMSFA AKIRRWRVPEPYKGKGIFINDETIKLKAKKIK QC762_407120 MPRDPSHKPRLPLLLLTSLLILILAAFIPSITSRLTLILSHLRS QSTTPNLAKMTSLYSRELEIAQLAVQRASILTKRVFHETSKGTVSKDDASPVTIGDFG AQALIISALKHNFPHDEIVAEEEATELRANPPLRDQIWELVRTTSLDDVAAEGLLGGG IKDADAMLDIIDQGNSKGGKVGRVWTIDPIDGTKGFLRGGQYAVCLALLEDGDVKVGA IGCPNLPVDDAAPLTADIGANATDDEGRGVLFSAVIGQGATSRPLKAGALAEERSISM KPLTDMSNASFCESVEAGHSNQSESAQIAQKLGITKQSVRMDSQAKYGSIARGAGDIY LRLPTSKTYQEKIWDHAAGDLIVREAGGQVTDIKGNRLNFGVGRTLATNSGVIAAPKA VHSQVLSVVQEVLGVK QC762_407110 MGGWWELGGDWDFGPLSYP QC762_407111 MPRRSRPRPYLWYCRNGPGGKKSPDHRDFSDCEDFQLYKHTKYF DNGPLHSKFRHSCLNTVKSYTKLCLSLVLGLPISNITTPGVVILALIATPDACFSSIA GIQLFVISRTTGNHKEPIDAQLDVEKTSSVSSQSHQSPDQPPQIRSNDTQHHDESLVD PEPEYVDSTCTDTNGTADASAVKDDYWKWDVDRQRFVHIDEKTGKETVCPEWFD QC762_407109 MNPYSWPMTSASGQETWRGAHNGIPLPAHGVLLIIAGNPQSVRI IAYIDESIEFSVIKTSVAQRLGTIVHPVPQQYSIMIPRVGLVTPEEWVTITCDIPEIG VERKTVNVQMAEWNDPLVHMFIGRRFLSQLLTVSPEATNYHTPPCSQSHVAAPSAAAE QPAPQLFLNGAAAVESPGSVIVQHGNNTPPMGFVTSFLGASSTHQLQVPNSHSPFSIT HSTADFNSSSSWAPISAPSNIFSLNTFPTSSVTSGEPANYSLEDNNTTALTQNGGDAT SFHFDANYDCDADHDYDADYDYDMLNAQAQAEEELFEGQCDDWTF QC762_407107 MFCSLGGSGIQLAHNNALEELLAWDRCGNCNAGSSEQTNHSIPR TTWEDLVDPSKLLLAFSDPGSTDSIRSRSATDQTNTITATLRDGDGHTAAEAAFTSLA KDLDKQCNITTADTLQTFAPEDHLDQRTDAGNTVSEELATNMPTEDEFWTWDEQRQRF VHKEKNGREIVCPTWFD QC762_407108 MDYRLSGQSEQHDPGEGPSNWNTTRPRAPSMPAGAHGSYVGQSF NTVNTQSRGLPQLTLTPVPAIHPGYNIGTLAPGTDLTMHRQQTWWAPGSFPPSPPGKG ATALTGPNSSSVTQPAASVPNELKVQVKGKWFVALVSPGQHSIMDYDFAKYFLELEGL PLPPRKLKEISTLTDGYTSGPKEFAEFTIHIPELNLESWKMAVALLPRTARNKPAPIL LGQRFFERIAKDRNQISHRPDDIQLPREVASTPAIDSTNRTAPHAIHPATIIGGHAST ALRRRASCAGVNDNTVNPRDLFHHPLGSYFGGAPPEGGFS QC762_0069620 MRHANSRQPGARSDSDSSGEKQGNHLTAESHSVSAQPPTHIDAL SQSEPAELGTKSKHPYRTRPRRDHKRVPKRGKGAVEAAVSRSQTRSTTARNKLAHQHT KVPVTKTVKPSTPAANGTNSKDLSTIRQRKQALLEEEAAKKRKLIDEYIATGDPKVFI SFLAPFASANSTGQHDKRRKLGEWQWDREVERHWREDKTSGEPGARIWAPVEESFI QC762_407102 MPAHHVSRNKNGLAMVREAQNPQTTTSVPTDSRLPIPPGAAERP VRKRRRSSGLSAVQPENASRAINLHEAPPIETRPRVETFVPISSEINSERHVSRGSWS RRRLLQEVREAVSFLSASGEKFAIGVIVRRDLQQSFVSESAVSFLGFRPMNLPVPRRP LLSISSGQIRPERYVRAVVEQVEISNGGRVRLQTGDMLVVDDRQFPPGVHAILCGNFF QRDEAGHSSSIAANQSTYSQRQPHRTSYQKSAQSSTARSEFTSDSSLFTMPTLINNLV FDDDAGIPPCYAPYNGHHQQTGIPTLIHPNAGQGYMTAAIPPLNTGQFMQPPWNQNMV MDNFPPLGNQNFKMPGPAPPPNGAYGPDGGQHGQH QC762_407100 MAQTSSTGEADTFDSICITGLHEHSHGGLASGLLEHFVSPVSID LGGPHTISTALASKLLEIGLDELDALVKIKRHIVHVDWRYETPNPKFTTRHLVIAGSS PMIAFAGGTQWFENVARTSVFRGEQETMPIPDYLRDPAWITPLTSRKPSLNTGPQLGN LSGLQHTCRQEPNRWARARSPPELPDTSRTVSPDPEDDQLSNCSDPASTKSAFSEATA PSIYTPAPSRQNSSSLADINGAWMDCQIQQQFQSEAFQQQRKQAEDLYMRTGDVSGLI GVIKTASQFSQSGSSTGAFGP QC762_0069650 MNLGQNTMFVGFLLVPEALENALNELALSCLAGEIRFFAPISLP DKKPPVLTLRVCQLGSCPEEFQKLPSNATSRNLACARKCIPGKSIDEKRSCSISSSPP APFYTSSSISSWLGVWYRERRDTSILISGPVRRHRATPPTTPFAHGPNSDPRDASSCS HSLLVFHLEKVIYLPQNQICRTAARAARHP QC762_407095 MQPRDPPWARRRRVRHFQNNFLVPGEFMTEIGLITETPNYDVVR LPGCLISPRFRNSYMLDDTAHILGGQPGEYPSREMTADETRMTTFTSPLGPMSSSRTV VAVFEIQQLSLRFELMRFLVVSSNLCREQGISVILGLPFIQWYQARMAMLPQPRLALA ADTDAQYAQDQGDQGLPNSGGGGQECGNRSNVDEWVQRQQIQQMPVVIAADESVGTME GAMNDPELNTWDILVAPAEMGAHIS QC762_0069670 MHPSRLSTTPTLAFPPNSSTMSSDQQAVTIKLSRIYLHSVTVPG IPAACRQFDKPCSFPCSSGTSNQQNEIPITLLRSILSDRLPAKASNVTLHWRLTASTE GSYPVITTRHKITDTTSTVDAQNYTIVFAERLDRLQKITSNHQRLRKSQQDSRAQPKT SYPSPPLLTGAGKISPNQYCGISKRRRRRQLDYTQQPCPTFLSELRRRAEQHSKATGE VNCLLRVMQVQQYIMSGKSSLSTCHKRHMESRSISPSDNSVCSE QC762_407088 MTKPDTARLMEMYMSQPLSMYCQFHPFRWTASETSSRGMNRIAS RSMIVTVAGTGLMCGVIRKRSRCEGRRTCQGQKAGIGIVGIGWCL QC762_407086 MSDVQPAFNAILRRVNATVLHVNHRRECIMGAKVLPNHVGTEGV TLQTWYSEHHRVNYISKDMLGALEWNYYTPDLGRTLNPRGGVFKPVGWVDLYVQQPNS PFEWKFVRFHVLDEPLGIEVFDILGQPRRFDLIIGEASCAQLLGNAFDYQQYNFDPEG FEQQRQYDYALWEQQQLEILNREQQDYGRYDWNIFSL QC762_407080 MAVSYTLLYLFASFFSFVTCIPLKPGVSFQSQSSLPVLTLPYGS YRASSYRSSSDLYIFKNIRYAAPPVGELRWAKPAPPAQNSTHQDGSYGPKCIQSAPNG INVVGPGNKAPVGAVINQFLGGIPLPLFSGGSEDCLFLDVYVPGKALKNPTIKLPVVV WIYGGGFLFGSKDTMTPDLPFYDGGGMIGQSNNNMIFVAINYRVGAFGFLAGESMERD GLPNAGLHDQRAALQWVRDHIHLVGGDPTQVTAMGESAGASSILHHIVAEGGRLDPLF SRAILQSPAFQPIWDRAGKVEDTFQDFSTLAGCQGKGLACLRAADPTALIKANNALNL KQAPGTFAIGPTPDGKFIRQLPVLELALGKFWKLDSLILSHVADEASLFVGGSIQTDA QFSGFLGQLFPNDTLTAGVNDKIEEAYPPVKGTKKSKYATQTARMTEFTRDSCFTCHI RHLTESFGDSKVWNMQYSVFPGQHATDLIPTFFSTAYTSDTFLDDLAMFFVPVLGTLV AGISTAMQSYFASYITTGNPNMNRKILNLPPAIRWNHPVSGRGEQISGVLDVGGWGIT TVSDDKNQKTPCDFWRGFAAAVTALGGYSPPGEVVPQNLVRAEGDVSRNYVGGNAGE QC762_407070 MGINNPLPSSLSSECKKCGKILSSFIDPRQAFGPEKVIPPSVLA NAKGLAILTVIKAGFLGSARFGSGLVVARLPDGSWSAPSAIATGGAGFGGQIGFELTD FVFILNDASAVKTFSQAGSLTLGGNVSIAAGPVGRNAEAAGAASLRSVAGIFSYSKTK GLFAGVSLEGSAIIERKDANAKLYGRQISARELLSGAERPPSQAYPLMSILNTRVFNG MAAGGSMDNRMYNDVPVYDDHEDPVVWGNKTGQAYGEGQSRNRDSVPAGSSGQGDYYA PPKRSSTWQDPVYDQEPRSFGQGSRSSTYADNSFGGATTGEKKAPPGRPAAPKPNYAG KEAMLKKNEAIALFTFEAVEPGDLGFKKGDVITVLKKTDNATDWW QC762_407060 MSESYERERQNNARLDELSAKVSALRGVTIDIYDHARNHELIDS STETFSSMGTQLKGSAGRLGRMAASGNKVAILKLSGILIGAFLVLYYLWRLMF QC762_407050 MSRRPANPGADRALQNQQTIKSLLKLEANKVCADCKRNKHPRWA SWNLGVFVCIRCSGIHRGMGTHISRVKSVDLDSWTDEQLQSVLNWGNARANKYWEAKL APGHVPSEAKIENFIRTKYELKRWVMDGPMPDPATLDADGDDDVPLSVVKEKQNIERR ESTRKSSIGQSSAPRRVAPPQEDLIGGGLASVPPPRASTAGPTASKVPPKADPAPPKA TNTKDSLLGLDFLGSETAAPPRPASTTGTPSAGGQSRPDLKQSILSLYAAAPRPQPQA PQQPVSHASSGSFSGLGSPTGMSQTQGSFGGLNDAFSNLSFGNSTASKPAQVDAFSSL GSFSSPRPAAQTTSSSSAFSGLSGGSFFDSKPAASTHQSKSSIGGLSGWGSVSSPAAA PKPAAAPASAALGDLFDFSSPAPSQPSPAPKPAVSSPPMTSSSVFNLSQPKPAPAPAP APVATSNTLGSFGGSGFSGADVWGGNAWASDEPAKPEPPKATTSTSNDFGWGSFSSQP IVPSASGGFAPAPKVTADEEFGGWTSGTTSTSTSKPAVPGGDSDLFNNVWQ QC762_407040 MRSTLTRLFFTLSHLTRTSAPLRNTCSLRSGPPPLRSSMPVIPS FLASVFGTTAASNMSSSSSYPDQRTPDQWRAVLNKEQFRILREKGTEPPGSGKFDKHY PTTGVYTCAGCHAPLYKASHKFSSGCGWPAYYDSIPGAVTRHEDRAFGMLRTEIVCSN CGGHLGHVFKGEGFPTPTDERHCVNSISLSFSPDDEPVKKKEQEETKEREDKSKV QC762_407030 MPAAKPTPQPRRPIRRFELQDVRFNGRSSFYKDPQLVLKPQLDL VPRRPVDGSRLRMPLWRNYLMIHVKDRAEELVKLDLADFRPSEEPTDNGAPEQFIRRA QHEPVLYVQYPSNTQDGFSILRATLKVASDFETVLKEFDDLGINVDCYQEELRQDQSY SWSQPYSHSQNVYYHPTPNAMSPPLPPRSSPYSSSNHSHPYSSSPPYSIAPNPPYHYP NAAPDQLTYQRSASQPVGYPSSYQSQGQWSPPVMPSPAATTTGIPGVLGAGIYKVSKL GSLSSSRSRSRKSQPSRGGHGSAPGKHLDDNHQTTQSPSSGISSPHPLPDSSYSVSVP RRLLQRVQTIISESESQETISQASTLTSDYEDPSSRPFGGLRIPEEEETGEASSQQTA ITTVASTRPATSTAMVPAHPNTSTEIVPYRQAQQKHTPPDSGKINMQALLRISQIQQE GLVDATRLWEDMMEKGRNAIAGVDDPEEAFRVLSGFQEEFIRRWTRVVASTVREMRDV ENKSAM QC762_407020 MAPTKPIVEHTVDRTPEYEKFLEELRDFHEKRGTHLDPEPKMGN LSVDLYKLFNYIVQNGGYDKVSDEKLMWRKMCEGLGLMRHNAPADAYTLKQIFYKNLA AFEIKKIHNKEPPPPEILEFTTAKGGSLLTRTLENFVAKGRNDREDSEDGSTPGRERP VAETPASGRASRGLREAPAPRVIFHPDTNSSRQTRHASGAQQIGTPSSNSHSHNNSAI AQNPGNSHRGAYVFNPPGPDMNNPIVQGFVPQPVQQMPLRIVDTPSSNPELFARKQRL LKQPPVAAPNPGMLVRACLPPGALDGPNIYERCLLSLRSGIRSEQAFGCHHLLKISHE RGDKYKFSQFSGLAEGLTELALSIGGMIYHVNWTVSYDPDSDNTDIGELDGLEGTPDI LERIAQLKRRKDVDDNILPAEFRDQLTLVLEATQTIRNMVNMPDNAYFMSEYPPVKDL LCVILNLPELECVVELKHLALEIAESILPYLVLRSDDPLYQSLLAKLESDDRGVILTA LKALNKIALNHPIETNRLGNVPPSVLQRIMDWLLLNDEDLLDITTDFLYQYTAVVENL DTMLKHIKIEHLVTHLVRLLSHGAKRSTRELIVSEARLAYDPPNETVVPTPKDLLEKL LAIEEPERCYAWIRCFFEEDPDSNITQLAIWQAYNTEFLEPLKRKGRSMISAPEFIKS ITSVYESAGAQIVHEQGPGGQSQQKYLIRGIRPRRYPISPDGRGYFQCQLPAPHGKPP GGAKCGAWNLTAEKMWDHIVAEHLGDSVSRTEDGKLVNKEGMFSCAWNNCQKFPRPTE MFLVQYMAHLKTHLRSEEIRHAAKPSEISPLAPLPPPPPSPTSTTNRSRSGSFSSSSS KSRVVRPAKTVTITIEETASARDERNPNAPAQAAGVPLSAVLILRNIAQYVPRTEGEA ELRRRKNEGEEGEGWNEILFRPVMGRLWEVFTENRLLTPHLAGLFGLLEERQQVRRFV VEE QC762_0069770 MFDAVEAIPHSGALHVSREITAGLVTESQSLGPPNVLSPLEDPA QQMLGLEGKNDLDSPLRHPLGPAPLTGPLPHPPSGLP QC762_407010 MASAVRAARMAPRLSVLVRPAVARTTPSVCQRAAFSISAGRFKS EVIKETEVPVSVYNPDAKGVASGNADHFSIPVKPRQRAPEPVVEEDEEVVPLEEKVYS QLPKTLQKMSVHGKVIIITGGARGLGNYMARACAEAGAKAIAIFDANQELGDESAAEL HQKTGLPVSFFKVDVRDGHAIDAAVKNVVDLYGTPDVLVNSAGIADSNIPAETYDPAM FRRLIDINLTGSFLMSQSVGRAMMAAGKPGSIILVASMSGSIVNYPQEQSCYNASKAG VIQLGKSLAAEWAKYQIRVNCISPGYMDTALNKVPALDAQKKIWKSLTPQDRLGNVDD LNGLCVFLASDASGFMTGSNVIIDGGYSLY QC762_407000 MDSPKTSSFNGNGSSHRRRLTKKPPPNHHHPSSVYSSVNVSVDG RGVDAQSLGSKRSSTSLKRAPSAPLARSSPAAPKHLQHPNASDKQKQAYNKTTSPRIP AAPPAPSSAVSPANRSHTAPILPARDSTAPAPKPPKLSLSRSSSTAPRAQHRPLSTKT SDELIGAPFDGTAILNRIEATKSPTHHTTPVATSTTTSHHQHFHNNTAKRNTSPPRST PHALSQANSDARVMGNSPPPLRQSASFSATEASSLNEKASGSSKTESSTGPKRYSDET KETKMPSVLRKKSGFSGFMNSLVGSPKKPLISAPENPVHVTHVGYDSTTGQFTGLPKE WQRLINESGITEKDTREHPQILVDVLTFYKETTEKPQEDVQLEKFHDARANDYRGLSG STPSSAASTLPSPSVLTSGYTTMSPLISPPASPRFPTVTHEGSFENPRAPPPVPKGPA PPVKDANLMPSRPAPKPPTSLPSRTQQQPGYPAKDSGIGMPHSGEDGATPYLPPKDNI PMLPEELRSRSNSRANGTSPYLPSSSQATTAVTTPSQAAIYQQQLMQQQQEQAMAQAQ AAMTGQLGRATSKRQQHQQPTPPSSQHPHLRQAEQAGRVPQSQQQVAQANSTRPRHRP RQSNGVDVVAALKRICSEGDPREVYRGFTKIGQGASGGVFTGHERGSNRLVAIKQMNL EQQPKKDLIINEILVMKESSHPNIVNFIDSYLCAGELWVVMEFMEGGSLTDVVTFNIM TEGQIASVCRETLRGLQHLHSKGVIHRDIKSDNILLSMEGNIKLTDFGFCATINEAQN KRTTMVGTPYWMAPEVVTRKEYGRKVDIWSLGIMAIEMIEGEPPYLTESPLRALWLIA TNGTPTIKNEQDLSPVFRDFLYFALKVDPEKRASAHDLLRHDFMKTCVELSSLAPLVR AAREARAQEKARKGQ QC762_406990 MADNVPAEPSAASQLLQQHATHHVTVEDVVDEELPPKSAASTEA TTTESAPPAAAPNPAKANIATQSRELFPELGTAPAPVARPTGIWAKRTGANGTSESNG TPTTSAPPSGATTPTPPAVRGPANISIPGRNVEYTLLEPQHVLPRAQLKKPLPDIAKD FNRRSRAPIKVSTMADGKVRVEATGAQDIATQALKDFINQIGTKLSIKVSIPRSTRAH VIGKGGSTIKALQEKTGARIQMPRVEDAPAAAEDEDDDSTIDVLIEGNSQQAAAARNA ILKIAGERAANVNTRLKGIPAEFFPFIAKNGLISSLEQGRDLQVQVPSHPVRVPHPPE APQGGQPPVFYPAVDNFIQLTGERDAVRAAKEQIERRAEELRQQLAVDQFSLNKGRHQ FIVGDKGIPQDQFFDQTGCIVVLPADDEDETVTIIGPSDKITPASDVAMDLAMNMQSS NLDITRYFRQVPSAAAHARNVTRYLRERKEIERLEKLHHVHFNTPFNANGALPWELYA RDGKNAIRASNEVKGIVEGHPPARIATAAVDPFFHAYLQKEARPKVRQDYGVHLVIPQ GSEADSPLLLVYEGRTSPDSYEIPRAQPSQADLLEMQKWLSEAQAYITGLIAKQDPLT AATMEVPTKFHEKLRRFIKKEQESRPENQIPVRVSNTGSIINFRGPSSAVESLVAKCQ AFIEQEKEDEKERGFILEFEFPQKFANHLIGKGGSNIRELRDKFDVDIQVNDGKVQLK GPKAKAEAARAHISALGRQLQDEATHVLKIEPKFHRELIGAQGAQINRLQTRYKVIIN FPRTAKPAKDDESVADSSDAGKHRRQQAPDEVIVRGPKRGADEARDEILSLLQYLKDT SFTDSITFQQKQLPSLIGSGGAVLEQLRQSSGARIDIPSAKEDADAEVEIQIKGTKAQ VAAAKKALQEKKAVFDDTVVKTIDVDRKYHKALIGTGGANLRDIVVKAGGSDDRRELA RAIQFPKQDTDGNTIKVEGRTEVVDKIIAQIQAIVSERESQITEVLEVPVEKHRSLIG RGGDIKRGLENRFKVSIDIPRQGSGQTGVKIAGQAPDVEKAKAHIESLVKEQQGETVQ VPRALHHAVSNNGQIFRKFRNDFGVTVDHAGQAVPPRPAAPDARSNGALPLITDDEET TADAHSWKVVESGSSSEEGEIPWVLRGSPESIEKAKKAIEAALEQAKKQDATGYLILP DPRTYRFVIGQGGSKVNSIRKQSGCKITVPRDQAKGEAIEVVGSKEGVEKAKDLILAA VKEGIASRSAPREQ QC762_406980 MTKPWEQYREIIIAEYRDNRKPLHEVKKLMEQQYRFKASTRAYR SRFDKWGIQKYSRRRRGNSMGEDGEGDDTQYLSPHQSPELEDNRYQARSPAMTPGDLY HPGSSATTQSNEYRDPFIKPEPTVQYSQYSMMPIHPSAEANTHLSPISYNTYSHHQAI GYPSDQMSTYHHRAGNALVSAQTSPNPQSYQEPSAAHMTYGYSFDYSRH QC762_406970 MPRLLQRSRPSEPAPATSVGSDDVSLPEYEPPAFPMNDENKAKL ERLVAAQRNDSDARQYEKHLNECSKNLIKAVGSINDLLFQRRRQLARHVEKRRSEGVD DKSEAERELEEYVAELEATISTLTDKSEQALRRVIDCRAEFEDTKTVLESVVVTVKAQ QPRPEPKPKRERRQQRRPANDDDDDDDDDEEVEEAEDVPPVVGVIDALRTARKVKMIE YSRLSAYDKYAVHNDYIPFKRTWHDAMHPDNEIPLPDPSTWFDEDGNPVKNVADIQED DDLVVEREIVDLKCPLSLQAFKTPFSNHKCKHTFEKDAIMSFIRSSGGKAQCPVPGCS KDLTITDLYPDEVMLRKMKRVAEASRRNADATSDVEEEEEDDDPDASIVIGRTNNIKR ERNNRRVEDIEED QC762_406960 MSNQVNTWDGNSWEGRAIEHYGGRQLDTQIYDPIIFPGLYSNSG LDIMSVLQISLHSRPNPQVDIGAVDMSCPIIVCDLLRPDQPIIYASDSFLELTGYNRP EVLERNCRFMQAPGGQVKPKSARKYVDEKTIKKMRKSVDRNSELQIPVTNFKKDGQQF TNYLTMIPLQFNSHQFNISVGFQCEMNG QC762_406950 MSPNRGQTIVSTFASVFLFALLAFTQLVTALPSSSHGSQKRAVG DKYLIGVGKADITGPVVEINFAGYADTAQTGTGLRQRLYARAFIIGEVSNPANRFVYL VLDTMSGDTAVRRGILEGIAAQGTGYGMYKAGNVAVTGTHSHSGPGAWFNYLLPQITS LGFDKQSYKAIVDGAVLAVKRAHEGLQEGYLDFGTTRIEDANINRSLYSYLANPAAER AQYGDDSVEKIMTLLRFQRASDGKNIGVLTWFPVHPTSMQGNNTHVTGDNKGLAAYYF ERSVRGDSAVAEGFVAGFSQANMGDSSPNVLGAWCDDGSGQMCDFETSTCADGKSQAC RARGPAFEKLDLGVASCEIIAQRQFDGAKSLYTSLASSSTPVTGASVRSFHYFQDMRY YTFPLANGTLVQTCPAALGHSFAAGTSDWPGAFDFTQGDSGAPNNPFWSVVGGLLKAP SPQQKACQQPKPVLLNVGEMDVPYAWSPNIMDIQSFRVGQFIIVVSPVEVTTMAGRRW KAAVKNAAASELSLGTEPYVVLGGPANTYSHYLTTPEEYQIQRYEGASTLFGQWALPA FVNLTLRGLPYLSSSSSSQPTFGSPAPPDNRENSLSFITGVAFDAEPIGKKFGAVLTQ PVASYTRGQAVSVRFQGANPRNNLRLEGTYAAVEKQVNGQWTRVLSDEDWKLVYTWKR TNWALGHSEVTITWETSATEDSAGTYRVRYHGDSKPLIGNIKAFEGVSNSFTLS QC762_406940 MMPNPHVYGHHQYPQADSAWMHQQTSHQHHVQAAAQGAVNVAQQ QYHNRLAGAHNGVNALAQSHAQESSLDASVSEDNRRTLQYIADLLDENTREAALLELS KKREQVPELALILWHSFGVMTSLLQEIISVYSLLNPSQLTAAASNRVCNALALLQCVA SHNETRTLFLSAHIPLFLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSTEVINFLL TTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDNGLNYICATYERFYAVGTVLSNMV GQLVEQQTARLLKHVVRCFLRLSDNARAREALRQCLPEPLRDNTFAAVLRDDAATKRC LHQLVVNLQENVVEPSGQLGI QC762_406930 MVNETINGNAGAGAGGEAGADASATAGATATATAGADASATAGA GAGAAGNATAGAGAEAGAAGNATAGAGADANAGAGAAAGGEQVTTIITTGADGQVATL TSTIAAAGAGATAGADASANATAGAGAGADANAGASAVASAGAGADAAVTVITTTDAA GSVATLTSTLAGGAAGAGAGAVTIITATDAAGAVATVTSTLAGGDGADVAANATAGAG AGVDANAGAGTDAAATASAGAGANAGADANASAGANAGAAASANASAGASAGAGAGAD ANAGAGAGATATAGADAAATATAGAGAGAEAGAGAGAGADANAGAGAAANATATADAG AGAGAAATIATISTTDAAGQPTVITTTVAPGVLPNPGVVGTGDAGAGAGAGAGANATA GASRALTTLTQEVTITVTDEANVAVTSTITFVSTIMVADTTGAGAGCEATPEPVTVTV TVTAGAEAGASATAGAGADSGSESGSGSGSGSGSGSGSGNGSGSGSGSDPGCDVCDQI NGGDNKDNNGNGNGNDNGNANGNGNGNGNGDDEPCDICDSVNGGGDNDNKDNKDNKGG SNGSGSGNAGSDDNRDGGRNGGDSGSDNKEPCDICESIHGGDNKDGKDKGDSGSGSDR GSTDDGRENKDDKDRDGNNRDYGSGGSRGGSGDDDQNDRDNKDNKDGMDGMDKGNKDN KDKDNGNGEDRGSSGSGSDSPCQRPGGDCNNMGGGRGNNTSTGGTDSKENKDNKDNKD NKDNKDNKDNKDNMGNGGNRDGQNGENNNGEGKDPNSPCSTCSEGGSQTTTDAGSNGD DDNNRRPAPTSTASGGGEGKDGKGSNGGTGPITSSMTDNTPSPTNADTAGSAPTSVFK LTVIYPIDATTSAGNGTIPAAAAAQAGMNDSPSVETAAAAALGAESIDLASSSSSGPA IVVVGSGAMKEAHPGAVSALLLGGVAVVFFVFL QC762_406920 MAGGELTGNSTGAPPQAGVLEGVNPIVYTPSNPITLFIVQAIIV IIFCQLLAYPLRWLHQPRVIAEVLGGILLGPTVMMRIPGFEAAIFPPASMPVFNNVAN LGLIIFLFLVALEVDIRLFTQNWKAALSVGMAGMILPFGLGFAIAWGLYKEFHVDEAI GFGVFGLFIGTALAITAFPVLCRILSELNLLRSGVGVTVLAAGIGNDVTGWVLLALCV ALTNNSSGLAALWALLCCIGWTLFLIFAIRPPFIWILKRTGSLHNGPTQGMVALTLLM VLASSWFTGGLVSYPEDPIHTLTGPGIIGVHPIFGAFLVGLICPHDGGFAIKLTEKIE DLISVLFLPLYFALSGLKTNLGLLNDGITWGYCIGVIACAFAGKIIGGTLAARANKLL WRESFTIGALMSCKGLVELIVLNIGYQAGILSETTFSMFVVMALVTTVATTPMTKLLY PKWYQTKVERWRKGEIDWDGNELNPSESLQGGLKKGVDSQIRRLMVHLRLDSLPSLFT FITILSPESVTKKQVEPETPDAESTEVIIKKRPLEVHGMRILELTDRTSSVMHLTEGE DFYSLRDPVVNAFRTFSQLHDVAVSGRVAVVPADSYAETLMTQAHEVSSDFALIPWGE SGSMSEDQSFPVTADTNERFKSFTHLDFINQTLEKASAICNAGIFIDNGFGGITKPVD RPELQRTKSAISIRNQADVAVLPVANKSHHVFFPFFGGADDRIALRIVLQLAKNPHVS ATIVRINSSEKEKLSSTAKSAAASTTTTEDNSETNKTAVSSSPVSGTDVEDGALYATL KSSLPEDLATRVNFSEADVPAGKEELKEIILLAQQAVGNTRDNAGDVVVLGRRNAKKG FAEVGGSSSSAAGGGVPDLKNTVGEVAERLITTGIRASLLVIQAGGRGQA QC762_406910 MPSTTTAVDNIDSSQFDSIPDTIEAFRNGEFVVILDDPSRENEA DLIIAAESITTQQMAFMIRHSSGLICAPILPDRCSSLDLPQMVTHNQDLRTTAYTISV DSADPSVTTGISAHDRALVCRQLADPASTPETFRRPGHVFPLRAREGGVRDRRGHTEA AIDLCRLAGKKPAGVISELVEDGIEVEGRAVREQPGMMRGQACVDFARRWGLRVATIA DMVEYLEKTEGKLVKGGVNGTQ QC762_406900 MRSSLLRPATPRALLRRPSQPPRRLLPLLAHPSQQPNPPPCRPH STTTTSSVNETEISHFSSLASSWWDPHGPSRPLHLMNPHRHDFIRSCLSSSPTSPPYS SLTYLDIGCGGGIFAESAARLPATLSITGIDASPVIISVAKSHARRDPSLAQKLSYLN SPIESLVLPPVDIVTCFEVIEHVDYPSQFLGELIKFVRPGGWVVMSTIARTWTSWVTT NLVAEDILKMVPKGTHDWDKYLHEYELREWFSKENSREGKKVWGDARVMGVVFVPGCG WKEVKGSEKLGNYFFGVQRLV QC762_406890 MPGRQSHGRPVLAGGGSGKNKGSRPQPSSSNKPSSFPKSRSKSQ SKALDAFALAEESLGPERRQKGVRYRDLEEKVEKPSKKRAHEEDEDQDFDDEDEEEEE EEGAPPQRKRAKKDEFEGFSDDAAGSEDSEEWHVGVGSQDEDSELDSDEAFGESDEER FEGYSFGKGGKDKKKKRGEESEEEDSDLESLGSDAIDLATALDQYSSSEEEGGEGGKG SDSEEEEEDEDDESTDGDDESSEDDEVDEERLSELRRKISAFGGEDSEEEEDNQGSGN AKLSLADLGLAGINDEHIKKSIKLMNKEDRGEKKTRLDVPLAKTLQDRNLRSAAYSET NKTLDRWIDTVKSNRRADHLIFPLAQNAHDKGLASEELMPVNQKTSGTELESAILSIM EESGLGPSASKEKKNEGGLIDESERLSKAEQKEITRQKRRERELHDREMARQKRIKKI KSKAYRRIHRKEGLKDEQAAYDALVEAGEIDSEAEREMQDRRRAEERMGTRHRESKWA KLGKKAGRAVWDEDFRAGLTDMARRKEELRRRVEGRKGSDDDSDVSMGSGDEKDEKQK LLSELDRAAQYSDDDEPKSGLMSMKFMQRGEEIRRKENDDLVAQIRRELDSEAEESGE EMDIGRRQYGMGKADAKLPANSPKSKKKAAKSSEPVVFKDDVEMTEAPSRKQKKAVEA EPVVSAPSAPGAWSRVPQEGRKSKKAAGKAPAPELDLSNSVALATKSSKPKSKTAEAD DGNDTDDSDAIHLPMAIRDQELIKRAFAGEDVVGDFRREKAEIMDEDDDKEIDNTLPG WGSWVGEGVSAREQRRHKGRFVTKVEGVKKTSRKDYKLKDAIISERRVKKNDAYLATS LPFPFESQQQYERSLRLPVGPEWQTKETFQSATKPRVIVKQGLIAPMSKPIV QC762_406880 MQPTRALLGMRYRKLRLTTKDVNKGFYKGTGSGSMGRHTSRGGY IIEWNKVRTYVCPDLTGFKLTPFVTNAVKRTYGQYDTKLGPRDPQEYLARWKSENGLD QC762_406870 MNTATVGLAVTPTVISTLLSHYLSRKPRAKKPTAHLSYDEGLHL IRSFLQYASRHTVEDLQAFTSQWVPHPQWVKVDVVDIPERDLSRSADLLAEQLGPEGL RQVGGKQWWQWRKEKHALRAEWIEMKADYQERLKANDPGNRVMLYVHGGAYYFGSVDE HRYQIQRHARKLKARALAPRYRLAPQYPFPCGLQDCLATYLYLLTQQESSTIILAGDS AGAGMILSVMVILRDGGLPLPAGAVLISPWADLTHSFPSVAGDCPMDYIPPSGFHHKP SPAWPPPDEDELNELKKVAMQQKQEIAEEKEMDEKEGGSDEAHVPSQKDVKETTHKLM FDIDGEQITVREQIQIYTTNDLLAHPLVSPIMQPTLGGLPPLLIMVGGGEVLRDEQIY LAHKCANPSQYLPPEALMDDHARAQVERYKPTDVYLQVWDDMCHVGPTLSFTRPAKYM YRSVAQFSAWALARAQGTEIAILDDDVISVISNDSSSSDGEEDKTKPEVKTETTEQKT TDQEKNTPTPTSPLSIGTASSPLPPFKNNMIRHRVTRHGDIFPLDPPSALPGCTMSRD LVGVVKVGTVRKWYEHKKRWDVKFAKTKARVHKQRIKDMKVGYEVFGEGEVPPPTALA GRRKIDDGGAVERKKKGKGRSYGLSLWGSWGSKHDERTRGNMALAEGGLGKSVGREYK AGNKAEGEGAREWEDIRLQGVEQEKENRKVGGSPVRSKIKSWKKLVRDEKEDEKLPEV KGGEEKKKEADLKPAGPMVPEEERGGDDDNDAKGTEADAQDTDEGNSGLLSPEDNSTG VTGKRLFLGGVAMPFSMRKEAETASMITLAPASPMEQSSVRLSTADSVSLAPSRTAPL EAPAISVQGVSDDDTMSTPKRAAERASNITVATEWTTLDEPGVSDPKASKSEVGTVWT EEDEGEDKATPLPTPSVLTGSMYTATPGQSRPELDRFVTADEVPRASY QC762_406860 MASQYEGKLPHLEHNIKTPPPPTRRRQIDMSTFTSHLSSTITPD HITNPHSIPNPVDLSAAFRLVQDQFLTLASSAPSQENQSFLLSLAQSLEEDTLHPPTS LEGTSQEFVDSLDRVPRKSLSPDDKCPICMENFLDDPYCLVAELPCGGRHRLDLECVG PWLRTKGTCPCCRADLGERKKRKEAEEREKEKGKKQEVEEEDDMDGLYA QC762_406858 MSLLRTTLRRPLPPLPISSSLALTRQYNPSLPRQAYKDDQDRTS LKPRPNDSTKSGSDDEAAECATAFDPTVTDPDLEFQSCWKGPYDNPLEASGANREISK ETNERAEEKQAPRDGKTRESHSSKEGKKAGRMTSSFPK QC762_406850 MPGKNLTSKSGTLAYLAPEVYAGNGYDVRADWWSLGVLFYECIY NKRPFEGNSESSLSAVIQAAKPRFPVTNPPVSLTCMYAIQAALEPNPSKRMGHTWESF IHNDFFSMIDFMALERKEIEPVFIPSSEKTNFDATYDLEELLLEEAPLEARARRQKPR ERLKDDATDKEIREDELYRMIETDFRNFDYTVAAYKKIASQTTQPNGQPAAAAGKENL QPGTAQTTDTATAAPATTNGNSPTDSNKLTRPPSNHSRRSAPGRPPPLPPYPQSYNPR AGNRSGPGMIVESPTGGVQVTLDGGGSWSDLARQDATLPADASGANNELDGKESGGGG VFGFLSRKKGRGNSPKPKERGVLGKEGARVVIG QC762_406840 MPEIAEVARIVHFLRLHLVGKTIRTASAVDDQIVFGKAGTTGDA VSAALTGRKVISSGSQGKLFWLVLDKAPHVVMHFGMTGWLQIRGVQTSYSSLYRDTDT RVETWPPKYTKFHLTTTCNPAVEVAFTDYRRLARVRLVDCPGADIRSHAPLKENGPDP VQDTDRFTLAYFQSKCRASRAAVKAMLLNQKFISGIGNWVGDEVLFQSRIHPEQRCNH LTDAQTKTLYEVIRYVCQTAVGVLGDYHQFPSDWLFKYRWSKGSENPTLPGGEPLAHV TVGNRTSCYATRLQKITLDGVPVVVEEEKNKEEVVEEEDGGRQEEKKPAPKGRKRKAE WGSTDMPKPKARRGRRAAVKKTEEEEQEEEEEEEGVKPSKPVAKRGRKALMR QC762_406820 MLLSRSVRLFCACFSVTALAQFVPLQDANLTTLNAAHDPNITIS YKVPKGACKTAFDAQKQFSGWVNIPVEGRSTSLFFWFIEAREPTSTLTIWLNGGTGGS SMSGFWQENGPCEVVEKDKDHLEVKAREWGWDRASNMLYIDLPSVGFSYTTPITNGSY DSYNATTIFPPEPVPKWSSPWAFMNGTFSSPYPLNSSANTTATARLVWHLLQTFLSTF PQYNTPSNSSTGISLFAKGTTAPLAALLASYFHSQNPSTSSTTLPIKITSLGLLSPCG LDPLSQAQSYVTMALNNTFHLPLLTQDQTTPIMSAYHRRRGCSDLLLPCRHPSSSTNS ETLLVCLQAWECIYGLTIPYQQHTSRSLYDISSPSFDPLPNQTYLTFLNSPKFLSAIG SPINFTDLPPPLPALRDDPLVAIKELLSQGVRIALLSGDRDYLCNWYSGQSTSLSIAG SFSRYNAFHTTGYAPLLTNKTYTGGSTRQLSNLSFTRIYDAGHFPSHSQPETTFEIFS RIILGSSISTGEKVNLASFATKGTPEADKTGPELLATNVPNARCYIRKVADTCDDESV RAVLRGEGVVINGVWYNSAGDWQLPGQRSDGEAAGEEAAPTSTIMTGIFTTSLSAAKP TSSSGGSSAWDNPARGLLMVSVLAGLLL QC762_406810 MSGFHESDNGGGSHSATDIITYIGVPLAVLGVLPILYNTAVTLA ALSRIKRMLRHSRLPALTRSDVVNRIIEVELPRYAVRPMDRFTDRQEYWSVSSHRSSI PGGSWTTFNWRTNVIGLNTQRVEYADQLRQPQVEVEFDQLVCYLLDLGAVPDGYGWRM LRGSGLWTPVGCTLMTGPGGKKALSVGPLDGSDGHLSLVVNWEGGWTRRSWGDLPPYW VRLPPPPPPRVVEGEEEGGRSMEEVTTGGEHASCESLHKTETETSRPRTQQKKWGESS IPITCQISTEGIVTALRQEAQLTSTINLDSLPVDHIRVRSSSSSGAWFASAATAYGTT SQTILWSYKIPDDILTFSRKETVPCGVLVLLNVVEQSATPEWATTYNDGAADLDKFTA RMRDQRAAMAAEAKLPPAQRAQAAMERVRRENEMRMQDMKDQQRLRTARQEARLLEAL QSPKWDTKLVAEHNLTWLKNQSNSNPKEKVAPNMEMKEVVGTLLYRMVLDSQFTAKLC AMLELWKNWAENGGMRKSDLERLREEQVVWAYATLLVAMIKDCTGLAEGTLALDLQEC LRVWRNVRLG QC762_406800 MAVGRKKIVVVGLGMVGISFIEKLLKLDARSREYNVVVVGEEPH LAYNRVGLTSFFEHRKIENLYLNPLEWYTAVPDGALSYHLNTKVTEIYPNKKTITCAN GDEVSYDILVLATGSDAVLPKHTPGHDAKGVFVYRTVEDLEKLIAFSANQKGTVGAVV GGGLLGLEAAKAMMDLGCFEKVKVIERNRWVLSRQLDADAGGMVVEQVRSLGADVLLS KRVGRIEVTEDNSVKGVHFEDGEYMECSTICFAIGVRPRDELARQSGILCADRGGGIV VDDSLQTSHPDIYAIGECASWQGQTFGLIAPGVEMADVLTFNLTQSQHHQPRLYKRPD LSTKLKLLGVEVASFGDFFADRDGPKYLPAKAKKKPASPLSAVKVLTNGAPPAPVKAL TYKDPFLNIYKKYIFSVDGKYLLGGMMIGDTSDYVKLVPLVKNQKELDVPPSQLILGA KKEGADDGDDLDDDTQICSCHNVTKADVVNTVKDGTCKSIGEVKSCTKAGTGCGGCMP LVTSIFNKTMKDMGNEVKNTICPHFNYSRADLYNIIMVKRLTTFQEVMKEAGVDPESI GCEACKPTLASIFASLWNKHVMDKPHHGLQETNDRYLGNIQRNGTYSVVPRVSAGEIT PEKLVVIGEVARDYKLYTKITGGQRIDMFGAKKQDLIDIWKRLVDAGMESGHAYAKSL RTVKSCVGTTWCRYGIGDSVGMAVRLEERYKSLRAPHKIKGGVSGCVRECAEAQNKDF GLIATEKGFNIFVGGNGGAKPRHSELLAKDVPPTEVIPILDRYLMFYIRTADKLQRTA RWLEALPGGLAYLRSVILDDSLGLNASLEAQMQELVDSFFDEWAEAINNPEIAAQFKQ FANTTETVETMELDTDRGQLRPTFWPSDASAKEDFAGLSKTWSSLTWQPMLESSYFKG ADDIPNGISAAVKRGDTQLALFKVKGKYYCTQQMCPHKRAFILSDGLVGTSSSSPSTG EAPYVSCPHHKRNYDLSNGACKNDSELSIATFEVEERDDGMVYVKLPPVEELDEKLGT KKWMVKSGEAGEGQLKELDRKLGLETPAGGNWKGHRAKKPGVKPHAENRMRRPVEVMA GGGGCGSAPDW QC762_406790 MISIIVGVLLLFFTGDGILEPSSQKDSTTQSPSSAAVVRVTRRK ASPLLNPQGSLQEMGEHHGYDELDVPRVWYELDARSLRSLNRSLKWAMSGARSPQVNG GQNGGDIAPEVGVREGV QC762_406780 MHFKRLSRGHSLRKPETSATASSASAQQQPDKLQTTTAPAESSV IREEPATMSTVTSPVEPSRQSTLASNLSDEAVPEHDPNTTAGLLAQRLQAWKHAVGYL EEYVEAVEKVHKNSSKEYERVLKTISKPLREGEHFDQSLGGVAGFFENMRVNTQALIN TNAETEKSIKGSVLPVLERLHKEIKHKAKELAHGAEKGAKEVEKARNTTQKHIELLGQ HTAAFESSGAKHHPNDDPYVIQRGVLHRLNKQVQEENNQRNDLIAVQANFQEFEAHVI GTVQQAMEAFNSFVGGQALKVQALYADMLGSAQRIPPDFEWKGFVKRSGDKLVDPAEG PRTVEAIQFPNQNHASTKALIEGSLERKSRNKLSWGYSTGYYVVTPAKFLHEFKDDDN YRKDPTPELSIYLPDAIIGLPAGEKFNVKGKDKSGGLGGKLSGSSELSFKAHSPAEAM KWFEVIKKVAGATPGSPTVPSPAEEVKVNPVEAAAAPATQGVTGAEEKPKPVVAVPEV STSAAADAKAQEAGVAPAAAAPAAAPAAADEKAAVKA QC762_406770 MPLFTLSTSKKNACTLFDIRLENDFIVFRGNDHEASGQLLKGTV VLCLSAPLKVETINLKLTGTIHYGWTDPRVTATGINHHRIDKTVNFYEHRWRPFAGVG ASNHIPTGDTHHSRGTTLGPGNYEWPFQVMLPGNTTESVEGLREASITYRLKASVVRG KFASDLSAYKRLRIIRTLESSALEFLHAMSVENIWPNKIDYSILIPQKAVVFGTCIPL ETRFTPLLKGLELGDMTVKLVEVHDIVLHSTRSSGASSVKEYRKEREIETWRLPITRE EHWQDMINDSGQEGWVLNTSLNLPKKLSKCLQDVNTKGIKVRHKLKLVVALKNPDGHI SELRATLPVTIFISPNMPLDEDGNLVRQVPVGTPSLQVAAIAPPGYGEHVLDQLYEDM DPTGLQTPAGGLSAVNSPIYGRSRASSVENLNALYHGASSPVNGVPFSANQLTARLQT QLNQHNRNQSWPTMRAAESARHMPSYASELDTEDARTDEQSAPPSLPLTRHNSDDDES ALQHLDYAELEELSKVPSYQTAVKTPVRPLAYNVLPDYETATSVPSTPQPGSPERSPP LRASELTRSDNSFLGSIAEVPQESSTQDDDMNPQSRPGTRRTSPIRWRQLSVGLYPHH SLHGEGDEHRRLHLLRAQRGLA QC762_406760 MFSLSVLPPPPRYPSQPGGGYNGISATGGVLPMIETNNILTTPT GPEYQFLVGEGLYVLKEDLHLATPPPHPSEAPIQNPNPLSTAPQPATAGTQLSLINID VGPLPPSFYRSNSRSDGVSSSIQEHPDERSQSSTEVRESTSDGGRLNSSDGGGGHFAS HAPPFGEGNTLLTPTPTKDSNKRRKPKNNMTKSNSSFISRVINHETLSKKLQERARDG MFAFANVNRAFQWLDLSSPTKAEYLTKILFTKAHCLSHDVNRVTKSAAHVDVVMGFST GEIIWWEPISQRYTRLNKNGIINSTPVSCIAWIPNSENLFLAAHMDGTLIVYDKEKED AIFSPEDEGTPLSSPSTAVRSSSDAPPLPRPTSSTSSSNNKTTTSSAIHKIHIQKSVH SKNQKVNPVSVWKLSNQRINAFAFSPDNRHLAVVSEDGSLRIIDYLSETLLDLFYSYY GGLTCVTWSPDGRYVLTGGQDDLVSIWSPGVDGTTQGHLVARCQGHHSWVTGVKFDLW RCDLDETTTGAGRNYRFGSVGEDGRVCLWDFSLGMLIRPRVGSTTHGVVGGRPLGEGS SRGRSNSGLSGGETVREGDRGKGGGLRKGEVRPRAVEPRASTAVLPPVLSKIIDDDPL CWLEFTRDAIITSCKSGHIRTWSRPAELTPLGEQENKVE QC762_406750 MATAVRICICGDEGTGKSSLIATFVKDTFVSNKIQAVLPQITIP PTPGHENVTTTLVDTSARPQDRTTLRREIRKCTVIMLVYSDHYSYERVALFWMPYFRS LGVNVPVVLCANKSDLTGDGNTAQVLEEEMLPVMAEFREIDSCIRTSAKEGRNVVEVF WLCQKAVTHPIAPLYDHKESQLKPACVDALRRVFYLCDRDQDGYLNDKEMHDFQAKSF DKPLLPGELENIKAVVSKAVPTCDISKGLDLQCFLQLNKLYAEKGRHETIWIILRKHH YTDSLSLEDGFLQPKLDVPEYASTELSPAGYRFFMDLFLIFDKDNDGGLNDQELAALF APTPGLPPSWVETSFPSSTVRNEAGHITLQGWLAQWSMTTFLEPKTTLEYLAYLGFEG PNARDSTTAALKITKPRKRRRRPGRVDRNVVLCYILGSSASGKSTLLNAFLNRPFDAL YHPTIKPRRAVNSVELGGGKQCYLILEELGELEPAILENQAKLDACDLICYAYDSSDP ESFSHIVELRKRYPQLDELPAIYTALKADCDKTTQRSELQPDAYTAALNMSAPLHVSV TWHSISELFVALAEAATNPSTAFPKTEEAPDRTSLYMALGATTCAALAAFMIWRRSTS SV QC762_406740 MADNDQSDLPQPFNARNLVTAKKRQRSTSPGNGSGSGTPSGEAP KKQLKRPGQRARIDDAAREQARQRALERQRQLQAQEAAGAAANTPNSYGPSGSSSGSF PSNHNSRGNINDVVRAHYNAVPERGRDWRKTDSRIKGLRSFNNWVKSCIIQKFAPDED HSPGARERGQTSNNRLLVLDIGCGKGGDLGKWQQAPQTVELYVGLDPADVSIEQARDR YRSMNRPGGGHRGGRGGHRGRPPPRIFEARFHAKDCFAETIGDIDIIRQVGFNNNNVS DNKGFDVVSMMFCMHYAFETEQKARQMLKNVAGALKKGGRLIGCIPNSDVISAKVVEF NKKQEEKKRRKAEKETERARAKEDGEADDEDPNKEDGELDSDEGEAEETAAWGNDIYR VRFPGPTPADGIFRPPFGWKYNFFLHEAVEEVPEYVVPWEAFRALAEDYNLELQYHKP FADVWEMEKDDRELGPLSERMGVRERHGGKLLVSPEEMEAASFYVAFCFYRV QC762_406730 MGSDPQYAKWPLLPLAQHVFTLTNPYASKPLQQSAAKALQDAIT EHKMAPFYKYLAHPTEGILNSVGEGSSNVPGKAPSRKNSVAAGMINTKNPTSSINLPW DEALYQQLHADNEKELEEFQKEEDEAVEKAGDTEIQAARGKRAEFWARVGDKDKAIAA HEDIFEKIGVLGTKIDLVLAIIRMGLFYGDKQLVKKQVARAKTLVETGGDWDRRNRLK AYEGLHNLTVRSYNLAAPLLLDSLSTFTSYELCTYSNLVVYAVLAGSVSLKRVDFKSK VVDAPEIKAILGDGEDKLLALSGAISAGPGVDAEMQDASSAPSTAKTTVVNLTTLGSS TEQAEAEMSVDFGSLAQLVSSLYNGRYKLFFQALALVEEQFLTQDRYLHEHKNWFVRE MRLRAYQQLLQSYRVVGLDSMANDFGITVDFLDRDLAKFIAAGRIPCTIDRVSGRGVI ETNRPDDKNKQYQDVVRQGDQLITKLQKYGQAVRLRGSERA QC762_406720 MAPYLMRVARRGTTINNSALSHSARFIPGALPRGTPSTRADVSG LAPAPPLTATTPLSSAAARCAGRCFSSITSTGKRVGVIPVAATAAVVMGQLSNQSQSQ QQQQVRNMASSSTPSSSGRRLNMNNINPHVKAAKYAVRGELAVKSEEYRAYLQGGMTD LPFSEVISANIGNPQQLDQKPITFFRQVLSLLENPVLLEKEDVLIEYLGYKKDVIERA KKLLKVVGSVGAYSASNGVPAIRQSIADFLERRDGFPASQSDIYLSAGASSGVNTLLH VVCQDSNTGILVPIPQYPLYTASLAVLDAHCVEYHLDESKNWGTDLEIIKSSYEAAKA KGIDVRAIVIINPGNPTGASLSESDIRSVIEFARQERLVILADEVYQTNVFIGEFVSF KKVLRTLQKERPNDGFDQVELASLHSVSKGMVGECGHRGGYFELVNFDPDVQQEIYKF VSIMLCAPVIGQCLVDLMVNPPRPGEESYELYKKEYDAIYDGLKERATALHKAFEQME GVECGSPQGSMYLFPTISLPEKAAEAAKKEGRTPDEFYCLRLLEATGVCVVPGSGFGQ REGSLHFRTTFLAPGTEWVGSIVKFHREFMEKYR QC762_0070090 MSKKYSVALRPFSRQPHLFLLLLFSSGLKLHPPANPVLIRKRSD PDNRGLLLLHRPRAPFSEPRLPQKRDNKDHQTRPNQLIV QC762_406710 MAKKAKSRVIIVRLLSMAQTGYFYTFTRPRVGIPMSMIKYDPIV RRRVLFLEQKRKGK QC762_406700 MEQPFPTEFDTSHGRGQMTRKPFDSSPNDGQSPNTEPTLSAEKP ANPKNIVDLTDIENGKLPQIPEDPSTEPDATKSFSYGPPVTVVPNRFTARSPGKPTTP TGLHEQHIKAPPQAHIPKFPTIEGKPGLTRFSGRNRRNRVIPNPLDARRVSPIQSFRP FPDNGDFRNNDPASHGPKQPSPHPPFQPHPFPDLPSPKVSTAHVTYPQPAYVEDAVST VHGAEPSIIDVTSPVKLNAMATDPGYDDEDYGFDNNAEYYAEMPDQYVPQHHPLPSDF AISSPQVTPQVKKTPIHPSAPKHTTGDSRSSRGSRSHRHPGDRPAHRHRMQPAIKPFR KTNSASRKAETMRKVDESMRNVRAQSHSSNISRRRDAPDTRKAQHQHFLRSEKSSAKS VTSSPELKQQTVRVRHKFASNMAEVLNEFNMDQETALLKQRERYRESIKSLKLELERA AEESSALVARSIEKSKEIENLQASEAEKDARIVELHTKLENFEQQNTTLAEKFAAFKS RCNSIIEEQRALYNDTKSRCEETITEVRNIASARISEAEAVAQKGEKVRKALMERVHQ DIAQNKRESSELYEKIRTLTQQVEEKDHQIARDQETIRGLSTRIQDIQASSERFEKLA AKNEEVLCNIGELITEESSRREESAKETNEWLDSISCQLEKVSQTVVGQPELTTSLGE TQAKSLDDINAKLEVMLVSRESVSDATSQLSTCIETQVWRVLQRLDSQFDTMGQQLAL KAEEKAVLSTLLEEKKARCGALEQEIVTLQQKSREQSERIDALQQNISAMESQHGNDQ EEIQRLKVIGSRLEDENEKFSDEVELKTATIRELEDKLRSKGETYSAEVRTFGIEVSK LNQALREQEHSNQITVKQVSEAARNQVKVEMERIIADTRRMLQQTERQRDTLVGEIKM LKGTIQENESRHQTAIRNATETAQSETRIDMERTMSADQRLLAETQKHRDKLITEVRK LEEAIQERQQSTLEAVQKASDTARREARDEMERVVADTTKFLEQAQQHLEKVVQEKEQ ERERNSHLVDSLKGMLAAEEATKNRVIQESTERLAERSQQIEDLKARVTSLEAERDAA RKAVAELSSERDHQRVRCEAMASGLMDWARQYGHPTDAIREQFAHGKVEEIKACVLHT LAQLALSQRLKALNTEPSSEHSQSQDSSGQAAISRQERPRVEVETANMDDSTTLLGSP GSLTGESPGTLLGGASATPLSSPSNDPGSQRRRVVIRTPMSEPDPVPPTVDQEKIRRR EALQPKSVLRRVTRSASSGRLSQENIAGVTEAGTSPAPLGIQHIVPNIPTPTRPTPVA TTVKPATKRLAKRKAPASGGSRATRSKTTPLAAPDEHPISALGGSRTLSLEPDSPDQP PKSTGPQQGQTALQAAGQTNSSTLGGPQRPSPEERTPLPNSAGSWTSSQPQPANAEDY GIRRRRRSLSPALETDASGLPILRSQPRFWSRPQVPSTASQPQELQTYRNIGDYQDSI IDSQETHEA QC762_406690 MAPHPQTDNSPFPLTPTEDDVAGHGTPDDGSLAGASGTSSGGIT ISRGALVAIIVVVVVVALAGIASSVLFYVAKKREWTVKETIRRSARKVVTALTPRRSE FPRSVKEGGGSRNGRVKLDDVPPTPRLTPERLEDLEKGLEGKKRNKKSNFSRK QC762_406680 MKLTNPGAVPVYTVAGPSTARPLPDWLARRRKRSSKYDPENLNN FELLQEFEFEEASNCIRVSEDGNWIMSSGTYKPQFHVHSTAELSLSFARHTKSENTTF MLLSSDYTKSVHLQNDRSLEFHTPMGCHYDVRLPRFGRDLAYLRQSTEVLVPAVGVSS DGSGFGDVFRLDLERGQFLRPWQVDVGEDDAGVGLQGGIHAGAVNVAAVAERTHGLCA FGTTIGTVEFFDPRSKGRVAVLGNQDGEVTALDYSNDGLSLALGTSTGQIRVFDLRNP RPLMKKDQGMGLPIKKLIHMRTPTEERKLLSADKRIIKIWDEHSGDLWTSIEPMVDLN DVVHVPDSGMLLTANEGKHMHSFFIPNLGLAPKWCTFLDNLVHEMENETQTETYDNYK FLTLPELRELSLDHLIGKTNLLRPYMHGYFAHAKLYDQARLIANPYIWEEERAKRVKE KLEKERSSRIRGTKKVKVNQKLADKVLQRQENRGKVDPEAGILGDERFAKLFEDEEFK VDELSREFRSLNPSTVVGGKPGQQQDIDMADANSTDFSGSESEEEEKPKKKSKDEVVM QVSSSTAPRGGKIKDLAFGDRTQKDTRESRLAGKKEIVGEQAITFVPESKKKKQEPPP PRPEGKRRDDGRRSASSNVFRKM QC762_406670 MEAGDYDTNTLLINNLSAVSYRIYEPIASHAYTFNASDVHDALR NDGHLVYMDSVRHGIWCFYLSTADPAVNPESIGLFARMVVCGYTLGLVGEGHFRPVDL FKSRMSGGDPINTPSSSSSAGFAADMGLQRAQSFNMPTPTTVGNVVLADVKGYASVPI PEIHRYFMKAALESLTTYFCRQIGAIALNPRAVLLPPEALNTDDADHVSALATFRVYL TTTGTLVISLNVSLSKSLVACSRPFQGSMMPPSPILAAPFGHFGSLQGILDTDNQGTE NGFVQSPDTQIGRLRSGSGERFSQWKTLVCEVLKMHGMSSNILNGSSWLNVHFSQRKP YEQRGDGKNTPLVNPGPNAPWPSVLCFRRARVEPVGDVNFDKAVPGNAGEHGDPLSMV KSWCQGLSKREEDMSQRKKEREAALLREKAEVDGRNIQLNGHSPMNPRRPSNTGPALT AAGVMYPTPPDGVLQPGIMPLFDGTTVSPANLPPQNVVVDMEVNIQQDVSMTDGFGDE WDGQESKPEPTTAGFTDEHMYGDLDEDMFGGNELTDADFSFFDQPADNLDLDSHQVDG TGPSMDLQLTNNTAAQEPGRATQGPNSRQPGPTNAQPQFTKPELKHARSILAESRQQI NAQNFNHNSAAGIKRQTSPFNPETVFKKIKASFKPLPPSRVAPKGGPPRRRSVFERVE FNPALSLDSVKYQASGPFTYQPPTLNSYLPNEGPLTTGRLLGSAKQRRNIKELSFDLL GLQKRINGAGASPAKRDEGLSDDDESSLESDDDTTSNVSARASSPAKSSVLRRRPDDD VISMAASFKDLENYATDSPGYSPNDMIRLSNSEVPELSLTRWFADPEPVPLRISVSDD DFITVAQVLTEQAAGGTLRLEPERPCSEIRDTRQGLVDAIRYSIQGLQRALPRALMGA TECHFRAFAEVQDVPLLVQPVQPQSRVQMRPADLGRPTFQILPVPHIEVRRNNGQLAL LPSALTFWESLGLGPAQGAKDVVAVCVFPHGEGMRDNATVFLERMRSMYELMNFGSHA KLPTTASIVDGLVSVPIDQGFVSPGIHLSRPHSIYTDHMANLALSLANVPMTEKNFVV YFAYNPENPTSIVDSCCAFQELFEHYKRCMLERKKQILNELVLQLIPLDLIASDTSMV VLPTPECMRLCIETYDRCTLFGGPMPAPAIILESALPREVPFRISPTPSPDILHENSH MHVAYAQSTDERWVTAAWTDPRGQKQMTASYCLGRRNKPLTRHISEVISEIWETTYDL ISSCKVSWKIIVTKSGVMEPQEADLWIAKSQDEHRVVTSLTLVVVDANPSLQLVPPVV RVPPAGVHAAFYTTPVSTPQPFSVLSPEQGNNNPPTPTVVSSSHNNPATPNDNTNNDG GQGGDGNGVERTVVDVWDSVYSVVVAHRLSNSLGLTEINPAWASGYLVRRNASRGEDV PGVMEVGLVWCEQVNARGVGYEGVLREVMGEFRGLGTLARARGCMTEERDLRPWHVGV VERGCRVLGLLM QC762_406660 MTVSALPTTFDRPVHIAVIGGTGLSQIPGFVPIASVTPTTPWGA PSSPILIIEHSGVPIAFLARHGAHHQIAPHEVPARANIAALRSLGVRSIIAFSAVGSL QEHIKPMDFVVPDQIIDRTKGIRPFTFFEGGVVGHVGFADPFDAKLSAIVQKCAAAMK GDGVVLHKEGTVVCMEGPQFSTRAESNMYRSWGGSVINMSALPEAKLAREAELAYQMI CMATDYDCWRNTEGEDVDVAMVMKYMAANSENAKHLVGAVLDELCKQENSALVLAKHW EGSAQGAVKFMTKPEGRDSEAMKRVEFLFPGFWEN QC762_406650 MTGAEAANIAANSPPPPPPPLELEPLPRNSNGRRPLSIEEVCFT SAMTCFEGLLKLDPAIGTRTMFYVTKFISRTLEKTHALKDVRENLSKSVEVWIWLTRD FAAAIPSLTTRSIGPLASLNDPDKGVTAQESTTLITKNYQSLRDDLQLLIKLMHIARN LLVVPEPEIPQDLCAAAQFDQMLYQTIILCVNVTSKAYDGDILEEGARLKLSEITELY KKLLVTCLQQAHNWIAKNDRNKMSFWSTVLFDEDAMEDSSLYGEGEFRPDVAKTEVQN WYERNSEFCPKARQLLVEYEETVARHGIPPGHLPCISPLAWNWLPEGSVRARADKASD NTKITPQWVEDEPDKFTQDQRYGRVSREVDVWWLKIRDPNYESWVVPMPTVEFAQQRT ENCKANLVNRYAHAYRTEEHEVEDSVHSAEGNPLPEGSGEQDAKEGGHYAHDYNDDMI EEEDIDDDESYGEGPMSGLLTEVPNILDPKQIEALHMIVKSCILDNAGLALSNAGENL QKTRCRMFLALECGRSLLREILVFIAVWEKSEQSLIFQLTTQIVEAIHHSALIPYAWQ SLRIPKDIISPAQTVLLRLVNNMFRARNADPPKAESKEHLRDVKLLHFLFIQFRSRIV PECAALMHLQAQIRNELCDPSDFPVDSWDMERAKDGLTQFLELLSTVNELQETRHYLI EWEAAYELIVLLKGLEAGVEKATMVQPVPARSAPRQQHDTPDHDDHGYDSEDHPLAPP PPLQEPAHKFPWAGIKGQILHILAGLLQPPNGRNGPGNPEVQDQILRHNGLVPLLNCC VYDDHNRFARERVQICLKWLMDGSNAANKFLRDLVAMNPQPSPQQLAQQAAQQGLRVD GLPSDVRVRTRGAQDEAAPPPRPNLSAVHAPSSRSLPPLVATNAGPGNPGGPPPPPPP GHVPVTAVLEVEVDENGEIDRDTLKEHILPPIRGGGGQQGERNRSEELLRNIMTLADE QAAAAAVAAGAGVGVVEGGVMGGNNNTHPRNRSEELLRDIITLADEAARLAMNSRPGG DGEGDETEEEEEVEEEEFM QC762_406640 MDEAAPPSKKAAKKAEAKARKEALKAQRADARQAPSSAVELDDP AKGFYGQAPTTPIVFSSSAEDISLRNFSNGNVEGKTIILRAWLQTSRTQSAKMAFVEL REEGNWTIQGVATATSEPPSRSHPSRPMVRWIAGINPESFVVVESEVQRPIEPVKRCR VSNFELRITRCYLLAPAPAILGMTLAAANRPVVDFDDEAIGAKAGVKEEEETDKKGPP AASMLVHLDNIAMHKRAPVQQAIAEFEPPSLIGAASEGGSNVFRMPYFAQEAFLAQSP QFYKQFEIAGGRKRVFSIAPVFRAENSNTPRHMTEFTGLDLEMEIRNDYSEVLYLLEG VLLYIFRQVYRIFKDEIELVRSVYPSSEILLPEPGQEVRLTFTQAQQLLREEGPAEFR HVRDDEDMSTPQEKALGALVRQKFNTDFYVVDKFPETARPFYAKLDDTAAEGSAEGAV KVTNAFDMFLRGQEILSGGQRINHPDELEVRIRAKGIDPASPGIDGYVQVFRQVGVPP HGGGGIGLDRIVAWYLGLPSVHLAAYYPRTPRRLLP QC762_406630 MKASEQSIRCSRCLPRAERIPTNVGLSWSHGDRVSASPLVAIGT VDEQGRPWTSIWGGERNFARQISHDKLAMASLVDKCHDPVVKSLGLDRLADGEVGQTS GDKAISALSIDLESRDRVKLAGKVVVGALVGRPNDEAVSQVQLAFQVEESLGNCPKYL NKKVIWAHLPSPQLVSSSLLLPEEAISLLAKADLFFLSSTNGQTMDTNHRGGPPGFMR VISNTDPSPGGDNGAVLIYPEYSGNRLYQTLGNLHTNPLIGLAIPDFDTSDVLYLTGT TELLVGDTAAAYLPHTKLAVKITVRSAIFVKDGLPFRGTPGEFSPYNPPLRKLTTEAP APLSASKPAATATLVDKTSLSPTISRFTFSLAFNNPKEKRLWNPGQHITLDFGGELDN GWAHMKNSDPQSLNDDYVRTFTISNSPPSNAEQEIKFEITARKHGPVTGFLWGYHISP RAARLEIPVLGFGGEENFRLIGTGKEKKKVFVAGGVGITPFLGQVREIAATDHEVELI WSLRADDLPFARDVLGRNEGIKQVKVRLFLTGGCGESGKAEMAKIKGLEGVKVEMRRL TKKDVQGDWFDKERKKYFLCAGVGMMKVLRGWLEGEEVVSESFEY QC762_406620 MPPDRLLYNSSLLRPPTVKKFRFLRRSANSPGILYKTHPPDSEH NLNLDRSLPRQQFRGYGSASLCRQEISSAPHSSTTGRASAICHLPGLPPNPCPRTLSA AGMDPAIIAARDNVIRAEVAEREAECILMAARENLLEAREHALRLELEASAANQAQTM FNTLTSHNTTASGTATPVAVSGVPTEAYTFPVDKLKRRQTRPGKTPLVLVACGSFSPI TFLHMRMFEMASDFVRFNTDFEVCAGYLSPVSDAYKKAGLAPGRHRVEMCSRAIESSP WLMVDPFETVNCNEKGEPEYVPTAKVLRHFDHEINTVLGGIEGTDGKMHKAKIALLAG ADLVMSMGEPGLWSPIDLGVILGQYGAFIVERSGTDIELALSTLKQYENNIWVIGQVI QNDISSTKVRLFLKKDLSVRYLIPDPVVEYINEHHLYQEGPPKEKQEKINGSKDPGPE SSNGKLIKG QC762_406616 MLVLNYRKTGYLPVAYALQMKSIKRAWAEPLPSWLPSRHKFQHQ PPIRARQYSLLIVAASFLKMATTTSAIPSPTWPSNYSPTQFTPAATCGFGQDLWLATL DCAIFNRQTYASTASAPPAVVTGKLPGFTCGPVVNAGRPLVHFTRSDDNSIAITTTTR GYEDECYQNKYGPSGAVTKATGSQTITHYFASCPVGYSEANHDSTATNNVDRHYLECC PSGSLSFGLFSTRTEDILFETTISGTPYGGWTINMPACTAKLTAGEVVTVTDALTGGT ETTTRTTSLESGATVFAEIQTASYTVFAGQHTCFEGCNQLYESVSDWLSQYTPTSTET STSPSPSGTQPAGEDDQAGDGGEDEDDQAGDGGEDEDEDEDGDVDQPSRAGQTAGFKV GVLGAALALAVGVVAGL QC762_406612 MKSQLKSLKSWLRDTQGGNSFLTDFETEMWSDDKLSSCLCLETP TAKGDPFTRRLLKSVIQAYDRVVGRYIGSGEMVDEETGDKSYSSNRVNRASNVFGAIM ASALPVLSIYALTEIPSTEARIGATAGFIITFAVLMGILGSAKRSERSLQQRLRLQQP RPSSSGAL QC762_406610 MNDAGGEKIDEHLRAADPDGKKLPKQQEHEQMHLDPSRWWFASS AFPMIAGTLGPVASAFSICALARPWRQNYLPGSDLDAAPFIRDPIWLTIINAIQLLIA LIANMALLLNMTQRLRFSIAQPVTIVGWYISSICLISLTATASGPLIIEPTSQYIWSQ AFYYGIYGAALYFLVASLMVITFLGAQTEHYPKDFVLTPSQRTLMMQTILLLFYLLLG ALLFGRIEGWNYLDAVYWAAVTLFTVGFGDFSPQTGLGKGLVMPFALVGIISLGLVIG SIRSLVLDRGRRRLSARMVEKRRRRMLKQMTKKGKDGILVPIKEGEELRQTPSEVDRG LTEFERREKEFKLMRDIQKETSKKRRWYATAVSACTWAALWLGGARIFQACEEPYQGW TYFDGIFFCFVSLTTIGYGDIVPVSNAGKSFWVFWALLALPTMTVLISNAGDTVVKGV RDATDAIATITILPSERPFKKDLKRFLKHVSFGVLFKEEIIEETPTGILGEAQDRDNT SSDSEEENDAETALQRGQAESSNSSPTSPRVRFNPPFRNDDAHDKNIDDNTADENHPH GPGQHLRERKKRRKSAPFTLPNRQQDYLVILIDEVARVTAHLKEHPPRKYSFKEWAWY LRLIGEDESDAGQHGKASTHVRTKKDKFRGGRPNEGLDDRGEARVTEKVVPWSWVGSK SPLMGGKEEAEWILERLIERLGEELRRGRREGDDDQEGEGQRDEHEGRGGRHEL QC762_406600 MLRWPLGARRWRPAALTCRVLRRSRFYSTNESVENVQVQCASAG EITVSLHNIAKHASTKPLVIVIPPIPHTGGEPHSSLPRWLREFPAAVINYRWNNVKDP EDKTPLRWPSPVHDLTFGYSWLSANLGLRPEKKKHVFGRPAYVYGSYLGATLGAGLAF TEAHHPFLNLGQGGMTVRGLIAHNGIYNWPMFLPDHPIHSYKLKPPKHGICPFYTNKV QSQRFELEEFDDNTAFGFLYSQMPYLFPSPSNLFDPFASPTLFFQNAPLHVPEDFFNP SRFNNLPSPPALSSAVDNFLADTTGIPEPQPPQSDDPSSSQALSSKPLVELTSQEIDV ILAQKTAEAMASSRPRKGYLVFPPRKSTLRVPETLLLFDSDDVPASVDEKRIPPRNSF KVQAIELAGLMRRSVSMFECQTLAKEGDEEFVGPEARGREALRRVKTMELSAQVKEQE EDDIGEWGLRGSEEALAVKWLKGAIREDEDWMEDKRARS QC762_406590 MQKEITMAPPIRSITATATALLLVIGAHAAENAPCYFPGEVHAL GYYPCQPFGAEISLCCPQGWTCFSNALCIATTETKSFPNITLGEVQRGACTSPGWNNA LCGGVCLTGDNIYGKLAACTQETFCCEDDYKKGKCTCEEGGGSFEIKRGMGSKIIEVD SETFSGTATVIIAEPVTSLVSDATTTTGTATSTGESSVSNTADGATTTPTSTEPAEVV EGGGGLSKGATIGIGVGAGVAGLLILGALAFFVMRWRRKNSQMMGTNNGGKVTEDPIE SHQYGVTYGHAYGEQP QC762_406580 MGFLSHKRRDVVIQPTEKWDFISLNDFKSTSCWTPFAYGILIAS MFLSLSVYAVDGFTAYQLIAFDRWSSQIEPAQFIPFQVTKWVFAGCIILSVANLCYEH LRANRIMRRGSVAECFLDHIAQRMECLRPTSGWRRFLVFAELTKSKKGVDYVALFTFF SFQSWIRVLLCSGPRQVLNALTLYSIFRAKLNIKGDNFDASLADFIDKIQALATEDTR QSVILCGMIFTVVVWIFSFLSLVISGVLFVLFLWSYIPREDGGLTGFCERKVNKRLKQ IVSVKINKAMEEDERQRKKAELRAAKKNGENRPVTMKPSLPVFADDNLAEMPSLKRAE TFMSISEKTDRSNTPGSFEMNTLGQKRPVPSRSGTTATSVSKYSARASLLGGAAAMGV SRSDSPGPAMPAGFPPPPRTATAQSNRSYASSQQLNRMPSNGSNLNAGYTAGQAMYSQ DAMPSMPPPVRPPMNEMSNYRGPGPNQQQNRWPGPNQGRPGYDDYSKGRASPAPSTMS YRNGPTSPPRMGPGGYPMRSATGPMPPRGQQPPFPPQRNMTAPIQPFHQRADSNGSGV SMGMGGPPRQPYHHQSASNSSLRNMTMPGAYQPQEIDEYRQPPLPNLAGFGGPLQPQQ NEDYRQPTLPNIEAPQQDNDYRQPTLPNLDGVAPNSSQSHDEYQYTSRSLTGSNKTPA SYPAEDDAMKYEDPSNPGRSDSPGPFQALPAEGSGYLDRSNTGRSNSPGPFQAQPMGG SGQLDRSNTGTSNNSFQAYQPRATDGSNENPSRSATMWSDSPGPFPEQSTSQSQSMDQ PATVSHAITTEWTSPVPIQPSATGQSSYVSRLDPGPSSGYVSRLEPDRSPSPELDIFA APARANTVSGAFSLDDYDYDRGPQRANTTVGNMSGSSSRDLAPHNNNNGWSDDLERGN QSRY QC762_406570 MPTYVHLVRHAQGYHNLCQENHALPDPDLTDLGKRQCEELYQSF PYHDKITHLVASPLRRTIYTCLLSFNPDIAPGKPRVIALPDIQEVSPFPCDTGSEPAK LLDEFPDDKVVDLGLVKEGWNDKGPGSEYAPEPRKLFERARRAREWFRDLGRDYAGEG EVNIVAMTHGGFLHFLTEDFEGVDYGRGTGWGNTEWRSYEVVEENGEVRLRETGESAR RRRGSKEGLTETEQMELRAAFAGAVEREFGMGEAIEEEE QC762_406560 MMGWWSSGANTALDEQVEKATSSSLEDIALNLEISDVIRSKTVQ PKEAMRSLKKRISNKNPNTQLSALSLTDTCVKNGGAHFLAEIASREFMESMVSLLKAV GPAAVNADVRAKILELIQSWATATEGRYDLSYIGEVYRNLQREGFQFPPRVTVASSMI DSSAPPEWVDSDVCMRCRTNFTFTNRKHHCRNCGNCFDQQCSSKTLPLPHLGILQAVR VDDGCYAKLTDKSTPSKGSGSGNDRSSLYSSFPHKNKSSSMQPRNARVDDGFDEDLKK ALAMSLEEVQNHNRAYFPPASNGTQASKPKSGEQVSATKAFEDDDEDLKAAIAASLAD MEEQKKRHAAVLKEQTTNVGASSSTAPLALPKNDYELTPVEAENINLFATLVDRLQTQ PPGTILREPQIQELYDSIGTLRPKLARTYGETMSKHDTLLDLHAKLSTVVRYYDRMLE ERLSKTYSQHSIGGYSLPPPRQPAGPYPSLPGSVSASVGPAEHFYTGEQQPQEYGRPV GHPSYPQPTPQPPTQYAPPYDRRESVAIPPNSQYAPQQVPQRTGSWAAAPSAPPSQYA QQPSYPTEVAQQQAPQAQLAAPSTGDSVGTTPTADPHASFYFNSQQPQQQGQQPAPSA PDPAASPYPNLAQPMHSSYQPSLPQTPASAPAQPSQPQQQHQAPPPQPQQPYWQHPAA QQTALPPVWQHQPGQTYPGYNQESFPAAPQHAPKQPVVEESLIDL QC762_406550 MTGAVIMMDHAGSSRAGPTNGGLPNGGGNGTRRPLPHIDDITSV EVDLDPHAPIERVVQMAENYLRQAESSKTFGRPDLALKDYIRASIIVLDLIKKNKGWV SMQRDSRALHDRYTRVLRQLDATHTEFERIKMEIKADNARTCVQPTAQRQSRNGGHMI NGNGFERTNGATNGTSSDQSFSPLLPPRTKPVVGPKPEGLHGNAISKPSAKAQDLAQR FANLRTTTVQTTQDPRVRTRPIVSPTTAVAPGSPPPLFSQTSLGGLPRMPDAIYNPPR GTVSKEAAALPSSTPRAMFSRTGSVSSINITKAPRPSMAGEIFSTAQSFDRPTSNKRT KLSLPNFYDETISVKDLLQYQQAGSKEISILIVDIRSREEFDEGHILSQATICVEPEV LSRPHISGEDIANSMVLAPASEQLLFEKRADFDLVVFYDQDSTAVPATPSNSIEHTVF NFFGALSFYDFVGERAAKSRPKLLEGGLDAWTSMVGKASLQTSSTIDPTAKQASTAMT RSFLNQKQKYAARPIQNPEEAKRWEKHISDPASIIPIRTTEDFLRRYPPVSGQKESMV SSSAPSPPSQSSESPFYDRISRDENFYSSLPSPPARPAPAVPRRSHSGLSDEPVLAKA VGIAPGAARPEVRKNRTGLYNPGVHCFANSSLQSLFATPGFCKEVYDGSWRHNYKAPK KLDENIDNPQLLMKCLENLFQWINTGSFKYIHAKTFMQYIRYIHSKSTRSKNDGDLFG GNRQQDAQEFYNFILTTIDDETNTRRDRVTNVRDEYTPNEGTAVQNAVKFWNNYVEGH NSLVTKYFRGVDVYINTCSKCNHQFQKFEVSDMRILNFPDGASDPISMLKLLEQSAAP EKMDGARCEKCNSTKHRTRARKFARLPDRLVFSFQRSINQFDKMQNIVQFPTQGLDVR PYFAGAANEHVDPSDEHYEGDMVFDLYAVTVHIGETTTSGHYIEYVRDDRSSDPESWW KCNDRDVTPLKVGPKNPRNLEQLYGDSAANATAYLVFYERRGTRNQDV QC762_406540 MPTSYNTSSIPLRKVQHPPYTIPVPNHPQVPNETIPRRHPKARD GLLERPAPDVNTTFDLLKRSAKLYPDEPAIGSRKLIKVHKENKKIPKVIDGKEELVDK EWTFFELSDYGYLTYGEYFKQALEVGAGLVKLGLKEKERVHIFAATSPEWLTLSHACS SQSLTIVTAYDTLGPSGVEHSLLQSKASAIFIDPHLLKTAKKPLEAAGDQIKFLIYNN NSHQPVPDSEIDSFKSAHPNLKVLSFEELRQLGQDSPVEANPPKDPEEVYCIMYTSGS TGPPKGVPVSHGGFVAAVAGLFSVMEESVSQKEYVLAYLPLAHIFELVLENLAIFVGA TLGYGSPRTLSDQSMRNCYGDMRAFRPTVMVGVPQIWETVRKGVEGKVNNGGAIMKNL FWGAYALKDLMVRTGLPGQGILDKLVFDQVRVMTGGRLRFIVNGASGIATGTQKFMSL VVAPMMNGYGLTETCGNGALGCPLQWTTEAIGPVPAAVEMKLVSLPELDYVVDHPTNP PQGEILLRGKPVLKEYFENPEETAKAVTEDGWFRTGDIGQFDPDGHVRVIDRLKNLVK LQGGEYIALEKLEAVYRGAAYVQNIMVHGDSGSPRPIAVVLANDKALAEKAKELGVGE ADMHRDKKVRDAVLKSLQGVARKNGLSSMETVAGVVVVDDEWTPNNGLVTATQKVNRR AVRERYKKQIEEVVGNK QC762_406520 MEQRQSQLAGKVTKTLPESRHAQTSVSHQNGGLFCLEELGSESK DPYDLSEDSQDDHEELKLRRPAKKARLSYVDRPAHSSAQNYPKNASVAVDYPTPKPTS ELANASSTVNLWSFSTTDDLDAANPGSLAATVSKLDQFIDETYLQQCHPATPGTTLQK DANGALPSARHTMSLCSLPDSTRTWGHSENGNKQEDDPANHNGPPRNQETQELPYADC NMFDPRGVAVNLMSFSNMPKVEGEDESYSVASLDEEDLVKLADSIDRPSRQSPPTSVL RDMSSISSVGTCDPDLKRSFHGKPAEGPEEDEDLMDSDIDWSPIVDQLASEARPHSVD PPKSQPVPEILSTHSQKPELAPSHQLVVQPFNRPPFPSTLRDKSPVCGLSNNTVSRTC FRLGHLIAENVRNSSQNRDVVYELYARVNYSHRNRPSATQIPLFNVIKHENSFRTQHF QFMDLWKDLKPHVWGVLENWRPDGVLDKLSSIFLSAGPPPSQFSQQNEVERERKLCRC ICRISKAPKGASQAGGRNKINNPEIGGWSMKVLWIEEVGWDAIEKMKSIICQE QC762_406510 MFSQKKPYTAVTVTIEHLTSEAIPENDLSGIPELVEVINLQDSG PSEAARAIRKKLKYGNLHRQLRALTLLDGLIQNAGPRFQRSFADEALLERLRFCGKAQ LSDPEVRKKCTELFRSWAVQYKGVRGLEQIATLHNELPKRKQVVTQERSKVIKETSNP FGDDDDELRSPPAAGPSQSGPRHGLETRTAGTVQSFSHTSKDDKKKKDKKGKRRQPFN LEAEKEQMKAHIAEASIASINLTNTLQTINREKERISENQAAVQRFEACKSLRRKILR YIHYVESEEWLGSLLYANDTLVTALMTFEQLDRSIDADSDSDDELAEQAHLYRMATEK AKGKEPGSPSRPRSPVAGMASLNITSPARPLAPPRPSAPKPSIQRRVEPSDDEDSEEE EDDNPFADRNAVLKAE QC762_406500 MIPHHPKPGTSTTHSTQAVTSPPRPSRVVPKPIPPQQTLDTRTY QINQLKRRYPSHKETSLPGGTGTSLSFNLVPSDPDFPFDLPQLECDLHIPSKYPKSPA KLHVKNSDIPRGFAINIEKGWDKLVEERKGGGGTLLSLVNGLDKRLEGFLSEEKAETV KLTIFKDTRHLDRQRVEEEEEEEEEEVSKTEPVVVTPVRRAYIPLETFTQAQIADAKA RRAQEVRQLEARMGRLQHYHRSSDGIIYTLPLDPKKRAELPGELKGVMSVQLVVPLLY PLQNLRVLLNDVEGGKEGEELAEGLEELFNRKAGELVKKEGSEQGEKMGLVAMVNWLA QRIHLLVREVVREREKRRKEGEEGRGKVKEVEVREAEHAASVERVGQVDRGHLHVIPR PPEWGFGDDGTESSSYDSEDEDDEGGAELGKDGEEMGGSSLPTRTIEKGTAILFPSIE LQGIELLQVSVLSLNVKCDRCKTLNEVTGLKNNLDKASSCKKCATAFTVRYRQELVHM NSTRAGFIDATGCTVADLLPSTFVPTCGKCSHPSFGLVSVRGETTTNICRECHARFAF KIPDVKFLDYAPGMHTRLPPTSGPRRKTEKLGLHAGEPLPEKGSCQHYKKSYRWFRFS CCSKVYPCDKCHDAAEEHINEWANRMICGWCSREQNYSVESCAFCGRSVIGKRGSGYW EGGKGTRDKRLMRRGDKRKYRRVGGGETRKD QC762_406490 MDKESPSSQTEPFSGRGSIVMLKSTAASIMAATPTQDGEPGHRR VSRTRRAAIFLFLLLIQFVVHLDMTSVAVAVPDIARDLNATRTEVFSMGTIYYLSATI FQQPVAEISHVVGRKPAFLLVLTFAAAGTVIAGTANSIAVLLAGRAFQGFANAGSVLS AIILTDLVPIQDRAIWLATQNAVTAIGLACGPIIGTAYIRLKSWRMLFFMNLPLLVIS AIGLAFLLGFDRPELGIRKSLKSVDWIGIGIFIPSALSFLSPFVMAPTLFPWISVQAL VPLASGVIGLATLAVHQRFFARRPMFRPEIFSKHVTVSAILGLTVCGICLNILLFHLV LFWEGVRGCSKMETSVAVLPETVSIPIAALVCGLVMRRTNRIREAVSVGWPLAVLSLG LLWFMDDNTPLPWLVIVNCGVGLAAGILMAALNVSLLAATKRELNGHAIAMGLQARSA GMCLGIAIGTTVFSYTMNQRLRQVGGEMNSEEILRMIEEIKRDPNCRKAIIDALRVLW VICCALSGIVGLYNCVCKFPLLRNPSAEGPGTERVAANGPEKRANSSTVASQDSKV QC762_406480 MDPNATCTNNRPEVPFIPFLAGLTAWALVRYVLEGIVKRFNPKF DKFLREDIRRRYNFYFSTWLGTIAKVISVVSCTAALASTPAEGDLYGLVRPLNTAEQW CWGCRAVLYIQELPDLSSVPELVIHHILSIVAMCTILAYSAPRRPLYLMWASLWNEFL GNARRLFKLHGVMAPRLAWWMAAVNCFLVWALRITAAVVSIVWTLQSNTYGVCLFVTI GSILVYILYMVQFTTWELGRFRVINLDMTRPARFIIADKWSIHLLGVIMGVGLALTEL SALVIYGSSSGYTSSKEELHSIAWVALQAAGAGLLGSYVTFPIFRFTIPSAATSQEEG EEPATPKAALRLSLIGGIISAGSTVVFTPTLEPTVDRAAFLACMGLSLPLMISIFRFG QAFSTPAAASLETNHAPLDEKLVDVADEGIATEPGVFPPKMVNAAAHGVLFLGVASAL CVSPPPSPLAVKGFSSFVLAMMAGVELGNRCEGRHRRFLHRLFPMLQAGVGIGYQAWC VVVEGEKGGMFVLGVYLVCFGVVVVMMPVVVRFFEGLWAKGKAKGEKKKKNKGWDLKV VSVGSGLALVVMLVLGEYMGWCSMAGEPVVMAVGQGDKLGEVVKEVVRGKGEGAVGSW GVVASWPMVASVLGATVLPVVMVQAVG QC762_406470 MPQSLEIPVLTVDANVIHKVDTTKPENLFSMWTVFARCRDSVAH GRRLENLSWRLWNRETFCCENGEVLVSSSATSQPRDIQYPRGASDEELPQLSASVDSV ADEEAVDLSSEQAPLDIVRPRILRQDSCASSRSRGRERHITSDELEKMVVQIMDGKAP LQPIEYTLPASIEEKPSCPPDSEHSGSTTDESPQTSEHNTPHSLPSEPENTPEEPAMQ TIVTRGFSTSPLPACRITSPAASPASHNDAIPLPTEAPAPKFVQPKKQAARFALGGSG SCSSGSDNSYSPEKVEIRKQVPAKSKMFQLGVASSDEDGSLKVPEVLNRAPSVMNAHK KTASFNNEVVTQTFESSAISDSESEYLDESAIDDDEDDWEEDESAEESGKSSMEDKIH FKRVDSTANLTSRRSLLTLALAGNSGLSRAQKYSNIASQSTSAIPRTRAALNGPSVVA SPNDSDDAPLMMKNRGPSRAPPMRPITEIPRSQAQPINTMAMGMHHQAAFSPRTTRRN MLATELTESLRRNLLHERSQKTSTANAVLKRRHTSHDVANLKQYPERPYMKKGNETNN RVWDDQVFDKNAFTGYHAQGW QC762_406460 MAKIPTTQVFQKGSVWMYSPSLPLAILFLILYFFACLYITYLTF YRYKTWYMTVFPLGALLEVAGYAVRIYSVKNQGNIAAFIATLTLHVLAPLLFAAGNYL LLGRLISHSLPTRHTLLKIPTRFITRLFVGFDILAAAIQGSGTSIAASANWTGLKALS GVDVLVAGLAVQVAGVGVFLLVLGRFTFLVKQLGSKGGGWGGLLVAVWVSSGLIFVST SIDWRWLVGEREGGC QC762_406450 MDNHHPSPTSDHWVPCSTCSPGGVPESAEDSSGPETAQVSTPHT GTPHTKEPGTRARRRPIPRKGHTKSRLGCFHCKRRKVKCQETIPSCSNCARVGLVCEY PERPRMASAFSASVITVVPTNSLQSSTATVFTQEDMRYFHHFLVTAYPPLPIQGDQIW MQTACLSHSYDYLMHAMLGLAASHLDLHGGNCSSQALAHRVKAIQSLNNSLSKPCSSQ AEGDARFAAMMALAFQASCMAEGMPEFLAMSRGCHIIANTAMGSMKNSLFREFTQEGY CDSVRRVIGIVPLDLSEDEEILIGDFLKSLRALGPLCKSPLEVKFLASTEAIAKAART SASEAFSQFAALYSLFNHSSNEEFAPFIDPNNHPAQLLFIHFVLIEFAIGHIALTTCN KGGRFAYRKRTCIAWMEKLAAGLPVEYEKYAEWPMNYVRRLAAR QC762_406440 MHTTAAVMKDEQGRPFIVVRDQGKKKRQYGNEAVKSHILAARTV ANIVKTSLGPRGLDKILISPDGDITVTNDGATILQQMEITNHVAKLLVELSKSQDDEI GDGTTGVVVLAGALLEQAAELIDKGIHPIRIADGYDQACDIAVAELDRISDVIEFDKE NTENLTKVARTSLGSKIVSKAHDQFAKIAVDAVLSVADLERKDVDFELIKMDGKVGGS LEDTLLVKGVIVDKDFSHPQMPSEVRDAKIAILTCAFEPPKPKTKHKLEISSVEEFKK LQSYEREKFVEMIQQIKDAGANLAICQWGFDDEANHLLLQNELPAVRWVGGPEIELIA IATNGRIVPRFEDLKPEKLGTAGIVREMTFGTTREKMLVIEECANTRAVTVFVRGSNK MIIDEAKRSLHDALCVVRNLVRDNRVVYGGGSAEIACSLAVEDEAVKTPGLEQYAMRA FAEALDAIPMALAENSGLNPIATLAEVKSQQVKDSSGRGRLGVDCMGRGSNNMKEAFV IDPLIGKKQQLMLATQLCRMVLKVNNVIISGSGEDDF QC762_406430 MSRHRAIRNLDYEEVLDEYEVDLQEEEENRLLMEQGVVDVRSAL DTEASKVTKEQIEEALWHYYFDVDKSVTYLTNKFINRPAPKAPKPATQKPTATISCEF EDSSDWAENNRHGLGGRCSPQTGSRQDDVGFWVSGSCPSYPLPQASLPALFHDMPWGN IPKDRESVLIPPVVPRSRCGLLGGTGAAPKMTKLQALAAARKKKAQEKSSEQEVEQTR AQMTGLSVSSSSTEKENMPLSGAFSKRLKTSSSTAQGRVPIIPTEPRSESEQQSANPI TKEPEVVEEPAQAPPVKKAQPSAFAQTLFSSHTPAITIRQDVVTLGLAPSVLEAFSKP SPDDIVLAAQAKAGKKPPAGQSKKSKSSNTDSLSSSVSELKIDDTPLPKSRNINVLSE FEKSTKKKSASFVVVGHVDAGKSTMMGRLLLDLGVVDQRTVEKLRKEAHTIGKSSFAL AWVLDQGSEERTRGVTIDIATNRFETETTAFTILDAPGHRDFIPNMIAGASQADFAVL VIDASTGAFESGLKGQTKEHSLLLRSMGVARIIVAVNKLDMVNWSQERFDEIKNQVSG FLSATGFQKMNIAFVPVSGLHGDNMVKRSENPAVGWYTGPTLIEELERSEPSARATKK PLRVNISEVFRTQQSQATVSGRVDAGSLQMGDAVLVQPATEKAFIKSILVDEAPADWA VAGQSVVLHLSHIDPMHVKSGDIICDPNKPIPKDKTFTLKALAFDFLMPMQVDVHRGR LHSAGKIIAMPALLDKTTGGVKKKNPRLVKPGEVARVRVELDDQVPLEAGQRVVLRSG GETIAAGLLE QC762_406420 MERRSRSWALGLSIIGFFALLFSAGFVQQVKADDVSEYGTVIGI DLGTTYSCVGVMQKGKVEILVNDQGNRITPSYVAFTDEERLVGDAAKNQAPANPHNTI YDIKRLIGRKFSEKELQTDIKHFPFKYVPKVVSKNDKPAVTVKVNGEDKTFTPEEISA MVLGKMKETAESYLGKKVTHAVVTVPAYFNDNQRQATKDAGMIAGLNVLRIVNEPTAA AIAYGLDKTDGERQIIVYDLGGGTFDVSLLSIDQGVFEVLATAGDTHLGGEDFDQRVI NHFAKTFNKKHGVDVTTDAKAMGKLKREAEKAKRTLSSQMSTRIEIESLFGGKDFSET LTRAKFEELNNDLFRKTLEPVKQVLKDAKVAKGEVDDIVLVGGSTRIPKVQALIEEFF GGKKASKGINPDEAVAFGAAVQAGVLSGEEGTEEIVLMDVNPLTLGIETTGGVMTKLI TRNTPIPTRKSQIFSTAADNQPVVLIQVYEGERSMTKDNNLLGKFELTGIPPAPRGVP QIEVSFELDANGILKVSAHDKGTGKAESITITNDKGRLTQEEIDRMVAEAEKYAEEDK ATRERIEARNGLENYAFSLKNQVNDEEGMGKKISEEDKETILDAVKETQDWLEENAAT ASTEDFEEQKEKLSGVAYPITSKLYSAGGAGGEDDEPAGHDEL QC762_406410 MATISGSRAAPGAAPGADIGSSHPYTCNTCQVAFRNGDLQRGHM RSDWHRYNLKRRVASLPPISSEIFTEKVLQARAATTAQADKAGFERACEVCQKNYFSE GAYKNHLTSSKHKAKVAALAARPQGKIPDDASSMTFSLGEPAPTDSVVDSDAEEEFNE VVEGIKNTQLQENENVSPLRRPSNPHLSAAAQHKTEHPVSETPSEEEEESATPSSATP VVSQQQQAGPPRTINTCIFCNHESENPQLNAQHMEKTHGMFIPEKQYLVDLEGLINYL QERVYDLNECITCSKMRNTTYAVQTHMRDKAHVQIPFTTEDEQLEIGEFYDFRATYSD DEEGEWEDEDEEMEDADTNGGAKLGGHRATTTVTVDENGDEIMEDGNGEGWETDSDES SLNSDDLHAVPAELHLHQYERLSKNHHHSSSTPRTHQQADGFHAHSKKSHAVFYDDYE LHLGSGKAVGHRSLNKYYRQNLYKYPTPEERAERLLLKANEQGQGVMDVDEDGNGQTV VPLRDPNPKARGRAVVGRDVAGLGVRSAGELEKHRAVVVKGKKQEWKDQKDRGMLQAR LGIKEKAPHPATYLR QC762_406405 MGSSISPAAIAGTVIGGIAALVLGLALVLWLHRKSQRDRKAAVS PEPRPQEPHASVLNHTSTALSPAQAMTPKETVPPATSSGNTPNITPPPPAAQITQP QC762_406400 MNATVKRKFNALVQGIGNTSSPSSTPTDNTNNNHNNPDSPLSTP GLSLSRTMTAEDILSKKRRVNGGSTPTPPSRFATPQPQRSTILNSSPGSSIRLSSSNN GGPTTISNVMLRKFSPAPRGGASAKGDTTREKKQPPKYCPADRGELLRRLATFQELTD WTPKPERISEIEWAKRGWVCLGKERVKCTLCEREVTVKIGRGRDVEGEVGREMEAEVV KRYESLMQGDGHGEGCLWRGTGCDDSLLRLPLPNSKWALTALRERYDELCQRKDFLPY EFNLRLPEGLDLEVVIGYLPRTFFTEPAPAAEGEQRKEGEVNRPALALALMGWQGLTN PRLGNAPIPNSASCHTCLRRLGLWMFKSRQINPETNEILEPAPMDHLDPVREHRFFCP WKNGEVQRNPGAKLLPKGSKEKPAWEVLLEVLKNESFIRERVEGTAGGHHGRSKSSAA AVGTSKTPERPRPTTAGGTPMADGEDNRDVQEEDEEARKKKDQDVMSRLRRVKSLFNT KGGKLSKRLGSSRPGTSGSIAPGE QC762_406390 MTRDTMRPAAGSSHSYSSTDDLDVLAGLWEEAPFARLPCDAPSE LKDLVEDIDNPKRVYAVHKASRRHNFQQIVQRYIVQLREGCGAEHCVTPTCFSCRKRA AGQAPIKRYNTTSARTLATYLASQDNPENGLCPNLRTPKAPPAALNSLLFVPKQRLHT DRVTGTSPKPQLNNSRPVSSRTNGYNGRPSTGDAREKGRSMNIAKDVESPKQKWQPGF EVVEEPVSKDHRSFAANVFGTVAFKMLEWLTPAALEDMTQRTKAFQEESAPDRRDAEA VKAEASRDNGGKPRTRASSVQPNGASANSRPLPKGRQLGKDSDSKDDRHSRDPPAVSR STHPRRNSNAKLRTSNPPVPKRQLSIDPYTQDSLVDDPYPGLLRSPRGNSGSADRGPR GPKPTGSALSRPISQLSSAGYFDHVALEKMPPPKTLDLKNYLGRSQLDGTRTSDSSGP KQLGVPSGGSSDRSCSSGSGEMVHPEPESDDESVLPQALSTLHADVVDFICDVLQDDG TAEKHMLEPPSVTKFHNGHPGRGKMLKRKKLASGCPNFKLEWKLFIEQTLFNVLSDPQ LAVQSFSKQGQLYDSHTLWYCMLRMTRVAPSLVFHSLWMAAGSLFAPPESLLAPRSPT AKLFRDKESLSNTEAGRLMSICLHALIAAAPLITPDPSDPEKATQRLYDMSRIRSHGL SLTRSGAIADQPTELCLQYEDAFTDDLALRLARRLFTAINTRRYFDALCESNGDLDGD DSQPEPDVLAPLFSQLNFLHAGAVYYVLDFSFNERALHETRVPILLLDWARAVMLSDW SGSPVIKGDGPFGGALALMQAIYNKRHELLLGVAQFRSEYFAERLDTVQMPIAWLSHV STRQKIHLLDYPFLFNPSTLVTYFRSINFSRMSRSYEESTSLQDRIDVIASRSSLTRH HKEVLTERLRAAATKYLVLDIRREAVIEDAFNQLWRREERELLRPLKVRLGESTGEEG FDLGGVQQEFFRLALAEALNPDYGAFTVDERSRMAWFVPGSLEDEWRFELIGLLVSLA VYNGLTLPVTFPKALYRKLLGKPVDKLRHIADGWPDIASSLTEIEQWDDNGSKGKLED LCITYDFSTSAFGHHVIREMRPSASGGDEEGGDWDTHTPSEEEWPQFSKTASHASWNP APHEYNPEEESKPVTVHNRDEYIADYIRYLTTVSVRPQFEAFARGFHTCLQPKSLSLL TPSLLQSLVEGVQEIDISELKRYAKYTGYDEHHRTIKDFWSIVKSYDEDMKRKLLEFV TASDRVPVGGMKYVVFNIQKNGVENDELGPGGTGNRGRLPTSYTCYSTLLLPEYKDKE TLRERLGMALENARGFGFA QC762_406380 MGADFEFGHDVRDIPSTSSLDYMYQTDHVWTPVVELVRRLSGLA DLVFQCLSQFPPTLLLVVHEKRIARLHLRTWHLRSLDNWTVKDPHELALIGSSSLYSI WLSPAECLEPYARPVERCPQVEAIYQMLTTKGLAPNLRDLRKCHYPEPVPRDHPFIFR AEQSCENGLGKETRLLPLRNLQLSRPCSSRSDMNRGEPFEKRHVAEWRARIDMSALES LTLLAPLRQDAIESLSALSFPLLTSLSLHLRALREEDLEAVDYYKAARHFLSTLAQLK RLHLLGWNHGVVSLAGHDDNISGLPNHRLKRLWLAPVSHEYAADGHNLGPPPTKQQII ELGNRYPAVEDLSISVKRSIGDRDEVDRYRAIGGSFKMLKRLSLELDASPSKSVIRNR PPSGPAFATSHFQNSFGRKVAPGKLGRYYTNGHIMEAMVNAAVDSDLAKAIFHTIIQA SCASGSTCQLKTMLLRARGFELFWIPHLLWDGSFWLAGSRSNLELDRWGRGLQRTYFL DNRGLAGTTIRELDIAFNRDRLGGIESDNLFWKIFAKLWPQAVTVGSDGWATKWKSWP LKTE QC762_406370 MSGAIRALPNRATKAASLLRTIQYTHPPNCPCHSNPGHHHHTQH QQRTQVTRFADRVQNGSKRGYATPSQDPSKLKEYAFEMAASSIRFGPGVTREVGMDLS NMGVRKVAVVTDKTVDQLQAMRAVREALDSQPGIEYKVFNQVKIEPKDYSIKEAIAWT LPYNPDAFLAVGGGSVIDTAKLMNLYSSYPEANFMDFVNAPLGLGRPIDRKLKPLIAI PTTAGTGSETTGTAIFDLASRKAKTGIAHRNLKPTLGICDPLNTATMPSAVKAASGLD VLCHSLESWTAIPYYERTPRPTNPILRPAYQGSNPISDIFSLSALRSTVKYLPRAVRD PEDTEAQSEMLLAATLAGVGFGNAGVHLCHGMSYPISGQNKGYKHAGYDVSDPIIPHG VSVAVTAPAVFKFTAASNPDRHLQAAEAFGVDVSNVKRESAGEVLGEAIKKFLEELGD QPRGLGELGFERKDIEGLVEGTIPQARVLVLAPGLAKELEQEREELGQLFEESLKH QC762_406360 MGKLLRPRRTHEETTGGVAPVVETSTVSSGFKKTVPLSSISGPT YVTSQLLVQQAAYKLSDKIFSFSPETFDLDAAVKDWSQAQEKNIHGETTTVVPLQTRA GAGTFALGYIFSKDFDLSKRRIPQTLLAPSLSLRHLRAALDQLSLLYGVSSPFVAHVA AADYSNSDGLITEYESALQLAEDLGLGLVSTSSAQEVQHMALFATLLASLLPTLHVYD GLRTARETLRVVDALSESSIAEVYKCISQEAGALNKRLDTAGKVVELLRLFNSQLGTS YAPFEYHGHEAAETVLVVFGSAEAQLAKQVVDTLAAQGQKVGALNVRIYRPFIEEAFL EALPDSVRQIAVLGQVRDASAVEDASVQSALYSDVLTAVTFADRWSQEPAVVDFKYPA STVHTPSSIATVLSKITSKDDHAEVSLTLSSLEQAQQYIFWDVDNSEAVASASVLGRL LSRESFNNISVHETYNSLIQGGVVRTEIRSSEKPVDAPFAVEEADVVFVGEEKLLKEV AIVKSVKAGGKLAVRLPNFKAEEIEKRIPASVRKEIQEKSLQLFALDSSFSPALENRA QLLAELAFLQVARPDLEAEKLVKLGGLSGDQVTLVEVADALAQALHKVEVPATWAEED AAAISLVEELKPTSFVAFDKGEAESALQVSTWQEIAKGLAFQEAYGLKTELRPDLTVK THTIHVKENRRLTPLTYDRNIFHIEFDLGTSGLTYNIGEALGIHADNDPKQVQDFIEW YGLNGDDLVQVPSRENPAVFETRTIYQSLLQNIDILGKPPKRFFESLAEFATDEAEKK KIEFLGSKEGAEEFKKLSEVDTATYVDVFQTFKSAHPSFPDLVRIVSPLKRREYSIAS AQAVTPTSVALMIVVVDWVDSQGRTRQGQATRYLSGLKPGTPVIASVKPSVMKLPPKD TAPLIMAGLGTGLAPFRAFVQYRAMQKAQGKEIGSILLYLGSRHRREEYLYGEEWEAY MDAGVLTLLGAAFSRDQPEKIYIQDRMRQTMSDIVKAYIEEEGSFYLCGPTWPVPDVT AVLEEAIATEAKQSGRKVDPRKEIERLKEDGRYVLEVY QC762_0070490 MHLEGDGIPGDDCGGISDCFYFTGTASRIETLFVKINTSLGFPP QYSHTRLTFAAEITSLPLECDIARSPTS QC762_406350 MAPTSGTPAPALPTETATTSFLLANLHCPTCVSTIKTVLQEYGS NIVKWVSPNIVTSVVTVEHSPAASLQHMHKLLEENGFEVCGVTTSSGSISNLDMGMEM GDLNPYGEGSSSQNEPSGSALTRWMNPFRPLASKPEKVKAHLQNCAHCQNSKEHELEE MHHIESLVPVQTNTNPTSLSVIQEKDPHTFVAIESADALSPQPIWRATLAVGGMTCGA CVNMISEGLKQYDWISKTTVNLLNNSAIVDLTEPNRTDNLVRVIEELGYEATLSQVVV VQPKKRKNKSDTWQATVAIGGMTCASCTNGITEGLKKLDWIDDVAVNLLSNSATVKFH GQHNASRIVEAIEELGFDAVADSVISLGEKETEDNERAVEIRIDGLHCDLCPARVVNC LGGFRRQLEINNPPTRSRPVIRVTYVPDAPFFTVRQILAAIDASDPGFRASIYHPPTL EERSKLIQRKHQRAILYRVIFTGLVCIPTFVIGIVYMMLIPHEKAHTLMKPWTSGINR AQIALFILATPVYFGAADVFHRSAVKEIATLWRRGSRVPILKRFYKFGSMNTLMSLGT SVAYFASVAQMIAAAASRATETHDGNFYYDTVVFLTFFLLLGRYIESYSKKRTGDAVE LLGRLRPTTAILVSGFGSEKEGDEVVKADLLDFGDVVRVPHGASPPSDGVVIGGESSF DESSLTGESRLVKKGAGDRVFSGTVNKGSSILVRITGVAGKSLLDQIVNVVREGQTKQ AKIERVADYLTSYFVPAITAFAILTWVVWFSLGYGGRLPEHFLSKTTGGWIAFSLQFA IAVFVVACPCGLGLAAPTAIFVGSGLAANHGILAKGGGEAFEKASRIDCVVFDKTGTL TTGGEPKITDAEIHGEGTPEESTFLAALKGVEENSSHPIAKAIITFCTINKELPQVTA NNLQELPGKGMKAMCQAATPEASFELIVGNEALMKDFGVVISPETSQSLERWKTEAKS IALAATKLPSSGWTLAAALSISDPVRPEAKAVIAALQESGTRVWMLSGDNPTTATAVA RQLGIPADQVIAGVLPAGKADQIKYLQSTVKARRGTNSESSTERAMIAMVGDGINDSP ALATADVGIAIGSGSDVAISSADFVLVKSDLKTVVTLLDLSRVVFRRIKFNFGWAIVY NTVMIPVAAGVFYPIVSQGKHVSLDPAWAALAMALSSISVVLSSLALRWRWLGFKERR FVVGE QC762_406340 MSPPQPKFYLLPFSPEYKCTDRFSLHPTGDQAQDENGQVPFWPI LEAILCRPVNSTTGLVDILDTISVILRATSQPAGDYELLIDAIATSFDTAEVFFAKVW PRIVDIALQMPGLFQNGPIPVLSRENYSLPFSRRQIACLVVHQFLRTLSLPPFGDDDG MHDFGIWYSSQQRHPVAVRGYLRALMLYFGDVLCDEKKMDGWVVEYSLHSLPENYDEI SAQDRPLSELKVVVVETYDTLPGSLGVGDGDGQAVVISANRVVGFGQSATQEEVHVGI SPEACPIVLFTPPLGDEQVLKVRGAQAMVNVVGKGRDIIVGSMLDPQQGGDAAWKFRT MLFMDALELDLVNDGGLADLDPGNREREIAKAYTAFSSSLDGTISEIKTGPWGCGAFG GDPEVKMLLLWLASSMVGVNLTVVCDYELQTFARKLEDVGQTLKVTMLGTVSMRKLLM ELPQALSRGQTLEWLENESN QC762_0070520 MNHFQCAALSLVRSTTRWRCSMPLHHIWLAPSRCKPLGQPTHTI MVHLSSKSRDIKQVEHHFRQKFGLSSSQGINTLPSPAAAPSGPHHPNHSSTNTSLILM PDTATATTTTATTTNMALSSSPNSRSSTPESNLSHSTIPPFIPGQCLFCLHLSSSFVD GIEHMQKSHGLFIPHRQLFSTENLEALFEYLHLIIFEYHECIKCGTTRSSVQAMQQHM TGKPGHCTFDISDPESEFAELYRGILEGRETDGQKGDQESTSNPWNQARAVQVDEDSL RLPSGRIISKKSSVILPSPSATNASRLRHNRTRVSAAAAKIGYAMGEESSDNEEEPVQ PSNSETTPGAQVVLSKREKKRARDMEAYQLTRMSANDRTSLMHLPVSQQRALLATQQR HQEKMQTEERRQRAKIDRKGNKNLYAYWNTETPVYLCG QC762_0070530 MLPPLPSLPQATLAFSFLASTIGTYFACRQPNPNPNPPTRSKSD SLTTCHITDKHATKIMLSPLGILTLLSARLAYLYPALPPNQCRHLNPDLVTWSPSTAI PLALILCVGVPLRLASYASLGKNFTFALAEPDRLKTTGLYRYVQHPSYTGVVVLIFCN IALMARVDGVVSCWASERWYKWLRDLGWSLAPVGVSVVLGAVWTRVRQEEEMLRTKFG GEWEGWHSRTARCIKLVRSFALIRVN QC762_406315 MIFESEEPDPEPQDAVDCSIALNNILFNRLQSVRERVRTFAVEK AAMEINLEHDNSEEYHRLLYAYNELALELDLEEGLSSAYLQEDVDCYIPHPLFGALSL VIRRKDLGSKGLAANIPVWIVRTGEEGEVNLSTPILFDGLIKEEATQVQEANLCTIGK HAIRTTPSRALKFIFSLSARENAAFGQQPDLYLATQHPRRLPDWRNKYLTLAQNLKRE LGGYDGFSPADLPPGPSSTWVENITPDKLAAHWFAKEQKYIRDREEKLRKSLVELMEE KASGVVEWRWNKINQRIRRKFVRLRMSLVVGVSYT QC762_406310 MTKLSQLFASGGLLLTALSTAVDASYTPKHEAGRCAIRGHCGSK SFFGSQLPCLDNGLAEQPDDKLRKQLVDFCGPKWSEGPVCCDAEQVDALKSNLQTANQ IISSCPACKDNFFNMFCTFTCSPDQSLFINVTKTMEKGGKTLVTELDQLISKEYGTGF YESCKDVKFGPTNSRAMDLIGGGAKNYTQLLKFLGQERLGGSPFQINFPVDYPERDMK PRPMVPKKCNDEDPNFRCACIDCPAVCPELPDVEEAGSCYVGALPCLSFASIFTYTAL LFLAAVLVVGNVAWRKHAKRRSERLRLLTDAAPSDDEDEGDLTQNPAMLDRPQKTYII NTWCDAAFSRLGHAAARFPAITILTSVIVVMILSAGWLKFDIEQDPARLWVSPTSAAA QEKAFFDTQFGPFYRAEKVFLVNDTNPEGPGPVLSYETLLWWMEVEESVRKLKGPQFD SSFQNLCLKPTGSACVVQSVAAYFQNDPDQVSPDGWKKTLRQCAQSPVECRPEYGQPL EPSMILGGYPKGSEDPTEATAMTVTWVLNNHAEGSFDAELAMDWEEALKQRLLLLQEE AKERGLRLSFSTEISLEQELNKSTNTDAKIIVISYIAMFLYASVALGSTTLSFREFIN NPSLALVESKFTLGVVGILIVLMSITASIGLFSWAGLKATLIIVDVIPFIVLAVGVDN IFLIVHELERVNLSHPDDMVEQRVSRALGRMGPSILFSAITETICFALGAFVGMPAVR NFAIYAAGAVFINAILQVTMFISVLALNQIRAEDSRADCFPCIQVKSARIHLSGNNGS PGARYYESPPESYLQQFIRKTYAPRLLGKKTKAAVVAIFLGIFAAGVALLPEVELGLD QRVAIPDDSYLIPYFNDLYAYMEAGPPVYFVTREFNGTKRSEQQKICARYTTCEQLSL TNILEQERKRAEVSYVSTPTASWIDDFFQWLNPDNEACCVDRRKPCFAKRNPAWNITL SGMPEGEEFTYYLKRFLSAPTDEDCPLGGQASYGSAVVVDSARNTIPASHFRTSHRPL RSQEDFIKAMASARRIASDISESTGLDVFPYSLFYIFFDQYASIVGLTTALLSSAVGI VFIVSSILLGSVLTAAVVTLTVIMAIVDIIGAMAVMGVSLNAVSLVNLIICVGIAVEF CAHIARAFMFPSRRYMERAKNRFRGRDARAWTALANVGGSVFSGITVTKILGVTVLAF TRSKIFEIYYFRVWVALVVFAASHALVFLPVALSLGGGEGWVDPESEGGLEADLTSRR YRALMPEEESDSDADA QC762_406300 MGGNGPLDAGTQTCAERNRKQLIDDFGSVLEESLIILLTQDYDI EKDYDEITRVLRGLAESATAEAATGFDPSGLGFSVPDLDEPRLDDATTASDNRISDGS GGDYTGTSPSDFSSDSLENQILVDPATFTEDHKIAELRGIFIDRFTEHTLRRILGENG GDLNRTFDDLLNRQFLEDSGDITKGIDAFFVGDNVQYPPKGKGKRRKGLPKKNVLAVN YKATSVATDGEELHGARDFIQPSSGRATPKRIQPYSLPAINTSSSPASKKTAAILSPT PQLDFGTSHLHSAASLRRQGPLARQGAVVYVERAREQASVAFRQASEYADERARQQST AIMVDLHGVHVLDGVRIAREHVWRWWNNLGENRAAEARDPGFTVVTGLGRHSAGGVSR LRQAVGAMLKNDGWRVETLTGSFHIRGRV QC762_406290 MAQRQRFLNYPEPLKGPDVPYENERGSNPAISGVFLMIAANLME WFRLLRELIWNNTGFGSLRKIIPYIEEYEPWFEPQIVPLPEEVDKEPLQNEPAEEDTK PDAAVFYPEAKYYSVEDYRKLYLSGAITPLDVAEALLPLIRRDIQNASEHSIAFFQVR TERVLASARESTLRYKEGRSLGPLDGVPTAVKDEYDMEGYSTTLGSPNVYADSSTNKT TSWCVQQIEAAGALILGKLSMHEFGLDTTGNNPHYGTPRNPHNSNYYTGGSSSGTAYA VAAGLIPIGLGSDGGGSIRIPSSLCGVYGLKPTHGRLSFRPGPNHCITCACLGPIAAD MSSLAALFSVISTPHSSSPFPPLPSPLKIQLPTPDDAKALGIPQAWISQATPAIQTLV NTLIRTLVSKHGYTTVPVTIPFLQEGQIAHAMTVLTDAATLLPEYKNLTYANRILLAL GRTTPATDYMLAQKLRRLLMQHLAWLWEQHPGMIIVTPTTACEGWEIRGGTGELRYGL NDGDRTMQSMEFVWLGNFCGLPSLSCPVGFVQGKGGEVPVGVMATGEWCSERELMGFG GVVEGVVNREGRGRRPGGWVDVVGRARGVRVRRGE QC762_406280 MMTSRLRDVGSSFPNRRGHASQLSISDPSHHVTEAIGTMYGDDE DSGAEDNRPLSFIASKSSSEQLGKAPRPDDPADDRLRLVRTTSDQTSTVTAPSNASPN GNQLRKSQTVPSRIPTERSNSHDGVRSPLSPLSPTPSLRDVQADDSGFPLTNIDNAND IAQELSNLQALRRMSMDVSNTHDPDLLPFSGVSLMAMPSIAPTGDDDEADPSRLLWVP ARVHPELDTGAFKTFLENRVQTMKRRSGDSFLSVDGAQVGGGSGSLRRKKSMLSRQVN THTENGDSYTDGAERLRRNGSLPDYSTPELSLNELVNDPTSVVQKLAHETRGEDGGAD SPILPVAPGMGLRRSTKTTYRKGGSQRFAKKLGEKQVASKMSSEESPPLPPVDPSIGK PLTRVMSEPIAENYSRPTRTVRRQQNFSRDGLDSIAGSAQEGETTAASPPSSPPRKES LPVRAASAAARTATAPAIPQIVETPPVEEASSSPERSTSQKETQKDQAHQPPADGPPA RSSKRPSPSKPAQSAAGSAGSSSANPLEKISHPEALPDNSNSTTSSLTFIPTFDNVEK KADRKSKDKDETESIASTKSTSSWKWFKSGDKEKKKKEKEKEEEREREREREREREEQ ARKAKSKANEKGHDNARLDVLQNSIDNPKGRESLRLDRESIEGLPQDDKKKETMRKSS DSKRGDGFFGGLFGGSKKKSEKEAAHKKEKQRALSPEPPARILRPDIDYHWTRFPIIE ERAIYRMAHIKLANPRRPLHSQVLLSNFMYSYLAKVQAMHPQLNVPISPQQKRQEEER KRREAEQQALEQQMAAQQAAQDGNFDFEYHRSGSQYGDSPVQQGDDSVQYVDDSQIYE YEHGGQQQQYQQNGANGNGHAHQHDQNYYYAQGDGNGNERNDMW QC762_406270 MAAVATARMEDGRHTRLTVDMALVGSSEDHSTCDSPDAVFSFSF RLRLLISQQRQEPHPTPPAPDDGIPHYSYVPSLASALAMASQPRPYGQGGYLPNGAVP PHPAPLPGAQPLLPNQGRIVQTGPNRILCIADVRGNLQSLNDLARSARADFIIHTGDF GFYDETSLERIADKTLKHVAQYSPLISEPVKKAIAAGGAGPVKSRFQASELPLSELPQ LITGELKLDVPVYTVWGACEDVRVLEKFRSKEYKVPNLFIIDEAQSMLLECSGVKLRL LGLGGAVVMHKLFDNGEGRTTIAGGQGTMWTTLLQMGELVDTANRVYDPTETRVFITH ASPAREGILNQLSVVLKADFSISAGLHFRYGSSYNEFSVNPSLDHYRGKLAASKASFN DVWDTVRAEVEPAIQQNDAQQTLLKNALSVVEKMPTMAAGGNPFGGVPANAPGAGQVD ESAFKNMWNFNLADAAFGWLVLEIQDGRIGTEMRAQGFNFSHRGAKQQPGAQPAAAAT GHSPVNPPPAAPSQPAPSAAPAVPAVKPVVPSPVPVPTKPATPTPVPSASPAPKEEKP AAAASNGASGEPSAPRSSAENTIGLFIMNAQSEDQIRDLFSEEDKAKIVRIEKWGQNK VAHFKTTEDRDDALNRLPDDVKNRAPGQEDRSKPLVKIFQAPAARGSFRGGAGNWGSS RGGRDSGNVQSGYRSAGGGASDSEGAGRGRGRGGNRGGRGGERGGRGRGGRGGPKEGG AGGAGEAQ QC762_406260 MAAAARPALKRALPSLRSSTFLPRQQQPQCHHHVPTSRRSLSTT PSHRNDTQPTTPSDEETHFGFSTVTTSQKRALVASVFTSVADSYDKMNDLMSFGWHRI WKDHFVSTLNPGFSPLSSTSPTSPQHILDIAGGTGDIAFRLLHHAHTVNSNPNVKVTI SDINRAMLSVGKSRSAALPASQQAALSFVEANAEDLRKTRPLTPILSQTEQTFFDPAT ATSGLEDNSVDLYTVAFGIRNFSDIPAALAEAYRVLKPGGVFACLEFSKADKHPLFNS VYKEWSFRAIPLIGQLVAGDRDSYQYLVESIERFPRQEEFRDLIKGAGFKVSGDGGWE DLTGGVAAIHRGMKPRE QC762_406250 MKRIRPSSLLRRPVRVSPTTLQHVHRRTAASLSQRPDSTHLKFP GALTSPFSNTMKYEVPSNYPALPTYRAIDQHGVVVDPNFEPDMTEEEVIKHYKDMLTV SIMDLIMFDAQRQGRLSFYMVSAGEEAVSVATASALTKDDVVFCQYREQGVFKQRGFE LSDFMNQLFANVKDPGKGRNMPVHYGSRELNIHTISSPLATQLPQASGAAYALKIQRM QNPEMPPRVVAAYFGEGAASEGDFHAALNIAATRSCPVLFICRNNGYAISTPSLEQYR GDGIASRGLGYGIETIRVDGNDFWAVREATKKARELALQDGGKPVLIEAMTYRVSHHS TSDDSFAYRARVEVEDWKRRDNPIARLRKWMESKGIWDEQKEKEARDSIRRDVLKAFA EAEKLKKPAIKNMFEDVYEELTPDLKAQMRELSEHLDRYPEEYDFGEFEGGKASLEQ QC762_406245 MRFTLSLIHLLTLTTALPSTSPDEDSPIELASGALGRPPLNLTT ADHNLTPRAEAAVSKPPKKHEYKNPYEYNHGAITPVYPWKDGDGPWRWGRAGKRYSKR GCGDTCVAKCRTNKITTFWRLFLHPWPLLPIVLSQSHNRARLKSDCTYVCKAFCDYSA DMSEGELASHFGTTLSVQTSKHHSDFHDDNDSSWTTPPSTLHPNALPHTNRTVRFRGG KLV QC762_406240 MMLPLHPPGLLAGPSAVLPSRQIKTSLWVVPKNLIEVQPLHPHP PPPPPPEDKFPGDSDDNEDNDEDDRLNRYDEDDDDDDMDPFGGFPGGGIPSSIHHTLR ALTGMVSGISSRLREILNNLRQKDDPTIQLIALQELSELLLVSNEDNLSGHFSPDQFV KELVTLMQPNELTGEENPEVMLLACRCLANLMEALPGSAGNVVYGQAIPILCQKLLEI QFIDLAEQALSTLEKISVEYPHHIVKEGGLNACLSYLDFFATGTQRVAVTTAANCCRG IHEENFPVVRDVMPILLNVLGSNDQRVVEQASLCVTRIVESFRRYAAKLEELVSPELL KAILRLLLPGTTNLIGSHIHTQFLRVLAITAKVSPRLSAELFKMNVVETLYQILTGVS PPAGTEDIASKLDSVLIMQALIHRPREQIIETLNVICELLPSLPPSSDPSGHDYVDMH SPMDPITPSSPGSDKKTPNEKRIELLEGCKDEVRRFAMILFPTLTDAYSSTVNLTVRQ KVLTAQLKMLCNLDEDILVEALKPVSYASFLASILSQQDHPSLVMFALQATGILTSRL GSVYRYQLYREGVIAEIEKLATPEPEPEVESPTAEPTEPQNEPESGAEAGSEELVVDQ TVSDGENDEDRDDDEDRSHQDSDEDQEEDDEDDGDEHDHDHDHHHDDSGSPVSSEGST MSLDGPGRRLSVSDFPSSKTRIAQLAKKFLETHETEKQGKAMKKKATKILANLSELAT ELEAFYLHRTPGSLPVGDGTELFTRLASYFDSDVLESVTSAELLASGVVRVLEEIFAN PDEDLAAAAQSTFLQVFMGYTVKSKPKTATADSPATPLSVLVHKLQDLLSRSEHFEVL TVHHNSYDGNRSSAASMLAKQIRLKLVADEDSDIPRNFRNIMVSIHAITTFKSLDDYL RPRISMSDRPRQHRKDAVSRALAVMASSGLPMSAAAARLMERSFSPSTPPAPPAASSQ PSGSRSGRKSKSKTQPSGDVPATPEPSSREKAALRRSARRQPASETAPLPPPEDEDDL ENALECADEKQLSDDDEDMEDESALDVVGDLEEDMGDSPTPDPSAVNVEVAAGGKVMA RKEDGTRVPTPSQSRQTSSLPSRASTLAAALQGTPTSSSSRPMSYAAAIQAVPQDWHI EFSINGKVIPNETTIYRAVHSSATTSDEYLGRNVWSAVHPIKFRRVPGPPPAETIAFG TSADTGAEVEEGSTPGSLAKSPTTASILRLLKKLHDLNANIDDVLVENKETLKVNVEP LSQFVNTKLTAKLNRQLEEPLIVASNCLPSWSEDLARLYPFLFPFETRHLFLQSTSFG YARSMSRWQNAQSQEEARRDRRDERPFLGRLQRQKVRIARPKILESAMKVMELYGASQ SILEVEYFEEVGTGLGPTLEFYSTVSKEFCKKKLKLWRDHDPSDNGEFVSGPNGLFPR PVSEDFLATEEGEKTLQLFKILGKFVARSMIDSRIIDINFNPIFFRIGNEHNAVRPSL GAIKSVDPMVARSLMVIKKFAMAKKAIEEDPNRDAAQKVHDLENIAFDKIRLDDLYLD FTLPGYPDIDLIPNGSQTRLTISNVDLYLERVIDMTLGSGVRRQVDAFRTGFSQVFPY SALSAFTPDELCSLFGRVEEDWSLETLMDSIKADHGYNMDSKSVRNLLQTMSQLTPTQ RRDFLQFTTGSPKLPIGGFKSLTPMFTVVCKPSEAPYTSDDYLPSVMTCVNYLKLPDY TTIDVLKKRLFTAIKEGQGAFHLS QC762_406230 MPAQTRARATPAAPASRVYESTPTTRQVQFPPRRRNVRTYGRTS TPTGMSRLLRQQTLTQLDYVQQTPPPADLRVEDEEDELPRTVPRVAPKPKKQKQTRGK RRKTLGDAPSSTLHTQTMTQMYSMTTKEEQDNELRIENSQDEGDEDELGLPQLPSASI MKKERSPEKKATRTALSDPQTPSTKRIKVNLDEVPSSQPTPFTPMLAKYTLGSVLSPL QDKSTNFGVPAPTVESVTKRPRDLVIEDSFSPGGGLPSSSSILEGTPEQARSSQKRKR QPLAEISLPSMELGHDDNSTTEPTPTKKSCREIPDSDDELASISSTPFQTPQKYQGTV GEAGSGSKLRYPGGVSSSGLSNKENRTPRSGQENSRDSATSDDEAPETPTPPDKRVTS LSSQKRPTTQRSSQRRMSQRSASKTPIPQSKASAPVEQAQDDDSTASEDGLSELSCTP PKPSSPKAKTPTPRHNKEPNVLRSILRKTAERAAHTSPAKGEGGNTTPQFLTSESSTD QGPTTPTPIRKTVQIQLPPPPSASLGQSSEGQPLQEDDEEEELEVYKETPQKVYPQTC SPTPNRAAQRMTQARSQFWSQGWESQRVPMSVIRSLGPQTDRTDIVISIDPDTLEDIT KGRRDHEFREYKFPPTVLRVWMFATHPVEEVKYMAVLGPPKQPGEVDEDSGYNGNAEF NAGETKFKWAYELVQVYRLNNPVPLEDMEEHGMGKGAPVRWHYLPPTAVGQLMANLRN ALFLEPGQEVPEAEQFLRDGYQAVEEVEEDEEEEEGDEEEETQGTTGVTVSQQLEQQL RSDIIQSTQMVKEKSVSVLEEDDDLEYLNDDTVIPASPEQTLPPMPVTDFARPSAPRS SQRIRNQQRPSTPSTVRRVEKTRNTVGLSQATTASNYSSPATSPQKSMGASVPRPHMP GSSELSLPDLGVEMDDDGETQLPVPRGIIASSSQVFGTAQDSLDLGIGAENVRRPPSI IYDSDKE QC762_406220 MPMNGREMTRAELFEDEKRRIVESCFSRRDEDGSLLETYITHIK ITEFQTSPTAPPAPNARTPNAEKPRVIIVGVRKSGRVRVHKSKENPNGTFSIGKTWFL DDLSAIESFTNCTYNNDYQAWAGDVGFVVTLGKPYYWQAQTDKEKKFFIASLIKIYAK YTGGRMPTLTGFDQRELDQVLGGAQAPRRQERQDRPPPRPSLPDSAPSSANNSISSLG YNGREMLNAQATPAPAPTYPERMPSRGALAPNGRASPVQSVDSGRPSQELPTLRRLAS NNKSQDSVVASSVARSEGASSFRPGSRNGPGSSYGTPEASPAPPSLDERPPERRRPPM DPLRPTQVDRDLVPAPLNSPAARIPLPPRSQDRMSPRKNSVSRRDPTPLRMDQRPVTP KEISRAGTPVSAPSPAPVPTPQTASPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAP APAPARAVPAAVPTPPPVPAEESTPEAPQTPADDEEKPGVFGIKSKKSRGEIAGAIWK AAAAVSAFKPRPGGAAERLRQNQNKSNDGPDGITSVVPAPPKPIPVKTPEPIVLEPPP KAADRGSSATTASGIPELKVTGSDPNSRPDSIVAFKEKKEEVPEEPQRSVVAGNDVKY LATLGIDPSILDGKTSEFAKWLDYFGWVPGEQMRQRNFEEMRADLDREMGKAQAGGWL ARFQEEDERVDAIKRGIDLAIGECDELDNLLTLYSVELSTLSDDIAYIEAQGQGLQVQ AANQKLLRKELESLLETCAISEADLEALKSAPLEHAAGVEEIETSLVTLFKAMIKIDP TMGNSEGRRSEDGSSADQSLGLDENYGQMRIVQEKKEMYLAESSLFMRRLVIFMEKQF SEAFRETKAALDGALSKKVDPRNHEVGRDILWMYGPLILYARDVDMDNWNRILQVYQD KCHPIYKTEFKEAMDAWKKNARKVTGDEAELLFTSQQEKKEEGLATTARKLTVKRSQT LARSLRSPLGDGGSRSSAAEKTPDGRALPYEVFAGVLDDLLPLVEMEQNFIVDFFHAT TLEQADFPDLVAACRPVNRRGGDLKRHRLMEPDRELARRVTKAMESIFGFMEMGLQQL MDWVLGMDPLQGVGILATLERKMAEMSQSNQDFLNNLLQKLHGNLEAKFKKFVDDQIR AIEETKVKIKKRKGVIHFIRIFPQFSTAVENMLANVDPNLGVRRMVDREYDRILKSMF DSLKVIARENPAMGGGGAAATAAAIANSADPEDKEALNFHILLIENMNHYLEEVENSR GLEVLDDWKEQANQELQEHMGLYLNAVMRRPLGKLLECLENIEAQLAGGKSAAGIAAQ PSNSKSTFNKVLGGYDAKEVRKGIEALRKRVEKHFGDEDSSSAGGEGKKGSSDTLSVS SGVSGHHGVKFGGGGSSQSNSGLVMKVLRECEKFYGEVEMRVGRVTTDVYGGDVLFEW PRGEVKAAFAAGAGGSGGGLGGIHLGRS QC762_406210 MITDQIIHLLVQHWPAVLVTVVLAWLVKNRYHNGLNKYPGPFLA SLTDWWRFYDVYRRRPERTQIELHKKYGPVVRLGPNTLSFADPEALKTIYGLNKGFVK SDFYVVQQSVVKGHSLPSLFSTTDNDFHMQFRRCVNGAFAMSQLVQYEPFVDNTTKLF LKQTEKLYIDTPKACDFTQWLQFYAFDVIGEITYSKRHGFIERNEDVDGIVAYLTKLF LYVAPIGQIPLLDKLFLKNPLYLKLGEWGILDTTFPVAKFARARMAERLPELESASPE TKPLLPTSEKPPLGVKSPDLLSKFLAARENRPDFMTDTLVQTMAVSMAFAGSETTAIS LSAVFYFLHKTPRALARLLREIDDAAREGRFSDYETGLVTWHESQTLPFLDACVKEAF RLHPAPGLPMERIVPEPGLEIAGHWVRGGTIVGCNAWVLHRDKKVWGEDAEEFRPERW VDETDGERLKGMNGCMLQFGMGSRTCIGKNISLLEIYKLVPSMLRRFEIRFDDPNSEW EIVNAWFVKQNNFITRFKLRELVKPEGKA QC762_0070670 MKTLSLLPMWQSLYFFDITKFKEVLEWLIKLSPTASPTLEIVLV SSFVPQSSPTPTLTAVFTSFAPSHTAALSALIPIHTSLPCPPSASPNHPSRFCEPTSL PEEYAAQLAGNAAPGFRTRSDNAYLSNDLSPAQVAAALDPAFSSLPTKQSTALWFSMN PTSRRARKGNMMLSMQSDHYFSVYAVWEEEKDDGVCDGWVRDVFARLEEQEGTLAGSY LGDADFQFRKAGGKFWGEGRGDPGDVKAKWDGEGRICGLLEGHEALGEGVRGGGLG QC762_0070680 MPDATDPAPDTHITPSVNNPSSGSSPAPIILRSATPESEFNSLI FSRIFNRRRPDLSSSAIPWQHSRHPHAYCKPLTVSDVVACVDFARKKDKRIAIRSGGH SWACWSIRYDSILLDMIDFEGGVGSIRWEREMGEGVVSCGPAVTSAGLNEFLAEGGRM FPGGHCPDVGLGGFLLQGGMGWNCKNWGWACEYVVGVDVVTAGLEVLHINETKHKTCF TLLGVRGQPFLLS QC762_406190 MLVGQTTVRALLSGIAMNHLEMVPETSPNPGGLRFLRLTRQTGT CRSGEKWCLDGCIPLSGVCCISRGTYCDAGYYCMAVSGCCREGRTCSGVGGTCDPGEV MCNRGCMPSSGVCCPGGGYCDAGYECTTTNTCRRAGSGGGGSSGGGSSGGSTTTCGAG RKRCDTGYCIPEDGTCCNRGTGRYCEDGYYCLTGSGCCRDGRTCRPNTSLIEDPITST TPTTTSTPTALPTPDGDDDVDSGAPEPTATFGGIDDLIDATTTTTTTTTTSPTATGTL PIPPAITNALPGGGNGNDNVNGNGGTGAGIRSVEVSLAGCVMGLMVGVFGLLL QC762_406180 MTDILGAFDASISLAERLRSFVNSYAGAEEAAATMRLFDGQLGT MRLKLASRAITELHSKLDKALGLVPDPQQKNNTILRVQWATGLETTVMNEFETINGGI NNLQSIVNLAMHTLKLKPLNHGCEFKLSLHQGHEEQDLGPRGYSTMAVLPPSYRSDHP VRVFVETYRDFSKATALARHVATRLWWTSDNLENTDFLRGVLPCIGFDGYRVIYLLPK DLKDRQTLRKLIDSKKVSLEARFSLALQLAKAVLKVHSDRLAAHCSIRSDTILFLTSD GTRVSEVPAPPEPPEAAAPPVEGGLVRRNTLKQGINRAGTGIKEIGTTIKRTMSFSAR KRDREDIEDRRSRDRGAGNRAAPRKSSQQSLRKRNVPRKVKRKGAIWPGARSNDSSED LPDSVCDEQDKMASKKDSVALSCEVSNAHAPPGFGSLYLTH QC762_406170 MPQPIVYEGVNGCYEGTLFNGLKFFVSRRLPLRSEVLRKIKNNG GKIVEMEKFADVLIWDYLITHGAPAGAVSSKFVEDCISKGEIVNKEEYLVAVPTATPV GSSAPVKKGTKTPFTPKDDQILLSWIRQKEEVGESIKGNTIYRELAAKYPHHTYQSWR SRYVEKLLHLPPQQSVHPLPPPIPTLVKSKSSSDARAAPPSTTSSTVEKKWAKRREFT KQDDEILLQHLGRWQGSESGQNAYKELEEQYPHHTWQSWRNRYVKTLSKRRDSGSGDE LPPAKRPRKSTASDTVPSRTPTAPPTTQLELSQKDKEKYEALREKKRKMAEAKAAKQA KSTSQTSTAGQAQASSCVEEREKQPRAASAASSPPLPSGSQKEELKRKLKRIRAEKAR KGASTPLKPTQGGQSERTAGASSSTPLPPATNETSSGFLTQVSAPNIDSPAFSTQVSA AVAETPQVPTPAQQKELEQARIRSRKNMNGLITSRESWLELRRIFCEFNELPEPSETV TVFGREVDCWDFWCEVVEVGYPPSPAAWVLIAEGLGFVDDQELREEGERSVVQALKEG FEGGLEEFWFAVEWFAGERFQAVEVVEEEE QC762_406160 MGVIATVATVVLAISFMVFVTFFGRLPALRGTPISWLHKVIWVH FPNLLKSIDRRVTGGRISPACVRFGNYMMYDRHPSVLIFFLLLLSIGEILYLPTAWPQ LTPLVKLTGTVSIILPYLFLYLAAFTDPGYITPANHPQEMSRYPYDFTLFHPGNECHT CHLLKPARSKHCSVCKRCIAKNDHHCIFINNCVGANNQHWFILLLLSTAVLTAYGGIL GVYLMAKRMNFFFPYWALLPWNANKGEGMPLKDWLVIWSWGFQNQVGMGAVTLLAGLT SPLVWGLLGYHAWLVYCGTTTNESMKWSDWSYEMREGFAYKRKMHGQRQKDLKVEPAW TRWPAETEQVLVRTESGQCPAEDDQTLPGYGPWEAVWGLKDVENLYDIGFWDNLVDVL VPGYNFRDEPSGKRQRGGLRQRKKRRARRIYLA QC762_406150 MHREKPRRYALIMVDMPGYGLGSRKDWGVEIQKYLAKRQMLKGA VVLIDAETGVKEQDRMVLGNLRDHDVRTVVVLTKGDKVVDAAVVEQRKQNGEGKGGAE ERIGEVLVGVWKELRRAERRSLTWLEGGDKGWEREVWVTGAGDPRNGGLGVETARWAI CRLAGLVEDKRKIVVPGLDVAVGKGKKEVVEVEQEEPEIVSFDDIEALMEREKARPKK RLHPSF QC762_406140 MSHLRTLYRSLLRELPPRPVLSSPRADLHQHLRDNFASSSSEKK ADIAEQYLSYLKAQRTYVTLIERYNPGMGMDEEERVRLTARRVGMDLPEEYGVEKGQK QC762_406130 MQPMMSSSRDPTARLRAGLNPLLTASLGVYHPQHNNGTPHSALS VSSHGAFSSNQTPLSAIQPYNPQQWITSPTAGPGQEPIQTAAIQEPQGSPLPPPPYSP PRSARPMSMNFDQGPAANISVARAPHPPLQRAATESPVANTSFPPPPGTRGPSRERRF GLPSLSRRREPEPAIAPLDPSPQQSSRSLSGLLSRPAGGHPGPLTLQIPHQVPQRTDS DSSQNMAPGARRAVSTPAVATPTSARSRSSSQIRWDPSMPVPPPPPGPPPSSSRSQSM QRLQSGSDPIVSPPTRRPPPTGVAALGPVPLTPANWREGDNLPGPPGQHQQQQQQQHA DSPATVGVQLSETSSVASSGAGAQTESATSSAPSSAGGLVRRSPVVRGDKTLLERRSE SRTRLATRGSVDTTNSPHGLSDIVIPGSSSGGLQRRLTIGRGTPRTGRTSETPKTGES IDTPESRTPRASGSQGVSGQVTPTRVSPSSHKHPERHAVPRALPTPPTGSRSSSTHRG MGPDMPMSAPLSPSHNFPVSKHMVITQSSEQFARGTVDRFAAFADREASAANDAERVR LFAEFFVNESRIRRERYGAAIGAMGSEVLDLTRDLFRPMPVRRESINSATSGTVELTP QSSEPRSHRGSIGSAFEGNHGASSSAVQTPTSVSQQQQQQQQSPSPSGNQNWPSNNYM PSLSPILSMSVSDAVDEQDSRGRPASRWWEADSTGAPSSRMERSKRESKYMGVPKEAR EALQWIDEPQLSPAGSSKRASHGYPLEKTGWHDTDQSMTPQALSRNSVASSSTAPNTP NPDHLDVSRLVTLPPPYPRHHPAVNNNHPELTEIRTSIRTVSDLTEVTAIQEAYKQSS SQKRLALDEELKKDRVTLRQNLHQDISSGALSYADAARIEADATAAEHTKLKDLEKSD FDEFQTVVVMPVNEILQSRINTATELFDNLRSRLFDETHKSNPNLPQEEGDEQPELLE KLTLLKWIFEARETLHRALYDLLSSRNDHYRDLVLVPYKLAQQDDKVASAKAFFIEDA NKRQLAFAEEVLHRTQEFRDVVEETVVRGVEVQLNAFWDIAPDLKRLLDKIPTDMEGF HIQIPALEMAENPSYTEHPLQYLFSLLLHAEKSTYQFIESQTNLLCLLHEVKEAVVVA KGKVMEAEGRDGGRVEEMRREEAGRLTDDLKDKVRVVQDQWNSALGETVGGVKERVGG WLLETGGWDESFEEGGVGGV QC762_406120 MVLHNPNNWHWVNKDVSVWSKKWFDDNLTKIEAKEGDVSAKISK VVSMDGDCDVAQRKGKVITIFDVKLTLEFTGSTADGDEVSGTITVPEVSHELDEDEFV FDIDVHSESKEKQAVRDLVKSKIVPQLRSEFVKLAPALIAEHGKDLQHPAGSNPSSGF TTPKYHPPTGAQATKATTTTTQSNAGSVVNTTTVTDNEEFRTTAEELYKTFTDPQRIA AFTRAPPKVFEGAKKGGRFELFGGNVSGEYMELEEPKKIVQSWRLDQWPAGHYSKLSI EFDQNDVDHVTVMRVEWTGVPIGQEEPTKQNWLEYYVRSIKRTFGFGTIL QC762_406110 MSRQFWSPQTRGDDHRRASSAFTHTLICNAPRTTSTTQDSQPFL QQHAIKNPSFKMAPIYKIALIQFQPKDVSPSENFSLSASYIRKAASQGAQLAVLPEYH LTSWCPSHPDFLSSCVESCSYLSQYQSLAKELKIDIVPGTICEVHPSLSAEEVTATSS TGKDEERRVEVRNMAYFISGLTGEVVGRYQKRNLWHPEREHLTAWGKEGGRHRGFDIP GLTFPDGTPVRGGMLICWDMVFPEGFRELIGDGVELVVIPSFWLVTEDFGEGDEGEKE RARRGEEEFLRGVVVTRAFENTAAVVFVNAGGLSQVGLPGVGNQGGVMGVETEEMMVV EVDLGRGRGLERRYKIREDMRGVEWGYGVYHGEERKGGGR QC762_406105 MTDKVNVDSATCPEDANSTDCLLRLVLAALDKQTTNTAEEFNWD PLTFFFTAPIGLFAALFAAITIYQAVLSSGPGRRKSNRRAIGPWALKTKTEWSWRDLN SLSIASTPVLRADDFLQTMGGRREWHIFRESRESQDKVLSSTEGARTLYGTMCLRRLE RVGEEYNKFFGTEKPGQCSATWLRFLSHVKLHRITFGTALVETTMADYLPADLVAAPA YAEIGFLIAAAAASGAHSMIFTGSESSYYPVIIGDSFQLDFRQHPVLGIVGVFSQHGQ LSRLPLKEHDKKTNEPFASRPIWHDYRQSTKAIVAILHARGEVYCWPQWNHRSRGCQL LNTITLRKWLPIGITQSMHDIYWQESCRRFADGYGELQWLLGADIELNGIPSIFPGKL SRRNPNLLSLLALHHNFWCHSGGIRMDSSVSHSSRSTLVAFPEEVTVSMRGSESSRLR IRLVMDTSVLPTDVTAKMIAGISRFIDGEDIDQLRWSDEFGFHFTEIQAMNLMSDVRL HLGNKSKEPQGDRPVTHVVVRTPLLDVCQDLVFGLDKFQARLDAMSALERQYLRALIY LQIKMLDYWLLAVCGEYLPIARTLRLLVVTAILLDGETQVQHGEEEGVVVGSHPHRQW NHDIVDSSPYRPPTAKHLNTLENLDSFLEATSDPNSHHLFPGVNRTMEKIHPWGWDNS VVKALKNFLDKCHRNHGPRKGMVPGTRLGRGGSTTAQGSQAQHDDGPEVETDGEGLNY QPPDRDIQFGESETRTFEEVLDDLLVWRALLVAALFWSAPDNSQVLKSGIWEQVIPVL QC762_406100 MVLKKQLRLVGSFSSAIYRDTDYGNWHSEKSWIGYDELAIVMTL MLQSNLSSPHLSYNELVYPTLALEGLNADEKQLNQSELEIAAVIPVLSVVFECHVYRQ NEIAIDVLVADTIGDIVIHHRIASSELFPRNDTIRVNVTGEYCSNNNITPPELAATAL FAIGDADTSVNVFAGAAGPAQTSNIQVRACSNFFVWGTHTRPTTGGSP QC762_0070830 MSASLFYKTSQVHWIGWICQRIRGKRESIMIAFRARWNCSKLED LEKRIESFRQQLVLGLLVTIRNFAMQSLDHEEAPLQRLDGVTQVPRPEQSAPAHALFQ HLAHRGGLDNYATDSIRWKTLHSSTLNRVATGQGEMVSPPAIETSFNSSSCLRFLAQT ARINDSLKSMKLTSAHLSGFSTKTVKQSIHGTILSNGASKAGSGKSTLMRFISQHPQL MKHLQVWSNGAPVTIASFYFWASGTAMQASSEGLLRPLLYQLLDQHKHIIPKLAPQTW EGTYLFGAPMPMVQADDLRRMLGFTVREVGKISRICLIIDGLDEFGGSTDDVLDTIEA FTSHYIKVCAAIRPWVAFEESFYQKPQLLLQNLTRQDMEDPRYEGTVVLGAFERASPT LRSNSRGILWTKLMVSSYGCVLL QC762_406080 MESIPSRYLGTAELNAAALETFIYKRVDKEMIRYLANAASGVIT CDPTMMVPPVQETRTRYPSPPRTPPPRAVRSEDSTLPTLEEFITQLVITSNVQVPTLM STLVYLNRLRSRLQPMAKGLRCTTHRIFLAALILAAKYLNDSSPKNKHWASYSYITTQ VYNFGFSRTEVNLMEKQLLFLLDWDLRITEEDLYRELDHFLAPIKYDIEARHAREVRH AAKREMERQRRMEIEEEEEEWIRVARETSIAVSAEQVYAATPSSRGSSRSRGYSREPS PPGLYSSGSSYAGSVSSSRATTPEGDDDEEEHDLGVPRGGEPYIYSGQQDGLYGSEVE VLPCVPEKDAMYTVHSHQQYTRKVAAKKLLPYEVPSSGHHVVGEGRVKKGGMKQMFGR MFNGVSVR QC762_406070 MDNYQKLEKIGEGTYGVVYKARDLNNGGRIVALKKIRLEAEDEG VPSTAIREISLLKEMRDPNIVRLFNIVHADGHKLYLVFEFLDLDLKKYMESLPTSDGG KGKALPEGTSAELHRLGLGDSIIKKFMSQLCEGVRYCHSHRILHRDLKPQNLLIDRDG NLKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSVGCIFAEMC TRKPLFPGDSEIDEIFKIFRLLGTPTEDVWPGVTSYPDFKASFPKWVRDYSKPLCDNL DDTGLDLLEMMLVYDPAGRISAKQACNHPYFEDFPRQSAGYR QC762_406060 MTVDIKELDAHEQPSDQLRAKWKAFSRTEQKDVLEGNDIDDLLS PEKAAEFVIASTISAETLNQSFKHVCPPGSPEITVDKDVPVYYHPLLPGLLILPSLVP PEVQKILLRKMVHRDLSHPTHQTNLHLHYELPYPDQGSEGPEHKHASFFTLPQDSPTT FTPKDPSVHKPLTIKQVLQRRLSWVTLGGQYDWTNRIYPGELPPQFPPDIAGFLETLF PETLAQAAIVNFYTPGDTMMMHRDVSEETDKGLISLSFGCDSLFMIAPNDVGKMSDEE KNAAGFRDGQKEYLLLRLRSGDAIYMTKDSRFAWHGVPKVLKGTCPDYLEDWPAEDGK YEEWRGWMRNKRINLNVRQMRD QC762_406050 MASTDNGKRSSESADSDHASTFLPTSAAFIGYRPFPSIMNLHSN FSGVVSALKTVKLCGASEDGFLYLVEAHFGFTPRGSLHFEPGYYLRNGTSLKSPILAA TGD QC762_406040 MDSTEQIYAQVREHYSSASRTAAPKYGESVAKSFGYSEDELSNI PEDANLGLSCGNPLAITSLKEGETVIDLGSGAGFDIFLAATKIGSSGRAIGVDINDEM LARAEKIKASRGSSVSNVTFIKGNITSVPLPDVTADLIISNCVINLVPYEEKNLVFKE MYRLLKPGGRVAVSDILAKKPLPDQLRKDVAMYVGCIAGAAEVADYQNWFREAGFKDS VITDTQADLNVYLDTNEDGTKKSGDCCGPVAAVSACDGGDEAKKATPSCSSATTDSSD VGRSDLNEFVGSYKIFAVKD QC762_406030 MPRQPTFQSSITKFSAKPPGHDAARQRENQRRHRARVKGRICDL EAALASTQSKLDDALKQIEELHAEISRLRALQPPTLQANETSSLITWHAGVDSSDIQE SFNDINDVPEPMPDSPIPASPQSTAAIEDSNNDGPLLPPTHPDESTITCRDAYAIIKD RNTSDLDSETMNQWLKPGFRRAAVPGSGCRIETHPAIGQRAEAPGVGLDINLQDLSRV IFPVAPHTTTTRMTHFNPLGHISIGVRDYVVSRAFYTAVLAPLGLRLVYDSGPVSDPS TGKVRTLGYGPDEEHELLNIFEHQDAATPGPGFHIAFNAPTRQAVVDFHAKAIEFGGT DNGTPGVREHYGRNYFAAFVVDPDGWRLEAVCKVPLEQEEPSETRR QC762_406020 MKVSTLLLAAASMAQASSLIPRQRASLCELYAYWSGNGYELLNN LWGKDAATSGSQCTYLNGASNNGISWSTTWTWQGAPDNVKSYPYSGRQVAKGRKISSI NRMPTSVTWRYDNENVRANVAYDVFTAADPDHPNYGGDYELMIWLARYGNVYPIGTKE RTVTLAGRSWDLWVGWNGAMRVYSFVVPSGTVKSFSADVKEFFRYLESNYQYPSSQQN LIVYQVGTEAFTGGPATFTVDNFSADLQ QC762_406010 MTSFSTAPRPSHASTALGVPSTWGGGSLLQPREVFQPPQLSSSS PININMAKFQILSDLHLEVSPAEQFAEHGYSAFHVEPRAPYLALLGDIGLITQRDALR KFLLIQLGKFKVVFFVAGNHEPYSSSWEEVSLFLEEMSVLVEQRRKEGEELGDFVPLG RTRYDIKGEEGEVVTVLGCTLHSYVASKYKDEVTRKLNDFYRIKGWTVKDHNKAHEAD KDWLNKEVKKIEKEDAKEGKKRKVVIFTHHSPTTDRRANDAQHERVKGWEAVASAFRT DLSREKCWRSDRVKLWAFGHTHFNCDFSVDGKRVCTNQKGYGFVAGKGMSPGFDPERV VEV QC762_406000 MPSSKGKPTDPQLREELKEEIKQEPNKSGDGKGQWAAWKGMKLA KEYEKAGGGYENEPGSKNEPKSGTPVAKSEKKKNEEMEE QC762_405990 MDDSLGPPPGASSAFPASGGGAGAASLGFAAFDTISRATSPGIP YADGQEDDKKRYRPRTFQYFRLLPFDVEDDAHRDAALQGILKNLYISIMAEDFSPGAL HWTRELQGWLNLKFEMTRELRAKLTHLYYHLALAPGLDSNTADRFARMVVTLTRKKHY LKPGQDLTLDWRPLWKELKAMVLPSEVPHHQGQRRRSHKHILKLCLHVNSYFDPKERG AIFEELLPFFSTSAMSNAYIVVGALNILVPTGPAPPTDRNSQPSDYAPTFFHLWQLMT RSKAFDVCFIDIFSRMARDYLGCRHVPFTEHGIFTREQSDAIFTAILRLTEIPVGQAN SPYSSLDYASGLGVYLEKDKKKYPVPYMIARWITHSLSPTCLNQEPSILTNLEGLMES IDTFFHPSNQGSWTSFLAQLTFFLTDIFVSRWNREQSGELDIPEDRRINDALKKRFVL ALKEVTFMGLFGKSSKVVNYYYSTLQGLAYLEPDLILPGALQRFYPSLQGLVEVHRTT SSLCGLQMIANIMSKHKGYRCHLTALLALALPGIDANDLGKTQYTLNFIQSVAYSIPF VPLVKEGGIRDTNLAQSWVQGLMERMEAEGQDVKINYNEELSDEDEAEILRSSTAGLG EFVLALLGKVFALLENLPDSSHLRTGSPEDNVINTLPAALTPLFASLSPELFDMALEK LSNFVSSHVVHQARDAMAWICNALCKVSPEKTLKVFIPMLIVNIRNEIDYNGAASDRS SGTEVLPRDRALVWHVSMLSMCVVHVGGEVLKYKDDLFGIAEYMQEKCRGLPTIHISN YIHHLLLNLTHTYPIDNALYEPDRVARGLDVEDWGRPTKPSELTIRWHRPSHDEILFA VELFESQTRSAAQRLEQLMSDDPPVSRKGKNKEWSDELSRSLTALRLIISGVSTLFDP QRASGEISTQSNGNGTAEADGDAMMEEDDDPLAEVADDEELKRQFHYPAGYILKPEDP IYNRIHELREDVGRLLSRTHYFLNANQQDDVACFTSLYATYRTWITDVGIERSAHPLE RLVRLYKADISPFKISGLRKVYPRPLLIKRADAYQLQRVKYNSAYRKKSELDKQLLLD LAESCTSSYADVRRVAQGAQDSSLKVLIGGRPLVIPVIMARLRKALDENDHDRIKGAM YTLLFTTLLKTLMRDWRFAPDLMRMYIETAAVDKTSIQNLGSTALYPLLDFGKPFERL IIFDREVVQTIRPQEDCSAVIKRRHDFITERRTKVEGKKEALGLELTEKAKTTHWKIA SRCAIFALNCCLRFETLATRELIELVANGTNDPHPGLRSNYLSAFSAIFTAIDIRAVY SHEYRNYLIEEEHEENRYQIPVPTDDPNLTDNFLSQFEKFDGTDYFVDCDYPGWLVWG KQFPVSRANPKPFLAYDEVEDRVRVQIGQIITRDWFKKCFEYLKQEPRDAGVDRFRMQ NLLLLMNVFDLMHYGKTAATFDDIVELVKDVYGDGTDKHQHRATAEILGALLSGSADD PREFRDRVWNFAAPLMLKIIADDLTPDNLQYWMTCLHIIIDGKDPRRCRELTEALSSF RLDKNSNAAFKESSKVQLVEFIINDAGWHFRHEKPILEDFLAHIDHPYKSVRESIGRV IATIYRTRYHESFKNVTELLEKNKAASSIGLRAYQPTEEFSATIKDVFARLEKWRHER TAGQQTPSSYTSGSKTVLIWLDSMLSSQECIQLVPFFPDPFIDQLLHMMDVKEDPELM KLAYHVYRHLPNIPFRSGEDDAFIAALIRVGKTAVSWHQRLRALVNMQVVYFRRLFLT QPAQRQMLFDAVGDMLSDPQLEVRDCASATLAGMIRCSPAPIRSPIIIQLKDRFELEL QLNPMPKKKTKVPGTDTPVDTQKQIVRRHAAVLGLGALVEAFPYATPVPKWMPEVLAH LATRAANDPGVVGKATKAILAEFKKTRQDSWSVDQKYFTPEQLEDLEGVLWKSYFA QC762_405980 MLRNSVPIQPAPGHQPSPIHIASRHEISPNSLYQPIACPPPRTK KHHPHHFPRQRPRRHNPDYSSDSDSEKLELEPEDQQLPDSGYGTQSSLQSVDSLDSHP PKSLLSALLNNKPSKPSDIKSSPLPGRDDILIFRGTGMEPSFQAVSRFREIMPEIQRV LQKHVASSQKWSSLLPSGMRRKKRDGEVVLTMRLMMVGKTAETARPSIVIFVSTDQTA GLEGVMRERGVRELYCPRDGFVTNFEVVIVGEAAKKRFLQMVEVTWEGGSALSVNDKG LPTWCGEGIRLGTAGGKSVASTIGGLVKLTNHDGEVQIVGMTAAHALEGLLDDDDGSD SEGDETEEEPPQQPQPQKPLLGQLIHPSLPINTCSSDLKIPPRDWALFEITKSNLKPN LRLQSSARSHFKARADELALAEPSTFPDTASIEVELLSQTGVKHGSLSHLPAGLMLSP DHGFVQAYTLTLDESYQVDNGDSGAWVINPISKTVYGHLVSTDFTGDGYIIPLHSTLS EITATVPGLASVCLAAMADLVEHSLRSYSWYLDSAVAGSARAEPGSAISETPAWAMAL ADCAERERGKEMKLDGVVREAYERDVSAGDRLRVGKGQGRLLSDRDSGYGSCGSSVIC NLEGHGDGEVEGGMADFRGW QC762_405970 MGYTSRDEETAIQLTTLSSQSNNNDGQNEDIMRVVNRAASQRSK ASVTSLHVFPLRTVTPENLKGLCAALWDWDMCPGCFEDTTCQNPTSCPWSQRSDRLMP FFDIYRRLTRGYVPEDFEQDSQAAVLSCHEDLFELITKLRVYGRDTSREAFRKFVFEE RGVPRGDQDRAIDLALRIMTMTSPQPSMEDELHSRVHNHTDLGSDTFKIEETFPVRVH PSLQETDRHAHAVKKGLQAHNLVQKAGLKLEKTDDLREHLKLDESQGVVKIFSWSSIL KENLLLPFTAHDRQDNTCAPPPLPRPLALETLHTLHLLFPPSSPKSQSLLRSLVSKSG FDPDILRFKTSGLSAFELGPEEREKVMKFGVWGSRLMDLYDEIENPKPRSGLDVWLER RSKSRHVMMATIAGVMAAVVLGVLGLGVGVFQAWISWQTWRLEREQLERGG QC762_405960 MGDSGNGWQTVMPTTHSSIQRLSRDAWAELEACERQILNEWERT HTHWSGIGKHTPLDDHTEAKRLHTRFKLANDTPLGTGSFGVVQKVVYANNGRTICLAR KQVRPPHRRFPISLLREEASVMEKLDHDHIVKLVGTYCISSNLYLLLWPVAVCNLDCL FNDIDHLKSGEGDREDIIKRLHTLDLHNLSAVDKRSQPNTGTCPLKYLQQAMGCVARA VAYCHQEKIRHLDLKPSNILLNPGRVYIADFGIAKDVGERDHTMTRGPAGTPKWRAPE LNQVQCDWSMQAAEVYSLGLVLLNIATVIHGGDLADFDEIIADLTLRGRDDKINAYLP KLERLALATQEVQDVNAFTFAPKHTLHLVSQMLHLDAERRPSIFQVDAELIDLGGVEQ VYHSHCCKKSARFVTDRINTKIRALVDERDRLKADHTNMAKRLEVLEAKDDTYESRIL KERNAQAEYVARLQDLLKKEQSECKRLTAQLAEFQQTYKRQPRPTLPRPASDPAQGGL MMRMRPRTHPIPNDPAAPLSPPVKTQTKLAAPTTVPGSKLTYSQTAAGAVSSKPASPH LVAAAVPKAMSPHLLPRRDSLNPTMIPRRDSMNRLANTPSPGAATPGSPNPDLAGYTL RSRNSGSRLPQPVNPATPIRAGTPILNRDPSSTDSTTYSMNSSVFSRLESSKHSLAET SAAGTPAIGTPALNQERKNSHTAESYEHVDHGGSEGDQTLEMRYGLGITGMVRRESVS TRRNSILDSASVASSNIAATASMGSPHPSSSALSSPRAAHAHLDGHRSSMFKVPSMRT QQSWADVARKERR QC762_405950 MSDTTTEEDEEVHPMRYSQGDLAILSAEVYNPGFSYNTSTKAQV SKKGRPAASNSDTGPRKRAKTQATETENEPEEKKRSRGRPRLDVKDVSPKDRRRTQVR LAQRAYRNRKEEAIQTLEKQVQELKEKNQEMNNAFLRLSDFATSMGFLEQLPEFGHQL RLTTERFLSLAKSTTGQEGNSGQQASTSSDGSSSRDRSESAPAHPQIPSTVADKPPQQ QTHVYGGLMVSHESASQPTSIVSALPHDFGQSMPSTALGYEIVTHPTLTNASFPFQTT PDSTFLDQFTTPGLHSSLPAPRSYAPHEITFGRRLQRFAIEKALVLISMPDPPENRIT RVFGFCLLFETPDLIKRRLARQVARNAQDTLHNWQFPFHHLGGAGTHYDVTTGITSQR IGNQGTSDVLKPANTSGFATGPFSPEVNRIRDNALDKNMRIETPGFGGDLFDPDEVEI YLQERGVIIPSGTDFITAEVDPTAFDTPESGKYPFKSAPGAHLEFSSLSSPLTAGCAS RPPMPSSNTFGDQSRESGWGNHMTTGGNVKIDSLGSFSQARSYTMGVPSTSHDAELRN LFAFPGGMESNGGFGEGSQHQQSPLRQRVTVDVHQFIKGMVSNATCLGRTPGFRREHI NAAFWLAVQS QC762_405940 MPLFFQPARSSRHRIACLALYKALLRTASLVPLPSITDDPSLYI PPAKPTKPTPPPINTIIRNAFRRNKHDVSPRLITSALKNGYNALALLSSAADPLSSPY TQIVDFLRENKKRVESLRDRRERLLDLEPSNTPIPGTVPVVVKVSKEGEPPRYIPNPA RPLPRPIEQIPNGIRKPPRLDAAGTVPFLRMQKPQSRVIERIVRIKNRNRAVNTIALS ELYREDDERRLRAELEDEWDGKMHQLLPLGKAGGSGGYVRELDEVVGWLGRELNRERE DMIARAEAFLEIERVEEEQMKKEDRERVERGEVTEEEVFKMRTSWRRNKKGREAGRYY HEEERKKRGLPVSKKRYLEGVRKGRFTEGSDRAPLPEDVVVEDQKK QC762_405930 MAVAQVPRNFKLLAELEKGEKGMGAGACSYGLEDPEDIFMTHWR GTIWGPPHGHHENRIYELKMECGPNYPKEPPVIHFVSQINLPGVSPTDGKVDPNAVAI LRDWTRIATELAKNPRPKEDPLSLEAALIAIRKYMDEHKKLPQPPEGSKYAVYK QC762_405920 MTSQLLASELANLIQESKRKHNDLRQAAEKSLEELKSIRAGSEA QISDELTQRPNFVNPFIIACGTKNVKFTGIAIVCLQRLIVSRALPRSRLSQVLEALQQ ATSAGLDVQLKILQALPSLLSNYAADVKGELLVTALNVCFILQSSKNAIVNNTSAATL QQLVVSVFDKVVAEDKNGQDSQIVGEVPLQNGTTLPLRAAAMDAWRVFNDICLLTEGQ RPEYLRFSGLPQTFGLELIESVLTNHAAIFTSHPEQADILRARVMPFMISALRGRPNF ATSVRLVRILYTLLRRHLSILPEESGDALEILTHLLDMDTALWKRSLCMEVFRGVFAD HALLRRIFGMFDAQEGGKKILRNLTATFVRVSTEKPTAIGLGHQSTIPVANPYGGSAA SADQAMLEASGAGIITSSVGSDGHNTGISTQWSTMRVPCIDQLDKTDPPGIPESYIYS LTLACITSLSEGLAKFILPLTVSSDGRKKRGTKTDIGRDSPAPSTDEKLDPGDKSSLE RSSSFKRNPVPVNPLTLENHPLYSEVKICATFIDECWPAILATCSTFLYAALDSEYYH GLVRSFQKFTHVAGLLQLTTPRDAFLTTLGKAAVPPNVLTACLNAGAPRNLVTPSEPS NSIFGNARGLLSVESLVSPTVEKQRQVSVDPSTGTLNTRNMLCLRALLNLGIALGPTL SASWNIILETLQQADFVLFCSGKAAGRTPLAAKGPDHQAEQEASTLLTNFSTEIRAVE TAASRLFESTIDFPNPAFVEVVGAVCSLLEKHGEAPSAPGSRPQSPPSSGGLKTPSVP HKRQLSVSTPALTGPNQEDQFALAKLGDLASINIERLLIYDPEVSGWTPLISELITAL SSTLNTAPVRARAAETLVRILLEAAAAVSSQPEEPRGDIQQRLLEAFRDSLLPLQTPN REVSVTSHVVDVDIHKIILEGLKSLLENCGETLVRGWEITFQIIDTIFVDKTFTPEKQ EADKRSVLLTRSVKLIRPSFASLQLICSDFLPSLPNACFLNLVDTLYKFCTQDDELNV ALTTVTFFWAISDFLSGKGRSMSITEDMIGESGDEALTKLAADSSHNGSGAALWMLLL LRLTSVATDQRLELRNSAIQTLMRIMSAYGDSLSPEAWSICMKSVIFRLMASIEKELQ VLSGVSAKDKSQEEWKDTAIVVVQGVSSLFASYLTVLTAHNSFIKIWEDLLDHFRILL DLNVLDINAATYSAVRDILHRCAEQDRPRVGKESLDLAWDLWSRGIPVPKDGKDDKSS DNQKCLLVWVEALLELYGLIQKDFSVERVRRMLTLLRNAMQHATPGAYASDVEYVTPL QGKILEVFRMVRTDLSGVPSAMITQVAEFVSLAFAQEDAAKAAAEKRTYVAMSKESMS ILQALIIKNSSGRDIYETEAFATAMSALAKPVVLKYSFKTTTRSNQPWKEATKTSLAV LEASLPYIRTADLPRPIIQHIWETIISIANGIISADYPDAPPGTDILADQTFDISSFR KLRELIIPALGAEVILDATRKSYAEGLFRTSIIHAPAPAEASIIYGNSNEGLTTLYRS RPGRTIDPPPTKRTLMAEVCLDELFSLVEQHDETSPPEISTEPPTFPSSDTASPETSH DLSVRLSQTASPYLILRCALSIRAYIADQPLRGRMPQPLSQRKELGRILRALVDLKSE PDAIPDTPNVDSETRKHLLRLYPLLVSAIQVAGTAGDEKVLKLVREALDVVGGELGV QC762_405910 MSLTLARRALAAPTLPRALPIIARQALVHSSSPVLSSQHQSRPL SSTPRNALRNTSPSRLPASSGLGGGFPPTYFQQRASLPMNTIIRFVPQQTAWIVERMG KFNRILQPGLAILIPFIDRIAYVKSLKEVAIEIPSQSAITADNVTLELDGVLYTRVFD AYKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERAALNINITAAINEAAQAWG VTCLRYEIRDIHAPKPVVEAMHRQVTAERSKRAEILDSEGQRQSAINIAEGQKQSAIL ASEALKAEKINRAMGEAEAILLRAKATAAGIEAVAKAIQDGQGAAQNAVSLSVAEKYV DAFGKLAKEGTAVVVPGNVGDLGGMIATAMGVYGKVSEGQQKGLEAAQARKLLQEQQE VKA QC762_405900 MDSDLGYNSEYTFDILQRECRILLTISVGIIDGESGSLVIDQET HEIYGHVVACDPRGYAYVVPMTKIWTQMETQFGPGSIHVFTPETAPNAMLGQSHKSID QPRSLGWTPDATKTETADDGPGTIPATLDNHDPAFDTDAAVVSVSVPSPLGQYEIPDI RLPELVSDAILSGERISAGDDSSDEEASAMQDALVSAMVPTHWGTRQFLPRTALSTIV NTNNVCQELERLVRNRDLPKRWLEVSVRETADVICQASRSFQKVFAILVLLDRAGYIF DMIAAGTSDDCLPLVHGSEEELQSATQPHLKLTYTRRWRPFAKHGFEDRQWSFLAPVF SKGDDQNPARHYNFSDRQILPFIGGPGRETAELVGGFASVTSVVIHPDHHEFTSMIPL GYQGNRFALKELKALSSDDDFKSEMKALQFATKQSNRHLLSLLATYQYHGRYHFILPW ADMNLEQYCLSADPTSQRAAQHHQWVIEQCRGLAEALSILHRERVDPEGLNDDRAFYR HGDIKPSNILIFGTGTEDAIGGSLVLADFGLARNHNRRTQSRQMSDRVFGTVTYEAPE TAQRLGARSRAVDIWSLGCVYLEFLTWLLLGPNGFDEFGDKRLAMDGLYGFETDTFYA LYREDNMLTTTVKPAVVEMFHELHASPQASELIHDLLDIIQLDMLEIEPTKRIKSLKL AEKLQILEDKSRFNEDYLLRPCTRGRGVPEPGVQYSVSKVLSKDAAMVRRSRRPGQAD RTIRIYSERRSRVKIY QC762_405890 MATDYKTQIADSLLGKTGEFDAFFRLYDELLNIGSRSFVVQIDN PSQQNSRPVSHDSIIIAAKVLKAQSSLSLKDTKEAIQKRLTAQKLSSLEVDIVLKVAV QLAFMVHPNVYDSHGPAFRIGSYRPASWLSEESFTDFVSKSFPHVSSTRISRVQDALA EEKSLKAWKLKERLGIKFRPTNNLAEHLVFDPDSQSLYLFHHAGYLKAHLDLWEPKKN SRDIGIGGAIADGTLSPRLLAETLHSLQSILFRWGDEKSKVILNELIKKQGFDSSCAI HEGYKMFKDGTGDFVYTFWGERLASIHDLMRERPPRTKFQKWIKWQTTERNFFLVGGL ALIISAVVGILSLGLSGFQAYIAWQAWKVGNQPPT QC762_405880 MICTVETKTAMPRQLKQLTVHLNASVNTCRQPTIGMAKKECGRN IHHCYPPHVGLREIILAETGRKHLIQMSPNDYLKLLGMPSNSAEFHNEAFHLAYEAVT QNLEYNEWVSRGMLLKDIIITLNFIEDMGVESQAIDLLFLCPHPIWMGTYKKTEEEFF AACDEIESQIRASPATLLSNDGKPQKVAAGFGKDMEKMLC QC762_405870 MADFGASETIEQDVLFKNPFKMWGYRVRGKFSYWAPEQFSEEWD YVEALPHDILPPDHVVAGKYSWKLNLWQAAQSMVTLLTGMYPESPPIPTWMEFGQVEG WGYGAYALEGLKPYVDPRLTNILARCMMENPDHRPDIGELRGEMVAILGHYRHLGQQE GNPDETDWPRNWHKAPYEEQTPWTDRQLHAWVDEALYQPASAPAPGVPPPADDGGLSP AQMEDAQVKDVQQELSESQREELSDKWADKKSQAFKNRLDAMQEAQPMKIYERWWNPA LEKTETYKRQKEWKRWLKTRPPTEQEEVDAMSQAKQQAAFESWLARRVKDEEQERRLQ AYIKHRDWKREFNLLWASRPADHPPETYYSWVLQMAKMEATRPHTDAYIADCFEQIND YVKQQHQDMISQLDKRAERRIAEQQDIIRRSGQPDLTFLEIQVIRRYYDEDIPIYLPT LGTSLSRRIYRLQKEIFGQDWHIGKSSQMISPFRSISSLVNQDRIVPYKHDDNPLPSS SPPLSRTPTPDPPRLDPTPGGGGGGKGDDDDDDDSDDGGDGGAGGAAGASGPSASGGG GRGGAPPPSVSNDDDGDDDVGMGGRAPAPQPQGPVSRFNPNAPVFNPSAPVFYPGPRV APPPTGRRGGRRAPPPTDRPAPSAPPPPPPPEVDPYEVEFPALGTPGPVVPKRVVTTQ IGQNDVKDARRARRANNRNLDRVSDPGVGPSTVSAAAPPAITITASSPTAPLAASPAV AIIQERMQEMGLAGPAAPPPQHFQAPHFGNPIVPMPEVENPRFGAPMLPPIGQAGTGL SLWDPDAAPARFAGLLPPGVPVPPQYRHLAQQQPLPPAPFPYGNPPQGAYGGPPVAGS SIPGQQGRPRGRRPPFKPEPGRNSMPLPDGGRVDRWGNWFHDPQTTPPYVWRDPKIRP RSPTPPPSPLGMVLPPFPNWDSPWLDYVYPRDYVAPLVNGQPPTPKTKYDQYQKQRRE EADKVREEGVRREKEKKAREEQRAVMQARHQQRLRERQTQNQDYQPPDEGEGEISPRS LQAFRVWKKKNKRAERVQDARRERVLERGREIERERRMEEPPPPPPPNSPDALDFQRR LREVLQRRADRAQAAAEPRATIADAQWRLEMERDHDPANIDRTKVGPPPQLPPPRPVT PLQGSPISPPSQRAGRFGPVGQDRVEHDPDSDMEGRPPPPRRERWWHTRSPYNQGGRN WMQSSSSDEDDNTILRSPGWFRRRQQQERALDARRQGDRVLSGRVRPQPERKSLLSRL VDFSTAITSPPRPGRWGVIGAIDGWSRNPGRTSNETGSPSRRRRDSGAPGRNLGVDID ALPRIESRTRTARPPPVPFGRLWVSLQRQQHRQRQKQQGSQQQQGDQGVVMTDVDKNN SSSTIRPPRR QC762_405680 MLDISPITSLPGLAKPPKHHILAPILNPAKSPGSTWSSFFPSLS FGGPSQEEVSHKGHISTLDKKKQVDQKREIIGFQNPWPSWHKPTKSEMLASFQWGPDT DPCIPLAASYALPARQIPPISQPSYSDLSNPESAASKAARLLTVQKPDFTPCPVGKKA KVTWLGHASMLLQLSSGVSVVFDPIFSQRCSPSEYFGPIRTYQPPCQVGDLPRIDAVL ISHNHYDHLDQESVMGIWGNNKDRVRFFVPLGIGKMMVDLWGLPKERVVEMDWWDNVA LTGLTQGSVKVWCTPAQHNCWRSGSGKSGEPNGSLWASWMVEDLQSRDGEELYRVFFG GDTGYQFHTDPAWPPLPPTNWKRGDPLPPAEKEKPEDYPPCPAFKEISDNIGPPDLLL LPISVGASFAYLRSFTTWMPDWGNPMPRHTQGVTGANHMPPWDAVRVFKEMTEGGKGK AVAVGLHWGTFATEPEEVLKTLGGLEWACERQGIGFGRGWDGKHDEGVEDKVFLAVNQ GEIESLPSTLPPDDAGHLGLYSTFGSLSLEAVSLQLDEPNPYNLRQTARNFLATRDFA RRRCWASKAGHGAVIRVVQRIDVKTIDHIRH QC762_405690 MGDATSTNTSAQSNDKDNGKLSDLHQPTTFITGHNSSGQAIVQS YNPFQWRPYDDNKLAFAVPYTTSRCPPDLNNDTDLKLHQSLISTPDKLGLVNPKGTVL RCVDFAPGYACGMHRTQSLDYGIVMEGEVDMVLDSGERYSLKRGDVAIQRATQHQWVN KSDTKWARMMFVLQDCEPLVVGGKQMGEDLGTNSGLPPSNN QC762_0071100 MQPDKLSSTEQTLFVTLKARQLLGDSLAAAVVEQIDYDFDRLQV GSLVAGVIGLRSALFDKWIRDFLTRHSHANVIHLACGLDTRPHRISWGDSVRWIDVDL PDVVDLRREFMPEPSRANQYSLMAGSALDSAFIQSLQNDRPTIIVIEGLVPYLTTSEG EAMIADLCGHFKTGELIFDITNWYTTLIERLVGSIKHTKAKLRWTSDKPKNLEKVHPG LTLLEAHPLCTLDGVRNLPLGGRIFLWLQSLIPFTRYSAQYLRLAF QC762_0071110 MASPSEVVRYGTRPSMTIMVGRSFWRDCIKAESRAEPAMRLYWL ALDGSGMNSRRKSTTSGRSTSIQRTLSPHEIRNKGTNLKSIEVVIDLLDDSCCQAVT QC762_405715 MHDVTITRLPQFIATPNTDSTFHLEITLIYDFSPPVVDGIWALK FNMASSLPPDSLSTANPETTHHPSSPHAEVVPATPSVALTAVDDDTEFPNLTQDSRVD TGESGSGRRTILLSGWNRLTRRPSVAAASVPRPSSILQHEESSPTPSRRGEIQPGGDF EEKTAVEVETGTIGGGNQVDEPPASSQATYTLALYPEGIRPRTSVDIIAVHDFDETPE DAWVMPVERLLSPGRPDGERDRTASPGPQRIKLIDKKGKGPELLNPSDGNPKLDAKLR PPGKDFKGRGRSLEPSMRSSSEFDIRGRPRAVNWIRDFIPNDITDSRVLSFSYSSPTF VKKTGSWAEYVENAAKTLLERIVGSRQLFFQRGVPIVFIGSGFGGIVIQKAIALATKQ NDTASLSLDDIFQVIFLDTPFPEPDEPQTPDRFKSWFPKNTNVRMSRILLEIETRDKE SELVEDVWNEYQGSQKELARGLETTWLYSQARVQQGDSDNMSLCKDEDEYRASIKGVT FTSVAIYRHRRLARMGDTQDYIYQTILAKMQSTILYQAIKSRNVELVENILDSKPRLI YKTESGRNPLHIACLMEPPSDTIVTLLINERPDDTTEPDDGGSTPLHHAVFKAWYNEP EEGRERSEYSGVIRYLMRNMQREDLDLHDNEGRSPWDWICEDSTEYYCGCNGSECAAT WIRELRENLEPIRGPAITQDYDLPTEPTPPTPDSSQYIASFVAEGTVSEFYHTTNKKT KRVEEQINLKTTSVYDMVYDANKRCARTLESSRRKGKDKDFRCRWILLPANNARAMAI CMLSFLPRASRRKMPRY QC762_405710 MNPRAKKYQFTRFSVDSSEVSESPGNHSSRLQTVVEEPSGSSDS IAGSTSRPPLQPAKRSSQNLSSITWAMLPPVNLGHAGGDKTLALFMPYLAYESHDGRK KMAAMINRVNDNRTNAPLSRRESALIDGYIHAHDVLPLHCRRTLDQYSYYMLETTERR DKDQVVYRWATKHGKPKNTAPILMVDQLWLWVLPDGTVITCMPNTQKPSEQYNIKKLL SREIETNKARQAIQSPDSLVEMILKICLNIMTRQGPGGVKLQEAFQSSINTIAEDEAV KMKKLLKTVDKLANAKDPFKYTSDIDSFSRISDESRQLVEIIDIQDELGIIKSILTTQ LKVLEEFQGHLRPRKRSGGTKEHYDEDGDQHDGVHKKSHHGTGLKNARVVDEAIRIVE DNLIRVQEMDESAKRVEAELKQLLQFKQQQASGWDTRYAMKLSEQGSRQNTIMVVFTI VTVIFLPLSFIASFFTIGIVEFPKNEETGEVDWPVNEVSKYLFPISVGVSAVILLGIG IVFYRMASKAKRRMDSAQRPPPSKWQALKQSQTRKYSRKHKHKHKQDDDDSYLSDCTS DISDVYSTRWRRNDDDSSDEESDDLSIDDDNDYAPIFRRFRFHTHVPGLRKLWLWKLY PVSQPPAHHHLSKAQEDFEWDYPLRRWRDVTREKMAVYVVSWKANQLQRKGHGSRHNH QDEDECFSEDERHRSQTEELEHQERVDERKDWLRGWLGLSWGRRKGRESGDEPEDDGD EGGAAHSMRSEKGLGRLFRRRGNREERWDEEMGTARPA QC762_405720 MATFPDTTPRPTGHQTETPPSILPRQFFSVILIILLYTTASPFT PISWVLGSDTLHLSGTYFLDRIFSGLVLFSAFYFQWRIASLRASVPVTLPLGGSTTAV RNGRLETVHSSSADLFLYKTGDYWHFALAEAGVLLLGEFAGSENLRRVVVCVVVAGVW LVGWAATPRSVKDWMWEQARAYLFVLVLDELRAAGRGLFGGYYHGPGGGRRRGRF QC762_0071160 MTSPGISNKFNILKHAARDASRANHYPKAEFDLSDIVYRIFKRA EYTEIKVDHKDDNSQQATYTLFTANDNAICVASASITWPNGDQYGWGSDYKPDCLWID ANGDQPQTGFQVHWPEFVQRDDGRPGEKMGWMWDTSPRAVTYWVLSNGKKVKRGATGR SSIHQRRQQMVRERQGNGTDAAGHGTTHTLAQKLGEMLVLDHGNNGQHSAAKLCLSPT SMGPDFANDAEGLFCRMSDKTLWVFCDGVHITDNCFNPDLQQVVVGGKVTRDRKYGKV MSWSVGSNGGDGGRSGYY QC762_405765 MAWLLMELSNARGESVFTVQIRAAPTMLPGSNATPGDCPTHDNS YNCPPSCDKGVPGDMISGEGDIVQLDKMYNQMKTERDAATAEVAYLKAKPHGKGERLD GSFAYFTRMFSDMKKERDLAKKERNVAKKERVVAYKERDWALARAGKAKHILDNDNAS FIKQLDEVKLGIEDGKQALETAMAKSDREMKKMEESYNEKAQEVESLEGEVEALNRQI TDLVNEAAYNKKTTVNGVGWDLVEGDYPELLSSKRPQRHGLPMAHLSQRLLNISVGAQ KSTFCQNIALRAVHVPAGLSRDFSARTYVFRGLSSI QC762_405760 MLNVHRGHIFVHVVSDLGHLILGGVSLIDGLIPLCLDLIVKPGE LSKTAVQLLLGLRSLRNGRIPLLLHFGVLAGEVGFAGLKSLLQAGYLGESRVSLLLQL GNLLVEVAGIGGLERLSGLVSLLAHLVVPLDSTDASILVRLLALGDLLECSLLFLLQG VPLLRHLVILPGEVGTSGQESLVALSGLLERSVLLASHLGQLTLQPSHFTLAGGRGGL FTDLGGLKLGSEDLELLPSQRLVTLCELGREFSDESCQRTMFKSALRRSSTSRLASAL DS QC762_0071190 MERRVLVAEARNEKTESTEWLEDKYRDMDMRALDAGKKTLDAER KLAVLEEARLRGKVEEAAKVQPLTGIMIPAGSGYGGCDKGKIEGVESFTGLLRLPFGR DIRVGGVIMTTYMHE QC762_405740 MSRERPRSRVMLYIYPRTVPGYILLWSCYSQPTIEMKTQAIMNF LAGTYSLLNNTQWRNGTQVSDPWGEKPAGLITYTRYGYMSAVMNSMEPDMRPPDIQWP PSTGGSIDDWALIGKTSLSYAGPFSLNTSVPLTKFSGQVLHGPVTTASIPRFVGQIQR RNYTVIEQDGEVYLTISVITTLAGARSEIWWKRIVKG QC762_405735 MKVFAIIALFLGAISAGVAAPAEPTTTIDLPPPAGETNIIWNPN ATDENNITASSGDAPKWFFEMFEATGINCNDKKWMTVRLNRYGTFCFRTEIDVRRVRV VNSGGCSTTYFSDENCTNDPQHVEVPLHCVGYSGGNLIKSVVVAC QC762_405730 MFLNVHCLDTDFQLDQGKMSDVYEQTDEYIINKNVPYYDERTEE DELFDEHRMRKGLKPVQDRPWWFDEIRCQATSRSDGEVIAELCAVLINLDDARECLEY LKNDERKRYDDLRDYVMEDVDLEDLMSEADLSCRNRYSTVTSLVGGLLTHDGGMRIKW YRSDFPETRGTGVWGEELSSMKNVLLLEDFHVEPDWRGQGLGTKIVHYVLNLNETYCG KEELYLFVRPRPDPREYSRLLGLLRGADVPVYHQITRRAEAFWRAQGFRRVAKSDWFA YTTNADHPSRKLTIADDEAIDHDLKEFGVRPAWLTVVPAHMARLVQDLGKQHTTDEEC TVLLRDQMPEDPNHEDWGVRGECGNTLLHLAAVSSKLEAIRFILERQPRLAEVKNMGG RTPLEALEHQLGKARERDYSRERFLAICAWERKNWRFFEDFPGLNDKAIRSWCLLSGT PYMDVSGLAVKSASELGEDREVVQELLELKYCCGCGSCLGGWFSQRSQVVLAFAAREM HSRRVEGLEQMGFSQWYDLYIKDSCDFITREETTDNEQAALWVVEVFQHLELCIAGTD DTAPMIPNLRNILASIEAAQNETPQPADLHRRIDAVIEATCWLIGKAEGTWDNMHEDR YIEDGEELSEELKELPHCDNDGYYWPLYTYWKSLRGHESASRRAELVSLSRSEKGNCP KADMRFVTACIAPLHTGASPAYSTRHGAPFSMFRRGKLRFQPRGL QC762_405783 MTLESCAYLGGDNEYARLERALIDARQDLGQKKAEFASAMEMIR KQGGQIGDLNHKFNLVTHRAETAEAGLREVTAHHMAALDKLENTRRAYSIAEARLHEA ERARDEMSVNYRNLSSGYNRLEKNLNETRQVLLSTERELKNTLVERNEARGSLRMSQE AWNHERTNLQSKYNASQTQLHSVQGRLDTTEGRLREALRLNDEAAKSLADKTAYMYEA AKYKEAYGVAKKDVEFFKSQLATTEERLCEIRKERDLARVDADLRDRLSGLTHGAAEK KVGFSTHVQVADLGVERPPTPLTLSRTRTCSRRSSISYSLSNMAI QC762_405785 MSHTTKPSYSELEGALIQERQARTRAEQRLHDLTRDHRFNNRVY SANRLVLELQDAQRDRELYKEKLQTAENAAREWQSRATELEKLDAEVSHRCRMEKALF QSSLNSARKIIDDLQHSLAAAEGRYKITQEGLREERDATRRRLLQVAADHDDHAAKLK EIEYHPSASSAMMLEGSRGTLSLSSTRGGEERDATKPTLRHKKKFTHGV QC762_405788 MQAQLKGLRDELNKEKQAHEVTQQAAKHWESLRAKDLETLKAQL AGAKKDYDSKLAEAKNRLDKKVVEINKESDTKLKTAQANWNPERTALKANVVEAEHKA LEAKISLDTFASNNHNLESSLNVLGELESESQQHVVELRRRYQGAHEAHQEAQAEIRN YQKLLEEALAVRNEALEQLEASNAGVYANRDVQSPHFEEVLKDPVWERIAKDGIRFIS RCLGLFL QC762_405790 MTMEPTGKTVEVFGSAPLLDEGKGSMSQPGDNKPDYTTATTTYR KYIWKNAYKFIRFLIIFGFVGIILAIPVIVIGNKDIIKKEDALDDEQFFAQRSEKTVY YIFVWLLVTWVCFAITYIFASALPFIFRLVARYVNPAHMRYWRIIRTLRRPICIFVTI CCSYIAYIITVWVDRLELAMIYDLPEGDVGWVDLIDDFLEQGTLWAGFYFVWKIVMLY ITIHFHSRSDHTKIAHSKDMHNALMALYEASIYLYPVGTPEFTEEDMMISNATMAGHG EHRIRATRYLARLGVDSYGITSFFGNFLSSDPKSHWLRPSSSYATVERAIANPKSAAA LARRIWMSMVSVGKTTLTAEDIAEVLGPFRKEEAERYFKVLDEAEIGDLRLEEMEWTV AEAGRIRQNIYKSMHNADHCINTFDWVMLAALAAIMVYFILIFWVPSLKSIQETVKFL GFGLTFAVGRTIHHFLAGCIFILFDHPYDIGDRVELWSGQQKQSVSLIVVRTSLLYTV FKRVDNWMELQAGNEWLQQCRIENVTRSGSNRQAVSFNIDVKTSFKDLQYLKSELEAF LKHPDNKRDYLPNLALAIVGLGEMNMLEMRCIVTHRSNWSNEPLRAARSMKFMCALVA ITRQIPLGRPDQGTIGRDFNPAHYVMMTPEEAKMNVESVKQQAEADRWDFKSGGSSGD EPVIDLRGVSRDGDLSDDEIIRSAMEEAEKKRVETARKEAEELAARQALGKLPPLPRV GPPPRVTGGSPPPKTGMTSGFDPAGAQGSGGLRSVPHYRV QC762_405800 MPHLARKLLRKVQQLRHRHDEARDASHHDQLRNRWQGSYACPEP QNATTTTTSPEVVVAVVSPTNSTLSTTTTQPVTSLAEAVTTTTALDNTATATLPNKVV IDNTLPSGTNPLANNIENVTWLGYQIANNSCSHRDLGFAGKLGGKWYSIFGDTLWAAP GVTDMFLDPPGFHGMVRDSISLLTDDPLTVVDLHLNDDEPVPHQLQFVPFNEEWGETN QYGFGGTSLCEVDEETGMGVLYYLVNGNESRGLIGAGVARVELIDEVPTVTHRYGSQG WWWDSKKYARYGDQIAYRDENSEYIYIWGGPPDYIKDWSTINYHYLARVKAKKAFDLG AYEYYWGKQKGWRKQVLDRFDTETSVMWGSGQGQVHWSEYWGCYLLVHLGIAGGAVFI RTADNLEGPWTPDVQIFQAMPIDDGLVYAGVAHPYLDETGKTLVVSYTNNNHIEVLRV EFA QC762_405810 MEYKPIPPSPARFKPQIIIHGGAGNITPETLPPDRYSQYRESLL TIIGKTHHYMFTPESSTNTLPSSLSTATYAVALLEDNPLFNAGHGAVFTRDGYNELEA SIMVSHPGSYPKRGVGVTGLRHIRNPILLAKAILQHGKDDLLGRHSQSPSANMLDVPN AQGHTLIHGPAAEQLAKQYNLAIVPQSYFYTQTRWDEHTRALERERQNPGKSLATYSK EEYLPQGTVGAVTLDEQGIITVATSTGGLTNKLTGRIGDTPSVGAGFWAEEWAEEGDP SGHAELRRRPGPVVVISDALKGLMADCLPSPFSYNPAFKTPKVVTTRAMAVSGTGNGD SFLRTAAARTVGAMARFGGVSSKEAVSKVAGPGGELEKSAGDRWGLTGEGAGGIIGIE IAEARDDNGMLLDARCGVLQDFNCGGMFRAWVDRRSHAHFRVWQPDGSTPAGYESEDV FGIDLRRAGKRSEPIAL QC762_405820 MDHTRDPCPWVILNDFGGAFAMGAIGGTIWHGIKGFRNSPYGER RVGAITAIKMRAPVLGGNFGVWGGLFSTYDCAVKGVRKKEDPWNAIIAGFFTGGSLAI RGGYKAARNGAIACAVLLAVIEGVGIGFQKMMAGSTKLEAPQPPPSNEMNLA QC762_405830 MVHFNPDLASSARPSNNLKTRCNMDELGEPGPLSQQGECVQKTE ATDNGPVRPVPQNFVWRLYGRSNSSSTSVKVNPGDMALGVPVLRETFDPWRCHDGHDE DDGGCKGCIKINKARAAAASLATPLAMASMPSHAGTTDLTATMASLQSRELDDSCPDA APDQLQELRHDGSVLALAVSDKYIFAGTSKGEIAVWSLGTFQPVQTIQAHKRSVLCLL LADDFKYLFSTACEPIINVWCPRTFTRLYEIYSTYDVGDVFSVAYSPQREIVYVGTQT QDIQWISLKDPERKSSHESAHHPDRRQHRFFDSRAVGGTSTPRRTEEFYALIPTAEQV LEIDSGAIRQYAHYGWVFCMLVAKGPTVLVDSDEEVLISGGGDGSIKLWKLCDTGPDY EDGNVVTGDIEELMVLGEDDSESVMSLAIDGSFLYAGKLGGIIELWDLDTKQKLRVIK AHDGNVNVLKMSFGLLWSGGTGGSASKHSTVHYGRDTNGAAQNISQKYQCLSRWKAHD AKILSSATVVHNSDQLFLTGANDNTVRVWRVNGMPSQTEDGTGPAEDMMIQSLREFIS YKTVSSRPEFTEDCRKGATFLGSLFKRLGAQVEMLSTGSLHNPVVYAKFSGKLEPAEK RKRILFYGHYDVVPADMKGDNWQTDPFKLSGRDGYLYGRGVSDNKGPIIAALYAVSDL LQEKALDSDIIFVIEGEEESGSRGFAEAIHDNKELIGHIDYVLLANSYWLDDEVPCLT YGLRGVLHATVCIDSKHPDLHSGVDGSNMLSEPLTDLTLLVSKLKDRKNHVNIPGFYD GILPLTKEEDLRYDDIANILIRRNPANGPIEALKRSLMARWREPNLTIHRYNVSGPDG SLISSHATANVSIRLVPGQEVDEVIKNLKSFLREEYEQFESDNTLTIRIDNKAEPWLG VPGNYIFRTLEEAVMRAWGPTASEDSNNGVSVALPPNGTNDETAPKTRKPLYIREGGS IPPIRFLEKEFNAPAAHLPCGQASDAAHLDNERLRVVNLQKSREIFSTVFRKL QC762_405840 MYQPVLSQEDHQALKLNPTNKQRELRALKEPPLRASDPLPFRCA TGGAIIRIDDPHYQITVSHIQETQQDNLHITSAQEPLPPGLEDCSFDGMSDSDDNDED PMESETELDPALTRASVTPGVESQPWAESPSINSVGSTVGTPTGRQARNRRLDCALIA LDNNEIMHSLANTISYPQHTGIRKVMVHNVAEVGKRMNKVMAITARDGIGSTLATGAL YQWDCAIKKAQKLYPINLDTPVEGGNSGSAVIDTVSGSLYGHIVRGCPGSRVAYIIAA TEVFDHLRQEHGADIHLCGSPSTKLSADLTQLAVESRRLELERWQHYAKLAQTIDVKP SSSSTASDDLWSPQFMAENAEDENSLKKWPETSSTTMSGYIKGRLSPLLESNDGDSLH AWSADRATTLSSAVSRSTATTWYAALSSTRVTAVQMELIAEDRDIEMSGLFLDNPNEG NKKTPLVLPKTSTTKGDESDESKGPLEVMRNEATQNLAGKKRPVMTRVGRNMSSCFKT SSAQVWTKTHLGRIWKAVG QC762_405850 MMKIGVPNNQLMARRFLRWEQGTFRDFVSDFFKESLKLSYERIR LPKMHNAWSINKIGGINISFTDNLTDHLLLTDDDSTLLIFHHASFLECHLQPFSAPLY PADLVHETLSTIALLFPQSEFTLTTRRGRFSRNSSWLRKLRLAHEQSGQHPTGIDPRL SICGTLQANDRQIERFHFWRDSW QC762_405860 MSSETSVTNPPTFFVNLPTINLEKATAFFVALNFDHIKLWSDDK SSAFRLPGASSQVSLMIHIHERFKTFNRPGTSVVDAFKSTEALFSFMAKDKASVDAFI EQAVNAGGKADPYVLKNYGQDMGMYNRSFEDLDGHIWEVCSMVGLCPGA QC762_405675 MMTLLARSESTGRQLIGPRTTVYTPSHNCRFGFVESGNSDASTT TLDTIGYAYGSDCPSIRSCLPSMPTAVRRDFYSPGLYCPMGWERATKITHGMTDSVRA INILRALSTNKTAAFCCPSGFTFSYTVWSSTDALPCCASIMIEGTFTYWTCNPRGCSL EQRLRVGGTVTLSAITSERLAVQATITMESLLNVYGRQNMDAINPSTQAPTLVVSSAI TRAPAIQLVWRSIDLPAAANNGTPNGNYPSVNTAGVVVGATVGAMVAISLIVFVIWLW CRKKKEKSGTVYETTENTGNVDESKAQSELATGSAVVELSTAGSPELPASPITLRNAD ASDGLLRAVVPTGRAGTDSRPSVAYELDSELDRRDVGAKSMSEGHNVPTTGRLE QC762_405670 MAAVKEFLFVNSGNKSSAGHKISTTGRAFVIRKARAAQPWSTKS KAGRKRAPFGSDDSPNATASSNSSGSDSPDGAPATNTLFDGKHSAGIAEEVPVAVAPQ RIQDDGNPKPKRGKGGVSKRQRPTAGVKPGQSKPTSSNGRFGGKLQEHHANNISDHVE CVHCGYAVGLCICQAGATLVSQAQTLSGRLDPFGTVSVRMGQRDTDLLAYFNTVIIKT LTPLTGDQSPEAEKYWVTVSFGHSGFLHGVLCLSALQLAVAQPHKSPTLLEQFMHHRI QAISHIQAALADPSRALSDENIATVFQMLCIEENLFLYAGDSLRDHPAWKHLQPDVSQ RQAHLAGLKRMLFLRGGIARLDGMKGLQAFIIRWVCTSLACRLVFSLPPPSHPGQYLY QPTNRNELSAAHLSDHTFAASHLLPHGLLKKLYNYPHSSPFYQAGSAMATACATLGVS RDLINHIATLDCLLRDALAWYISRPTIQWDALDIQNLMSIGLGELIHFILRAETSLSA TENVIAICLFVFTFFVGNGAHAACSPLPGIMPRLRHHFTDAELSQNLKRIGIETWVGF VLLIASSQNPESEEFFFRFMVEMLGDRGVGDYEGFRGSMVDRGVWTPVVEAHAVKAWG ELEGPLGEYRSGRKEIWEVRRGGVEVGEEQGVSIPMSNPYATSHMKKIFSRDGEGVKV VV QC762_405660 MESKRWASMSTASRSTTDGQAQDKNGSPTRHKCRRCAQAFDSRN KLMRHVFGDHPPPGSTRRDASSAPRKPPAATIGPAEPLSPTASPETPPPGAIPLSPVE SHSGNNDNYTTERPRNQHSYHPHPFLTFSKEQQLIFVFRILYVLFNVAMKQHEEAKQN QQRLQRLGHLDWDIMTPEPVTPPESPVLVSPTMDFVAPKEQHHRVLSISSTSTLNPLA SEFRMVSAENSFPMSHDGQKGASPVNERFVAITGHSPSEKEPNRKASTDPSLQDPEET QEPVKAQATEQPLGAYGESDTHDLESEDEDDNGGGAHLVWLDEEEEEDNIATRGAALQ NKDSTEEKRIQSKAI QC762_405650 MSASITNVVLAGATGNLGPSILEQLLLAGDFTVTVLVRKESPRT FPPGVKTVTVNYDSIDSLTSALSGQDAVVSTLPISAPEKQLLLIEAAAKAGVKRFLPT EFGSHTRNAKVRQLPIFQTKFDAQDLLEKKAKEGTLTYTLVVNGAFFDWGLKINWLVN AKDKHAILYDGGDRKISFSLLSDVGKAVVGVFRQAEETKNKMVFIQSTVQSFKDVYEI AKKLTPGEKWTDEVVMVDDLLTSAWAEINKENPDPEKFAVKFITSAIAGEGYGSLFEK NDNELLGIKELSREEVEEVVKKYL QC762_405630 MTNQASEAQPPASPLSKTTRFVCNAPGCRKSFTRKEHLTRHAKS HSSQLPYQCHICGRRYARSDVFKRHVEFHPKNSIPSTKIVACNECHDKKLKCDDGTPC RQCDRHGLECVRKGRPSLEPRTPPEESSPAHAIDNTSSNGTFNYGSNNVDEQWHDMHF ETPGLNEAVHQPKHSSSAPLTPPTSLSSDASQFNSTPLRVLLQNIDEATTYFLLEVFF TEVHQYWPILHVSTFNIGTVSDLLLGSILTLGSWITGREEHKTLIPAVYEEALAATRV NVTPSLHTLQGLVLLVVYSIYNINDEIGKAGNLTSLLIQSCRCIGIFNGSHSLPERLQ DDPFTFWLAKEQLHRLAFTVFRLDTYQSILLNIPPTVRYQELYIPFLASPFIWEATDN DDLEYRLQQQPKPEGVKSPPLLSGFHREFMYSPTSYTPSIPLSPMDHHLTLCALQNPI WEASAFATDMEISLLSIPNSPVFAARTHLDRWRARLEAQTQQAFQYDVIEEITWTLFH MSKITMHAPLPLLRIHSAAPSGKHRDVDTEKVATKLGIWRGSPCPRMGVISCAEICQL LSRNPFEFDSNARRDKLNPLATPALLMAAIAVCSYAAGVGQKQGGGCPACLPGLEHEQ GGCVDIFAGKCPQSQERVKEWEATGRGWPVWGSSGIVLCRCGFDRLGEWFQQETVLGR DETAKRELVAFVEGLKCGFE QC762_405620 MGAYVSTGSCIAHYGIQPNCTFNVTGTNDAFHHLGGSMKGEIEG DPDIAGIGVLGAFLAVTTISVGLASASTFWWFSKNVLHWKSRTAREEKSLRKRKASIS QILEALVISCSDQQIFTGGAYAITLRYAKACTVLAYHYNVVSNILLVTCATHLMAVTV SSNYWEHRFVGGLRLIVTSLVYVITGILLSNRGEPDLGFPTQVPANNETHSFMLLPAA CFQTDGIRLGTELDKSFKVSSANEFFNGQVHGWTQFIILFLFYFLAAMVSVGRLVRRG QDHNGRRTRFIAWFKLNLRPLFRWKRFFYILFGFYLIAGIAVSSWTVVTSALYVFALR RWVHGSGWMEPIDEEIPHQIAENDPSTFGQLVPVLLISLTLFTFMQVISDRVTLRRRL SNRRKKNIEKHNTPTVVQYDGGGSDYPENGHGFKLEKSVVVGVAISEPDLDPPKPIDI EAAGGRRSQSRSRDGSSTGTTTQSIHRPSQPQVHSPSHTRTHSRQNRSFSSPRPPQGF GTPEPGHQSPMPGFQSPAFQQSQSPTPPISQGPLSQPTSGYVDQPDFQLPAFDFGPGV NPNGSAQSLPLKGEVHSHQRGPSDVSSLTQASYTPSHSSHHVSQLSQGSVAPPVPKKS RSRELREQQAQQQDRRPGPQGGFGLGGRDASRTF QC762_405618 MPTATTTLHLPSAEALTAAACYLLRLTQFSSLSISCFSVCYLIW MHSHHFCAYWSCNDPGQEAALVPLGEVIYVVSCVLIALEWIYTTSSSLLTLSNGKRPD VFTQLCSTWMSVMILAIGLGILTSISTVRQTWPFCNGTGVTRFSSHYPPEINSCIVTQ SAVTTGLITMVCSLLLAMLAVWETKKDLRTGRIRLPFSIGQDEERVGGHEGVMGSDNE EGVPISE QC762_405590 MHLLRLLLTALSLLQITSAATFTNPLRARDGSDPHIVHHDGFYY LMTTTWTDLRLTRAKTLEGLKTGETKVVWTDTNAARCCNVWAPELHRVDGVWYIYYTA GNRQNLDGQRSHVVRGGAHPWDGQYSYAGQVTPDWGIDGTVLTIGGKNYFIWSCLPRP RWQSLCIAAMTSPTKISAGWKLLSEPELAWERVGSPVNEGATALYNGTRVWVGYSGSY CWTDSYQLGLLRYKGTGDPTEKGNWEKGREPVFSSSEGNWGTGHNAFFTSPDGSETWN VYHATTVRTGNCDGNRYTAAKRVEWRADGTPDLGRAERTGTRLLGPSGE QC762_405570 MPLPDSRSATPSQRSTPCSLRNTLLLSRTPPLHSSPLSRHSTSS TPSTSFNRTYGRQTPQEEPQVLSRAGLSRSWELSRPGSRMTRPSTAMTSRSYITTSPQ PSSTMDGRICTKSPRLSVLERAQEARREEDALLIRAARRESLAHKLVPGRTTADGFWA LTAEHPQRYTAAPRRLPGQDTSSWTIRPQQAGYQSFDVDVLATILEEKHNMDPERASR VMRIWDAVIKCLDEISSSLDASTRHLTCDPTKGMDGEVPPELQSPSSPARPSVRDLLS EHQDISEPAEDTAGPRYPCPFRKRNPVRFNIREHESCAKAPFSFTELRHHLASHHKQT FRPRQCRRCKVQFDSDMALLEHLMLPKDRICDVDSPKNLYDQEDGISPDVEKALHDPK QSSDSWTWESIWNLLFPCDTEIPDSDFHPIVELFEVDHAFDAEEQTLKKNLRDTLRLL LPQAGIDDQYCGFLSGQLDLVFQVHRASVMRQCLEHCGSTSHSAAPPLSSSSSDCTTT STETDGSSYSSARRSSKRRSAGLSLLSPKSLSSTARIKTWKTFASTDEKGRVVDSKVT SMMESFGTTTRDPTSRESLDSAIGMSVTCCDLCNQDPCRCREVIMSCINASPTRFEED RVGYWKMKELQEQEEQELQQQLRRQPVRALRRTGHINGLAKDLQNREPEREQDYWPLG KEALDGTDVQAKGGSDGGRVTPIDRVKDCASEGGHTEHSPQSFKQRVLRERHFSYVRG S QC762_0071460 MKAFLCALVATGAAGALANDVSSSALSHPVVNARGVEDELDKWL SAVQAQPIEALQLCPISCRKAGEDPWYLFPDVSQLTSCNETMLLNMVVQMAEDKETPT VIRACTADYDTSGSVKAAFMPDETRASLCTTANKVLEVTSVHIHHSGKAGDFSVNHLL SAGRQVKSYLASQKPSCTNNAIAFGYSQTSLIGLFAGAEVHQHGVTSDVLDAFLKHAQ DKSISGTTVVQLCGAKNRGADYSIGIVASSAKNLELVQEVVKTWADGKCVSQAGAGVD WMTVTLRVPSPVEELSRNGTISNGNSTSHQSSPRDLVGRSARLSPRADCRFTTVQAGE GCFAVAGRCGISQTQLVSFNRKDLCQSLILGERVCCSSGTLPSTLPPGNSDGTCKTRQ VVLGDDCGSLANKCGISGDDFTKANRQSSLCSTLAEGQHVCCSQGKLPDLRPKKGADG YCAVYQTKRDDNCAKIAASNMLTVTELENFNKNTWGWNGCKLLYPDFNMCVSDGAAPM PAIVPNAMCGPTMNGTVRPPVGTNISTLNPCPLNVCCNIWGQCGMTDDFCVISKSETG APGSSAPGKSGCVSNCGRDIIKGSTPPARFKLGYFEGWNFNRECLTMDASQIDTDTYT HIHFAFPNVTRGDFRIEITDPLVKEQFEAFKQLQGVKKIVSLGGWDFSALPGTFDILR EAAQPRNRDVFKRNIISFINEHNLDGIDLDWEYPGAPDIPDIPADDPVNGLNYYRLLA SIKQEVGTSKTVSFAAPASFWYLRAFPIKQMAEALDYIVFMTYDLHGQWDAGNKWTSP GCPSGSCLRSHVNETETKDALSMITKAGAPSNKILVGVTSYGRSFKMAQAGCDGENCL FTGDNRNSQAAKGRCTAFSGYIANAELDEIIASGRVNKRYTKEGSNIMVYDDTEWVAW MDDEMKAKRTEFYHSHNFLGTTDWAVDLQQWMDGSGSITDDTEDKLIYEELPACTGQY TTLKQLEDRKGSIPSHCLEQYIVDVQVAIFDGALKKYQKLIDDGYDKKFSVWEGYVKE QIPGQIKNFMATDKVDKYFKCGEMKTVTCCDTCTNAWCGAACERFAGCKNGKQMVPRD KCPRVEFDPEPGFNSKHPNTTFTLTDRDGFFKDIFDTWGIEKDWIRFAKRQMSLANGC QFLDADKVRDCINWNSIHFHDFPTMDSGKVKLSNPKDVITEALPGAQDLLNRYRDMKV FAEFEHDIEMSDLTDAGSLPAFAVEEAVAQMDKIVEEAKEIEKRRREEFILNMIMGFL FFIPFIGPTGGGLIATSVRGLLTLIGVAGEVGVAVYSVVKDPDNAFTTVVGTLLGMGF SRGGFRGAANTRRGMSSTEYNSLGNIKPKLDTIGNLRGGMCAL QC762_405550 MKIPFALTLAFFITSVWAGGYQNCLERVWLFQAYLIDQQNPEAD RQIGYQCSNWNNYQSKCIGNWVPCRGRQGRRQCNFDDFQLFLGNLRPGMAGVTQAVTR ADGSLDVERTATNCLWTWRDHGRPPYNFRGYKAVKHGRNNHNDFIYRVGQITNDNYNK PAVRAAAGAQAFRNVDDTLSKITTARVADHGRHLIPAARNALPGVTIWEKNFGPSPYY RDGYVPPAGVDPDERQWKTVDWEGTINSPNNPSDTRTRVRNWLNTYYRGDAANINARD HWQVLRSYKNISDRTNRCRRR QC762_405540 MYPQEPPSSRCVVLAHMSTCLALTVKLGSPSPTARGLAQRLPTE FMKSASTHWTTTTMTTATAATFHPFPRLPSELRARIWELSVEPRIVEVRVVHHDPSPI KVTDPDSWTDAWMKKRLPPVRHLRSHTPVPAQLHACSEAREHLSKYPNGGYQKAFSEI MTTATDGFDPVPEDDPQGERNRYVWFNFGIDVMSVGATDLHDFRAVAHQVRRLRLERE LSSEYFSYTESKLFRKFGNLAEVHLVCLDGVLAGYGVTNDIYFPCGPENVYFVDSEEM GGKVMNSVDLDAMMDGECEELDRTE QC762_405530 MKASWLLSFVGLSLLARRARCTDYPLLPYDPETTTDCADWFNND DGRSCKWVRDYFEATPEEFSRWNPSVGLNCEPWYEWNSYCVITWTKINSTLPATSKTT TTSSSTTTAPILGPSPTAWTDMGCYVEDPELPILDVNFNPNGDSSLSVPKCWQTCYRR FYDYAGVQNGNQCWCGSYVGGEWAANQTSCNSPCTGNPSTFCGGPGFIRIYKAEQNVP PVTTTSTAGTVNSTSTSTSGAKRNLDAAKNMGIF QC762_0071500 MLSSTSTLVLLGGLFSAVAAGKPASVVKARDGLTPSLPYDPNTT SQCFWWADINSATTCDTIVRDNYITLEELRRWNPSLASTSGCTLQVGRSYCVEAIDDL EPSPGPSSTSTSSTTSVSSAFTSSSSSVVVTTSTSSSSTVAPPVTTTKPGNGITTPTP TQATIVDNCDAFHFVTAGQTCEVVASLYRISQDQFKAWNPSVGASCTGLWANAYACVS IIGHEPSPTTPGNGITTPTPTQATIVNNCDEFYFVASGDTCESVAAKHGITQAQFLSW NPSVGSTCTGLWANAYACVSIIGHTPTKPTTTTSAGNGIATPTPIQPNMVKNCDLFYK VKSGDTCAAIAASKGITVAQFTSWNPYVGSGCTLLWLDYYVCISIVGHTPTPVNPGNG IQTPTPYQDGMTSSCKTFHFVQSGQTCQTITQRYGITQANFVRWNPAVRNDCTGMWAN AYVCVAVL QC762_0071510 MADALAVSGLAASIISLGIQVGGGIIKYLDVIKGRDENIACIRR LVDSLTENLRILEACSTFLHPSYPVPTTTLMRNLTNELLAHFTTQGQSSKRQDTIKRS MTYPLERSKLTQLLDLSQALNLAALTLGLQVSDFISSKLADVESNTHANSSKLDAILS QFLALNVSINDGKAALSSAVSSIRDSNHDLGNRIQDSHCQQAANHLQVTDQLLAIKNS QHVYADTFNALKSQATSHHIELIEKSQATAQRQIELGIQLLNEVSQLREITRNFIKDH DSGGYTPSSADTTIYRLLSKPNALRTICDSSLPSRKTHNQVSDGVTSHGSTSNSPGFE EPVSSLLAASLECQCRPRTRRMRKDWQFSHFGSLFKIIDVEESHFRGCSFNKASRLTT RERARRYQIHRLETTLPKGHIDVSYLGLWNWWLQYGEGSLDLVPHGGCQDCTSLEDSG SIGVFGSALAGTSDAV QC762_0071520 MNFLPSWSVSRSVARCLELPWCSPHMGEVLGCNALNEAIITDNV HQVELFATQYPYMLREYNVLGKTPFHLAVGNPECLRLLLAATKETSLFDEIDNHG QC762_405503 MKLTTLVLLATGALALPNPIPAPEAAPEVVNITERDIEPRAAYW TWTPYNGASSCTGTPTAGGVVGYSSSPCRNQYGAISRVKITSDFCTVTAYNSPNCPSR EFGTTYGYGTNICAGGVAYQSFKVNCV QC762_405500 MASASSSASGPVPTGATLDWDWNTVLTAAQSSKLTLLVVAPSIA CFLWFFVAYQTSPLKKYPGPFLAGWTNMWRLSKVYGAEYAQTMKKLHEKYGPIVRIGP NLLDLDFPELSRTIYNTDGKWVKSDFYKNSSSIIDGKITYHMFSETNNVEHARLKRPV VRHYSVPAVLAMEAHMDKVVADLLQHLKKRFVEPRKVCNFGDWLGYYAWDFLGIVTFS TKFGYMDKGYDFDGTLAVADQSIDYLALCGQMPWTDYILDKNPIYPLGPPNISNVTNI AIQKMTARLKGEDKVFNPEKPDFLQYFIESKSTHPEIVDEGKIIGYLLLNLIAGADTT AITLRALFYYTLKDQRVWKKLESEVRSVFKAFEPAAHSKARALPYLDAVVNETLRYHP AVSMIMERIVPEGGLVLPDGSVVPGGQMVGMNPYIVGRNKKVFGENADDFYPDRWLQR DGENDDQYKERMQLWNQAMLQFGGGSRICLGRNLSMMEVYKLVPTLLSTFDIELEDPN EVWWYSSRWFYRTKGVNCTLRPRSD QC762_405490 MTLKGDAVWALGVMWFLCTLVFLLMCLRLYTRIVCLSSYGLDDH IYIVAFIFLLIFTIFTHLSGTVGFGQTMEEIGDMELVVKATLYECIGQGFAIVGMAIA KASLGTFLLRLVTVRWHRIAIWSALGLVSGASIAQVLCFWLSCVPVNYVYDRRIEGGY CPIDTRPTSYLLCTSTIVVDFFFALFPWLIVMPLQMPKREKFTIAGSMSLGLIAAAAG IVRTFEVEGLYTASYLKDSVGLIVWSSAEMAITLICIGIPVCRPLYKRAFRRLLGEST SGYHKQSGGKDGQGSSHALQTIGGGVLGSDGKPISKKLAQSQQVSANKDGRTTVTGQN ESTDDISFTDVKLGVDGPFTRTTVGRGCDGSVGNGNSSDEEILNEYRRSQMDDHNRRV VRVDVETGRVQHGEHSQAHNHNGIMITETYRVERS QC762_0071560 MCEGTIYDFWCPCIFHAPSTSFYLQFDIHPPDFNYTFTRRPTTN PLKAHLSKSSHSIVYSQHCAAYKFCDDYLHSGGFNPGDVLDMGGLCPAGHQVTYEREA FISSRLCDACISGGCEENMEFAGVRTVRRSRYGWRSREEEREGRKRSRSRRGRGVSPA GSVRSFDSTGRGRSPSVGSTGTVKGRDMGVKKRGEAGEGEGKTLGAMDVKSLVDKMVQ TVSALRIGGGAERQDQPRVMPASDLEAMAEESMPTPLPSRHKPSGKNLEDMFDNSGRP EYDSDQDTVVGASKTTENKSKVNGKTIAADEVSGVMQETPTDRSKSRKRRMWTDPRTD EEASRVLRFLRRGKGAAPVETGNSRERSRGQGYERITIE QC762_405480 MGCCPSIKTADNPSDCHPPTNPKLHITKFQLDQSPRTSHFIMSP SAVDTVQQTVEEIKEKVIPVQNKEQVPEVVEDKGVQVQEEELPELYTYHKEPLKLSGA LEVFDSFDVTPVIGREYSNVDLVKLLRAPNSDELLRDLAITISRRGVVFFRKQEGLTD DLQKELVQRLGQLSGKPETSGLHIHPISNAAREHGGKDDEISVISSEQAKKLYADRFA SAWNGGRHRQSGKGQWHSDITFEPIPSDYALLKLTQLPKTGGDTLWASGYELYDRISP KLRGFLDTLTAYYAQPLFTDAAKRNGFSIYSGERGAPENVGDVLEAIHPVIRTNPVTG WKSVFAVGHHVKRIHGLSDEESKHFLDWFVQLIVENHDLQVRFKWKDVNDVAIWDNRS VYHAATPDYVFEDGLGERKGSRAVSLGEKPYYDPQSTSRREALRK QC762_0071580 MIADPRPISPSYKTAEHGWQQSLSMPSRKLLLPSGRFEPLRPVV VAVIDQTRPLSTENMPPA QC762_405470 MGKLSQILFLTASAGRVFASCAYGTHLMPRAEEGEAVPVATFGY TGITGPHNWAALDSPANNVCATGTTQSPIDMVDSVFELIDASALSIEVNDMPEGADFE NLGSTVEVITQGGTLSFDNKTFELQQFHFHLPSEHLDNGTSQAMEMHMVWQSAEGEIA VVGAYINIATGAVASASVKRGLNTRSRLFQRQAEANETAPVAGTDAPTVLLETIFSVV DQISTPGTKVKTPPLVMSEVVDLLKAGQFQAYSGSLTTPPCSEGVNWRVSTSKLSISP SSFIKARDVIGFNSRFPQNTPGQPNILMISALGAAAAAVSAVGAGAA QC762_405460 MLPLQSSPLLSDQDFVFGPGPLSSQGEGIRTLPIPGVLCPTCAA NGSEVWVIPGRQCGYCRTPAPDEDSCDGDHDH QC762_405450 MPEPSALTVRQQQQPWDESPWSSPRTQSPTGLHYHTSKPIPEPF VRMGWQPKTRVPRQYNCREIIKPSRSNKLATPSIWVHTPRCRCAQDEVERERQDEALA NTITTLSTTYRARLKLEAEVSISTVSTKAKKPSHKRSRSSILLTRLLSSLTPSNLGGK QAHHPNIISVQHLADLCNGCSELDAPRVFTYLHGHKIPINSPNHLGFTPLICALRSPL AKTRPKAHLAFVKVLLESGANPNLPSTGPSDPSTPLSVACSLNSLSPEQTEAILKLLL DKGAAADLPIPSPLGGTSTGKTTRQTALHIATLCSNTTALSFLLGYGNANPNPLSTGK NGPSVSPLHWAAHTDTACATVLLEYGADPLARDRQGKTPLHWAAEYGYDVGLMELLMR YMKEEEKVEVVGDVLGKVLKHLENGHGRRGHVAVVGALLRQAGLREGGRGVGRGVRER LERLDGEWGWGPVFGAMVEGCLAGDGERSERNSLSSGLSGETAVVEEGGKKGVEVVVV TPN QC762_405440 MEEYHQPLSTYLPKHPSITGGLVVSAVIIHTSPTNVARTLIIQR ALKDGFPLKWETPGGGVEDFDASILSAAAREVKEETGLDVDLEKDILGTVGGGFTEWE EPKTGLWWRKVVFLVRIVGGEEGLPVVRLEEREHRDWRWATEEEVREGGIEFAYGELA RGILEGFGRVRELGGS QC762_0071640 MKSSLLATAAALWVRHVSGQAAMMRFQCSQLVIERLDPLVNPGA LQSPHLHQIVGGNSFNASMPPGEYDPSTQSTCTTCSFSEDFSNYWTANVYFKAKNGSF KRVPQMVNLGLRGKGGVTVYYIPPYDGKTKVTAFKPGFRMLVGDPSLRNQRGMQKQIC HRCEHNIEQNPFGGAPCTGEDTASFPNKICPGGIRTTITFPTCWDGKNVDSPDHKSHV SYPQTGSFESTGPCPASHPVRLPQLMYEVMWDTRPFNDKSIWPEKGQPLVYSMGDGTG YGQHGDYVFGWKGDSLQRALDARCSGDRCSQLKTQSAEQAVACLKQQTIAEETEGWLS ELPGGMPVTYK QC762_405420 MSSSAARYRALSARDARQDPDHDSDHNDTLSLNESSETVGGNGP LSWLGKATQRVAFWPPPTTSVKSSPLEQDLVRRLDIFLMTFGCISQAHRYLDQTNISS AYVSGMKEDLELYGDELNYFTTFFSISYCLMLIPSQVIITYVRPSYWLPGLEIGWGFV TAFIAFTQNARQVYALRVLLGLFESSAWPGMMTLFMYWYTPMELAKRMGFYHSCQALG SMMSGALQVAILETLEGTWGLRGWRWLFIINGIMTLAIGLAGFFMIPDYPKLPNPGAF WLSADHAAMATERLDRYGRSDTKRITWDSAKRTFSMWIAYFIPALYIATVLAPYGYNY FNLFLKSLKNPDGTPTWTTEEVNAIPIAGGAINIVCVWVWAILSDVFQTRWTLVVAQA IIGLIPCTIMSVWTSHPDTTPLSSAYASYLMTYLSLGTAPLVMSWLSELLPQDSEART LIIGYSIAGVYAVLSWSQVLIWPASQAPYYAYAWQLSIAFWLLVIVMCIALRIAERKL KRPRAHSALLSEDDTSATYRDILES QC762_405410 MNLRLGLGLFIVSCHLVVKMRSTVLATVALSGFVLTLADGKGKG KGKGNDRIRTIYKDVAIIGGGASGSYSAVRLREDYNVSVVVIEKNFNLGGHVNTFIDP VTGRGFDCGVQNWIEIGGARAFFERFGVEMQPNVRSTAETVSIDFTNGEKLTNYSPPS AADRTAALQRYLEVAESFLPVLEPGWWTFPLPQDIPADLLLPFRDFVAKYNLTAAVPM FHATTGFGIHDMMGHLTVWFMRSFNVNLVRVLSGIETSIVPVSRKNQDLYDRILTSLG TDALTSTTVISTEERSSRKGVTLIVRNSATGVKTRIVAKRLLYTAIPSEANTSPLDLD REERSTLGEFNYSASYVGVVSHPSLPLNKSLVNIPASAQPANWPEAIPDYPYNTRFEN YADSSYFRLIAVGDQTFTAAQAKKVIEDSFDKLVEGGVVNQTSPAQRLTFHMFEPHGL VSAYVTKEKLEGGFIQRLNGLQGRRGTWYTGASWGVHLSTSLWIFTDTVLERLVEDLR G QC762_0071670 MKLTAGHALRETFKRVCIVHSAEQVPFGPYCAGVEGHCISAKVE NEMLRKLDGVWSVSKAIQRYAWEHGRLQTKFLAHTPWTFLDVDTGRMPAIRNNVDKEE IGMVNPAPIKGLDIVLGLAAKFPHMKFVTWTSWGSKPRHIERIKAYPNIRIEATTPNT DDIWDRIKILLAPSIWHEAWGLIVTEAQLRGIPVIASNSGGLPEAKVNVPPIIPINPV TGHQRDENGDYIIPDQDIGPWEEALEKLMTDREEYLTLAALSAVKTAGWLKSVGQRTH ERWLQSMM QC762_0071680 MHYPQLQLEGYTPGGGPRMRLLLVQTAHGLTPSSGGYKANVCYA FPEELDKYARRAKAKGVYPGVIGLEPVIVTDGKNQEHKLHINEFTDEWGILNTTIARH EFKAAYPAGEF QC762_405390 MSYTASAKQIGDKVNMSYAGNSKVGFPNIYEDSNQKNIKKSEID EMTRHSGENVKGFMPKDQPGEVNRLYEEKFKRERADALKNDPTLAATLNNNKPSKGAI IDKEIEEEERAMLEKKKGKGMTGESHY QC762_0071700 MSEYWKSTPKYWCKHCSVYVRDTKLERANHESTGKHQGAIKRSL RDLHRSAEQEQREKDRAKREVERLNGVVSASGSGIGGAGAKKHGGSTSSAPPPPAPTG QLSQAERQRQLEQLAELGVNIPTELRKDMAMVGEWSVTSTRVVDDGDSGNKTADDTKD EGRAVGVKRERERTEEEKEQEEALNGLFKKRKRWGVGSKSMPEEEDKELEELLSGTIV KTKKEKDAEVEGEVKGEEGAPEAPVVKKEESQDGPALEAIQDVQDEAPPAMVKQEFGN EEPAVAAVVFKKRKPRNIRQNVTASVQKATAPLQVHDLRHGTFSSSFFETATMRVFRE GRPNPMLAEYLELRKQEYTTLYDRWCNGAQPYDLPPPHWPPAKSMLNRLPHELHLLIF CYLYQADLFHLALTCRYLADLAIPALYSRDVAEFDCLSLRWACTFSFLETLDRALSHG ASVNHRFDHGTAVDCTWVIRDPDFHECMFNTPLKLAICIDDALLVRSLCQRGADVNSP DSYSVNCYAYRLKASYPLHRTMDDTPSLQRGNPRIVQALLEAGADPNLYTGWSWRLYG RYPYNPRHELPLGLAMQSSVPAETVQLLLQHGADPLLKGQYGTCQRIFHLNSRPDVSA PVITTNITRDAYPSGLHGELTPLMAYLASSGGDTWSSDEEKVRLLLQYGAGRDAQRIV AMLASDQKCPWYNMDRTPEVFRIFALSLSQPPGLDMVSFAQRETSPFLAVTQLTDRWI THCSTVYGLGHTAADFLQITSGLLRRMGEACETDGETLFGRPSAAVDTRDLSNNYNST WWTSDLTALRWVCLPFGFLGSSTLVTSLLAMGADMDRRDSEGMTPLHFASMFASGDRV RPLVEFLGGPEVSGLAIDARDVVGWTPLHFACFFGLSTQLDEQVRAARLLLDNGADIH AQTIGGWAPIALAVKNANLGLVRLLLERGARRRDMFGPPGYESLRAKMFFCRCLGLSS SGSSHWRASRLNPRRGSSLTRRVNELRRFAAAADGGDEEYCTPQVPLLLTLPRHAPSN SAYIANLRAANIFYEHETLSLLNPFSRNSPALNGWAELIRTNVDEYADQVLRNLARNN TTSAIRAQFFSRDGMYYDRAPKLAVQCVGRCYIRDGDDDED QC762_405360 MTAIHVRCRLCVCGYQKDPHRSFDPRATQSSGNVPHLRPPGRYG TYGGVRRGVRGHGMTPSIPSTIYREVHSTWEVFLSGFRLSLDPTNFFPLPHTHPNRQP SFPPPPPPQGPSVKPPLQTSGNLYSEATHLSDHSIRLVPTQIIARMKFHVITLLAAIL GPAVVVAQGVTEKIAPTATGAAGCEPTIDGKFEIAVVDAGSVQKRDFIEHKKRTACTG NGVLLAELNDGVITDSAGRTGYIASNYQFQFDDPPQAGAIYTAGFSHCPNGSLALGDS AVWYQCRSGNFYNLYDRYWAEQCSPVEILVLPCGGAGAVDNGQRTVGTEMATTTVVIP LADGQPQVVTTTVPIPICQIGDGQIQGHTTPCAALTVPPSSAASVNIPPVSQFSDGQI QVTQKPSPTPSAAGGPLPPTSNETLQTPGVVPTEPAVVPTRAPGINSAGRLQVASALL VGVVGIFCLL QC762_405350 MAEVKPPVQYASAPAAAPSGSQEHYVQHTPVWIVVARGAQVFFS LIILGMAGAVIHDVMIEELAFGIACVVFTWIVIGYVLITEKVSGAREAYNIWAVLSLD FLMAVLWLAALGSIAARRARFVVPVSTANCRDDGSAVSSKTCDVYPVKRALEKRGAVL TQTGLGLTSGAAGLSALMWLLFIATLVYHGHTFRLWHQANKKPADAELNAQGAPMLAT QQQAAPAPGPVYNSQQQYQPQTQFPQQYPPQQQQQQQQQQQQPQQQPQQQQQYTAQPP QQYQQPQAPFQPSPVNTPSPYQQQYQTPQPTGTPQPYAYPQQQQQQQQPHPYPQAAEA PGHSYYPPQQ QC762_405340 MTRMIWRDKSPNTSEVDQCSVGLMVNYLRGLLVAGRRDVLFCEG IYHPAFPVWKDDVLSGSSARMFVSNEISK QC762_405330 MSKALLITGATGKQGGAVIDALIDRDASGSSPFTILAVTRHPDS ASAKRLVSRSPNIKLVKGDLDDVPALFEEALRANDNKPIWGVYSVQVSMGPGVTVESE VKQGTALIDSALEAGVEHFVYSSVERGGDEKSWDNPTPIPHFQSKQMIETYLRDAQEG KPGAAMGWTILRPVAFMDNLAPGFPTKVFMAALRNWLGDNGKPLQWIATADIGVFAAM AFEDPEKWNRKAVGLAGDELTVEQLGRAFTKATGQPAPITYWFLGSALTYAVKEMGTM IAWFASDGYAADIEARRRDYPGLMTMEQWLQKKSGWAQSK QC762_405325 MSSSRLHTIHALLDGYSSLSVDKMTERLSNDFTHHVLPASLDMP LRNRDEFAHHAGEIFSIFHTFHMVPLTMFEDDRQNMVVINARMEGILKNGAEWVNECV LMVRLSRDGQQVVAIEEFVDSFKAVEMKKRHAPTMNVRSTDMAGSAKEALFRSVALST QC762_405320 MVSLPTPDAIAGTTIAFSFILLGNAITQSFMGVPALLIDFPRPS SPDHPRAARLLGRQWPVFWQVGNVFFRPISTLGILGYGYTAFSAWRYASAMAAENGWH TALVPAHGQVERGNWKIWGVCALCHLVTVVHSALNMQPLNAKLEGLSAVEVVDGGNKR TDGIVEGAGSKGKGGVDVSLAEYYARRWIKLNLVRALMPLVAGSLGLWQSLGAKEVPV KGVIV QC762_405310 MQLVTAGLLALVLLVATVVHLIKEFQKINDPNGPPGPTQLPYIG RIHDLPINFMWLKFKEWADKHGAGGFYRTQMLGVNVLVITDETVAEDLLVKRAKYNSD RPNIQSLFDSKSSEGSMEYLPLMGRNKYWARQRKLTHAYITEATNVKYNGVMYHEAKR WMANLIQNPDNFQASLEDMTAKVMCQLTWDDPSLSTYCTKSAWGLLRQMSPAGPITNV LTPLWHLPMFMNPWKKAERKRHDEQSAWWQERYLTTRDKMAQGGRVRNCWTRQFIEKT SLKTNISGDYEASCVIGMLALVGIFTVAGPLSYWLVTMVHYPEWQAAVQKEIDEKCEG RMPTLEDAPNLPILRACIKETMRWKPNVPTGVAHETEADDVYNGYFIPKGTRLLPLDW AFLRNPKKYPDPDNFRPERWLEPGWPTFQAPLTQFPTIKGMTSFGWGQRQCLGMSLTQ DELIVACGALAWTFNLKPKHNPATGMNHPVPLDKSNSLLIIKPDPFQMSFEPRSEKRK EEALRLWAESDARDRAERAKFFQEARVAQQTAIVPDNGLFDVLAEKKDEASIQIRRVN SYGPQV QC762_405300 MPFIDDGESRPVGSYPNSGIDVLIVGTGLAGLTAAIECVRKGHN VRVLERNDDINTAGDMYFMGLSATKFFKHWPEMAKEFDEISLHNCWIETFKHDGDQMI TPLKVSDRLRDAGLDPDTPPGTFQMRPLVYKMYVRQVEKLGVKIQFGKRVVEYWEDES RGKAGVVTDKGERYEADVVIAADGVGSKSQKLVGGQVRAMKSGRAMWRAAFPIHHVDK NPEVKEFFKMIKGESGEEPIVRTWLGPGTYAMTLTRPDTMIWVMNHDVTGSEKESWNH TIDAQEVLDNMDKGVGPKPWAPMFKELIKLTPPNTIVNFELFWRNPQPKWASPGARVI NIGDAAHSFLPASGNGATQAIEDAISLASCLQIGGKENIPQSVRAHVRMRFIRNACAQ KLGFSNAELLQDTDWTKVKLDPRVAQPKLPSWVWGHDPEKYAYEVYDRVVESMRRGVP FDEDDSIPPNYPAGYKYEPWSIEDIMEDMKNGKSIELGSGNWD QC762_0071790 MKFQVLLSLVTVAAGIPSAQKLDRPVKPPLKPLVIDSLSTFAPS GRPGSSIYSFFNVSFTDIEFNNTTVQCGTRWTFGEGEDIAWTRKNTDCLIKPKSKRVG EWSFQLLKPTASGEGASLLNNFMLHLRHDWISGVHVATQKFNFDGERNIGGMCSASGT CQFGLWEGVERPYLVPQFRLER QC762_405290 MAPHSEPEHRDEVTAHPKGQDAPTVRMMVLETDEPHKETKKIPG KTTFGEILHNHFTNAGKAHDPPLGIETDRWFVIPEKGGTMPKYEDFEGCDALLITGSV YDAHGDDPWILDLLKLLRELWQNHPKMHFSGVCFGHQLLCRLLGAEVRPSPKGDWELG HSKIELTPIGQKLFRTDDDHVHLHQMHQDHVVAPPTVESAQGLLPKGTRVHVWGKSDH TEVQGVYIKGKMFTTQAHLAFDEEMVKRQIEMRVEAGSIDDQEAADQAGDTADLEHDG EVAAGAILRFFHDEDEDVD QC762_405280 MPKSSVAPRASQECSKRSIAEYLLRSTSTVLEAIFQESGNVGAS IGLLEDGNYTFHDIGTRALDEDQPPTKNSRYLISSMTKPFMGLAISILVADGRHGICF ETPVKDILPELEGRTALLSDQMEPELTIGHLLAHRSEFLRYTNLWESPEGHIPWTTID PVLSLLRHMPRSSQYTEKTFDNSRNYSNECFALLAEVVERTARMPWGEFVTERILQPL HLTSTFTDVPQDHLQDRNSYVAYHSVSVDGLMAGGHQLWQRNCMTRPKAEPLLIEPSQ VSCVNRGSKPSPLGAAAGMVSSTKDLLKFFGYLLEVFGSLQGQRYDLGHKISEVERGM ITWWRHILSHTQSENSIYAGGWNTTNISWNPCDLKHRWPGSDGDNARRLQSVIRSSHN DGALTANRLWYFFQQLSIGGGVGVGKKLALYHGGNMVGATSSCFLIPSLKQAVVVLCN TRGFYLDAANIACMFLADALARKATDPRALQTLCANLDTVVRHIKGSYIRDLALYETR LEREYSQLARAEDFAGCVGRFRLVPGVFAEIQGHAGGSLRFQLYGKGFEYPLRARHDC CAVSSEVTMTFAMPMRDLVPLGVGGNNRLNIRDFELVFRGRRGSGRPFEEFVWVFDRN GVYEDGDESAFAWKRVA QC762_405270 MSLQIPHHQKANGNTGATKAVILVGGASRGTRFRPLSLDVPKPL FDVAGHPIIWHCLTAISKVPSIHEVYLIGYYEEHVFRDFIKDSSSEFPDLSIKYLREY QALGTAGGLYHFRDAILKGRPENIFVLNSDVCCSFPLNEMLQLTHERRAEAVILGTRV SEDAASNFGCIVSDSHTRRVLHYVEKPESYISNLINCGVYLFRADVLFPSIRTAIQRR ADRPRLGSYRSSENLASSYMFDEEDTQKNEVIRLEQDILGEMADTNLFFVYETKDFWR QIKTAGSAIPANALYLQKAQQSGSSELAAPSVNIKAPVFIHPTANVHPTAVLGPNVSI GPRVTIGPGVRIKESIVLEDAEVKHDACILYSIIGWGSRVGAWARVEGTPTPVTSHNT SIIKNGVKVQAITILGKECGVGDEVRVQNCICLPFKDLKRDVSNEVIM QC762_405260 MPPRVKPEPGVAVANTTATRSFAATNSAQFGSPTRQARSTAPSV TDTRFMAEVEDQYEDEDNEIIWMETRAKSITSAGMKQEPGHEPMTLMSLASQSMGGSF VGMGPNLALKDFGQGFLKDINDALGELQSRGIQHVASLPELVLVGDQSSGKSSLMSGI AGLSLPRSSGTCTRCPIHIRISRADEWSCRVFLNLDYGFKLPDHAITEQDVTATNPFP PWVKLDPSRTRRHEFKTVRDRFDSEEIETVLRCAQVAILNPSTPYQAFIPKPRGGEGP DSQQLTQHELISRKEEASEAQFSPNTVALEIKGPDLADLNFYDLPGVFNTARRTEDAY LERVVQNLTKLYIKREKAIILWAVPMNLDTENSLALRLIRESRAEHRCVGVITKADLL PRDDQATERWLGILKGRGHRIGLGYFITSRQGQDLEEQTKREEAFFNRTAEGHWPDVF DRYQERCGIEKLKAFLSLKLGEEFSKVLPEVKRKVLERLNFIAEQLQLYPGPPANPDL EIYKALTMFSSLLKKRVIDQEFMSTWDTACSTRFTRAILELKPKYNVRLFAKSSAASA PVLINLDSPTREGSPTPTPSGRKRPAPAETPSRRQRIKAEDAEGRSYPSTPTNMRTTM TPTKGRPSKTLMEIRRMIQRNAIPGQPGLVSSNVYEPLFTEAAKAWKGPLQTFLNQTF NFLSKEIQEILDSTFAALKNRAIYKLSTEHMQAFVEMHKKELQAQLALIYELEGTRLF TRNDDALHRYKAEELRTLTRHRNHYRIAAHKGDEPASSLPKIEELSEEELAQETAKME KDLRQMGPEPFQQELDVAAYTRGYYVLAAHRFTDIVCMHAMSGLFPRVASVIETYLQD KLGLTGNRTTPEMLDQLMEEEGRIGQIRRDLCAEKETLDGAMAIIVNLENRDTTPSQA ESNVTILNDLTSGQAAGSPSGTVYGDA QC762_405250 MSYTSRVGLNHIDVVGPLLPVTGSFLLPFTAYFSLLSTRVSMSR IASNCLLGSAPPSTEPNAAAKQHELQVASRAQGNFAEYVPLALLLAGVAELNGAKKNV LTSALGALFVARVLHVEMGLRRPESTGVGRPVGYFGTLGVMGFLAGYAGFLVKDYWGF QC762_405240 MSSPAASNGMDVRRPRSSHKVSMTWEEDEVTSRRRMQFAEECIE TKTVTTTTTTKRSYPSLFVREPRSLQSLDSKEYPLAARQTPPELRKLTFDVDDHDIEG WAEEDSINTQVRRSQSIDYANIVKSEPGVESLLDISTVRSQDVTGSSPRRSRKSAAHT ASPSNTPAAGRSSQRAHKHAGLPNAASDKLRRAVAHGSRANRGLRHPSAFLATPDTSE LAALGMDRPSRLRALNTDNIESGTSQSTSLFDTGSPAGSESQTIFSNVATPPITDADL EPYEDAINPFQSRGNINNVAVQDASLPSPRLSPTLAAAQPQTDVPDEDAAPDADASFS SEVTRTDRRRWIDDTQITEGDSMAMSPMQFSSGGQSQVMGSQASQFVDPRTLIEGFEV LPNEFKTWIMYQFLRRCSRKTLRVVADVVNPALKCDFLRQLPLELSLHILSYLDHRDL CRVAQVSKHWRHIADSNETGWKELFDHDGFTLSSGELDRAIKQGWGWQDPVGYDGCEL DLSHQNRLTLSENELVRSVVKTEKQETPVQKSTRTSKRKRGLNHIGVDRAKRRAGAQE FRDDRTSPVPKTHKSEGPISAANAAAIAVPDPQIGLPSLRHLHLFKSLYRRHYMIKNS WMNGKVRPEHVAFAAHPRHVITCLQFDEDKIITGSDDTLIHVYDTKTGELRTKLEGHE GGVWALQYEGNTLVSGSTDRSVRVWDIKKGICTQTFYGHTSTVRCLQILMPAETGAME NGKPVMMPQKPLIITGSRDSQLRIWRLPEAGSRRYIQTGPPASDDQCPYFIRILGGHT HSVRAISAHADTLVSGSYDSTVRVWKISTGEQLHVLQGHSQKVYSVVLDHKRNRCISG SMDSMVKIWDLATGACLHTLEGHSLLVGLLDLRDDWLVSAAADSTLRIWDPESGRCKR TLVAHTGAITCFQHDGAKVISGSEKNVKMWSIDNGDLVQDLLTDLSGVWQVKFDDRRC VAAVQRGNLTYIEILDFGAVRDGRPPEELGRRKLLNEGEVQRLLAEEAA QC762_0071860 MSFSQLLLATLVALAIPVQAIPHLQNHDTACCPCPASTSAITII IPGETITVTLAPPPAQTVTVILSTPPTEETILQTVTVIPRPSLVAEPPIIYSVPPLVQ TVTFSSPNPPPRVVRLPSTVTLTQYPPEPTTTTSSPPIESTSSQEYVTVTVTANTQPS SSTSEPEELLPPLTLTPYSPSKPITSSSTTTIPQTFIFTPYNPPQPSTSTSISTHTST STLPSSPPTLPVSDESDSDSDSDSDSDSDSDSEDEKEEDIQSAGCTTGTSTILSTTRV TVYNTVTRTVYPLSSLQTISSTVTSING QC762_405230 MAPPRPNSPATGNGFLASVMRFWNASHLQRTYASDYIGLVILLS SYILIQFFVEPFHRMFSLNDLRISFPYAEVERVPLTHDFIYALFLPLILICLSNLLSS ASSHKHHVTLLGLAISLILTSLLTDIIKNAVGRPRPDLLARCAPIPDTPLDKLVDISV CTETGHHKLHDGWRSFPSGHSSFSFAGLGYLSLFLAGQTRIFAHGPGSIAEHTEKVVR GDLLKALFCLAPLVGATMIAISRCQDYRHDVYDVTIGGLLGWTVAYWSYRRYWPRLSS AKCDEPYAGPPGAAEDGPGYGRLRDEEEGAGGRNVGYQLRELNSR QC762_405220 MSRRYDSRTTIFSPDGRLYQVEYALEAISHAGTAIGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGWDPQRQFQLYLSNPSGNYGGWK ATSSGANHASAQSLLKQDYKEDCTLEEACGMAVKVLSKTMDSTKLGSEKIEFATVGQT KDGKIYHRLWSADEIDALLKKHDLAKDETKEE QC762_405210 MSDPEPRRSVRATKGQHKAHEQLDQLVEPPKKRTGGSKKGKKAA PEPEEPEEEIIRCVCGATEQDEDSGEAWIACDTCTAWQHNICMGVSQFAEDIPKNYFC EQCKPENHKELLASMERGEKLWETRRKNYEEEKTKKKKGTKKGKKRTSDLKEEASRTP QQSPPPPLPPAPEPKKEKETKASGQKRKTADGAQEKENKKLRKVTETQSVPVSTPAYT PPADLPSKATELPDSRQGVAKALVKSLVHSLGAAEKKGVVPSDGMPVSDRAERFALQI ERAVYDTHPTQDSYRNQGRTLVHNLKSNLELGSRLLEGTLTPPMLAAMSTEELASKEL QDQTAEMKARAEKQAIKITEDVPRIRRTHKGDEVIGDDNYAMTTEDIPSAPVRRPSAP KSEPRESSEASRARSASRGLAVDTQQSPSRADFDLNKVYSSVKSPAVSQRPAAPLAAP PATGPGVDPDVDRMLDDDGSQSPPYSPKEETDPDVVWRGNLVMNTIAEFQVTAKHIGG ANPGESVGLTWDKVIPKNITVCGRIDEQAANVYLCGMRYSQVSEVIVVNLEPTSPQGK AGMQKLVEYFVNKKRYGVVDRKGLANVRDSYLVPVLPGAGGHPEFMMNLEDNFIPQSR TEPMLLAVFVYRWEDGKVQPKAPPVLTQAPVRHDYAQSPDTPTPTSAGFPLANRQSST APAYSPTVNSGAFPNYPTPPRNSATPLQQQVVAPPPQPQEPALSPEQAHRAESQRRGE AEAREVLGQYMRSPTVAFLLPQAFAMTRSEWELIRRVYEREPKAREDLPYLSNRYNHG GIATVGGSGGGEDIGDAQHCS QC762_405200 MAEEEDTNRVTSTWPDPPPFWKDFTPENISRYDTLKSDYATAHS TPDANSITRLPSIPEDLINLQPPPEPTDGKWRLYSEAQALTDTLQSLTEAGVTPLLPA SINPSSQDSKHLDRSFELKKLAKSILLNYLELVGVMSHNPAHGAAKIQDLKTLILNFH HTLNEYRPHQAREQLIQIMQDQLDTKRAETAAIRGVVDKARRMIEGLGSLELPRLDDE VVTMGSIGGRETEERRRVAAERCTVGSVELEFA QC762_405190 MTDVRALLRQQRAARRIEHPHASYSDSGKLSCAICLEPIKAESL WEGHLRSAGHRERVQVLFNTDKNSNGPSYAGFVDQASAAAGPSTNKRKHSDSDDEMGD TFDEDAARRKKSRPDVVTTSDGNQRAAAERSKPSTPPLTRRTSGTPTFGVEMQMPSRP ATPVAVREDREKNSSGSAGTPMSITPKPASQNRSPLIPHEPQQKQPPKTSTPAPVADD LWAEFEADLLQEKKKPAAVAALEDGDAVISAPVMTNEEIAAKSEEEERQKRRALADIE LEDEKEEATRALETEFEVMEELEARARKLRERREALRVQGGGGSAAPVAATAKGPALG KENAAVAEDEDDEDDDEDEDDWDGFRFRA QC762_405180 MASLPANVLGRGAHDGMLPEHHHYLKPDTESEGGGLDSLVVVAT SQLAEKTSQLTLSTPRSEELGSGTKDGNPSVTHLGHGNMGSNGFLPDGQMDDDTSSCW PMVEFSQLPNEVLLHILSYLEVCDLLATSRTSHHLRTLSLSPHLHRLRLRRARTTLPT QLASPSRPTLTDLIRRSIFLTNTTIVSRRLARSFVSIRLSRQLAARPPPEVLVERCVL PAECLPGKKAAVAPALVARKRAVERERVKDRLRGFVGSVWGGKVREKEEGVKKWEERS GVGRVWRLRRFWEGVSKEQG QC762_405170 MGKGYTVNKNPKHRHLGQPATQNSNNIIIGSSTKEKSGRALQAS ACPQQPASTIKSSSAVWPSTPITTLPRPTRQTHCCRDPYRTAYLTLLPNKMCYFEQTR WNCGYWKWGTFRQQCEKEYRTGETCGLKLVYSWQPAQGPCKICEQKCKKERRIDKMLN DIQRWQREGNRRATIEKTMRDVNDIQLQINELLANHVERERTL QC762_0071940 MLRAFCAGHVASRWGLARYKNPQPAPAQQATPTCTSILFFFATM DPKSPTPLATVPPSIGQPGCLVVPLPSAGAHCGSTSDVLREYLLEMGSIQHVQQRADP QC762_405160 MGNCASCLGSRRRDDYDEDDEAQYLFDDPNNLQYGSFEQQQMMW PEDPQEVQREIEALQRVVARTSDSMVDIYDIAPSNHRTASPSAAPDSPEMPYAYPVAA PDANLVRYHNLLSKLSSHDDLAAVARVDWGTPDDDTIEMQQNTAISIKLEGATEPLVG NFADAAAAMR QC762_405150 MVDRTIYILQYVLQVSEDGSLLRLVPSREMSDQSATYLFRPARQ ALVPLSPSPSSSSMFSSTPSVTRTTASIASSTSLQPQRRQAAGTCRADESTCPQAGWC CNKDETCFLEAGAFFCCPTGAGKGGCVRVCHSGDFQCGGTTPDTTGICCANGQTCIGG DTPLPFCADTGASSSTRKTSTSLTTSQTSTSSHSGDSHTSSTTTSLQFPTPSPNNSPS FSTYPTHTISSIPSPSTTEAPSSNSGISLAAQITAIIVPLVIITLIISCIFRCRRKKQ RGTRIQSTDFTEQQNTSTGTTGTGISHNADGLSFYSAYSSYPKTPPPPPPTFSAGRLY GREAKEEDGEVMSSHERYMMESRMFRTPTPQSPVGQGAGRGL QC762_405148 MVLSTKEPLPPFPPSPPATEPDNTTSSTADNSVVPSAPASHFKL LPGKIRNMIYRYCLVSDRTIVPRIPESEIPSHSLRQPRNGTSGAFFELALGTDKEIFG EVLSLFYGENKFGLSSPYHKCWVNRVGKRSAGCIRAVVIHCEGNANHAKSYLTEMQTA LVKRCPNLNSIEHNCDWPIPADFFFTRIMASHMAMTWRRFKDLEMIGLQHYYMPARVQ DNSPLWELLAKLCKQSKTRAVAMQRAGIFVTDRAVGEWVENKIEDKIKDKIEDKGKDK GKRKGKRKGKVVRASHLNVDRRD QC762_405145 MLKAVFFQLAAVCLGVLASDCEPYSEVPIWGTVESSTAPRTTSL PTTTKSYSVEPLNTWTAESTSSLWGFGVYKTTLEAKIVVRADAGVVGSHVSERDLSTT DATPIQSGPGKCNGKTRRFNCISPGDKWQECSSNKWSDVIPMGKLGGVTTTCTNYGIH AFLKLTHLPGVAMDTPVMTGPCNPPDKRSTSNTKRDTLAGLYNCIWPGLEWQTCSDDN TWTNPAPMLGGLHCISYGVNDYMDTDDIPFI QC762_405140 MATPLFAPFLILLLFSPASAHAQQIGTLTPELHPRFPTQQCTSS GICTTKQTSLVTDALSRHFHSISDPSVSCASADFLSNPSLCDPDDPASCAANCALEGI EYGGIGVSAVGSAVTLRQYLFDGAEYRAVSPRVYLLAEDGENYEPLTLLGQELAVDVD VSGLPCGMNSAVYLSEMDLSGSRSESNPAGAGYGTGYCDAQCFRTAPWINGLVSLRAC GFVIKKPNLNSSGACCNEMDIWEANSRANSFTPHTCSSPGSFLCSSEAECGKGAPGVC DKDGCGLNTFNLGSPSFYGLGLDIDSSKPFTIVTQFLTNDAGELSEIKRLYIQNGQVT PNTAETTDSRFDGISYEGSITEDFCAAKNSSDYLRLGGMKGMGEALARGMVLVFSLWN SEGDFMSWLDGLPSNGPCNATEGDPALIRAQVPDVSVTFSNVRWGEIGSTFSMSGGVK EEGVVTGPDIVTDVAVSVENRGTRVNVVTGPVLGLAVIFGFMASA QC762_405130 MARLSSLFVAILTLTPTAYSRHLRASKVFLDGRQVQNEYDYVII GGGTAGLTVADRLTEDGKTTVLVIEYGVLSEAASLTTVAGGFSGMSDSQFMYDIRSVP QVNLRNRVISVLAGKVVGGSSAVNAMMTIRGTAEDYDRWGAFFRKNSHWTWEGLLPYF KKALNFVPPNADITRTANITYDTSFWGNTSGVYAGWPSYQFPATTAQMEAFKGLPGVE IASDSGSGVPGVYWYPTFMDPKTVLRSFSKTGHYDNVKRANYHLVTQSKVTKIVLDGT TATGVSFVPAPARGQPGNTAAPVTTVTARKEVILAAGGVHSPQVLQVSGIGPKKVLSA AGIDTIVDLPGVGQNFQDHGMISASFQFARIPQSARPSSDDLRTNRTLSTWSSQVWAA NRTGPNSIATGNSAAWLSFPVISPRSAKLSADLAAQNHAAYLPTGSDPTVAAGYRAQM LSYASALSNNNTAFYNLVLQGGSASGLLVDLHPLSRGTVNVNPANPHNTEPQVDYRAL ANPLDATIMGDIVRFTRKYYLDNPKTKDWGGREVSPGASVTTDEQLATFLSSSLSPSV YHPAGTCAMMPLELGGVVDEELKVYGVKNLRVVDASVIPTLPGANTCQTVYAIAEKAA DLIRYGAPKV QC762_405120 MAEDTHLPYPTTTTASTATSNLKNDPPTGLNSSSTSTTVCEGAV TATTETHHGVGAHPEDPRGLPVASFEEYPGLPRLNYRILDYKLKLSIIVALLVIESSL LPIILYYGISASTSLRPGLVFAIVTSFFGIVTGIEFGLRMLKLILKGDQYRPPGGTKW SFDFTHHTLSFGYTVMSGILIGGSIPHDPPVKVLAIPVSLFLIQMGVQLTWAGWMNAT GRKAPFKISSVSKGERVPPLVLTIIEDIVGVDGGAGVEYRRAVFARYAASKRFRRMIA VQNWFWAVGSLVFGIGTLVTIWCVHYYIAYGIGWATPLVFTIVWTWISVEWVRRDLRE EKRLWKEEHGQGAEMQQTQPPEPEVQQQETK QC762_405110 MSPGSFKKPLLALNRLIGHQSAKNHMTKPKIGNIAALDSEREEK KLKKALEQRVKQDEKEEERRSFQQRRKEEEERALSTDPPEIAARYGTKTSDVLVETDS VQRLAANPDNAGKQIEFIARIHHTRSLSSKLAFIVFREQIETIQGVVAYKEGHITENF VRWAEHLMTESHVHVKGTLQRPPEEVKGCSIHDLEVLVESMHLVVPVKEHLPVDVFSI DHVEMDEESHQLESLASTRVRVANRLAYLRTPTAQSIFRINSGICSIFRSVLEGHGFI EIHTPKLMPAATESGAEVFKVNYFGRTAFLAQSPQLSKQLSISADFGRVFEIGPVFRA EDSNTHRHLTEYTGLDLEMAINSDYHEALNIIDDMMKSIFKGIYTRFRREIETIKTRF PHEDLVWLEKTPVFTFKEAIALLNESGWTDDHGKPASELEDLSTRAEIRLGEVIKKKY NTDYYIIDKFPASVRPFYTHPDEEDPRFTNSFDIFLRGQEITTGGQRIHKPDVLVERM KKAGIEPFGMQEYLQGFEYGVLPHAGCGIGLERIIFLMLSLGDIRNASLFPRDPKSLP EQKAEVVRLPHPEADTIRYAYDFEHGYPNLEMPTVEKLIANYGDATNTSWLDDRYHVW RHEPTGAAIGYAEENGYALVMGNPLCDPRQYNIVIRDFLKHMRKQKDLRPLWLLVSAE IEEILGSKLGWRTLSCVAEERVTIADAKKVAKKERQAEDAGVIIKEQPIDEPVPEELR KRIDKRIEDWKAGRTGRQVHITEVRPWVDMEHRRYLWAETKEGEIAAFCVLHKLSPQN GYQIKFALDFPDSPNGTIEALISASIQMLAKAGIHHVTFGAGALPEMVTGGNLDGIRA KILSKTYRTVAQQLRLVQKSEFREKFGTKNDLVYICYPFMGLGVSGTRTLIKFFEDEM QC762_405100 MSKIPTVDGIPIPNINLTGTPTQTPQPTEEDKKPLETAKDAVGS PPSRTWLKISANWWRSLQYIGMSLHFLANPKPPSPAFTHTIPSTISDKKGNLTLHFYT PEGYDKRKKTNQLFPAVINFHGGGFTIGAPTDDARFARFVLEECKAVYVSVEYRLSPE YPFPVAVQDGADALLYLIRHGPELYIDPHKLSTSGFSAGGNIAITSTICLSEHLKTLS APVPPHNIRAIATWYPICDYTESREVKRARCVRPDQTLPANLTSLFDASYLYPSGVSL ASPILSPTKASDTLLKEAIPETVIFYTCEWDMLQYEGEELAKRLGRAPINKTVKHKMI PGVPHAWDKSPDPTKPAAGSEELYQECCVYLKEVFKGN QC762_0072050 MNLLLLLLIPATVTLSTASTFPPTPTSTPNTTPIPRPLRYEIGC KDTILDIPSMIVAARSLIGYCRGIVTPWTKMSWVYNNTRAYICDSDQRRPQGCLWDQV YDAWVDIGVNCGFGRAGFTYEQQYEKTWGFDDVNAKWCGTIDPY QC762_405090 MATVHNTATPAAATAATDNNNNNNNKNTNSLEYDLLIITDATAS MTTFLVSLHSSLQSIIRISTLTGAFSRIGVLAYRDHDCVEWSAEKHAYVNDHVRVTEF SGWFGQDQDKKDLLGWVQRLKVQGGYSTPEAGKTGAHWACEVMGRDAREGVKRETVVI WYADESPHLRILDNKAWELEQAALGKVEGGEKFKDWVSACEQLRRSGAQVFCVTARTF KNKCRVDQSRAGLGVAAMYSFLSQVTGGRCFSFDTLSPRAEDISQLTVGILLGWLGAV TEKKGQGGDRKLVYLNQYGTLKGIETVESETDINGNRWLPVSANKDVTEALRCNLTNA WVSESDIAKIVDVRNGGAVQDFAKRYMDDEEYRTLVCAQLQEIIETDVTVMALNPVFG TLWRSVCNDRSNPVRDGLITKFGFHVDRIPDAEQKSRMKNWLEESYDMKGEIVSIIRE VGDGEKYPCVFLDPTLRFAGKSENDDDSRDMVFTRDELLEIGRSCDYRILRRLGKVLT RLTFVASKDDLPAHVQDLGEAELPRIPLALAKAERKRMFWRILLHTVLPGTMLALRPA ALVAALTLRMGIKALEEAAFTELSLMKDNWNTLDIPETWNSNCLNLLLEANKKRPGAV LSKEDAELFEGLVSYKLLEMNLDTIVPTKIGWTPEKARAHMGPVAVCKSCQFPRSVTI MGDEGICGLCHSAREDTDRGRAHRMVHDNISKDDNGATEISWVECSMNDCRAQYVVYF PNQLNVRPKCWFCRQRSFVSKSDSKYDQLTTAPCVSCSICANRVIWPAEYRPDGFDES SFICAPCEGGKKNRIEEEELSIRTLANENGKGWLVKNDDDMIPANVVFGGRSVFFIVS NLAHGREHFADKVQVLPASDKVALKARGKKVHNVDELVKAVKGWVDSRRVQAGTCSLC FSDHKKKDLRLACGRKGCGELICGDCADSWYGINRVGKIINVAALSCPFCRRQPSTKV KLPEGVRYLEGLKNAVEEAGSWIFAWCSSCSSAKRYMERVCAAGAPVDVENWKCEDCT LTAEVFDFKPCPGCGVGTQKTSGCDHMTCVVSGCNTHWCFKCGEEVDRHEIYNHMSEE HGGWYNGREYEDYDDEEDDEVMEDVVERVAAVAID QC762_405080 MADSDDSKSVLPGIPGYDPDNLQPWTLATVVSVTVLSTVSVLLR LWARYIKAQKLWWDDWMILFSQLWNFVTVGFIFAMYSAGMGIHADKVPMEKIVLMAKF LVVAEILYAFNLVFTKMAILFMYYRIFRFPYFKKMAWGISAFIIAWVITITFLFIFIC VPVEKLWYPHLPGRCINQVGTWIANAISTIVSDIAILVLPIPQVWKLQLRQSEKIGVT VAFCLGFFVVFASAYRTTVLFTYTNDDPSYSLAPTVGWTAIEMSAGIISANLPTLAPI MSLILTRMGISGTLITGGSGSGSKNGFSSKNNFGGTGGGNMKSTGSVSDRTESGGELR KDGDRAFYRLPDDSNISETALDTGRTATLTRDDTGLRPDHGYAYTVTSRPGKQGDSES MSGDEVPLKGIRVHTDFKQSAD QC762_0072080 MSEQSFGLADVDGKLQIVATGRDTAGCWVTVSESDETWNIGAAA ATRQLLDNTPVWKARRLDAELMVENEYLPRLWQSHLIPSLGHLPVANLGIGVKLSPRV EALRR QC762_405070 MNALLQVRDTLTELNIGTSNGSVDGWPGTGPVPTKVVGAMALGT FDQLKTLAIPLAFLVRVESTRDCQRSRNLGYLSPMQSRNVGAGREIYWWAMAMYTITG RGCPGTREPSCASLFVGCQQCQKPNQNFESFSSIWGTPKHRKIFSSMMLDPSQYRWDG SSRAWLVDKVLTPSLSMSIEMHTNSCMILGLMRKMDTSFQFQDPIPRANCEDFEPNIG SGVGVKCNGEVADPSTLERIISRLVDTGGTWTRRATENGGQ QC762_0072100 MFYQPGKTHHNLPFDPFKACVVPRPIGWISTTSPLTPASPSTQP THNLAPFSQFTNLSFDPPYVMFSANQTPEGFKKDTVRNVESTAKFVWNLATWDLREKV NASAQQFPFEVDEFEHVGLEKEFSSCLPGDVQNPVPMVRESPVKFECSYHSTLRLPGA SVMGGVDLVIGKVEGVHIADWALSKEGKLDIGKTKPIARCGYYDYVVLDKTFEMVIPG GDKAILAGLEGNAEQAQQSMGRPPDEQTLPLPKSRLRSGSDTGRQAPHVPLVTAANDL FGNIDPSLTTFGKLSHHRLLVSVYLMLQLPLRPSFYIFKSSAQPSLASITKTRAFSPS SPANMKLLYPTSLVLDPAKITGFPTLTLHPYDVKSPTLPSEHHDAEILVTWANSKDNL TYAVKNLKDLKWIQSLAAGPNDVLSAGFDASKVKITTGSGLHDRTVAEHALGLLLNAA RRFYEMRDYQLQGKWPGHLGGPQPDREKGTFRTLRDARVTIWGFGNIAKSLTPHLVAL GATVKGLARSKGVRDGIEVFGEDSLEDILKETDALVMILPGDASTKHALNRERLGYLP NHAWVVNVGRGTSIDEDALFEALEGEQIGGAALDVFETEPLPEGNKLYGAKNLILSPH AAGGRPQGAEELIVENLRKFLGGQELKNII QC762_405050 MADAGELPEYRLYDYDPNLPANVVFTVLFSIVTIGQFYFLIRKR TWYFLAFAIACLFEAVGYAARCVAVKEAPNFTLTPFLIQTLLILLAPALMAASIYMVL GRLIRLLDAHEYAIVRTNWLTKIFVVGDVLSFMTQSAGGGLMAQAKTPDAMKQAEGIV LGGLAIQIVFFGMFIVTTIIFHRRILKRPTERSLRVEGPWRQLILALYISSVLIMVRS IFRMIEFGAGKQSILMQKEVFLLALDGVLMFLVGVVFLWKFPGDVLVGYKEVWQTTDE ETLGSRGEGIPMVAGGKTAYQGVRSGEHGRYSTSVR QC762_405040 MDKQTLVGLLVDSQVPDTQRVKAVTAELQQNYFKDPQSLLLLIE IVATHEDPNVRQLASVQATRLAVKHWEKVAAGQKGQVREALVQAVMREQLARARHAES RLVAAIAALDLEDGQWPDLVPSLLNLGANADVTQREIGSFIIMSVLEENPVAFADDMM KLLELFSHTLRDSASAEVRINSMMSVGAMLMLFEPLEDEESVAKLQTLIPAMVDVLKD AVTAQDDEKITNAFEVFQQFLAYESALLGNYLRDLVQFMIDLAANKQADDDVRSQAIS FLVQTVRYRRMKIQGMKGMGEQLTQKSLLILTEIDDDEDEDEMSPARSALSLLDALSS DLPPRQVIVPLLDALPQMTSHAEPGFRKAGVLALGNVVEGSPDFVASQIESIMPSVIA LLNDNDVGVRHTALIGLAHLADEIAEELIPFNEAIMTGLVKNLQAATAETQDQSLAKK NIEIIRSVCGALDAMSDALESDFMKQYSGELIGLIGGLINHDNHKVKIAASGALGAIA ESLGSDFKPSFETIVRALAPYLQIKSSEDDLAVRSGVLDAMARMAVSVGAEAFQPYVV DIMQSSHEGLHLDNTRLRESSFILWSSLAKVYGKEFAPFLPDVFQALFNSLQLEEEEM VLKLSEEEKGIVGTDDDIIAAGKKIKIKDLEDEESFMEEDDDDDEWDEIGVSLEALEK EVALEILGDLLTHACGPTEIAQYLEKSIEMVAPLAEHSYEGCRKCAISTLWRAYARVW QLMEQETGSSWEPGLPLKQAPTQTIVKLGEIVTKATSAIWQQEADRSVVTDINRNVAA TLKTCGPAIIADNVFLTETITAVGAIITRSHPCQQDLGDDDEEQEVLGSSEYDWLVID TALDVVIGLAIALGPDFNELWKIFEKPILKFAGSEAENIERSTGVGVIAECAANMGET VTPYTEKLLKLLLKRLSDTDQETKSNAAYAVGQLIFSSTASNIYLPHYQTILQKLEPM LQIPEARIKDNAAGCLCRMIMAHADQVPLPHVLPALVGLLPLKEDFEENTPVYQCISK LFELNEPTIQGLAPQLIPVFEAVLSPPADQLDDETREIVRHIVQGLFKLNQGLFNNHP TVLQLAGLA QC762_405030 MLSPTVLVDRLAVDKPNDVWAKTPVTSFQGEAGWEDITFSLLKN AVDATSDFIVRKFGPGETEEVLAYMGINDIRYPFVILGALKAGYRTLSPSPRNSKEGN LALLKTTKCSKFLCSGEFFSHIAKLSTSMPSLQMLQIPTVDELLMPFTPASTTPKGRA SYSDHETLIILHTSGTTGLPKPIHIKAGVFSTFETITSIPTPPGRTSTHCSLLLTRLV LSVTPFFHIFGINFLLRALHYQKPIVLLPADKPPTAELILECIGKTKPSALASAPSMI EDICRLPTGLSVLSTLDFVFYGGAPLAEACGNQISEVTSLYNGIGSTEAFFMPTLRLK DPKDWQYFEWNLEAGMVMEPTEENPNLAEAVIKRRPDGKYQFAFWNFPELQEWRTHDL FEEHPTKKGLWKFVGRLDDIIVLSNGEKINPVAFEKIVDGHPWVQGALMLGAGHFQAG ILIEPSKDHQGDDKEEFIDKIWPLIEEANAEYPAYARVWRSTIMLTKPEKPFKRASKG SMMRRATCKLYETEIEQLYKTQEMAVEESDAAGQSNDDVQELVRKTVRSVLGSRAARL TDDANIFQLGADSLQALQLSRILTSSRLKCNAKTVYDNPTVVQLAKAVSASKKDQSPE NAVSREERMSAMIYRHSRFPKLASPPSPPKSPPATFNILLIGSTGSLGSYILKELLDT PTYPRSSASIALRTPESVNKSPLTIVVLVPSPHPESSETSAPNFSLEFPHDFASLQQQ TDMIIINAWPVNFNSPLEAFEPVIAGTKQCADFSSGSPRKPHIVFISSVASILNWAAV RHIPEDGSEIIIGEDWDPDNSLPAKQGYGESKHVAASILSNALHAGHINSVAVLRVGQ LAGAAEKSGVWNRQEWLPSLLKTSTATLHLPSSLPSPIDWVPTDLAARAVVDLSLSSY HRSTTTVQTFNLVNPHTVSWSFLVPGIQEFYSPTRNIRIIPYTDWVDELKRISPTATD EQIEQMPALKLLDFFEGLNKAPVASTGGEEGKQVEVRFATGHAVAKSKAMAGMGAING RMMRKWMEEWGF QC762_405020 MVTVNLRATTVAAVLIVLADQTTATCPSRFSWENNVLTKAAINS TNNAELFDFGPVEGTPASSKIIGGIPRPRCKTFPGDPLWPSNSVWNLLNLTLGGALIK TVPLAAPCYSDWPQYDAAQCEYVKTNWNRPQLHVEDPTSAMFPLWQGQTCMPLDHPSQ IYDNCTLGGYASYSVAVTKVSQIQLALNFARATNIRLVVKNTGHDFADKSMGAGSLSV WTHKLKDIQYIPDYTCNGHSGPALKLGSGVETEEVYQAAEAHNVTVVGGECRTVGMAG GYIAGGGHSPMSSLVGMGADQVLSLDVVLPNGRFVTASQSSYPDLFWALRGGGGSTYG VVTSVTVKAYPQIPVSTLGFVFGTSPNVTADTFWAGVEAYTTYFDTFTAVGAYGYWLI VNIGPGQFFFSMNPLWGGNMTLAQFQPLIQPFLDDLANLGISIDPVWNEYPSLYQAHS GTFPPENVGGADNRAASRLFPKDNFINPTKRNETMAAVRYAVEAGGILIGYNIRAAPN SAVNQTNSVNPAWRETTGFFILAAAWPADASPAVIQAQSNILTNDWMARWRQVSPGAG SYLSEGDINEPNWQQSFYGSHYPRLLQLKKKYDPTGLFYAHTAVGSEDWEVEGQLPGL PTQNGRLCKKP QC762_405015 MKLSLLLLGLLTAIQASPAPAPVPAPVSAIEVRSPTDLSAREKV VSRTINLSSTLVIRDDEPWPVNHRTYTRTHTLAPVIIGTKTGYTQKVTYEARVGGEIR VEVHLTLTLRPSDLSVLVNYQMLLFEGTSTSTKDLDGKIEGSRRVFKNTDGMIKNTVH NTDEGGDRAGLELKVVNAP QC762_405010 MRFGLSTAYALALVTGAWAAPSTVTARQNSPFNTAAITDFAEPW ALAFLPDNRILVTEKRGQLRLVDPNTKAKGTITGVPSVRYGGQGGFGDVALHPRFSEN NLVYISYAEAGTGGSGAAVARARLVLNTSGGGALQNVEVIWRQSQKVNGDGHFAHRIL FDSNSTLWISSGERQQFDPAQSMTSNLGKIIRLNDDGTVAAGNPFTGTVGSQVWALGV RNPLGIDFDEQGRLWEVEMGPMGGDELNLIERGGNYGWPIVSQGNHYDGRPIPNHNTR PEFIAPKAFWNPVISPSSVIIYKGDLFRNWRGNAIISALGAQGLVRVEITGNTAREAQ RISLGRRIRCVRQGSDGALWVLEDGAGGRLLKLTPR QC762_405000 MKASVVTLITLLSFSTATPHKRRDGKCGGPPDPIKTCTKTLEAL KWTVDDFDFHASYVFTNPAHQNSWGYVNFNVSNDVVPYTASCSASSSQLSDFFYGTVE YACTLAGTGVPAGAKVGFKFSRPAGTLEISETIDCSDKLFSVSGATTLTLSCTDVTTE NPSWTPGQIYSAREIKCAPVDVTIGAGSVTALKRLSARVYL QC762_404990 MFKFTTCLTTTARQAARRRFSTTPARLQILPVKVTGTGTGTLQK VSVPGKPYTFTADTYPVLGGADSAPSPVVYSLASLSACNQVTGSVVASNHGIKLGKWD TDVEGDKFDKFVSEVERRCPITQLFKLSGVKYESEWVNEKL QC762_0072200 MFFPAMVGVETIVDGIQVFDPGSKNKARHHPHVSLRTFPSLCDI FWYVNVIKREASRHLNFNTSL QC762_404980 MKNFGAVALTGAALLQVASAACCRSNNCLKAVVLANDDGIADCS ANLVVTLTPSAVTLTETLTVIESAVETALFTETSTETASTETVLFAETTTITAATQTS VVLETTTVPVTTTVVESASAVTTTAFVYQQQMTARDVASSLPEYVTAVCADWEKYVKA CSCVGIEPSTVTAAAATETVTVTAGDAITTTVATLSSTQTDIITVTETISATVTDIVS ATELATVTDTVTASETTTVLTTSTPTSVVSLTCKPTGTVFLASVSPFWDGSTRWMNVV NSNIVAWQSFAGGRPSSTSFTAVWTTDSNGYLGLKYPTVNTETLEAYVSKSPTGPSVQ VVVRTKSVVEAAVAAGTHERVKACINPVTNEVYMSGRGKMNILECGNGLYLSSGTTGS DIRSDCHLIKPKDS QC762_404970 MADARGIEIGLVGDQEKGRASWRFSFFQNSFVRRNGIWQFLNVT IAPLVVANYSDGWGHGGVMPASTVDPVLLDYTTRGTNKPIAQTTTADSLEELSRRLSR SYGYEGAESISNAYGFYIDFIDGAACSLMAAIHHPYANKESPFAGFYHTRQRVLEACT QAYGTSARPTRSAISFHWRPQPVIHVSQDGRSASLRARLLQPATDKTAGTIRGGMYHD QMVLDENGSWKLWSLTIDEFYWTMNSWKEGWGGVEVRDKDAPDQPPPRDLVRQYPPDL WLNEMEGEREVGFMGGRERYVSWPEIQRMWFGYRNPVSGGVPENGSYWPGCVPCYQHK PEWGMTKHGWQEPPTGPTLVRAMFAPGNGSSDDGTFISVSVTPGPGEVVEGVVRLVGE QVELEYVLTDKDEGRVTFELPRNLSGGFHLLEATFSGSNRLKPGRDMIDLTLPGGPGS RLGD QC762_404960 MSRPWRPNRPHVAKRNRVVPVPDDSVRFRDASRDNRHSHNHRCA SEMDPRTPDQFRYLNQPTQFDDRLGDAYAIEQDPEYQQIIAGLSRHTAPHMTERHVSP PYQNANTPGPFQSQHHPAHNVSQPRHHLSQRQPLASYSVSPVLEPSARSWSHANYSPP PGSTTHTQPYHTSFATTTATQPDITHLQPLPTPSTTREHVPSQRQGTTPVVRGIRLVN LREALPDKFRALFPFEFFNAVQSKCFEAVYKTNNNVVVSAPTGSGKTAILELAICKLA LDRGHENFKIVYQAPTKALCAEKARDWEKKFGHMKLKCAELTGDTSQAEMRRVGDASI IVTTPEKWDSITRKWQDHRRLLQLVELFLIDEVHILKDVRGATLEAVVSRMKTIGTNV RFIALSATVPNSDDIAQWLGRDHTNQHLPALRETFGEEFRPVKLQKFVYGYECNGNEF ILDKLLDSKLPNLIARHSQQKPILVFCFTRKSCESTASLLAEYAAARPNAKLWPAPKG RIPVISRELQEIVKLGVAFHHAGLDVQDRGAVEQSFLKGELGVICCTSTLAVGINLPC HTVVLKGTMSYADDKLQECSDLEVMQMLGRAGRPQFDDSATAIILTKAGNKARYEKMV SGQEILESTLHLNLIEHLNSEIGLGTIHDLASAKKWLSGTFLSVRLRRNPSFYHLTGS NCNPSQIDAKLEEICERDIKQLQEAQCVTDNETFKSTYYGRAMSKYMVEFSTMKMLLQ IPKAVKMGPLLTILSQASEFKEFRFKPAERPLFREMNQSPLIVYPIKEAVTQTWHKIS LMVQAHLGSVQYPDSADAAKARRQLVLERKIVFERLSRLVHAVIDCKGNDYDAIGMKN ALELARALAAESWEGRVTQLTQVPSIGPVGMRKLASKGIRTVLELADMDSVDIERLMA RQTPFGMTIKSSLEKFPRLSFDLELVSHKSQPRPTGATVGVEVRANLRYLNRLGPPYW KKKTPDINFLAETTSGTLSFFWRGSMRKLEVSKETGYELKFWVGLQNVDDDIVCHFTC EEIVGTMISKTLKHNIPASAFPKQAAFSTVPSSWAPPATAKFTQPRTIDGSNDADQMD ELDDGGIDDSDFILAAEQAAARLALRPQSSFTTPSIQAPRLMMEGQKDAQADQYPGIH ELVEMAQEEASSQPESDTSPPVQLPSGKWQCNHNCAGGTLTKTGKPCTHRCCKEGLDK PRKRAPPKPKVKRTAEEAWDGSKNSPQAAGDRKRPKIESVSRPGATQQAPGVRPPVRS AAVDWNAYGFTEEDLECIDLSSYTDDEGDSATTKAEQNVITKSKPKRANEVRTAAQPP HHSETDDEADLPSLDELCAANTSVIRKTPGAAWPRFQMPFTDPTAFRPGASDVVLFEG IAKKFEISNTSKDLNSDEGDTPYPSSSPMFVYQESPASSNMSTNETMAVTREKQMKEE VRSGRTSWPDGLPEWVKVDSNREIVDFFGPCVTYV QC762_404950 MALPTAAPQSGPSLLGLPMKQASLITLTFQNSALILIMHYSRVM TPPGDHRYFASTAVLLNELLKLAMSLTFAIYEVSRSLAPQTPATVLLEQVYHSVFSGD GWKLAIPAVLYTLENTLQYVALGNLDAVHFQVLFQLKIITTAVFMVVLLGRTLGARRW LSLVILTMGVSVVSLPSASSPDLSVDIHDFSDHFFPRSVHELGQFAGGVVEAARELTK RGVTGLVGELTRRSATYEGIKEDQEPTLPTMNYSVGVTAVLVAALVSGLTGVYFEKVL KDSTTPASVWTRNIQLSFYSLFPALFVGVVFNDGREIARHGFFDGYNAVVWTAIVFQS VGGILSSICIQYADNIAKNFATSISIIISFLFSVFFFDLEITASFLFGTALVLGATYL YTLPEGKRARPPPISIASYEKTTVEGTPRYLDQDRLSVNPLDSAHGAALSSSRPASPL HFHPRTPSSRGKRAEE QC762_404940 MKMNRFGTRNLLRPVLQQTQGRLTKRCYSNLPPQGPHVGEKGTA KVYNKDGTNPNKNFVYLGAGVLGLGAVYFMFGGKKKSGNSAAAAADRAA QC762_404930 MPTVQEPTQQERMEAIPQDGSVVKKVICEQPKAEPLPQADNEIS MRGGGMNIGFTCCGGSCSFHKHCC QC762_404920 MLRQLVLLSLALIPGSLAQVSEGFENGWDQSAWPTYAPDCSQGG KVTLDTANAHSGKNSMRVDGGGGYCGHIFFGTSKVPTGDVYVRAWIKASKALTAAHVT FITMPDSAQGNKKHLRIGGQNSILMFNRESDDATLPDLSPQGVATSAALPTGSWQCFE YHLGPDGTIETWLNDKVITGLTSKPGTANPNAAQWQRSSIKPKVTAINFGWESYGGDT NTFWYDDIVVSSTRVGCA QC762_404910 MTEKKILAVFGATGQQGGSVISQLVSEPAASLPNISQFHLRALT SHSLPISSTSSIPLEQWYRLIGSSPDTDTADNTTNNTTNTIQVTPQVDFHTPSTLLPA LKDVHTAFIMTTPSFSPVTSDDPNSSKEFLAVQNILSAALAQKVDTVLFSTLPNITEL SSGKYTRVTPFDDKARAEAYIRSLHPQIKSAFLSLGFFMSNWLTQGFLAPRYDEDTDS WVMRLHVAGGTGIPLVDAGRDTGKFVAAILERGVDGTGEAVLAAEGVYALDRIAEVFS RHTGQRVRYEQVTVEEFRETGLKGFPESLKDVLVEGYSALEEFGHAGKETGALVEEGK RLVRECGLGELVSLEEFLKKEGYVLGEGPRSKQWGS QC762_404900 MSMQSCPVSGVAGGQCPAGSVAGSRSSSRMGPRGCSFSGYTQPG DIHAAFDIPRGVDAEEWLRMRERKSINEVLYANIPTVNEISGMKNIDTLNVAEQDLLA VALGAPARQVMIRAEEIGPRTGWKDGYLSVEHGFCPPDYDESPGALANSPGRIWSDLC ERMPGCVARGRVRESVAALPIVEGTSEVIPDRALWAAVVALGMLCSIYRYEDNNDGNE GVTVNPTKWRPKCEMGDDLGEELVGIPRSIALPYWQVSRRLGRSIPHLTFFDQSSFNM KLRDKTATYPYVGRFDNMDMRWPVFGERTEIAFQKGCADTSASFQHGPDAIAACQEHV MNRNVEGLLRELIRLKEILERMPNAFHTINTNPNSGENYVPGHQWVRWGKFSAPLSKR CPASSGLQFPPFLVMDAFLGRTSYDSFLGKEGLHLRAWLPSNLRAFIAAIEYHYRIPE FVKQSGDPRLMGVLDGIIEAYVGERGFMGTHRYKVFGILEIASKTGRTETNGLSGAPD SNDKPWEETHKQFSAAMKERLEPFRGKITIEPHEMRGTFEECRYKSRILSRSFVDNDP NRSIAMVTMDLHNTGITFQPGDRLAVMPLNSWAECAKVAAALGLGDYLEYRITPNTQW QRFAQHLGAVSHTSTSHLTVKDILRRGHLAPITKELALKVHDMLRASSNTVLQVLATT EWPVKGSLGDLLQAAVSETNPHIWDRAFDLTGDLSWLCDLIAVEVPRTYSISNYSQEL LPSVVELTISRAEYNLCSTFAGEEKIACAGVSSGFLNPFVGSSDELIEDEEDVLIGVS RPLNFQLPIDRAAPVCLFAGGSGIAPMRSFWQARLASHSNAAGRDLLYLGVQSREKFA YEEELRDYVEAGLMEVHLAFSRDSRGLVYDRHSRELVEKEMPPRYIDALIVEQGATIS ELVMSKKQGGLGGYLYVCGSVAVFDTVMKGIRQALYNYCTSTMEAADTIINKAFAERR FMLDVFMTPKPLPCNLPTIPLSQLARHTGHRPDSRMWIGVHGSVYDVTDFCPMHPGGT LIIKSNAGVDCSKTFDNLAHTNNPEVNSLLTKYFVGQLTPKPDFHGVLELGDLYDLWA AYLRTTVETLVAHQFEMYDIIGAGDVDSARDGYLRESEDVWTRPKAVGMVRVFYDYQS RLLQGGFSALFGPKLQELVLKLSFTLADSAGAGSDVRLPDVLGIIARAKTSPDAIATS QEVALVGQFVGQSSGNIRFAERGIFNYAAKSVELDIELLEDIREEACHGMDAFDSVMV MEAEDDDQRLMVLATFLMQALERMARRLEVFYAKLAKHSVYNPVLERNPARTRWNLVR QRIRDGSFFVLTQETVLGAAPAYMSAQQQKLGVDFDNVMNRIQASIRGAPKASPTPQT LNAMHLARASTAPETSAMATHENNTAVKAMSSFIDANMRSIRRLSKLPSSLTFEQIQA AMEQQHRPPTPPSMISTRSPPMRNTSRATSLERMMASYNGRPLNSRTSQVSGSAAGHM VHGAFGIMAPHPIRSPPTPPLDGATAMTAMMGKLNVRSRGRSVPPSPAGSVGSTGTRV GRSMSVRSVSQSRPPAHAPRLSTTSLRSFRLGSQGGDRMPLRVAPTF QC762_404890 MQFPALLLSLPGELRNQIYRDYLCDDTEDGYVYDFEAGKVRKAN NQPIELDLMHTCKLIAQEMRGLALSLHSIAFSTHYSRQLRTRAARWAYFVSRFRSHVG WYKVTQIVPAEVVQEVAGPQSFIHAIFCHYKNSSLPSFDRVAKAVTEPACRADVGTPT EHENFEYDTRRWDVRGGLAAYLDVIKEPWRTPTDDELDRIGARLPIRKREASAALRAM WRDHPGRFRFSAAAARSVAHPECPIRGLLPFYLESPGLIIGRRASLWNNLFQARAWGE ASGQFLDPFSRFTVGLLNWGATDHDALPANHVTRQVALWMAEASHPGISDAFNLVLDG GPTQDRSDDVFREAAQRDAAWQVAKERRFNDPKCTLWMRMETAENCWHVVRFPQLLAD INKPTSRTRCNFDPGQPWDDDQINQIISANKIAEDALSPSRYDMNMQLLPVDPLDAWG LGRDLDFDTASPLKSFDCLPEENMDPGHLAW QC762_404880 MRFPSLLTLSVLFLGATALPLSDADADALDAEIHELLKRETAEK SNYQFKSRHGPGPKPPLPPLPPTPPVTCDDFWLARIDHEGVAPYAPTAGYQVWRNVKD FGAVGDGIADDTAAINEAISSQGRCGPGCTGSTITPGLIYFPPGTYRVTAPIIDFYYT QLIGNPGCPPVIKADFNFVGRWVLDTNPYQDGGVLAWGATNVFWRQVAHFVFDLTDVA FDTEIAAIHWPSSQATSLSNVEFRLSEAPGTRHQGLFIEEGSGGYIGDLVFYGGNQAM IVGNQQFTFKNIAIYNARTAIEHFWSWGWTYVGISITNCSTGWDLTSNNGTSVNVGTI TIIDSSITDTPIGIAYAWPNPNQPNVGNTLIVENLDLENVPVAVRGPFGTVLPGTTST TTSLTIPAWGRGNSYDENSGPVFFQGTFTPPTRPSALTAPGGRYFTASKPYYEDQPLS AFLTAREFGAKGDGSTDDTRALNRLFEAAAKRRKIAYINAGMYRVTRTVFIPPGSRIF GDSSFPVILSSGRYFENPTRPQPVVQVGNRRGPESEGRIQWSNTIVSTRGKQPGAILI EYNLATTRAGQYSGMWDVHTRIGGFAGSDLQLTQCPKTPETPITSANLDVDCVAAFMS MHITRNAANLYQENNWIWVADHDIEEPANNQQITVYAGRGLLVEDTRGPLWLVASSVE HHQLYEYQFYKASTIFAGQVQTETAYYQPNPDARLPFAPDARYHDPELLTPGDSGWGV RAVDTTDLFIYGAGLYSFFDNYDVNCSQVGTGTRCQQRILSLERSRATIYNLNTVGTE NMVTIDGVDQASYLDNLNGFISSVALVETRDLVV QC762_404875 MPPPVAPSYPESESESESESESESESESESDDVSDSESESSDDS IDNDLLKIEDLSDSANGTISHVLGRLPDNPKRKAECDQDGDFPSRSKRLLTSAHNVSS SSTPGAYTNGSMHARQSFAAPFIEQPTQFPCFDLLEKTFPQHRPIQMRTFPFFRWLCR QPVKRIPAVSLRWNNKKPQNMPSFMMQACGDDLPRKQACERCAEMKGPYNECVVINDA EFGKYTGGSCANCWYGKLGSSCTLRTGVTNSSAHLTSPPPPASTATAANPPLHPSFAA AVHGTETPVLHPRFATILERNNPTSQAPPSQGQPPALSPALSSLATSVAITTNLVQLW ENRYRNMTPEKLIEAYEHLQEMQQDLNTRTQAIHRVILGGLKDAMNVSKRNESSSTGE S QC762_404870 MRFSLVLLAPILGAVAAPTTDLDKRENLCHLRTPPQLCTPNPNT TVEETAIRAYKFYRAFVTDGDPRTMFSLIDNVYKQNTPGYQSGPNVIWPLFCNGRRIG TEQATAWCFDASTNMSYARYSVTDRWRWVDGCVHEHWDQGERIPAQEKCYQLPAGIVG KPLAEI QC762_404860 MATYTRDQISHYLQHIGYTQDVNQHFAEDPLGLLTRIQILHMAR VPFESLSLHYSKYRTLSLNPEDLFVKIVNRGRGGYCMEVNAFFAAVLRSLGFTLFSTG GRVRGDAGYKGWDHMVNIVTIDNQRYLVDVGFGTNGATRPVPLQHEHRFLTVFPTEGM LEYRGIDANTDPNQKVWVYCVRQKEDDPWGEMYCFGELEFIPGDFEVMNMRTSSAPQS FFVQSVMCMKTRLDEGKQNPVGKVILHRDYIKQQNGSEAPITTRLLSEADRVEALKRY FDISLTLEEQHGIKGLASELKDRSKHA QC762_404850 MVKKAVLTGACLYTAGALAQGTCGDILVPRNPAPVVANGWQAQL VAGGLTKPRSIQFDEAGALLVVESGKGISRHRFTDNGGTCLHANHSHMLVELQGLNHG LALSNEGDILYASTAESVLAWSYDARGGAVTSQPRTLVANMSNNDLVTRTLLISEKVQ GKLIVSRGSAEANRDRAAVLSSGLSQIRVFDITNRSDSESPYNFNTDGDVLGWGLRNS VGVAEHPRTGGIYAVENSVDGVTRNGQDIRENNPGEELNFFGYLDETVKVNASANNNY GYPHCFAVWDPSEIPDGDGLGVGKQFAVEENSSLTDETCESDYVAPRLTFPAHYAPID LKFSTGGETGYITFRGSFDRSSPVGYKVASIAFNAATGEPVASADSKGALRDIITNVD NTQCPDKCFRPVGLAIDSKGRIWFSSDSTGEIYVLQRTGENSEGKFVLPEGGQNGDGS GNNNGNGDSAASTVFGWETRVLGWTALVGLGVWLMAV QC762_404840 MLERGSYLVLATCFEQYARVVCVSPRSSRGFSRFSVSNRPVDPE VRTFGILRIHPAITDQVVLQHLKLRSIVIPQTARQFKMRYLPKVALATVASSLFLPPA FSLPQAGSVPDAAPLPWVTINPQGDAETIIPKVITTEGHRATLSNPPAHLISTATYTL SPDGRLSTYTGLAPVATATTNPDNNNNNNNDDDDDDEPAAFLACQSDKGHDEPFCLPR RGSSLIAGRTYYITWSPSYFSPQSTPLTLHVFFSSSSLSQQTGINLTPIPIPASVGFY AWTIPLNFPTTSPISFALQHNDTTTEEANDIVTVSGPTVNVFSSDPNPQPSASGGGHG TNHLAIVLPIVIIAILIGLAGFCFLFRRRKGRWPVIGERARSSGSGYTGQGGRRVQED QVGGGVVTGDNKSETNIGVELTDRDSWSPTSPNSPSRGRNVFREEVERQERLAREG QC762_404830 MGHSSRFPFSLPGRKHKQSQPAASAAPLSISEPLTKAQRILGTT AISLDLAGATSDTTKWGPWDGQSNAGISINVTETPAGRDNAGSRLGSARRDDAHHGSS GNRDRRWEEESAVLPKDNMAPSGRHDDTTDASSLRRQQSSSTIRSYYDKAKVPLAISQ QTANSAMAKGLPSKAHAILDFDGNFNTEPKGLKKKKPSRLDLSSLLSKRSHKHLRPEP TNGHVLGSDMLTTSPSVMSSSSASTPPPISQRIDRRLRNKMTKESLREQTATPDPRPS PISSAGRSDSPKQIPIKPNAELHNLYDHYEQKTFDEALENNYQQVPERRGPPPDRALP AYPTPPTSNTGKPSLSPFPRSASRTGQKQDPPPLTVKVPDVHLVRPPSAPSLVSPMAD CASISSRHTRTSKASKRTDRSLTEIDLLQNSVLALSSDSEDDYDACSDQLAVPPPPSD GPASPVSPRSAMSQPSFTRSEDSSRSKAPKQTTFAESPQYFPTREQQAAASKAPKTNP RSSPLAPSSSMNNSLQIYPPQNRSSSGTTGTARTHGYSALQTPTTYEAKVISMVPKSK SQDQPVWTVPEETLFEDFPAPPIHPTQRPPQASRPEQTPPLSPTSVDFYLQSQRSSST QDDGSIASRGSQGSGNRSGRRGSAASSIQDNQSGRFMAVTRQEEMLLAALRQKRARMR EDILAEYEDNTDQEEHPGLKREVTNDSSGMSMMSRQSSLSMSTVRAEANPLSARPRHQ DYVRSRANSSAVEQSGKHGHILVMMDESSSDVGPMVQLDDFPAPAESDRRGSRSSSRS GQPHKQRASLSAMSMPTTGARRPPRSGSLPRKGSSDQASVQPTHPANNSIPNQILEDP AEDEEDEGIPRPDSPISPSDFPVPLSATNPSIKIANKKHLRLSAFGTYKPNVEAGWWD DSG QC762_404820 MKASPTALGIFAITSLQSLASALTIAEINGNKYISPYSGQTVTN VTGLLIAKGPNGVFIRSTTPDKDIATSEAIYVFDRNVGANLTVGDIISLDAKVLEYRS SNAYLYLTELSTPKNVQVLSSGNKVKALVIGKDTIDPPNTQFSSLDGGDIYNVPNGVA NISEVNPVLEPKKFGLDFWESLSGELVTVRKPSVIKQPNNYGDTWVVGDWKVTGKNKQ GGITMTDKDSNPEAIVIGSPLDGTKNPNTSKMGDELEEITGVVQHAFGFYSILPLTAV KVSKPAKGVAAQKPTSLKSKGQCKAITVGSYNVENLSPSSEHLPLIASHVVTYLKSPD FLFLQEVQDNSGPTNNDGITSANLTLSALAASIKAAGGPTYEFAEVAPATPNLDGGQP GGNIRPAYLYNPAIISLYKPNQGQGDDATSVVKGSGKNSPPSLTFNPGRIEPANPAWT ASRKPVVGAWVAKGATKPFFTVNVHFGSKGGGSSLHGDRRPPINGGVADRLAQANVTA TFISQILNADPKAAVISAGDFNEFSFVRPMKTFAEISKMKDLDEVAGIKPTERYTYAF DMNAQALDHMYVSPSLAASSKSDFEHIHVNTWGSYAEMVSDHDPSVALFDLCG QC762_404815 MAPYAISIDNRSDIKRSYALFAEPPTIKHNGGSAIKVVTRIISS AWGVASPHGQANFTLSKKLCGFYDVEVDPSPDDQNRKRVGSGVEVIDQRLVTLGSTDK HGNIVPGTTLMIDSSSGTPAFTENNPAPSGAVGCFCIQTRGDFSVKEAKLNQFVVGFC SSTRQSIGPYATFVPQPSEEYQIAPSKGFYVVVGNFNPYDLADMRLKDSMSACYVDFA SLGTDSVTLVHHSNGTLVRQVVTDGEMRPVPVPSPNPPVFARAFAREEFRVGTATVTA RSSQDDGSSNSISGSSAGTSTPTWSVLS QC762_404810 MAGIALLGAGIFARHEHLPAILASPDLLSLKAVYSRSQTSSVAL ADALPANGPKPDIYYDSPSEPGRGLADLLKRDDIVAVDVALPILAQPEVIKAALKAGK HVLSEKPVAADVEGARELIKWYETELPAEKKPLWGVAENFRYMKSLVFAGEEVKRIGG RVVSFKLEKFGWVAEDNKYFNTQWRKVPDYQGGFLLDGGVHFMAALRYLLGASGQELS KVAAYTALLEERLQPLDTIHGVASTKQGVNGSIVLSFGTEFKNGTDLEIITTNGTVSW SPTEVKTVTKEGESKKEFEYSSGVGEEVAVFARAIKAGTGKVDGLQTPEEAFRDLEVL EGLLESGSGGAKVKEMPV QC762_0072410 MAFFADVGGFVFEAAASGPFPLNAKQLHWLVVNNHIEDPETDVV EIWYKSKQDRFARLITSFQVSYTIIHAIGRAGQRLAITTLELNTMDIVVCSLMTAYAW LHKRADVRTPIRLATRTAIGDITGDRPWRTTPIDFIDENGPGRTLNVQPFMKMPVIPP DQPIQRIPNDRFPMNPYACTADICRSRINQYATQTGRAFCFTYLTPVVVATQTLRVTA VATRVSTSIPSTRTVTATNRRHYHRETPDSAGLGIIGHRISVYEQRVKD QC762_404790 MHIASFLATALAVQAARAHLIMNTPTPYNYHGTSSLVQVDPLGA LPFPCQGNTDVVEVTSMQAGTEQLVKFTGGAQHGGGSCQFSVTYDFPPPADKSKWKTI YTLIGGCPVSAAGNLPAAQPDQDGRADFPQCGNNSGVECVRQFNVPIPKDMPNGNATF AWTWYNKIGNREVYMNCAPVKISGGSDDTTFFNSLPQMFVANIRGECTTNNGVLNISN PGKFGKVLEQPAPGSEGTCEKAAGVPIFDGDSGAAPAPAPTQGQSSTLITSTNSATVP PTATSPEEDITTITPSSLETSVVVIPTITPAVVIPELVGVPCSPEGSLFCFSQSEFGI CNGGIATPQAVAPDTTCSFGTPLSKRSVKYVSKVVVVGPRQITAITPIEDKPTKIIAI TPIDDPTKISAITPIDEPTKISAITPIEDPTKLTTIIPIEPTSRLTTIIPIDPTTEFP SRGGALPTPAPAPVPAPAPVPAPAPPPLRGTPCSKVGSFCFCKVAGKVFPQLVPMGAI CT QC762_404780 MSPNTAAWLTSKNSSPLDIKPAPSPPSPPPANFLVIKSQAVAIN PVDWFIQSPGNPLFSYLRYPVILGSDVAGTVVRSSSHLFVPGDRVVAHAFGTDRRSNS SAEGAFQEYVLVREHLVARIPDHVRFEQAAVLPLGFSTAATALFLGRDQGGLGLALPT SPRGDDKNQVVIVWAGATSVGSNAIQLARAAGYEVYTTASAGNWEHVRRLGAAEVWDY KSESVVGDIIGKLKSENKVCAGAVAIGAGALPRCIDILGGYRTVEGQPKFVSQVSGSK DPGEFLGLGAFGMVKLVVDMVWAGITTVAKAKIKGVGYKFIWGSDIGDDKNKDVAKAI WNVYLAQALGKGEFVPSPEPQVVEGKGLEKIQEGFDTCKKGVSAAKVVVLV QC762_404777 MSNGDCWAADLTLWCHACQGSHPWFLFSLSQRQESKFRRICIRA QGAVRMCAHKVIRWADLVGHATERGRTSIHLHGILCSSCANSTYTTAAYAPFVFRPLT NGQMQLIDPRPVSVHIGWTYLAFDLNTSVPVSRQYLRGCLLLQNQAASGNRLCSHVTF PDGQLLLPFEHNQCACFDPPESPSQPRETWQPLSHGCLHSRDSMELCCRCRSIKEPHR RGHFVPYEKDWSAIFTSQTKMSCHSYQCSECDAQYCWIQEGDSGRIHLKCLRRIFTPL EQRLAPTSRALGNGSEVWTQTPGGSRQTMN QC762_404770 MHHEGPVSTMPMTFVLSTSTPLFWASWQPQNTGDYAATCIFLVV LAAWTHVLMAIRHTLERASWGRKSSYPAYHRHKVGGDEETDEHIHGSGSSNAKPTLAQ PVKPVSGARIILMGLRSYWLNTPLPLRLARAGFDMAIAGHAYLVMLAVMTMNVGYFLA VLIGVFAGTFLFTAAKDNNGGHQDEDEC QC762_404760 MDQDQFGGRTDDDLFADDIEPVSYEGEAVDPPPATTSKPESAEV PQQPAAEVIPDPPVRAQLGGLAQSRHNYPDRSRASNNKSNDNRSFTKAPPASAPSNTT NTPANAPSGPKSYVHRGKLKTNNNTAAASDIRTLSGGMQRSRMSQAESDKMMEEKRLA SVEKERKFQRIKQDEEEHAIAVAKGEEEALKRKQEEAAGLRKRKPRDAPKPKPAPVER NKYDADRMQNKGRKGTRAPWDQDKVDLDQADAFRKGANREVKGSTGSGLGASRYASQE PSREHVDQESDAFGKHSSRQNKQGRTLFEADDAYRQKQEAFRQNKGGHQPSAVSQPLT TKSSQKPKPTEDFPVLPSSGPTATNTVVSPSWVKPVGDWAEDVEG QC762_404750 MRQLFSFALLSFVSFSSLLSSASAAAADYHEQLVLRPLPLSALL ASFNFRSNTSLSEFEAHNFRFFPRSLGQILQHAGTQELHLRFSLGRWDSESWGARPWG GAREGGTGVELWAWLQAATDEEADHKWLTLTNALSGLFCASLNFIDGTRTTRPVMSFQ PEGDHPNMTAADTHLLYGVLPHEVVCTENLTPFLKLLPCKGKAGIATLLDGHKLFDAS WQSMAIDVKPICPAGAECVLQIELTIDMVLDIDRSKRPRGNPIPRPPPGHELPCNTSK SYHAPDTCFPTDHQANQDWTLSQIFGRTMKGTCPLTDPAVPPVCLHVPDSRSVFHSDG VLEKKNLDRVSRCFEIQPDVDFEIVLPAPEDELAVVVKPETPLLYAERSFTGHGQERG GVQTILHNPSPDTEVEFVYMESLPWFMRIYLHTMSARIQGQTAKDDSLIKEVYYRPAV DRARGTQLEVRMRVPPASTVFLTYDFEKSILRYTEYPPDANRGFDVAAAVITILSPAA YNLRTTSLLCSLPTPDFSMPYNVIIFTSTAIALAFGGMFNILVRRFVAADEGPEVGLA ALKKRIQGRVGLLVEKLKKGKTGNVSDESGTKPDKFETVDTAQSIEGALKE QC762_404740 MAAEQRKLLEQLMGSNLTTRAAQLPLTDPKVCRSYLVGTCPHDL FTNTKADLGPCPRVHSEPLKQEYDSLPDPEKKKLGFEHDYLRDLSSRIDACNRNIDTL QRRLEKTPDEVRQTNALLKAISDLGSTIANGLLEVEILAETGEVGRAYDEYYKVRHAQ AAKADKEKELKALSETSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGKMHLGFAQ MRKAYESFPKEMRGRYQGGGGGGGGHGRGVQQMDDDMGHVPTGPGGGFGDGWNKGPRG PRAGGGFRPRGPRRGW QC762_404730 MVTQNLEMALRHLRDTEECMSMWIDAICIDQQNVAERSHQVAFM GEIYRKATKTYVWVGPFADDSDEAMEWVDLIGGSINVDWGDEMMNTTDLLEKTKYAPM ADASVPYEFEWPWPLLNLCHFTNREYFTRLWVRQEVKLSQNKVLVCGFKQLDWHFFAT FARWMAVKPYTCVDKYGVWTQEMERKYKAGSELVSNICAKLYDGAFLSFDRLRFDNSK LNWKDPRDAIYANLGLLPPEYRQLGIKPDYDKNPAAIFTDVAVRVATKLRSLGFLESC DRTATELDSLPSWVPDWSSPMRMAADSENIWSASAWISAQVEYVGDGVLTANGVPISE VQRVDRWEDERSYEGQPYMVFDCIRSWCKHVPDNYDEQEWFLPGTGLTWLEAWCRLFL YGNLSEVFEAGQETMVHRPDLFSLQEAKELMLIIWKARSFHEIWCLYDPTWQRMERFL SKIGDRMKGKSVFRTVDRDIGVASSSVKAGDMVCVLLGCQVPVVLTPKERDGSSPDEQ NQWQVSGSCFVVGSMDGEAITGALPEHYRTVDWRYRKDVLPENKINKFRSGLRNDEYR TLETDPSQVLEECGIPCIRYEREPHLLEVSPDALRDVGINLQQFTLV QC762_404720 MGISRITSSSGAMNVGYLSMATPSQAQLIQAPSRSSVTGKKTVF LAGTTTRTNGPEWRDTLFHSIAHLPITVFNPLRPDWDSSWKEDIDFAPFREQVEWEFD RLNQADLVVVYFGPQTDAPISLLEFGLFARSGKLIVCCHKDYRKRGNIEIVSQKLGLK VLDAVDGDLVDEVTRKLQEMLQV QC762_404710 MRSIAGTRPFFSSLKKLTPIARQPPRYFRFYSQSRMASITVHNS LKPGPPVPFVPKTDGKISWYACGPTTYDLSHLGHARNYLSNDIIRRIFQDYFGYEVNF VMNYTDVDDKIIIKARRQRLLDLEKEKSYTPEQLRELVSKAFQAYAQSNLPLLVTQGQ PELDENNYQARKDAAYGQVLAGGTLSGEGRPGDAEAKIKMHLNNMDSAAKSLQTSSGF DGAEDILLPYLDSLYKETIDTSDQTIFTDLTQRMEKAFNDDMAALNVLEPTAVTRVTE YVPQIVSFVEQIIQKGFAYEADGSVYFDIGAFEKAGNTYARLRPESRNDKALQEEGEG SLSKSSGGQKKRDADFALWKQSKPGEPYWPSPWGQGRPGWHIECSVMASDKLGDNMDI HSGGIDLAFPHHDNELAQSEAFFHQCGKGEHTWVNYFLHMGHLSIAGSKMSKSLKNFQ TIQDALATTYTARNMRIVFLMGRWNDGVEISGDMRKQADNWENTVDNFFTNIKAKLAE VDAPTDGVKYLSLTGAGNSLIEDLEQAKKDLDVALRNSFDTPGAMQVILRLVRNANIY MNDKAKSSNLQPVEAVARWVTKIVGIFGLDATAKPPYDGLGWGSSSATAADDIDPQTA IKPYAAAYEKVKADVQSLHLSASSIQSALEHSPDAEFAEVEKSGEKDVEKLALPYLRA TSRLRDELRAIVPTLASEPQIKQAVLALSDRIRDYDLTDLGVQLDDQTDKPSLIKFVP AAKLIAAREEKASQLAEKAKQKEEARKAREKAEEEKWAKAKVAPQDMFKDDPKYTEWD ADGLPIKLAEGGEPVPKSQAKKLKKDWDRQKKLHEEYLAKFGGKA QC762_404700 MIPLRFLLSAAPVLASAHDFSAPPDSSRQQNPSLVTRGEGFIRS PLNVLPNPAPPKLIRGRQNEVTIENQFTGTRYGVDIEVGTPGQKLTLILDTGSPDTWV NPTCDTANVPDDCRSFPVYDWRKSSSLNATRVTDILVYGKGNATIQYVRESVKIGGAT VRNQVIGVALESHDIPLGILGLSPPIRGVNEYPYILDTMKDQKLIDSRAFSMDLRTVD SPEGALIFGGVDTGKYIGSLAKLPMLPQNQTPRGADRYYVTMSGVGLTYPDGLVERSQ ELEVPVFLDSGGTLSRLPRRIYQAFASSFADTQYDPSTGFYFLDCNVADLEGSIDFYF GDKVINVPFNDFIWHVQGYCVLGVLPDDDGEPVLGDTFLRAAYVVFDQDNRNLHLAQA ANCGSNIIAISTGPDAVPSATGRCTAPPPRPTSGNNNLDVTAERGPTATFTGVGPTGI DIGPGPVATRVSGTGPLGINPTGQSKAYSLKIDVWKAVVGALVVGLIM QC762_404690 MEKNNTPQTGHDGLIHIPNHPPNAAERNSTTYPEVNHVPQSQSS ILSGRLSPSQQQENESNLPEVTTEQPPLHLEKTYPEVATHEHQHHRPPQQSRSPPPST VQYFQAHQQQSPSPVPTPAPTHTTYTAGQGTIIPEPRPSGVHSLGDAWSINSAEDVER DPQIGYIPGAGGGGGGGGSGPRNGHSRNPSDPDRSFSRARSRSFGKKPLVRRSIFWVL VLLVAIIIALAGVLGAVATGKIKTSGTAESEPVVHPDDGGGFTLSTATTASGKTVSLS CPSADGLDYTVTVDNQQKVFRRQCGANYAGGDGVLGLVKGDVLSLADCLDRCAKEEKC AGAMFIPMANPDPQCWLKEFLGVKRDGQDMESGVLWQ QC762_0072540 MFDGGGGAIRPMVQRAQGTGREGWRFQSFERGNGRARVEVGSGR EGGLKGKLTWKLNKKEMDGLMSRIERCKGVVSVALLGDHSKLLLAISTDLVCVKEGVD GIKERINNLKVDMPNVKDGVKDLTDSAASQRSDEQMQKTCDWLSPLDFASKHQDALRR LEPGTGEWFLSDATFKLWLDGNERVLWCPGIPGAGKTTLASLLISFLETSTSGTNSLI LYLYCNYKERSQQSVQNMMGSLLKQLIQHTGVIDEDIVKSSKDEKPVRLETITRFLQN KVMGFSLVYIIVDALDECADTEDTQSRLASDLHNLPKHVKLLFTSRYTIGVEEDLGPV PRLEIRASDEDIKRYIEGRVGSEHSRLQKHIRTDPDLLEEIIDKVVGNCKGMFLMAQL HMNELTRKPTRRELRKALDVLPAKLDETYDQAMERIKSQDAGDASLAHQVLGWISTTL RPLAIEELQHALAVMPGDQDLDPEGLHDPELFVAICAGLVTLEEESGYVRLVHFTTQE YFERLRSQLFPDAPSMIATACLTYMTFDPFVQRYCADGEELDLRLDTYPFLAYAADYW REHVQYEMEAPVKELLWEFVDNILAFMTAEQAATLTGKMSGYSELGHSTE QC762_404670 MAPIKAAIIGLSASAKTAWASRAHLPYLFSPLGRSKFEVVALLN SSTEAARAAIKAYNLPASTKAYGTPEDLAADPEVELVITNTRVDKHLETALPSVIAGK DVYIEWPLAANTIEARTLADAVKQHGGLGIVGLQGPLGPLPKKLREVISSGRIGEVLS SELRANGGIDGRGVIPASLSYFTERKVGGYVYTIGFAHQFDLVQSVLGDYKTGPDAPQ GFLQIQRPQVKVIGEDKTVVETVTSDTPDLIFVHGYVDSGASLHFRFRVDLPFPSDPA LVWTIAGTKGEIRVVAPSSTFIHIGNAADPQKFEVHDFETDTVDEVEWDFEDWQKKLN LQARNIGAIYEDYYEVKRNGKQKTFVSFDDALKRHEQLEDILANGLKQ QC762_404660 MFSTLAYFFLQVSDHSSVRMFTLSAIFLALASLAAAEVRNITPH EQYSSSVGVLGCKINTHRVAYWPEAVDCNNICVRVSHQGRSLELLRIDQSGGANDISY DAWNYLGFGKSAIDDPQTGGGIDMDVEFVDADQCKHLLKDSGGKLAFSAPNSMNFLSS CLSDPGSWVARNFAAVNLKDSACHCGFDEVCTIPPPSEGNQPVCPNALGTTGPFRGDS VFNVEFGTGKLVPAPGAGVC QC762_0072570 MTCIPILITLVLASASLHAKAQVIQPTFNTTTWTKPYQYVLQYL SNSTSPFITLTDPQWPTYATTFNERLQYIPAAITIPNTTEQITAAVSCASKYGIPVQA KSGGHSYASYSTGGHNGILMIDLENFNSVSLDNRTGIAAVGGGVSLGNLAQGIWEQGR RALGHGTCPGVGIGGHFTHGGYGYSSRAFGLALEQIIGLDVVLANGSFVKIDNETLGY VYYALRGAADSFGIATTFYLQTSTAPEVVIKFSYSFPPALESIENATAVFMGVQNFSL YSAVVVSFNKTIAPALLEALPVPPALNEGSVEPTDWITSLAMLAGEGSLTVPLHGFLQ QDNFYAKSVSTNVSFAEEPIRKFFEYAYSAGEGLDAPISWFSIINLYGGPDS QC762_0072580 MNPTGNITDMNMDWAAYLTGVAPCRFPPFSRSAKSETAPNRQPI ASTTRVPVEQEDREKLLELSRAEDRDGLGAVLRTAWAVLLRCYTGQDDVTFGFQGDTP HPVVARFCLDDAASVSVTVERAKADFAKHLPPVPAELIRAGDHPLFDTSVVLWGLTRS SAPRRVMPLQQQPQQHKLRLLVKGGESSLNLFLEWNSTLLGMPMAQGNLIASTYAKIL SALLASPLDATLGTLPVLSRANEDQVQSWNNDVVIDPVDRCVHHVIADQVLDRPDAEA ICAWDGSLTYRELDAVSGRLAARLVGLGAGPEVLIPLCFEKSKWTVIAMVAVLKAGAA FVPLDPSHPVERLRSLCDSVGADMVLCARQLVPTLTQVGLETVLPVDDQTLAECPELP SDQPGHSVMTNVSSSNVAYVIFTSGSTGKPKGTVIEHRSFCSSARSHAPALRIDGTCR VLQFAAHTFDASLVEILTPLMVGACVCIPNEQDRLNDLAGAMSRLRVDHAVLTPSFVG FLTPATVPQLRRLVLAGEAMSPTHVTTWSHIELVNGYGPAESSVAAVVNPRVGPATEA TDIGMPCGVRCWLVDPTDHTRLVPVGCVGELLLEGPSLARGYLNEPTKTEDSFVWMHG RRFYKTGDLARYNSPKGSLSYVGRKDTQIKFHGQRIELGEIEHHLAVDESVKHALVLL PKQGPLAKRLLAILSLPDSVGSTTTSSTGTSVLRLTENSTLTDPILQGIRERLGTRLP AYMVPSTWLCVDEIPMLSSRKMDRKTVSTWVESTLTNDQCQKIIIRQQVASNTDSVDA DGKGSDNTPSDANLTDAESKLRGIWSLVLNLPADQIDVDNRSFLSLGGDSITAMACAS QAKKANMGLLVQDILRAKSLRRLAECVRVLAEPEGHEAEDKEEELALNKRFPLSPIQQ YHFQARRQAEGDEHFNQSFCLRLARPLDEAVVRNALRAVVHRHHMLRARFEQSPETGE WQQYITADVEGSYRLRMHSRILIAGEADASIASAQGCLNVRQGPLIAAELFVAQGRDN GHQVLFMTAHHLVIDLVSWRVVLEEVEEILDNKTSVIHRSLPFRKWSALQASDCQVKD LEKLLPGSDQVPPARFEYWGMQERPNLYGDVACEGFELDPSTTGLLLSDQCQAPLRTE TVELLLAALLWSFRTVFTDRPMPAIFNEGHGREPPRPEIDISRTVGWFTTLFPVALSR PKSFVDALIQTKDSRRKVPGNGRPYFAARFHTNGGRERWATHHKDMEISFNFLGRYQQ LERVGALFQPASGALMAGEAHPGSPTADFGHMALRFSLFEISAVIVRGALRFGFAWNR HMLHQSLIHDWVAACRQTLIDAPRALSSLRYRLTASDLALIPELKPADLATFEESRLP GLTGGRGWEAVEDIYPASPIQQGLLMSRTKDGDFYAVRRVLRFKPHADLPLSTDRLVQ AWKGVVQHHALLRTVFIDAISSVRAGGYDQVVLKDVEPSIQVRGCLNENEMLAMAKSL QPMKYQDNNATQHRFSVFHTAEPSNGIICVLEMSHTVMDGASMDLLLRDLGRSYEGTL NGFPKPLFSPFVFSLQQRDLDEDVAFWKHHLEGAEPCHFPVLTDGLVIPDHQKELRSL RISFTELSSLRSFCQETGVTLPNAFHAAWAVTLARYTGTDDVCFGYLVSGRDGEHVEG SEDAVGPFINMATQRVKLESSDDAPMSLMSLLEAVQKEQLESMPYSQASLAEVQHALH LPGGMALFNTCISYRRLLHHTAETKPGSLVWEDVEAIHDPTEYPISVNVEVDDDGWAA IDIDYWTDSVIDAQAQNVAATFLQVLANIVKHAEQPISQLDFVHDTSKELIWAWNKNM PPNTAECVHRMVEKQVALRPNSPAVRGWDGDFTYQEMNTLANRLAEHLRGFGVGPEVL VPVCFDKSSWTVIAMLAVLKAGGGVVPLDATHPANALEGKVLDAGAIIVVASETRASM FETMVPYVVAVGAEMMQSLEDLVVEEDHTESSPEDAAFVMFTSGSTGKPKGVILCHQA LVSSALAHGSALGLGPHTRFLQFAAHTFDNSLEEMFTNLIHGGCVCVPSEEDRLGDLP GAIRRLDANFMDLTPTVAALLRPEQVPSIRGMAVGGEALTQEVLNIWGGAIPVHNQYG PSECSINSTHRLHIDANGDVANIGTSVGSVSWVVDPRDHNRLVPIGCAGELLIEGPIL ARGYLEMPVETARVFVEMPHWAALDPYHSERGARRMYKTGDLVRYNSDGSLIYLGRKD TQVKLHGQRIELGEIEHHVKACLPETSQSSVELISVGQSQKALGAFICLTQEDQSDEK IAIFPMTAPFRSLAQTIVDSISTKMAAYMVPSVFIPVTKMPLTSSGKLDRKRLRNMAQ AQFEKLDKDDSNGITAYRLGAKKSGGRAPETAMEKELQQLWSTVLNVDLEAIGADDSF FRHGGDSVGAMRLAAAARQRGLVLAVATIFQTPKLSEMAKDMKTSEYHKFGSLNEAVN NESTVDYLPGPTKAFSLIASKAPQDIEALRQQVASLCRVSPEVVEDIYPCTPLQAGLV AASQRQPGAYVAVNAYKLPAGTNLEQFKQAWAKVVASESILRTRVVFVEDLGFLQVVV QSPISWTTLKDINNLPETSRHLPPHDGGILSRYAIAGEESDSPTFVWTAHHALYDGWS LPTLLGRVEHQYHHPEAPLAPVPNYSRFVEYLSNSDTVTSDAFWIEALSGSAAQQFPP LPRPGYDVKATNQARRSVRFTRPKGTEVTMASLLRAAWAVVVSIYSSSEDVTFGEVLN GRDVPVAGIEELVGPTLTSVPRRIRIDRSLSVQEMLLEIQRQLNGAIPHQFAGLQRIR TLSPTAAAACDFQNLLVIDTAEEVAEDSLWNNLAGGGSKQGADFFSYPLNVTCTVGSG GASNDSIIELCAYFDAGILAEWQVVRMLGQFGTVLERLSSIDNQTTRIGEIDLMGAED KERVREWNQTPSPVVDRRVHDMVLEELIRRGSGSTAVVGWDGTLSNGDLDALSAVLAK ELSSKGVGRGDGTRFVPFCLEKSVFAVVALLAILRTGAAFVPLDPAHPVERMREIARD CDAKLILCSPQFENTCLQVVDTIVPVDLASVKRLLAATTESVTDQGQLQLHDVSVPTD PAYVIFTSGTTGKPKGTLISHAAFCSGAAAHGPAMLMNPPFRFLQFASYTFDASMVEI FTTLIMGGSVAVPRGEDRTNGNIASVMEQMEVTMTLLTPSFARVLKPSDVPHLKTLIL GGEAMTQSHIDTWADKVNLVNAYGPSECAVVATVNPRMHRAAIPSNLGRGIGRCWIVD PQNHNRLAPLGSVGELLIEGPTLSTGYLRNEAKTREVFIEDPQWAKAESLRFPDMPPS SPRRMYKTGDLVRVCDDVSGEMFYMGRKDSSQAKLNGQRLELDEIVHHLAADDGVRHA VVTIPKTGACANRLVAVVSLRSAPPEAVDPAIKFHIVTSRQACDAVKQSEDRLRQKLP PYMVPSTWLVLQEIPLLPSGKLDRTSVVRFVENIDEETLDTINRALSSAVDSVHQGHS ATQDDVVSAEEKLKSVWSQVLNITPDRVGRNVSFLHLGGDSITAMQVMARCRSLGLTV TVRDIISSKSVHDLALKVDAPRHQRQGPKANGEDQHDFDPSPIQQLYFEQLMGGNAPS AGSRNFHQFNQSVLLRLAKHATAHDLERAIQALITTHSMLRARFRRGGTGHWRQRITT DVRGSYRFKTHAISDQSRIEKRVQNSQKALDIQNGPLLAADWLTVGKSDKDVFVFITI HHLVVDVVSWGIILQDLEDFLATGKIMAPASLSFQTWSRKQSEQAQSQKNGSSLLPHH EPAAEDLQYWGMIGTSNVQGDVVEGAIVELDADTTNLLLGPDCHKPLQTELVDVLLAA LLVSYRSATPDRQGAPTIYNEGHGRETGDDTVDLSRTVGWFTTLSPVHLPEESSLDGD IVNAIRWIKDYRRRLPEKGRPYFAYRMLTSEGREKYGHQWPVEVAFNYLGQMQQLSRT DNFLQSCDHLSVNSESDIGKDVPRLALIDVSAVVSAGRLRLSFSINKHMKRRDAINTW AKNCQGLLREAAERLMDRAPEKTLSVFPLLPLTYYGLESLNERLRSIEVNPSNVEDVY PCSPMQRGLLLSQLRDPEKYAYSAVFEVQSSAGEVNPERLHDAWQVVVQRHATLRTVF VDTVGDEGLMDQVVLREFPGRVYTMTCEDAGQDAEKAIVFCLEQIQGIDYNEKKPSHR LIICNTASGRTFCRLEISHAICDGSSIPILLDDLADAYGDGDDSKPIKIQKSAPLYRD YMAYIQSQPRADSVAYWKNYLDGVEPCLFPALSDGHAHTEPSLGSHIICFDRQVMGEV NTYCADSGITLSTLLQFVWAMVVRSYTGSDDVLFGYLASGRDLPVANIEHAVGAFINM LVCRVHIPSDAEIAEALDTIKGDLADAMAHQSCSLAEMQHELRLAGSALFNTAFTYQK RGRAQSSPSQSDLRYRVVSSEDPSEYVVAVNVEATDDKVEVHFSHWRNIISDAQIRNV ANAFQQTLYDIVTDKGDDCTVAEIEMVGPAGLSQIWSWNNYELPCVERCIHDIISEHS LQRPLDTPAVCGWDASFTYVELEAAASALARHLVEWGHVGPDTFVPLCFEKSAWTVVA QIAVLKAGGAFVNLDPSHPSSRLEQLIQDVGAQIVLCSPLHQEKMAKIAPKTLIVNAD SITTFSQERSGATSFPARPTNAAYVIFTSGTTGKPKGTVIDHGAFCTGALAHARAMFM HSDSRVLQFASYTFDASVMETLSCLLVGGCVCVPSDEARINDLAAVIRDMNITWTLLT PSVASTVKPESVPCLRTLVTGGEAMAAGHIERWGTQCALVNAYGPTECSVVATTSTKV DESHRVCNADRSSIGSAVGGRVWVVDPQNIHRLVPVGAIGELVVEGRLVARGYLNNKE QTDKAFIRSPPQWMSHPVLEGIEQTYPPGLTVSIYKTGDLVRCNSDGSISYVSRKDTQ IKLNGRRIELGEIESNCLAGLPADSQLAVDIVTPASSRAATKSLAVFFCCKVVEEAAD TPAGFCLLPMSDPLRQVAQALKTHLIAVIPAYMVPQLFVPVSGMPWTSAGKLDRRQLR RAIEEDVSRELLAGYRLSAGAATSKRREPASEVEKKLQGLWETVLGLPSGSVSLDDSF FGSGGDSLTAMRLVGAARAHKMVLSILDVFEKPTLAEMALACVWEQNTTTSVQQALQP FDLVPCPKTKLDTLLHEVSSRCHMDRQVIQDIYPCSPLQEGLVALANKQEGAYVAVNT LQLPHNVDMDCFKAAWQKVIDDTDLLRTRIVHTATSGFLQVVTAPQPVVWHQDDHLDE ADLRGKALGHQNGGELTRYSFVKHGHACHFVWAIHHALYDGWSLPLIAQRVQETYRQL QMSETAKFSLPDEPNSHARYASFIQYLGQRDVSASEMFWTNLLHGASSVTHFPQLPAT VASMNTTTKKFRAETCKLNLGRAQVLADITIPTLIRAAWAITLGAYTGTEDVIFGETL SGRNIDLPGVMEMPGPTFTTVPTRVRLSRDIPVVQLLRNIQALTSRLAPHQHLGLQHI KKLNQDCAGACDFQNLLTIQSSPSSRQNTEFADVPWDFQGGSSTEGFFTHPLVLECTV SDAGIQATIHSDEDVLSDWQTKRLVQQLESVLQALASASKSVNTTLASIQVISADDQA LIAKWNRINAQGDIEHLVDSCIHSLFLREASAHPDRVGISAWDAELTYGEVKEHATRL AFRLRQLGVGPETMVPVCVERSAWAVVTLLAILMSGGAFVPLDPDHPAARHKDMIETV SPPLLLCSPAYATRFQHLVKTCLFVDSDIIRGLPSGGLGLVNKNGARPDNTAYVLFTS GSTGRPKGVAVAHRDFCSSSRGYARATHIKSSSRVLHFASLTFDVALMEVLTPLTLGG CVCVPTGEERLHNLGEAIVRLRATWAFLTPSVAHLLDPDIICPTLKTLVCGGEAMLAE TVERWADRLELMNGYGPTEASVLAVVNPRVSMERDSSIIGRATGAARAWVVDPRENYN HQLAPVGAVGELAISGPLLARGYLNDPQKTAKVFIDSPAWGRGPAVGAVLATRIYRTG DLVRYRHDGALEFFGRRDGQVKVNGQRIELGEIESRLSVDDRVSLALVVQPKAGPCKR QLVGVLTLGGDAHSHPNTGDNQNGDEVSITQDCCPVAGSADRLARIRTAIADIRSNLG DSLPHYMVPSVWVVLERMPVVVSGKLDRVKVGRWVESLDDAAYERISHDFGLAGEDEE EIEVTGPIKTLRDIWAKELNIPADRIKLNKAFLSLGGDSITAMGVVSRARVAKIKLSI QDVLRSKSIVHLVELAKFSHSEPSSNQGPKAESGEDKPFPLSPIQTLYMSSTTKYSGD ARFNQSFSVSVARHITSDTIKQAIESIVARHSMLRVRFNKTTRGTWQQQVQKIQANAY CLVQHRVNSRRDIPAIVATAQNSLDIERGPVFRVDVFDAMAEDETIISMIAHHLCVDM VSWRIIVQDLGQFLETGSLPQDECLSFKEWCTIQAANTASLDKDTLLPFKEVPANPLY WGVRNPLTYGTTETRAFSLDERNTKMALTDCHKTFRTEPSELFVAAVGHAFSMVFQDR VTPTIYTENHGRDQASDSEVDLTQTVGWFTSICPLHVPGGVDEVDTVRRTKDMRRSIP ENGRSYFARKWLDPAANKENSTPMEVLFNYLGAGVRNVDDADDSIVRAVDLADHEGLV ADVGPQTPRLALFEISAIVVNNKLQFSFVYDKGLQKLNDVRRWVDLCKQSLEKMVASL VQQPAEPTLSDYPLLTPLTYGNLHKLSQTELPRLGVHSISDVEDIYPCTPVQEGMLIG QLRDVNAYFFHGIYDVKHADCRHRLDANKLLHAWQKVVDRHAALRTVFVESSRRGAVF DQLVLNRADSGAVLLRCSDEDVFGRLSQVTIHASAKLRKSKRQPQLPHQLTICTTPSG RVVIKLEINHAAVDGGSLAIILQELASAYSGSLELRAGPLFSKYVQYIRSLPAGADTE YWMTYLKGIRPCYFPKLIEDTATDSSNDRRLGSLTLPFDRFSELRQLSEHAHVTLANI IHTAWAFVLRKYTGSDDVCFGYLTADRDAPVDEIDKTVGTLINMLCCRIQVTETQALE DLFRATQDQHLQSVSFQRCSLARVQHELGLAGKPLYNTSISTQNHGNGNDWGRGDGIR LEMETAHDPSEYAVTVNVDTSRNSEGVVFRYWTNHITAEQAEEMAQTMASILNAFISQ PAKSVAALDLAISHRSTAGYLGPENIPSSGRTSSSADSFQTVPSQGPRSTSHKTTLLT LWSALLDLPQDSISGPDSFFELGGDSITAMKLVGEAKDHGLALTVADVFRNPCLDDMA ASASAADTYASDESTANGADGFTNMTASMLQDSHEYERFSLLAASNVDAFLQTDIVPQ TGVFRGGLSDVLPATDFQSLAVAGSLLRSRWMLNYFYLDGDGLVNLARLKRACFRLVQ SLDILRTVFVPSGGRFLQVVLRTVRPAFHVMEVENEDQLDTATQEMQRRGGFEGELDV DGETTPRLGEQFVQFTVLRCRQSTRHRVLLRISHAQYDGVCFPKILDVLVAEYRGESV ERPPSFANYLRASAGTLTSEHYQHWKKLLHGSSMTEVVRRTGPNYRRAGTGATACLKK VVYLPLVDSGRVTTATVVKAAWAYVLAQVSASNDVVFGHTVSGRNASVAGVESMIGPC LNLLPVRVKFGTAGSTARKVLNQVQEQQVANMPHEVLGFREIVRHCTSWPRWTYFTTT VQHQNVDQSTHVRLGDVDYRVGCAAGADEDFSDLSIFSQRAESDGADMYEMMLSFAED GPVPREFAERALDMLCSAAELFCANPDTPLPSDTEVSGAAHQLPFNDISTQDNDEDTF AVSRLRHVKKSQIDNLSTLVKKAWFQVLSLPATDDTIDMDTSFFAVGGDIVDLAQVAL LLEQKGLPEPRLEDLIDHATLRGHMAVVASLSGAVSTTDDRRITTAGHQGDRASILTV PSVHQDDNSFAKVVNIVRMAKKFMVKPKAKGQRVQAAI QC762_0072590 MGPSINNSPAQPMGTNRLWSRGSTTHETLPTLVPIFATSPLASM WRRDRAAPDIENLLCQSLPSNSHTTNARHLLGPEQCRHGGRQIHKVGIKPPDGAWEVP ESVFFRRNANAATMDEVGEHLFQTVVESVSCKLQETCMWPQT QC762_404610 MDPPASSPVSAACAHLDNSFGPHAGECRGGFDFTLFFEETILTI LPAGLILLVSLPRVWFMWRKAKKLTSGSHLVTVKVSTWVALAVLQLAALVLWSRLPSP VRTRTTLAAAALTFVSSLALSLLSYVEHTRNVRPSSIIGAFLFATLLFDIARARTLWL RDPYVVSLDEDGDSFDINKENSLAYLAVTAVVVKGFLLVIEALSKRRVLRPEFRGYGY GPEATSGLYNRSFFWWLNPLFWKGFQKGRVLDVDKRGDLPELDKHLQAGYNYRRLGNA WAATVSGGAKKTKSPYALLLTAFGVLKWVVLSTVPPRAALTALMFCQPFLINRTIRLS QEPITDESTQIGYGLIGAYFFVYVGIAVTMGQYQHKTYRTIAMVRAGLISMIYHKTST LSLKDIDPAASMTLMSADMERIVQGWQTMHEIWSNSIEVGVAIFLLERQLGIACVVPV ALSILSLLGSMVAMNFIVSRQAMWLEAIERRISATSAMLSSMKGVKMCGLKDTLMASM QKLRVDELCISKRFRKLIIWNMVFAYVTQVFAPVLTFAIFSVRARDTGDRTLDTARVF TALSLFALLSEPLASLVMSLATFLGSVGSFSRIQNFLQSDEREDPRKQDPHMVSSEHS LDLALPIVDMEKPSPHAIVIEKGDFGWNAEKSPILSDITLTVPWRQLTMVVGPVGCGK STLLQSILGEIPSLSGSVHLGSTCVAYCSQDPWHMNGTIREAILGTAKYDPKWYGRVL RACALGRDLKELPHGDSSRIGSGGIALSGGQSQRIALARAVYARREIVILDDVLSGLD TSTENHVFHSLFGVKGIFTETKATVLLVSSSVKRLPYAAYVVCLDSSGTISAQGTFDE LNHAGTGYVSTFSLASRPDWTFKPDDDHFSDDDDTTDVDRPKELHETDCGTSVSGSSR ERISHSHSPERAASSSSEIQTVNEADAINTLDSGRQTGDVQIYTYYIKSVGLWPTLIF VLGIVAFVFCISFPTVWVQWWAAENEIRPNDNLGYWIGLYAMFGGIAIVGLTIGCWQM IIKMVPLSGEKFHLALLKTVLSAPMSFFVKTDTGVTMNRFSQDLQLIDMELPIAALNT FTTLILCIAQMALIGVGSIYAAISFPIVLITLYLVQKFYLRTSRQIRLIDIETKAPLY SLFEESLRGIATIRAFGWQDALEMKNHTLLNRSMKPFYLMYAVQRWLTLVLDLLVAAI AVLLIILVVNLRGTVAAGGVGLALLNVIQFSQSVKLLVTFWTTLETQIGSVARIKSFT STAVPSEDLPGEDQTPPSNWPQKGAIEIKNFTAAYNEGDSDPVLKNISLSIAAGEKVA ICGRTGSGKTCLVSSLFRMTATHAGSICIDGVDTSTIPREDVRRRLVGVPQHPFLLKG SVRLNADPLGQATDVQIQTALQEVKVWDIVSRSGGLDADIDSLNLSQGQRQLFCLARA IVRPGNILVLDEATSTLDGKTEEMVQRLIRRKFCDYTILAVAHRLDTIMDFDKVVVLD KGKVVEFDSPWKLVEEEGEGGIFKKLWLKSVEEDIEDVPE QC762_404600 MSYVTMNFSQHVARPRQRIEVGRVLGLPRQFGNLEQGPGPEIWQ IWRGNDGLKWGAGSWRGYLFRAQQCLSKINRKQEIVIEACSETLEADTLSPPSLLLFL RCRSISSNPQNPCAMASNPPAKCCTIGVKHEGETTGQSIKVANKHDAYLATPTADKAH KGAGILLIPDVIGIWKNSKLIADQFAANGYLTLLIDVFNGDALPLNRSGPFDFNAWLT KGSDGNNPHTKEAVDPIVEDAIKALKEEYGVEKLGAVGYCFGAKYVVRHYKDGIKVGY AAHPSFVEEDELAAIQGPFSIAAAETDSIFPAEKRHRSEEILQQTGQPYQINLYSGVE HGFAVRGDPNKKATKYAKEQAFLQAVTWFDNYLL QC762_404590 MGSSKPPSSPAAPSSPPAAAAEAGTHGEEAAPIIVDPEFETEVQ GDGDSALGYAESSTASLSSSILQYREIHGRTYQNFKEAEYWAPNDDKQNDGLDLHHHM MYLIHNNSLFRSPVEDPDAVLDVGTGTGIWALDCADQFPAAEVIGTDLSPIQPTWTPP NCRFELDDASLDWTFSADKFDLVHLRFLIGSIEDWPKLYRQAYRCLKPGGWLEHTDFT IRIASDDGSIPIEDPKHPYAIWNRIFAEAGEKIGRTFLVSDKGKNAEWMKEAGFPGPF NVEHYKLPLGTWPKDKKWKEVGAFNKQSCLEGLEGYVLYLAVKILGWKFEEVQVLCSK MRSALANPNYHAYYGCSTVWTQKPLDEQAIGDAEA QC762_404580 MAILDRISLGTYPFIAWPTWLAEATIMILLSTVLYNLLLHPLRS LPGPKLWAATPIPYTRAWLSGRMSSNIHRLHERYGDVIRVAPNRVSFAHPDAYNAIRG HRKAGQLEHGKDPVFYKMSMHNILGANREDHSHFRKILSHGFSAKAMQDQQPLITQYI DLLMDRLQELTKGGREEAVTDMGAWFNFTTFDIIGDLSFGAPFGCLESSSYHPWVTAI LKGVKEFAMLLVMNWFFPSFSRVVKVLTPWHHPAKHTNEQAEFARVQVVKRLNSGVER PDFVQALTNGSKANNGRPLTIEEMAMNARLLILAGSETTATALSATTYYLATYPRVQT RLAEEVRGQFKSEDEINFFSVNRLTYMLAVLDEAMRLFPPVPANLPRKAAKGGDVILG WQIPENTGLEIWPWAVNHLSRNFTEPDKFIPERWLGGESFEGIVFDKSRHSALQPFSV GPRNCIGKNLAYVEMRVILARFIWNFDLALADEKSQMFPKAKSFGLWVKKPLNIRLIP VRHVSK QC762_404570 MLADRLLDNIILAKCQLAIADVGIDNVVLGLLTVAVIAVAVDYA HMLYLRSKMPPGPFPLPIVGNTFSLPDNKPWIYFEELSKRYNTPLITYWIGRQVPRNP TLWINEAWCAHEIFEKKAQIYTSRPRMIVFGELGSGQSNLVTMQIRNQEERERWRIHR KLMHIGVGVQSVRGYRAIQNNESKIIALDFLREPKEYVKHLERYATSVVSIIAFGRRV ASYNDPIITEVIALMQLAADLNVPGKSFPMLLETFPILAKFPRFMPWFKGLGSRNSKG GHYFFYTLAEEAIEQYNQKSPSEQASMPTPYVKTLFEEADKYKLPVAELSGLTGNLFG AGADTSSSTLVSFILACCAFPETVKKAQEELDRVIGPNRSPHWDDSPNLSYINAFVRE VLRWRSVAIIGGQPHSPTQDDTYNGWLIPKGSWIQGNVWAIHHHEREFPDPDRFYPDR YLEGNDYKRPFPNDKGYMTFGWGRRVCSGQALAEQGTWISVARLLWGFNIRKARDPTT GKEIDVDIFAFTNGLNMRPQPFPCEIVPRSQEIKEAIEREGRQALQDLKIHDGESQYR MSTFYQQQKKKVAQEPIIDEKGNVRFVKVGQ QC762_404560 MTPTPTGINVIIVGAGFGGLTAAIECHRQGHNVTIYENFPALKT LGDIISFGANGGRIFARWADGAIARKLRSLCIDLTDYGFRIHKYDTGEVVYHQPTPPQ REEAPVFNGHRGELHEVVFNYARDELGIPIHLGQHVDEYFETEDKAGIVLKSGERVEA DIVIGADGVRSKARELVLGYVDKPKSSGYAVWRAWFSNKDMIADPRTKEFCENGDTFN GWIGPDVHFLFSTIKGGKDCCWVLTHKDEHDIDESWSFPGKLEDVYKVLEGWDPVCKA IVEKTPSLVDWKLVYRDPLPTWVSKHARILLLGDAAHPFLPTSAQGATQAMEDGVTIA VALKRGGKDGVPAAVRAHQELRYERVRAVQKTGESTRDRWHKTDWETVKQNPKSIEFP REDWIHGFDAEKYAEDNYDEAIKKLAIKQEPEEETAPLATVPPAVVV QC762_404550 MAFSTSPIRPRMLIDGELVEASDGKTFSVYNPATREVSGEVPEA TADDTNRAVAAAKAAFPAWSALGPAKRAVYLKKLAALIREHRDEIAKLDAVAMGMPVS THFFAESAAGQYDHYAEAWPHIQGQASVNTPGHVTMTLRQPFGVVALIIPWNVPVHFF ASKSAPALITGNTIVLKTSEKAPLAVARIAKLVKEAGFPPGVFNVLSGHGIPSGQILS RHMDVRALSFTGSSRTGKLIQEEAARTNLKKVILELGGKSPAIIFDDANLEKAVAQTR WSMQFNSGQVCMANSRIYVQSTIAEKFVEQFKVSYSSSVKSGNPLDKETNHGPQADEL QYKHVLSYINSAKDSGATLALGGSGNLETSNGYFIEPTIFLNTPEEAKITKEEVFGPV VIINTFETEKEAIAKANDTEFGLYASVFTKDISRAMRVVQALESGYVGINTTSPNTAY DLPFGGYKGSGQGREGALCSMDNFLEVKSVIMALEEE QC762_404540 MLVFGHPQVFKTFNCAACFHPRGYIIACRSLCRSSQTAHHNMTF QKAPKGTIAIEEAVLDPAGLSWISASAPLFNPGHRQTPPDSPSGSPPGSPTRHSHLTS LLQDVHTTRLHQMDTHGVEYMLLSLTSPGPQGESDPAKAAIIAREANNWLSEQVKLNP ARFGGLASLSMHNSADASAEAVRAVKELGFFGLIINDYQDSSPGAPNADKEGKIYYDG EGFHEFWKTVEELDVPVYLHPRYPAAQDLEPGTKWGDRKQILGAAVQFHLDLSTHVYA LCSSGVFDLFPRVKVVIGHLGEGIPFNLWRADHWYNKPVKKATRPSKEDYTYYFTHNI SITTSGNFNEPGLKFCIDQIGVERCLFSIDYPYDTIAEAQYWWHGVDLPADQKELVAR GNAIRLFKLPLDP QC762_404530 MNFHLFLLSSAFVAVSGQLDKVAKEAGLLYFGTAVDNPSLNNQN YLRIARDPAEFGSLTPANGQKWSNTQASQGRFTYGSGDAIANIARQTGQQLRCHTLVW YNQLPGWDTVSSVYSRDQMQQIITAHIQNVAGHYKGRCYAWDVVNEAMEDDGRYRNNP MYRAMGVDYITHSFKVAQQTDPAAKLYYNDFNIERCCNAKINATIAMIRTVKAAGAPV HGIGMQGHSRVGMSPSKREMKETMARFSELVDEVAFTEVDIRHTKLPIGAAEREQQGK DYMEVVGACLETPKCVGITVWDFTDQYSWIPQQYPGEGEACLWDRNYNKKPAYHSIVE LLQSAASSGLRTSATPAPVAAVAVTAA QC762_404520 MSVMTHTQETPVARIAALIHGQSLRLPSLQPVLSNWPTLLSPHY AELKKKVGMKIDEWISDERVRRKAQIIDLPLFSSIWYPHATLDRLEMITWYSMWIFLW DDVIEDSATPASGITDKVSWIHHQALKYMEYHLGLSSSLEEPIPPTKYCTLFRYAAEP FRKASSLLQRIRFYEELKVYMDGCEVEQEFVRAGELPSWREYWSHRLGTSSVHTYSAL GEYMSGGNIPPEMFDTPELKELWVGINRHIVTVNDLISFKKEVDKSSFHSLVPIVMNE TGANLDTVVDSLVDTLRNIGDSMNRAGDRLIALAENNHGAQGRQNMEQYVRCFQTSAT GNYWWS QC762_404510 MESQPPRIRVAISGGGLAGASLIQALLKHPQLDVHIFESAPMFK EAGMAIGVTRNALTALDLLGSAAVKALENAGAVPMRGVRFLLAQGDKPGTVLDEVDYD SSGNKRLTSIVHRADFLRELLSSVPQDRMHPSKKLSNITTDADSDEVTMHFTDGTVHK TDILIGADGIHSTVRKFILGEDDPASAPRNTGVWTAMTLQPYAQARANIGTEAVDLDS PFEHSWIGKGSFVMHNLLSKGQLVQFVIAARDRTEGKADEWHRLVSSEEIKSAVQGWP DHLVKAIDALLCAQPTRPAMYLWDHAPARRYVSGPVCIMGDAAHATTPWQGSGGGMSL EDSMILSSLLGEVKTAAEAKVALGVYDHVRRARTQRIVQSSRETGEILLGGDEVDAYL REPGSFLHRWEFILDLDVERHRDDALRELHAALNEAHQTS QC762_404500 MKSSNLLAALLISVAAASPMAPRRAMMLTPPVEVDRRDGTTEFD PDLAFRKEKRDGTTEFDPDLAFKKNRRDGTTEFDPDLAFKRSKKRDGTTEFDPDLAF QC762_404496 MSTDKHEQRPFLADDSEEIRSAGHSRHPIISQRTLLLASAISNV VLLVISALLSGTVFSVLGHAQKKAQLPDPYSPANSIVEFEYRGVIRNDSRFIGRPTQE WDKSMHDLMAGTLIRISHEELQLAGTDSIPLRDGGYAAGLGVAHNLHCVKKIKQFLYR EHLYPDLDTGSQRFEDLQTHADHCLDFIRQGIMCHLDYSLYTVYWGERKDIPTHHDPP VQKCVNWEKLHNWMQERSANTDMLVRPWTE QC762_404494 MASQDEQDPFIAKGFNSEDDLKYASRPKQHPKTHLIIFIFQVLF FLLNITLFMTTKASNTDCTSCGALNQNVDHSPYSPAHSAIRYKVSQDKYEEGPSPFSG VPRLELDEAWSKLLRSSMIRLSADEMRKMNKTSVMLKDGSGYVGYLEAIHMLHCVKRM HQAQYPEHYPKLQAEDAFSTHHWDHCLEVLRKGIMCNADTTINTYLWQRDSHGKLMIK GNRTGPRKCTDWDKLQEWAEDRTIYGSDRDRFLESLVSPSEHGGGLGPLELGT QC762_404492 MATYVTEQSTTAIGILFPTLAIILLGIRTYGLFRHYSRRDIGID DVLIVPAAFLTVTSGVAMAIGAQMHIIGGHSLDGSWGPEDNVKLGKFEYAFWMGHILA IGFIKLTLLFFFRGLFKGRAERTAFDYSNWILIVLVILWTVLFLFLEIFACGLRPESG WESFESLRTKCMDTFGMLTGCSVFSWVLDLAIFIEPLIMIRTLNMSLKRKVQASIVFL FSGFAVIAGLLRMIPWIQIYMQDVRNPTIRILADDLPVTDQEGVVSIVLFWTYMEIGV GFIVSCVPRSAWIWDKLPSLTPLLSKMSRSFTSVASRSSTTKTGTADHDSERKAQGMH SELHSQRSLVNMKGTSIGSRRSSENLELGIMS QC762_404490 MKSSILAFLAVGVAHSAGRLLHATRHGLVPDTVTSNVIPMRKHI VPSFGHRSSVVKPVYRRTADVNHPANLTNVHDVYYIVDIVVGNQTLAVSVDTGSSDTW FVSDYFECVRFWWQGPEYKPNCGLADGFTGNLSGGVLDNPPFVRSYMDTTFVSGYYGF EDVTVGSITAKNQRVGVVNYTFWAGDGLTSGLLGLGYPFMTSLDGAEQNQAPYDPVFT TMWKNKLIDPLFSIALSRESGDQGEPDGEVRTPEGSYLALGGLPPVEVDEESWARTKI HGVDAIPEWMFEQSDLGLYIIKPDSWVYGRETEDVTPAEADQGAVMTHGLTTNTTQFP VLIDVGATLSLLPKGLVQKLYAAFDPPAKYLSTNGLFFALCNATIPKFGVNIGENTFY FAPEDLLRQTARDPSGEYCRIGVTDVNGGPYVLGVSFLSSVVAVFDIENTEMRFASRT KY QC762_404480 MSALFHTKDRSAKECHHVPGQERSTKSTILETGAALTQDFTPTK NICAHLNAFHVYASDPTRVVEANHYCGHLTEDVRQCLLYDSPDPGARLIGVEYMIKPH LYETLPQEERRLWHSHVFEVKSGMLVMPQPNSAVPTAAWEKAETEEMKEVIELYGKVY HLWQVDRGDRLPMGAPQLMTSITSFDQVPDLEKKMDERDQKFVGQAVDWRRKREIRKD LVEPTVHPDADYQVKRKE QC762_404470 MDPNNDFPNSTVFVKVDDDQEMKVNMTALIFAIVALIGTFAQVL QQYIASAAGYSNCGESVMGEWHKSKKRAFRATELRFEVQFETPVIFICPPTNTKGPIP AKPIYFVDGSDQSLKDTRALLPKDEKSREERLASSKVHTADNERATWVNLLSQLQSME RESQEWQKDFYGQNPPLNPRSHIGFRDHTLAIAMQVKKRSWDNMPTDIKKPYATTAIC HLLELAAIMGIYWQEFDRSRDRYRAEGNGYMLTGTNVNDLGLVFTFQICGKSRFKENR VIPVDEVKDLCCGFVPTLFQKSDKDKRRVEFPNEDAKDLSVLQFGSMNEIAETMVLID CNTNTANYFRSKNTTARHSHLFPVPFELLGMLGKTLHIRNSAYRMLPNPTPYHWDKNF FNLRRLIKEYKKKIDDTDSEIAPTPRIQDLKADVAELVFDLNLDKKSATPGYSLPLLN NLHGVLDRCDVYLKEVADMDLVRMVVREHFQRILKMINDPQDKPGANTKDDGKHATGE ERQRAERFSELSAASPEVRQEAFMELYFYSVLPEVRYRAVTSYKRQQTTGYAPSIRSR SGSLETLPDMKSFSEPVTPTVVSTPNRPLSPALAPPVPSPGPPPPALEKHRSTQSVPL LRVSTPTPPTVDKTTSLDTDATDIWCTLVLRMLCWLLLHDFNKKDVQIPKSELLGNRL PVYIA QC762_404460 MPAGEIVTIINNSGKVISTGKQLVNIFKDAQAAYRERKEAVKAE KAQRAGIRRAQTFDVNLTRGGPYSEDFNYTHGPGKGGFIIEEIDDEKEKEYERRMIGA PPGRRRSHEDDDDGRSHASSRLTTRSKRTSYRQQAPPAPAGPVSAAAPRTVVSLTESA LKAHSEISAAAPSKALSKAPSAAPGPGALVHRSRTEPVVNTVKKKKSIDMDLAYGSIP PDLAQRHDLAPKNVPISRSIGPTAITMTDRETEVDPQVDIDPQEAEALGLMDKIEEFL QEADCVHASATNMIESLQQKPEAAAAVALSLAELSALVGKMSPAFLAFLKGGSPAVFA LLASPQFLIGAGVAAGITVVMFGGWKIVKKMTGNAPPVKEQPIAMRALPMASGAQQHQ QRLQELEGQAEQQSEASYQEPLVVDDVQELSSIEMWRRGIEPAFVEGTTVAGDDAAEI EMMSREAEKYARENFRSNKYDIEVEPSDSVSQIGWSPSKSMRTYKTYKSRSSRHHSTS KRNREDRMTEVSVDDVPERRSSRKDDGESVAGSERSHRGSRKTRDSKDRDGAESAVSD RSHRSSTSRRDHREKERDRDHSRLEGINEKDEGSSVAGNERSHRSKREREREREHKSE KKNDKDDDGSVASFRSARSTRSHREKERDRDDNSSSVSRSSKHISSKVTPVKEEGADE KDDRIKKPNMLKQLFKKLKDKEDRDSVVSVMA QC762_404450 MAASQCPGGICDGFVDLLRSPESWSVSHPGWQHDAAWDDMVTAG ESGCRTCRVFLKAASCFPPQPFYVSFLCQPAKVWGENGQCTFTGAFHLSIVYQNIIKL FKPDDGSFCDWPLPPLPQNKRDLSLDGKVTIATSWLTACRDNHSDCNSYSEGVDEDTT KLPKRVLDVSPPDGHGQLRLYETPEGAKGRYIALSHRWGQTQPLTTTKGTIGNWKQTI PWKHVPQAFRDAITVTRELGTRYLWIDSLCIIQDDHDDWSKQAPEMCSIYSDALLTIS ATRCNEGCTDTLFPDFQHSVTVDGDPSITVAVRAEGPHVSSSAHYPLLQRAWVFQERL LSRRILHFGYDELNWECMEKAWCECDPNTIYATLPHLKSSRSRNPTSALTPNFPTSAH HDMWRRMIYWYTQQQLTFSTDRGPAILGLAKEHMTLTRPKNSVYLSGLWSDSLVGDLA WEVTAVVVAGPVRPGTTAEPRLPGPTWSWTTVADAICMWPLHWVDSATTEVLDIIPPP AFAVPSTMTSAALDLKSTRHCITLKGKALKGISTNSKDPAVARDRKKFYNAWFEASFG ATSGTFNFASDYPPPSESGDSIVISDGETVWWLHLGTNHVEGSNPSNRPLEEIGLVLR CVDKTLGLYERIGLAGSRQGQWDDQGEEIVASLV QC762_0072800 MARWTLSTDTHQVVLDLFVYAVEMHLQYPAGCLLTLDSLPQSLQ DRLSLISHSRPLPGSQNLIPPEDHSEEAWTATEIAVRQVFATLSSCDPRDVRRSTTIY RLGLDSISAVQVASMLRQSGYHVLASDVVSNPTCQGLAHHITSGKGVKIRTPQYDFAS FESQVRPQILARGVVVPSMVEKVLPCTPLQSSMMAQFVTSGGRDYLNYLAFEFDTPIP VAKMHEAWQAVCATYPILRTGLAPVEHQDCDFAMIQYYTPSFVPLLNTFTGPEVAFDL DQWRLGTRHQIVEAPHKRLFAIAFVQEVSHLTMHLAIHHALYDAQSLQLILSDFSKAL HGLNIIQRDNDEHALGEILDEVSTPSDKIESFWKQRGQQVVVNGFPTMTPLRQESREI MTQSLISQVPMISLEEAVRSSGYSMQAVLQAAWTRVLSSYLGEQSVVFGVVLSGRNSE ATRKAAFPCIGTLPIIATHTKSNRKLLAQMMQYNAEIYSQQHQPLTRIQKWLGYADAK LFDTLLVYQKLDVDNAGTRPWRVIEDQANIDYPISIEVEPLAEDRLKYQITFFTDVLP SEQSELLLRQFDATVCHLAFSPDHLETELFLGAPNLFSVLPPKKPELPTGVRFLHQFV ERQSLETPEASALQFVESFDGDVPIDQRWTYKELDKNGNRIAKLLTQHAKVGDIVAVY FDKCPEAYFSILGILKAGCAFVALDPGAPASRNEFILKDSGASALLTTDARKRSLEFE VSIPVMAINQETLRLLSTEPVVLSPSLEPSNACYCLYTSGTTGTPKGCEITHDNAVQC MLAFRHIFEGKWEPESRWLQFASLHFDVSVLEQYWSWSVGITLVAAPRDIILEDLSGT ISRLGITHIDLTPSLARLVHPDDVPSLCKGVFITGGESLKQEILDIWGDKRVIYNFYG PTEATIGVTVYPQVPINGRASNIGKQFINVGSFVLKPGTDTPVLRGGVGELCVSGRLV GKGYLGRQDLTRERFPLLQPFGERVYRTGDLVRLLHDGCFDFLGRADDQVKLRGQRLE IGEINHAIRKGVTEIRDVATLVARNETQQKDLLVSFIMNDEGSKKSPDQALSLIEGTQ AAELCRRARDACRSRLPGYMVPTYVLQLPFIPLSANNKAEIKKLRQFFDTIEHGRLIS WASAADRSSGELSPTGMQIARVLAAMQRIDIASITPRSSIFELGIDSISVLRLSRALK DSEQLHASPATILRNPLIGDLSQALKSKESSPGTESVASARQLVQACAHRFRSHVCAE LLVSLDAIQYIAPCSPLQQGMLSRSADNAYFNTFRFDLAADVEPGLLRRALERTVVAF PILRTKFVETADGFIQVALKRVKLPWVTMSLDNERQSHQAWTPQLGSIDTPSLDISNP TLETVPTSLDGEMPLQYIDSSILPVWGCLPDKVSAPLKQSGPALHSDELVTEAVARWR TSWIARNRQRLIQPFEVAYLDSPRHLVLHIFHGLYDGNSLKLILNRIASEYQALANGL TDTFTDSAPSFLQALCYGPLRNFTSSRQFWAQHLQGSTPLSEPDKAHSSKNAVRVRSG LSFRKLEKLKSILRVTHQAIVLAVWVGVLAKRLAINPTIGMIVSGRAMDLEAADRIVG PLFNTLPFYARITSTEGGRWTTWSSLIQQCHNFNTAVLEFQHVALRDIQKWCSGGRPL FDTLFSFQLQDETMSEHCSSLWKEVEVDHTPDYPLALDVTLKSDGNLSLLLVSQEHAF DHKSLSGIMEEIVEVLASIPQRLDESVVEGGGGGDLASVRAQQNTGIDSQTSSSQIGA HAVSKFIWTEDASKIRREIAELAETPVDVVTETVPVFELGLDSIDMIKLSARLKRKHG LLLRTSDLMKAQTIQSMVQLLQDRAESYDVPEEIQISEKDQTMSSLVEIVGRDALPPT PLQEAMVADMIESDFQLYFNHDIWKLSPGVDVTRLKSAWKAVVQHSEILRTVFVPVEA TQFDFAYCQVTKPEFQGNLIFEANLTSVDKLGGLCEAARLRAVGGAGQKELLQITFAT INNSDEVFAIISISHALYDGWSLGLLHQDVRAAYQGVSGVSTKIDHSTLVDQLLLSNQ PDASKFWSGFLEGASSTIFPLKPLPHQQGIHRVEYASASTRSKITQFCKSIGITLQVL GQACWAALLASRTGSLDVTFGVVLSGRDSEELEKVMFPTMNTVAIRSVLHGTVSSWLR YMQDNMIGIRPFQHFGLRQAQKLARSNGPLFNSLFIQQHLPFDYSTADGGTLWTSIGG ESAVEYPVCVEMEMSGPGLVWRAACDGTCLTRQDAKEIVNQLDAVLQHIITSAEESVL LFADQKVSICGLPPAELDHQNHTKPQEDGIVENDKVSAWSSTESRIRNVLAEVSGIPT ESILKSHSIYHLGLDSVSAVKASSALQKQGIKIRFRDLLRAKSISEMATLLQNHPSPV AMRGIDTVEESSVIDGLGVPGLLSAAGVQESTVETVLPATSMQVHMLSVWQNTQGAIF YPEFRYELDGAVDLKSIIVAWNTLRAETPILRTLFLPTRTRDVPVLQVVIENNYADMT PPRPKTRSWFAKLKEKLSPDQTAWTSFAPEQHKRQPFAGLEIKRHGKKWALVFRIHHA MYDAVSLPAILVRFCAHLSGVERKPQVATTAWEKALGVEYSPKSKTVKMQFWKEYLTG AKASSLTLEPKTAQQSQSSSPRRRRLHDIPNISATRSNQPAEPQSWTSFVKHEAIQDV KPLVQLCMSRGISLQSLFLASYAKFLSSKVEKKDVVFGIYLANQSEEDEFLYPPYPTL RLVPLRVNFANDDADLFDIASRIQDDIHAVSSGANATVGLWEVEDWTGVIVDSFVNFI SNPSDGNAVSSPKGKVKLIASPLVGQRTVKRRGGDGMEDIGANPARGSYPAAIDVEVS VTGEMMMIGVFGPGEKVGPKGASTAVDEIVEILKGLVRN QC762_0072810 MDPSVSLKSHQVDERLSVLNHPPKRLNGPSLLHLLVHQTSAEPA IDFLDDEGRQISISYPQLHHASSVLASAIQAQAGSRDNNRQFVVPVLVPQGPNLYIAL LAILKAGGAFCPLNLDVPLERGQFILDDVEAKVVITTRELANKLPPVGQTGRIVLLVG EDTPARAARQSTGDPQHYEPKPHDLAYVMYTSGSTGTPKGVGVSHDAATQSLLAHDRH VPPFSRFLQFAAPTFDVSVFEIFFPLFRGKTLVSCTRGAMLNDLPGIIRRMSVDACEL TPSVAGSLLRKRDSAPCLQLLLTIGEMLTKPVVEEFGGSEEKESMLWGMYGPTEAAIH CTVQPSFACSMSTANIGIPFDTVSAFVLNIPEDESEPPDFRVLLLGEVGELAVGGPQV ADCYVNRPEMTAKAFVETPYGRLYRTGDKARILPDGTLECLGRIGGGQVKLRGQRMEL GEVEHAALRTTGCHSAVAAVINSILVLFCAVDHTDGMVNAVEASCRAWLPGFMLPGDV IVMDAFPRLPSGKIDRKRLVTEYNNSQAGSEVIQQGIFRDDFERKLCSLAATVLGTEV KPGQNLLQMGLDSLAAIRLASLLHQAGIDSDAIEILRSRTISALHACVSEKQGHRPAS ILDTLHPRAWQPDVPAVLAQRSELFRDNRPVQSVYPCTPLQISMLAETAANPRAYCNW IKLRFPIPCSPDSVRSWFLRLVERNEILRTGFVHHDGDFFQVVFERACKSCISFSDSP VCEFELRDDQDFLTPFKVQISDAQTIGEPADVEAVVHLHHAIYDGWSWDLAMADLAAL MQGEQLGERPQFSKIAYYYASPSFRHISDAAKEFWAANLRGFQPAALPILRAGTAKAS TTSTSSVIVDINPNELRRSLDDIQCGIQTIFQASVAWIWSAMVGSDDVVVGTVTSGRT LPVSMIEDVMGPCIATVPLRTDFSQVSSIADLLVSTQATSRAILEYSILPLSEIRRAA GVRPGQPFYDVLFVYQQSLQTSKPDCVRGFEEVGHQDFLETQLVVEVEPRAHDFVLRI TSHENTFPDQQAIALGCCIAEMASWMLRNLDSKITGIQAAFSESLLSVFNPKPVTFAG VPDLAHAIDVVVANHPDKEALCFAHRISDDLVKTTTLSFAQLNQTANQIAWHLQKHGL KEGAVVAIIMEKSVLLYAGILAILKAGCGYLPLLPTTPETRIGTILQQAGVSFCLTDR ITRDKLSPQLCPTIMDLEGLEYMSLPVKAITPNPDPSRLAYVIYTSGSTGVPKGVCVT QLNIMSNLDVLSRIYPVKGCSRLLQSCSQAFDVSVFEVFFAWTQGMCLCSAKNDTLFE DLERSIRMLEVTHLSMTPTVASLVDPDKVPAVEFLVTAGEAMTEAVAKKWGRKLFQGY GPSETTNICSVKKMGPNQAIQHLGWSFENTSTVVLFKDSEHVVPLGCLGEFCFGGDQV AQGYLAMPALTSSKFIDHPTYGRLYRSGDIGRMLPDGSMVILGRVDDQVKLRGQRVEL GEITATLRLSSAVEDCASLFLRAGPEDSRDQIVSYFVPSGIQANEYSLLELNNDLRQK VQSLFRVLTSKLPQYMVPSAILPISVLPTTASGKLDRERLTASYRMLSQEYLASVTDH VADNDEDHEEWTTAEQKVARVVTEALKISRHEVQRWTSLVTLGLDSISAIQLARSFYS QLGQRIPISTILQNPNVSRLAKILLDLEKTTSEPPKAQDLLPKTLLASLKEILRQQGT SAQEILPCTPLQEGMLAATASKEAYINRMLFLVNGDPAQLGTAWNAMVLRHGILRTCF MTTSSSQHPIVQIVLDSWQPDWREFDASKERIPSCVAEQINLLGDGVDSLRPMISLAW IKNKTQRYLSFVCHHALYDGVAVERLLYEIEKTYHGLSLPPTPSYAAFLQESSTLPEQ TDSFWATHLSGMQPKLPSKLDDFSFLSQKSEQRSTK QC762_404430 MSPHSISTMDETEAVPQLVNGASQFPKSQYVTPTEVDAVHDLVC IGFGPASVAIAIAMHDAMEAGKLKQCPKVLFLEKQPQFAWHAGMLLPGAKMQISFVKD LASLRDPRSHFTFLNYLHKNERLVDFINLDTFTPARAEFEDYLRWCANHFEDVVCYQN EVVSVAPVQEDGPAKIFEVTSRNIKTGVTSTYRTRNVIVAAGGQASLPDIFPAHHPRV IHSSQYAQRAPQILGDRSAPVRVAVVGAGQSAAEIFSNVQSLYPNSKTYMVMRSEFLR PSDDSPFINSIFNPEYIDQIFPKSAAYKAKFLHEARATNYSVVRLELIEHLFETMYHQ KRTLGADEKKWPHRILAGRELIKVEDKGDGLRIKVARLSTTGVSDGPLLNEEDLDVDL VICATGYKRTAHVDILKGAYGLLPEFDVAGEQEQEQEQIGVPRKDRWVVESANKAQES SKRVIEVGRDYGVRFASGAVAQGSGVWLQGCCEGTHGLSDTLLSVLSTRSGEIVESIF GVSR QC762_0072830 MPTCILAAAGEWVRPGRIGRLGCQNCRVFALIPITSFHMRPSAV PCLLLASRRRPRLLRSLQSTYLRYRHTGKPESVPVTKPHPCRRDSTSTVGCQGKPLRA AAWWPDRSKIGAYINSYIYQVPEPLLLLL QC762_404420 MGRPEDLPEVISNYYVGDPQRVYAQYKYPADYDDAPKLPFEPPT PTADVYRQNQDRQPLWPQSASGMPLSAVSPNSSVPWEPVSPVGKEELYVGPHLKDEKK KTCGLGKRTFAMVLVAVIVLIGAAVGGGVVGVMRAKHGESSPPKTTTPATNRTSSEPA ASSLDDETPNVGFMLQAWEEPQYTGDKTRVYTEEGFYDFPFMAWSYVWFPNKTDCCLT FCASPTNHTPTGWWCDHRRRPKTDKSFGRVNIWCGRGSNTSNQRKCNETQAGGEWDKS QC762_404415 MFAPSSPYLHLQHHQFDRAMNPLYYSSRAGVRGKRSSGPSTCTC RSRRRGCGRTLPACPNCRRQGQEFAIGSWHRGNDGRLVARGGHQRGILSVRSRKVDLA NKRAGHHSVKKHSFILSLPRGLSLARLVRGDKSCYRQKNGDLPLSVSFPLSDGEDLEM TDLYELLVKMSLCEEEAMQQEQQFEVLSLPCVEIDMQDEIPAVFQREATPPPESSSEP ASISSSATSSASSSPVTTPEVDSQGETAKDGPSREAETEAEVKAEQVEPDTEEEPASE QACIMQEQTEASTAKPTTPPQSLLNILHPLHQSTPLDEADLATMLNWLLQPDIFSHLS VQSSSAKSSFTAPPKDDDGSPFPSTDHFLQIMEVISQLTAKVLMKPSSVDAEVQEKGR VEITVEHLSVIMNLRLLLDYAARVLCIRSIETQNNNTSTMGANANAQQVA QC762_404410 MQPQPALKSTYKAPPLPPGWTEHKAPTGHTYYYNAETKESTYKR PVTAAAPPTAASANPASSFWQHQAVPQINLSDPNVANAFMSQYGQPQQQPGQQRAGRG PGGRGGSHARPKPQPIDKPRSKVAIPGHEPWILVYTKYGRRFAYNSAKNASYWRIPEK LMPAILELDKARIRQKAEGKALDTLQGSEGPPEKTDGPEEQEKDYDSSEYEEVEVTDN EDGGDGHATKRQRTEDPDQSGNNGPVEFTEEDIAAQLAAMGADYGEDYEMGQDYDDYD HGEAAPQLSDDDARELFKDMLSDFNINPYSPWDTLIEQGRIVDDPRYTVLPTTKARKE VWEEWSRVTIQELKELRQKQEKKDPRIAYFALLQDKATPKLYWAEFKRKYKREDAMKD IKVADKDREKWYREYISRLKLPQSTLKSDLKKLLESVPLSQLNNRSSASSLPPAVLTD LRYWSLDTKSRDEFVEGYIAGLGPPPDSGAEQGAGEDEATKKAKEERRKRERALQDRE RAVQEEKHRQEKKLQFERARLREEERELERAMQVGKKGLQSQLTPQEGERFI QC762_404400 MATIVGEGPDEDSFTPSTTNGPSAVELAHRKLSQSISHLPSPIL TPSDPRPDPTTEEQLVYERATTSPTRGVPTPEPSEESQSDVEPSTELSSFEGNSHDAK PEILNQTTVAVPKTRSRSNTKTSNPGGGVRRLSASKMQELTAAPESLPVAAVPERRLA DQPLSAGIAETISGTAVADRHEFPRVDGLDTRQDAGNARPTFQARPNLGGRTLSTPPM SRRKSTSQPPAQSSSSNRRNSFQPPPRPAPLDLEGKGNHASETSKQPAPRRGEAPEDI PPSPVPPSVPLPPMSVPTLLQLELAGQRPSPLYIHHSYTTDIPYESSAVKFERLKNVM MLPWFLERTIIFGALACLDAWLWTFTILPMRFCLSLSILVQWWCHVLQKEARWLVGFV WYGLGRMWERGRRGRSTSKTNHYDLGQMDESRSRSRARDVGDGVGGQSSGVSAAAGEP TSRRCETSRTHSGGSVPLSKRPSNRPPRTFRHRRTKSSPSNLTSYNKADLLQGAIIIC SSVALMNLDASRMYHFIRAQSAIKLYAIYNLLEVGDRLMSALGQDVLECLFSAETLSR NSSGRSKVMLPLGMFLGALVYNILHSVILFYQVIALNVAVNSYSNALMTLLLSNQFVE IKSSVFKRFEKENTFQLACADIVERFQLWIMLVIIAMRNIVEVGGFWVPGAGGDDGGP SSFPLHTSSILPASFTIMPSWLWSGEVLSPFFVVIGSEMVVDWVKHAFVNKFNNIKPT FYSRILDILCKDYYTNAFVTPSLTRRLGLPVMPLSCLFIRAFVQIYNMFLATHLPTPL PPSTQTALSVDSAAPSPAMVAALDRLDNLIRNALGRAVYGSPYPDLVSSPDNATSEQV AAAAQAGMGTKLWMRWQFTSDDIIAGLTMVVVFLLVFLLLLIIKMLLGMVLLRYSRDR YAKMKMKEHAIAIGKQERESFDAKGRRVGGYGNVEVGDDRRRWIFADDPEGLRKVQER DKRNRDKEEREKEKDFSGVVRYEMVARRIW QC762_404390 MPETNGTQLTEEEIKSFGPLAKSLEERRAAGPKVTTAYPSGPPQ GMQAYLGPSMFQPHRARQAIRDAHEKKIPPLIGFYAGLSSIPLMRYMAPFGFDVVWID WEHTSCNVETMTSLVHDAIFMSQGKTIPFVRVPGHDHAAIGFALDAGASIVIPQLETV EEAKHVMSSSKFGTKNRGTRSAPPFRLIPTLTDQGYDGARDVWQNWNDQAAVMVQIES LAGINNLDAILTECPDIDVVWLGALDCRISMNLPANFGMGSEPEWLEAKEKFYATLKK HNKPLAGFCFAPGDALTEAAQEHSMILHGADVTKLLELQQELASAREAVKGVVKQ QC762_404380 MWSILHLVVISAAFRCISASTDTATKPAHPPLNITALSSRDGYS VLECWQLASLPVDAMQAANYVVGGQTKRAVWSRIEPRTHIGEAWAPHAQLSIILNGLI RITSPAPRPTDGIGKGPLNDSVMMSIGHGEGDMGGVGEDQEYKKPETKTAYILPGTLR SSMLIAADLKSISTLAGHYTEFPSDEPTLLVQIPFDGDAVPEHIVLYEGGCH QC762_404370 MSDIIESLKDVVDPSRREQATTETYDPHTRGPYPDHKPATDNNP GSLAAPEASQPALEKADPARKEQADLSETGAKEKETK QC762_404360 MGQTALNAEPLATPLQMLGNVQFDPSSPPKVKCPFCRRVTTVER EEVRKGTELWRNLCCILSAPFCFCSLVYCWDYVDFFCADCHSKVACQESERTGSGLVV YGPGGQTQRYHSSTTA QC762_404350 MEPSNLEPPSYTSAVTNNSCQLQVGAGGLPPPPYAATLPTPFSP TAEQEDIIQTIPLHLLHEYDHGPYIRWINCPFCLKGTPVRRAVTRGFHNGVLKMWLGW SIITGGIGMFYRPTHNDTVDYFCGDCQNKVATSHPDKGPMETFGPGGHSRTFDR QC762_404330 MALSPDIVEFMASASANLASRHIILDSSQPRDIFHFGGTSSQLA DSRLSLAIQGKKTATTSWPVPDPLRWGVGDYSVILDGNGKPGALMQTIELKVCKFRDV ADDFALAEAEGSVDEYKQGHREFYTEQRLRDGKPPEEFGDESEVLCERFVIVFVREDL RPHALQ QC762_404325 MALPAVSVLSGTMASLSLSSESEPPSRTRLGLEHLPNELLIPIA QILVPAPPQTTRFALRPTGTWEFRDAEHQWAHWLASHRNLLALAQTSRRMVAIAKPLL YHTIIIPNPKSLVVLYHRLYTRPEIRPWVRELSCLVSLAEENTILGTYREWANIRGDT WAIPPVSHDTSIASELLKRVLLHSVNLRDFLVAFPDHALTATSLTEPQQDEPDPPQSH HDQYQQTQDQQQQPQQPRPGAFVLPVSIPARRVLHRYIHPVGGQVAWRWFDISFFFPL DRLRNLTSLRIYCNREDGARDRSLSRILADYAVTILPQLRQLKTLELCCDQATVTSSV DSKTLSLPALPQLETIRFYGSSIREPNLVAFCLACTNLQTLVVHFEASSTDEDREDLP GGKTLSEALRERAATLRALELVAFSEGHYLTRGRERPRKPENHRLMCIPDLIHLESLT LDYRGVFGTLGILEEDDGERLCQLLPESLQDFTLVCEWGTENDWKQSYMANLDMVLHG VQCLCQSRTRSPNLATISLAIHSWPAEGKFHRRFRREVEAVRRRCAWAGIQFRTFDLL PSYRDEDEPEFQDGDEEAGPAGQDEGHSDEWESGEETGPGLSRAAAPATGGGSLLIPP DDYDYPELEEEDEASEYYNSGDEEPDPERAARRPPTFEAFVEELGEDHGHSLDELFFA YHEDRWDQYLF QC762_404320 MDLELLPHLVQPTYQVPYLYLASTHPLPTHGESLLYNYTQSQVY QAVTMPLPFTSPPHLPPKEHDYIIIGGGTAGCVLASTLASDRNVSILLLEKGHERDNL LSRNPLFSQNFELPGLQSVCRLSEPVLGTRQQRAKIWAAEAMGGTSRINGSLWTRGIP AGYDFWAKEFGLTEWSWGKVEPFFEMIEEKVPRREPNEVLDMVAFVDKTARAVGLPLE GNVNSPRAKAQACFRMHQTVDERGTKASQNRIWLDSETVKKTPNLIIATGYTATGLQL NSTGARVEGVWVKDATGKYPGMNLFKVKKEIIVCSGVVGTPELLMKSGIGPRDQLTPL GIPVVRELNHVGRNLTDHTSFPIMSEIPQNHTIHSLQNPFVLVWQLLKWLIWGKGLLA ASSTPRTLFVQSSAIDDTSMSILTRNPDTGQCTMDPNDTANIPNIEIMVTPVNTFMEA VIPNKSLTSWYATLVQPFSRGQVQLSPSPSGRSEDDPAIKIIYPMMTDERDWAVMRKA MRFGMRFAEEFANQYPHSAVLSFAPGMDLMYLDSVIEAKRAKGKTNKAESSAGVPDIT DPHSQMSSSSSQQVPQGYRGKTWQTVTDLEIDEYAKRVWASALHATSTCRMSLSPEGG VVDQRLRVHGIENLRIADASVFPAIPSAHTMAPTVMVGRRLGEMILEEAGRSG QC762_404315 MYSRACAILLARWKMKWVKRRLKVLLTQEPRSPTAKPPSINTRP IPTPSARSPPTINPHKPFIPHSLLSLFEPYKLSSAICVNMQFRLAALIGTLSTFLLSG TATASPVFSDHAMAMQARQSTRTLGFIGCSMAENVAQGYVSVGGRRLWEPYGTSGMVV QSWTNSNSNSWRLFDQQAARYGKPTAVWVQICIFAQQGVTDDEVKRLIANARQHAAPG AEVYITGQPVYEGGNTCFLAGSKGPELTESLAKRAAEDPELNVKYPGQFVLKPGEVAD GCHANTAGQQSLGRQALAYWG QC762_404310 MAAFQNMPQAWRRLIFLIPVLIITLILASGLYTGSLPKPRMPKV VFDDSHGPFHSDCQANHTPPNLDSLPDIIRALWQPLILPITAPRFVTLDGTEKLLPPQ NELVHTKPMGKRICILDVDTRDLAGEGSIFYSEGVPPWDKLGSPSAGFLSHYLYAQIH GYSYKFIRAPQYADRAPHWSKVIFTKELLKQYDIVVMMDYDAMFPSPEVPLEWMLNYW KIDRDVIVAMAEDPAGEPNFDDSEKHKVNINSGFIIAQAGEKSQRLFKDWAECPSEVR YKGCAKWAQTLFHEQAAFSTHVRYDFLDGYSIETHPQYIRMLPCQEANGIPEVSGSGC VGHLVRHYWGRKGLTNREFGHNVMAALTPLLVQAAYKEPGHVEDLRSKVLKGAEVLDK PPAR QC762_0072980 MQSGPCWSRQSSRFHFDAICHGRGARLSSLIHRLPYRAYQWAPV EGVEPGPWAAIQAALRPTESTVPCHVL QC762_0072990 MSVGHRDGSPPPSSSPCSSRAPISNYYPIGHDLKHPDDRYRLEH DRSSFESQGVLSPLLLGSPHATALRSNSIEMQDSGISSLENEREAQRINRKMDLYLLP LLSLLYLFNGLDRGNIGNAQTQGFTHDIGALPDDLNLAVSLFFVTFVLFQMPSAAVGQ WLGPSTWLPIMMLCWGLITTIQAFIWGKAALITTRLLIGVFEAGFYPTCIVYLGSFYS RFDLATRIGLFYGQYAIASAFSGALSYAIFQVSHAWLKPWQLLFIIEGVLTSVLGAVA WLWLPTGPRGAWFLSHSERQFVVDRVGGVELNAAKYSSLTRRDLVETLRDWKLWFVLV FNICASVPVTAFSVFLPLVVQGMGYESVEANLMSVPPAVCGAVGLYLFASSSDRHRER GWHIVGALVVALGGLVGVVGSVSNAAKYASLCVFLFGSYVPPPLTVAWLSGNTPTAGK RALVVGANGLGNLAGAIGSQLYRAEYAPGYKLPLVVTLGFVGVALTGYVAYRYTLRAV NARRAAIRKSKSAEQIEAERVDSVRHADRKWVFVYDL QC762_0073000 MAYAGGSQSMSAPSCFGVGGVVEGERTQGPREGRCNGILSIKQS NPRGQVEPGIERAKINDACGVKASFKDTDEETSRYQSRLRHLEQDKGDEKERHCKVEI IRQGTDVMREALGLRIANIPPVEAVEQIQQR QC762_404290 MPRQSKPPSTSTGKKKPELVDGRLPVNPRRKKVLPEERKRVSSA CNNCNVRRVKCTGETPCHQCRNTNRPCKYPEVVPKVTVPKLQWTALTALQAWAPHAIE LKRQLEAGELVRKITHEDGRVEYQPASELPPLPELSHFQDEVPPEDVVNAESSRLALP PAPAPQTAAPLPQPPTPRQQQHQQEHQQHHIAEHQHRERPPLGESPQTGDKLDKIRSA SPSTSSILSKRSDFYLSTSTGFDPRSDEGRMLADSTGTSRYLGASSGATFLDNIKNMI TLTTPLAALISKGPEHMFSQTTGRYQTDDSRALLGPPLMDPVRHLPPAPDTAKLLDEV RYFIQDGTTDDLFPSGGIMFWSFPTFTDLSALGTARRDRIVTAHGEQYLQVPRDDEQR TPLALTFAAFAFNSLLGLAGKDSRVNGRLGEDFYATSRHLLGDPWDFGTSTIKEAAVM GLLALYLVEINRRDNAHLWVKHAMHVCEVRGIHRGYTDDEAEVRTFWTLYIIDSWLSC LLGRTPSIPDDGISLRPPRECPHFPSPVGLKAHLELSRITHKIIYNGLRRQSDGKKPE DRRARAESHVKRSLESLKKWLKALPPALQLPPDAHTKLHLPNSLTTEEVPSGFGRDRA CWSLHMSYNQLIILTVRPVILTAVRKAIASLVSTGQMFNIYDNALVEEIRRCTDAAQS NLRLGWLMRQNSPHGRLLVQDLHHIFNAAVFLTMYQLVFVNVRTQLVADVDWAIDVFK QEQETGCAYAKDCFEVLRDLRFLVSELRDWIHNQTEKEKLWDDDGALKNYLGAMTAPR NNNTSKTDLGADVPMHDVQFEGRPIKQAHGFKKGYARRIWDTLTSWLLLEDNSNEGDG GGECFVFLSSSSSDTNSNCSFPPGGY QC762_404270 MDGGQILHLRPGGRRRSTCPSDSPALVAKVLFPGGQFPGGQNPS GQNPGGQNPGGQFPSGQIPDGQFPGGQFPSGQFPDGQNPGGQNPGCCPPPTGTLTPGG GATPTCPPGQPWKDGTCVISITDCADRAHPEFGDTSYNPANFSCSIGRERPCHGEQVQ DWKPANLPWTGNHRQPGPPQPYETTINFDFDVIMSIVDVEAQSEHFLVNLDGTFWGET GGERGYKNQYIGNYNDPEWCLLNGYARGYFLIPKGQHTLTIEWPQGTGKYQNDGGGN QC762_404260 MAQRHRIRNDSASSFEVPVHETPRFQRVYWTREPHLRKLYGMAA ILMVASATTGYDGMLVNTSQQIDLWRYFFFPELRDKPNGDPILDSKLAILVNMFNIGS ILSFFITPHVADTYGRRSAIVAGCLFMIGGGLLTAFANGYGMYMGGRFMLGFGNSLSQ MASPLLLTEICHPQHRGPVTAVYNCLWNAGALLVSCIAWGTANIKNDWSWRSITFLQI VPSLIQLTGIWWIPESPRYLINKDRPQEALHILSKWHAGGDINNATVQFEYREIRETI RIQKENEQSTSYKDFFRTKGNRWRLAIIVSLGVISQYSGNALFSNYIDMIYRGAGIND QNQKLAMSTGKTILDLIITITAALNVDRWGRRPLFLVAMVGMVISFLCWTITGIVYEH SNPTNLTAGYVQLVFIWVFGIFYDIGFSGLLVAYALEVLPFHLRAKGMMIMNITVQAI LALGNQTNKIAWERLPNHWNLMLFYTIWNFFELLFVWFFYVETKGPTLEEIAKIFDGD GAIAHIDMHQVQKDIYQNTPDDHDELPGRAL QC762_404240 MKIDSKTIDFNLLQNMIHYIILLTFPLLVFSLPSPDLMRPRTRP SPFLIRSRAEAKDIDYWNTPRPDNFLSKRSNVHSIEYFTNPSPSFKARSPRQVSYPAS PLINPEQQTKRATSGQWENMSGSGLYHPAVTSWGPGRQDVFYTHRDRKCRHKYYRGGS NPWIPEWDDLGGSLDSAPSCCSRRSGYMHMFCKGTDYQIWHRPYSGGGWGSWQPMGGN CKHYPSSCSWGGRHVSVYTSSSDNQLWGIRYDENSGWGSWQNMGGSLAGAPKSVSWGE GHTGVVVRGTDGQAWATQMMNGNTWGSWTNLGGSLDSEPSAVAWSGNMTVAVGGTDGA VWMRTYTNSTGNWGNWMNMGGDVRPGTAPDVVAWGNQMEVYYTGRDGAMYRKKATNGQ WTASWENMGGSVTTKPSGLAWDNGKVDVYGMASDGSTRRCY QC762_404230 MPSKETGQLRIVDSRTENAYLIPIHDNFIRAKDLMAITTPDPKG SDIEQKLTVLDNGFENVACMESEITLIDGNRGQIQYRGHPIQELFQNNDYEDVLYLLM WGKLPTQAEKLDVRRKFAAAMVPTKTVVDTIAAFPRNSDTYPMCLAGLCAFMSGDKVI ANNRHTHKPSFHNNFEQADAAIIRTIAYYATTLALIHCHKRKLTFTNPDPNGSLIGNL LLMMGKTNKETGVGPDPEIQACLEKLWICYADHEMTNSTAGLLHAGSTLADPGSCAIS ALVCGFGPLHGGAIDLAYEALGKIKHPSFVPAYIEMVKSKKARLFGYGHRIYRTRDPR LSLIEELIEKNREKCDANPLLRVAFAIDKLANEDEYFVSRKLKANADLLGCFLYSALG FETDMITAIITLSRIPGGLAHWRETLDKPIKIWRPRQIYTGDRTDDVDTSGRQSLSSN EGPLKEKHGIQVRELNSGRSNKIPLKVKAILWAKSCFS QC762_0073070 MSTVLSDAEDVRLHDGGLGDVGNDLLALGIVLGLNQLAALGSVS SKHVLGGKVADVIGELVAVSGERWLGLQVADVVSHNVDLLGLVDVGVAIAIVDKSVGT VFGAIPHADKACGGGGGNSSSGHSDRFRSCMKVNKDGISPEDLNISWSDRQDINKRSN MPQF QC762_0073080 MAAAAVASTSTTGFVCMWNGTKDGADAFVDYGNGNTNINEPQKV NIVAHDIRHLEPKPSLAGDGYELADHVSNLSTEDMLAGNTPEGRKLIETEYYAECKKI IADITKAPIVEPYIFRIRQNGAHPRDFGTKNVANKGMTSASLPIAHVDRDRRTLRDGI IEYFGEEEAERLFKKHKRYAQINVWRGVDEVIKKWPLIFINHAQVPNWDYDSHMATVT PINDPRVAIRGQKAQDSVLKYAAEYSYYYVSDMKKEEVLVFSSGDSDAARVVPHGAFW DDNTADDAPTRRSLEVRAWVFFDDEE QC762_404210 MAVMATVATGSTSALAAVDTEPISEQPVSDGVDDVDVPPPYSVW SPWQKKLIVAGAAFGGFFNPITAQVYLPALTVLEDEFGVTEAEINLTVTTYMIFQGLT PILFSGFTDTLGRRPGYIFCFIVYISANIALALANDYEDLLVIRCFQSAGSATIMVIC QAVVADIITSAERGAYIALTAIPSILGPSLGPVIGGAMTQYMGWRSIFWMLTIAAGIN FLLMLLFIPETCRKVVGDGSVRPPWWCQSVYQWFYYRRHPSVPQNLNSLEKSAYTTDE GKLKYATSHLFSSFALLREKELALLLIIGGTVFTGVYAVGTAMPALLQDLYGFTPMQI GLMYLPLAGGSILAVVFVGPGMNWNLRRHARKLGMPVDKKTKMDLSRFPIEKVRLEIA FPFLLLGVGIITSWGWITVNKVEIEKVIIVVLFLGVGLVGVNNVVNALIVDIYPDTAG AALAAYNLAKCIMGAASSGFVAPMILGMGMGPAFTLLGCLYLLLVPIILLVMWKGVVW RTSRHARELRKKGSILVREQDPQESVSDTDMASTPQKETTSTEILPAEKDARSTS QC762_404200 MRHEYVKLHVIRCEVVWFKDCLVPEIPETDNCPNFRLSPTSALP SVRSRTTTKMDTTNTKRFFDNPIIRTFLGLHNFLVLASSTILTGILSYFLHHYRYRNT HLVYQEVIAVVTLFLYLFATFLPAFKFYRGYMLPLNLLLSYFWLTSLIFSSQDYAGNR CLYNSPPFVNRCRLKHTIQAFWIIGFSYLFLNAILEALMWAGSRTNRLLHGGDIEKDR PLTSGNAVPVSNGHGTTTTV QC762_404195 MAGRCACRRPAGAVRPRPRANHTLPLDGLCRCNALSCRQRYRNA GYLAHVPPHLSIRHKAFNIQLIVVGALVFGWGLAGTIASVFNCVPVEEAWELPINDER YCYNYNIVWLVMGIFEVILNIMILGLRMASLRKLNIPLANKLSAGRIFFLRGFVVVTG IVKVVLGYVPTARNPNQFKIVLWATLHLTTAIICATLPIMNPMLRQIRKSRTFQLVAG NVFSKTWKSRTNNENSISRVNDGKAIGNGASGSDQPKTIPLDVLGGKGRDRDV QC762_404190 MLRLALLAGIGLAKGALGVNLIASHFSGGIYTLSLTTTGTSGTI SVTSQTNGCGTTPGWIELYSDTRKLYCFDESWTGRGQHAEFNVQNDGRLSLASSLQVS GNSVHGILYGGPDGRSYIATAEYSPSTITTYKLPLQGSRVQQTEKFTLARRGPHQRQD VPHPHEVHLDPTGKFILVPDLGADLIRVFRIDTNSGRLTSCASHATGAGDGPRHVKFW KSESGKEKVFSLNELGNSVSAWDVNYTNDCISLTRTHSISTYAPGKKGGANTKAAEIR TFGNFLYASNRADQTFGNSQDSIAIYTIDPTTGAIAWLEAANSYSYYPRTFAFNKNGT LVAIGGQTSSNVAIVARDTATGKLGNLVANVQVGQKGRAGEEDGLSAVVWAE QC762_404180 MSVRCLESYHENHHCLDTFSSYSRWSSHLHYYLPFWTNLLAEHF SILGTYPPPWQPSFRSTLPLQIWGQEDQAPPLNNVGGAVWYRHWEFDGPEEPTDRQLE AWGKEAFDWLHRNYANKGAYTSTTNSLLVATLYVNMGFARGAQAWWDAVSRVVLSADG KKQTRDGPRELHAEDGVWYVFESWFEKEARSQHVRGGRYYAKSPANSPVKMLVYGRIP GKGPNNYVGIQEPCSRGPKDPNCQKCCNTLNVAWVKPHPQWLAGQGGGGLQLPTPPAG GAGGSGAAGGSGTGATGQSGTGRPGPSTGGGATGGRTSPSNTTGSVPVNNASTKAPTS KPVTATAKPTPATGRPALADKSTSGLNTQASKPGQKVPAPANTTGLKTSTATTAAKTT AAVRTSTATKTSAPAATKTSAPVATKTATATKTSVPVTTAKKTTVSGKK QC762_404170 MPLPPILRLSPDTRRRVYLYLGLAPWKPAERYIFNLHRGNVLMD ESDDSTNPVQFHGLLLSCRVIYQETAVLLYSANSFVAYLEDDCDRLLALTTTAISALT HLKIVVNQNSCHQQCTQCINSRVEDSSLYCYHQPTPEPCDEHASQAADQPPNGRLLTS SDTTTESLFENWRSAIEHLSASLVPGRLTLSVVCDVAHDDLKAGKLVVGPMALLPRLD DCHIRLSWRANAKLQQLARDAIEQARCIALPKSTAPSSSSPLLGLPRELRLRILAYTD LVTPIREVSWDGETYKADGSSNYHSWHRAGFDNETLARCRSDHHYGCQFKSCWSRGSA DRYIGCFCSVRHAAVSSTCRCWSPPTPLFLVCRSLCHEAQHVFFSSNRFIVHDHVYTD TNSGTRMQSTWGLSAYPHARLTASRFLRDKVPDNSLGSIRFLELTFPAYSHEAWPDPD GPAIKEWIETIKHVNDKLNKPGLTLRIIMADAAHSAQETQRTMTDENGEVVKRAYTSL IDPLRCLGQDCTGEPLHRFYAHLPDPLAWTEASEALLAEDPCKYFHGINLKEQKLREE AERLVLGDERYEKQWGCAGVDVGQTGEGEGKDDYHDDGRSDKDNDYAINEASGTLLAK TLEEWEEDERELKEPKGSYWLFFHHLLRSGAL QC762_503160 MAPTYLIVGATGNTGQSVVETLSKLSTCAVIALTRSLHSPVAKH LATLPNVQVLEKNWMDITAQWLREHEIERAFVATPSQPSQFAEETTFHVAALKAGVKY VVRISTTAANVRPDCEAYYPRIHWAIEALLSTPEFKPLQWTSLQANNFSSFWLATAAE FIKQYRKTGKQDKLRLVASEDAPVGTVDANDVGVLAAHLLLQEDVSPHNNAKYVVNGP EDITGRQIVELVERYIGTSVDNVMFKDMSFLDYMIGVTQGSQSVLMSMKHGPETAWNG ECTASATSEAVLKLAAPTRTPADTLKAMLQE QC762_503150 MIFTFIFAGLLLQVVTVSSQNVIVGKLLRFACSQLVIERTDPLV NPGLSPSPHTHQIVGGNSFNVTMDPSEMEPSRESTCTTCTYSEDFSNYWTASLYFRSP ENGSFKLVPQRPNFVGLDGVRHPVGGGITVYYMTSVFGSTSGNGKVTAFPPGFRMLAG SPDITSKDRTFPGICHRCNGNTTGFTPCDSADSSELPTKVCPGGIRGSVIFPSCWDGK NLDSPDHSSHVAYSPVGGGKLAGQTCPETHPVRIPQLMYEMLWDTSQFNDPAYFDETA KRQPFVYSFGDGIGYGQHGDYIFGWKGDALQRGMDAVLGDDCVNDRCHSLEFQSAAEG VACAKPTQVEGEIVGRGGEWLQTLPGNPHLRQA QC762_503140 MADKYIHGHSAAVLAAHSRRTAQRDAAYLIPHIKPHFDILDIGC GPGTISADLATLVPQGRVTCVEITESALNAARSTFTSRSLGNGDFVVGDVTSRLPFED DSFDVVHLHMVIMHLPCDATVALKEVRRVLKPGGVVGCKEMIMSTTRWFQVDKRLDMW EKAITGTILETGGSPDMGMGLKSAALEAGFEQQKVQSTASSWCFSDEEAVQFFGDSCA ERFREGSQLREKTIGGGHATPEEVDDFVKACHEWKEKKGSWFGVMNGELLAWK QC762_503130 MTSKQKLRPDASPEVLDWISLVALFPLFLTKWSLAFLFSNQKSL HWRQNCALTFLRTQRSIFPTPLLRWLVRRVSTGSTIKDYCSKHKIAHQIVTLPASSTE LHPDLLPPAVLHILTLGNVEAKSRSGKAPTLLYFHGGGFVNPLRSPHMPFILACGHSV RAMQIIILEYSLAPEHPYPAQLIQCVASLSYLMCTSSGLGISAEDIILAGDSAGGTLV GSVLSHIQNPSPYAPKLQMKSGEEFQAAVMISPFVRLHKESMDSPMGSYKMNEKRDYL TRIQVDEFGEAWKGDEKEVWANLCGVEGADKVWQAVFQGKGGVRLVKKLFITVGTAEV FLDDCRFFGGESYANTQTVIAKQEKSKDWTEELGSGERIMVECEGEAHVQPVLDAALG YQDGVMTRAIMTWLKTL QC762_503120 MLNARQMSSQPAPSHLSNGDTDIKSLDVRVHNSPVLSDDRNSVA VLFRCANGHFIQATVESKNGLDNGVFDTVSFLTPESLVRVTARPVAHDTDFDITPIEL CDIHNLSTAKTPGAYSNVLHGASGEVLASIQSRTKLIEERLDNRLLDARVPATAAIFK LFSGVHELAVEYLKLHDFYHVPTPAFVGYEFPGEEDDLFTVPYFGRTARLAPTGEIHL GMALSADLERVYDFHTVFRREPVSDGRHLTEFTMLELVFNLQHSWIEILDFADSLLVS LLHSLQSQDKYTTLTNTAKRLYPLSGTFKLGLGKSGKLPRIRFNEAKTLLRDFIGIES DDDKDFTRSEEAALGRFLASEESHVSLPTDVFFITHFPKHLRSCNIYPSDEQDDTTQS FDVILRGQECVTGCRLLHSAEDLAAAFANRPHPIDPETPEWRPYMTAHEIGMPPWGGF GLGINRLVQGFLGLEDIRETVLFPRDAARLSP QC762_0073200 MTFKMAIDTPTSSTKTLELEVVRYEQLRSNDNAEVRKLAQALSD QGMLFLDLQGSTAKQFLKDLQTVIQHQRAFFEQPQEEKSKYHTGIRYNGFYTSPIGVE KIHLGRKEQMAGDRSQLPEALQLVADKVKNVSSFIDKILREIGMTLASSMDERFPASL QDATRPGQSHLTLGISKARAGTPLMDGHTDDGFLTLTFYEEPFLEVLDRSTNEWKLVE VNRNMPILNVAAQSAKNSGGRLYNPWHRVKMGENEINLVMFDLFEDSN QC762_0073210 MRWLLSSIPRSNMTKFISFSPIFTLCQGLYSRPPEFFADWAATF RIGMLRFTSTNFHSFVDLSKTSRKGSS QC762_503110 MFNLESLLLIIVPLSCFLYISFPWRKPRLSFPTWSNASTTGHIA ILTDEKPKSARLERKRQQRMRHFKDLYHKVQNLERFPEILPQVRETLLSLLEQGLRMA KYKDRSRSILNIVTFDASRLQSFIQDIQLDVGLEFEAYMRRREIGGGPELFKSFEEAC MFLKNSAPWNYTDGAWLARIHQVTTPFAFRGVTKDAWQIFSEELGDGDLEKNHILLYK ELLQSVGVDLPNGDSLDFTHPRHGMEDETIWRYAIGQLLISVFPNEFLPEILGFNLHY EQPAIGVLKANKELPEFGISPYYYALHISIDNAHSGHCAMAIGNIAHFMEIVQNTGIM DPQTAWRRVQAGYCLGQSLDDRDTVDDYEDKLVDIICKKATIAAKIHCTSRARIGKRK LSSWFSAPPSSPKDDDGWKDEFLDALADSKPWVCRGDSSKSLLMRELGWKGRMFGAFT HVETELLRNWIDSLRPHGKDMGEAYWGLVGGYQSLEKTFDPSRHDAAVSHPTFPATRT WSLCEMNDFEPKSPIQIHKSAVEINALLPLWFAHAGLLENIISSPHQTITPLVSNCLQ ILRAEKGYKPVGTGIACMDEQHWPGYSPDLVALGLEMMKNRGLPQPTCLGDIIGRPED ENLNDSGRFSHDLLGWAQHPMINSVFLLGLARAFLDLEQWVADNGELLGRRERLCLSE MIQRKATGFETCWAELKRDGLRCCEFVTGYDLGRAEIDRLLVLG QC762_503100 MSDLLPPRATPIPSTGSQLPPRAFRTPLCPALQQRRNISSKFIP IKGMVHGPPSKGWELQPGHDTSLGFDQETRAWLEELYQAWASNAPISTFSRIRDGLVD QCDHLDTRISTFCSVARIVSSHRSQANREPEWKELLALSHLADRNKREEATKKKRKRA YNENNRLRNLAFIVALWSPDVVFHYAWNCASQVQMNMLRACATSYPDFFNEFLPRLNA VLLQRHRQALLGGRLKTLNEAPLQPHRDFDLVTLASTTVDGKMEELWVASQDGRVAVD AQATVFLRDIRPGHYAAHLLRRDRFGVLAARGEACLPSSPRHQHTMPLKTSPAEVSTG DDDGPGDAQIAPAQDQLRDTQPSLDVSLNELENFQEMCSAPSEAMPLFDSLWDWNSAD LSYSIDMHDPMCWQSSALFLSPSAMNSFGQHQPSTITSEPTTPSFSSPSRLHSEPSPT SPQSSELEADLFTSAALYLGTAPITGNGLASLEETLHNQYYPTIMPYIDSMLAKEARQ DPLETHQDQAPSLDAATKWASMWTQGNAVLPGRAASPMDADVLYLTSNEAIQAAKAHQ VFEKPVIIKEIFLDSGMHAMLDSLCLLEDELANSVHDRGTSNLRNMTHSHRPLLTMLP RFRLLDCLVERLRLRTSRSASACQQLGSPEVLPEIRTDFNAVTLPHVCFGPSFATMSG VWLRNLEGLKICIFASLSDTDTDPALKSLERSDAQRAFILEQDDILIIPPASRIVYTV YSPVQGIMEGGIFWDSLALSSLLDSTMWIRRRGPGVASKFDQDITFHSRQLLGLLEAL RSLIDVHPHLFGDSIVPTLLESLDQALARSDHSREAQ QC762_503090 MATKTTTMTELHTISFDPSTTNSGATSRKSQSRDATVVASDSEP EASPISAKSRPALLPKGRAIIVTTQLCGLLFFSSFCNGIIVIALPAMHSELGLQESLL VWPTSSYYLTAGSCLLLAGSIADVVGVKKVNLVGSFLSSIFALACGLAQTEGQIIAFR ALQGITNAIIAPSAISIISNSVAEGRPRNMGFACLGFSQPLGFSLGLVLTGVMTDTVG WRPAFYLVAACSIVLFTVGIWSLPQDIHSSGSQSVLRRLGGEIDWIGVGLASMGLAMF SYVLASLSANIQDIRQAVTIVLLVISVFSVPSFVGWMHYQTRKNRTALIPNSLWRSGV FTSACVMVMLTNALINCMELYSSLFFQTIQGQSALTASLQVLPSLVAGIITSILTGIF VNRMPVFWTVLITTIVSTVSALLMALVRTDQVYWENAFVAQILSPISCDLLFTVGLLI ISDVFPKHMQALGGAVFNTCAQLGVAIGLSVTQVVASSVTNNSQFDNKSSPEALMEGY RVAFWIMFGWMVFVCGVCAVGLRRVGAIGVKRD QC762_503080 MTPLSEFIFLSVLGSIFTLIVSTPWRPWLMKRLSQHTIPSFNLF KEFTRSGIVFSDSTNTHNSTGALNKQKLDAIHDLSDCHEVASSFSTLVQQDGAGCWPP RSNHNEKTWPACLQAYKDIYHEMAPLLPAENASLDDNINERRIFEFRTRFVQLLSQKV DLAEVQRLLQAADAGRWDVFPRDAYNGFYCCVAWCRHAYRWASIPVVRLAQLEKSIAL PMELVTPWNSMQSHFGLDSESGNNMSNLVLNFNPAGGYALKINTGLSTLIQSSEEEFA RIFQEVEVLGVPIYCSIVESIVAYARGDKESCLEHVRDIKGQLRPLLSSYYDRVHDAK IARSVWLSRVQGFYAWGVGPQNNINGEWEKFDGLSGNQVLLFQALDAFLGLEAYLPRL IQERNVPHLQRRFCKVVKKHAFRGQLADEGVDGKIKKEFEDIVKRLRVFRSAHRTRAK VYLTQPAPERLPMTAGKSLLKEDMDQSLLFLDQFMVGRLQQTV QC762_503070 MSNSGAWGEAPPGVNLAENQNGDIIGSVVGIMVLGLSSVVLRLF TRLINKGPGLAADDYVILFAAVMGIGTAVCCLISVPWGGGKHLWVVTHEEFTKLYQTT YAFVIVYITCISATKVSILLFYRRVFGTNVIWYIVFGFTCAHWAEVTITWLAGCRPID YYWRQYTDPTATGSCIDAPLFYFCNGIIGLVIDVAILLVPIPTVWKLNMPTTKKVFVG GILLLGGFVCVASAIRIVMMDQLVKSPDFTWAMSKVFIWSCCEPFIGIVCACLPTYAP LVRRWWRGELSGYPDTPKVYMSDKPSLSKAGHKISGRKHHAGLDATLRGDDEIELTVD ISGEPGHHLPGHQRQGDSRDSSKTCVNGKSSPEDSYQNEIMVRKDFSWSSSV QC762_503060 MSLLVLGSGPGIGRSVATLFASKRYNNVVLIARRAEQLEEEKKA VLNTVSSQINVRTYAVDVTHTHSLLQALDDADAAFGKPDVVFYNAARVLPSALLTHPV EDVEYDFKINVSALYIISQRYIPHLVSLARADTSSRPALIVTSSALPHHPIPQLFNLS LVKAAQRNLVQSLNLSYTPEGVHIGVINVAGQVAPEDEVRNPTRIAAKTWEWFQGAKD KPNFEVMI QC762_503050 MPTISSTNPEFIEAEPLLSLEDEQAADAIYHQHTRSKPAQQPPQ WHRIIARFQVQSSGNIILLLSLLTFAIVTSGMMYMIPMFRLLEDAFCHLYYDKDPSEP IDEHMCKVDGVQKELALLGGISTMINSLVGVVAALPYGVLADRIGRKPTFALAYVGIV IGFGWGPLLLFFGVAPNMYLVVMGCLFFLIGGGVPVAMNSLNAMASDVSTESDRATGF LYLSFGAVSGTLVGPFLAGILMQTIGPWCPIALVFALTPFIFGALLFLPETLPVQLKE AAQRGRQPLSKKLRHAMNEFGVSLSLLKNRQLLSSLALFLIQPAIFAAYSTTLAQHVS KYFGWTLAETSYLLTPPLGILHLVVLVVLPRVGKLINDPTGRYGVSIFAKDLVLTRVS LALMATGALVQGFSTGIAVFLIGLTIHTLGSGSAPLGRAISTAYVDPQHTSRLYAVIS MLETGGALIGGPVLAWCFNVGMKKSGFWIGLPWFYVASLVAVAIGAMMFVTKPSMELK SGVPEETGGLHYHSAGEEEC QC762_503040 MYSESKDRVAHRGNSLFDLGAMSSALVETNNETQVQPYTPAGQA ANRYATPKFQGQNTTSYSVMVESHNDEPLHQRLSEAKDTPHDKGPKFLDWVHFEETTL PPRETARKSRLEKIFSPLNSVKAELPGHVSIEGFGGIAETTHNLFRSVKKDDFMRSER IIHLPKSAVDKASKRELERLENELFDLTKYNGDSCDYLTVVTWAEEGMSGTVTLFRVI FEGEDEIVGLQPVAAMQNVPNPVLVKMRTHERIQRKAVFVPADKEAETFKWTATSAHS RGRKPSYVRLEGGNSDDRRPVSPALRDLRSSSHSRSHSRHGALADADGVRATVPQFVA LMHGHRSLLEEVESSSTRQVITADGEALPAVTLLKRPRSNPHEGDSVHVAVLVPDQAV WADREMLWIGEGILELRKRKYWGLIERPRSRSRNRSRSRSRSRSRDQSRNRRPETHSR HSIGGHARRRSRSRSRSGSQSRYSHDSRSRSRHLALDSFL QC762_503030 MPFGILDCKKMEVVPGTAFMSDQDDLPPEFAYIPRDQLKHGTGR YKNVILVPQPSDSPNDPLNWPQWRKELILLITSLSAAVVGAYGPMLSPGFVEIAHNLD ITLDVLAQSTAWLILCIGLGLFITNPLAKIIGRRPVYLVAILIMFITCIWGAAVKEYD SFLASRIIAGIGMAPYEVLVQCTIGDLYFVHERATRIAVWNLFLLTGISGGALVAGYI IEREGYQWTFGVCAILFGVLGLGVIFAVPETAYRRDAVVPVPVSKGKEETEEGSVRKM RLGREHEVQLQHVSTFTEGGHGSNVTEQARLEAAERKHTYWESLRVYTGRYSRAPGWK PFVRPVVLLFYPAVFWGFLVYGTVITWIVVFSVVNAVIFVNPPYNFTISQTGLISLSP FILTIIGELISGPLNDWICIYLTKKNRGIYEPEFRLPLMAVSLITGVVGFFGFGASVE YQTHWSGPVLCFGLANMSLVFAATCVFGYIVDSYRELNEEAFVAINTRNLLTFGLTYF VNGWLQQQGPLVVFCILGALFVFVTLLTIPLWIFGKKFRSFTGRNRWLQRFMNDNE QC762_503020 MASSASGAAVHVSTRASTTITASSPPKSTIHDVLGPTVAGPIMP ATEPLPLALAGTGPAGNNPIGINDMGLEARARCNQCGRRRTTCHHPQRPQSPAPPVAP AVTLPPPTSPSFQLSSVNPITNGSLSAAYLPLFPHQQRPRRLSKLKHRNLTATFAAPL IKSDGPPPLTAADFLAASARSSSSSSSRPVPVSSTSNAMEPPVLSFYGKEPVPLPTRF AQIKRSLVEGHEAELEASWARLIEALRDEVDDIAQRGSHLTPSIDFADMDNAESRAAF SRDLKRYGLGLIRGVVPRTDAQVTIDETVKYLEKQTDFKEPTPQDPTCFDFFWTPAQV RTRAHPRVMAAQKFAMSLWDNNADDRMVTRFPIAYADRLRIHGANIGGVGPDAPAGKK KKAEDDSVANDSKSLTPDEEAQKAALELELLGDFASSTVIAQVDNGSLERWEPDGYGR GGTYQAVFNGEWEKYDPWDPTHRVSATSDLYNGYGACSVFRMYQGVVALSTIEPGLIR LLPSPKLATAYFLLRPFFSPKTKAPERRDGPEWEAFLDPSNWALDKEQSTIIHGAVPG HAQRLTELWHPHLHLRKTLVTIPTLQTGDYIVWHPDLAYHITSNPNVMASRAPTPPPM GDEDKPPSRPVSILVYVPAAPLTQNNALYLARQRKTFQRGHPSPDFDSTGSGLGSEAT HIGRVGETEIAEIGGPAGLQAMGLAPFDVAPTPPADTNGGSPDADVEMDDSVAAAAAG KSNSVTRAEAEVARLANIILFPDRYDFYMAKRTGNTRKRSRDEAESESSPAPTSEVKR EDKGKGKEHDTDAGR QC762_503010 MRRAEQRYSRYYCSIVLDTEWVSIRGVAFGCRPKLQAWEWRAVR RLSGATLFRRCGVVVPTSAPLRAAGTNGGGGMLRAWRKRPNYSGCAFLLQTIFLASGA AGKQPPQTAAAADETGVGALDALARMLSGTLFILMPVAVPTPWLARHPKSWAALLLDD ASTHWPPACCCY QC762_503000 MFRSTSTVLVRGRFLIPSTPLRCASPSATFVALRPSAPARFVSS SLSANPKRATPRRLPIHPSPAVALTSFRSCSHASHQRRRAAMTDRDILPDTFKPVHYD LVITDLDFMTWSYKGTVTIEGQLTKPTAEIVLNTLELKLLNSKIEISQSKSDQSWESS NFTEDTKSQRSTIIFAEQLPASPKASLTVEFTGELNHDMAGFYRSQYKPVAPPAASVP HDDEFYYMLSTQFESCDARRAFPCFDEPNLKATFDFAIEIPDDQVALSNMPVKETKPT TQGKKLVSFERSPIMSTYLLAWAVGDFEHIEAFTDREYNGKKIPVRVYTTRGLKEQGQ WALQHAPKIIDYFSEQFEIDYPLPKSDILAVHEFTHGAMENWGLVTYRMTAILFDEKL SEARFRNRIAYVVAHELAHQWFGNLVTMDWWDELWLNEGFATWAGWLATDHLHPDWDV WPQFINEGMDQAFSLDAVRSSHPIQVEVRDALDVNQIFDKISYLKGCSMIRMLASHLG VKTFLKGIAIYLRKHQYSNAKTEALWAALSEASGTDVNTLMQNWIEKVGFPVLTVTEE DQRISVKQSRFLSTGDVKPEDDQTTWWVPLSLKGKTGSKGIEPLALTTKESTIDGVSN DFYQLNAGATGFYRVNYPESRLKRLGTQLDHLTTEDKIFITGSAADLAFSGHATTAAL LSFVQGLKQETHYRVLSQALDSLATIKSIFGDDEQVKAGLEKFTLEVIDNALKTVGWE AKQGEDFNTGLLRKRLLLTAVSNSHEEIRKGAFERWSAYQANPEQSPIPANLRAPVYH SAIVTDPANAVAALKKEWYTTPAIDGKEICLQALGRTTDVEVIKKVLLPFLFNSSPPA AAADSIPGADMHILSGMFAGNRAARPLMWAYIRDNWDEFTGKLAGNPILVDRMINVSL PKFNDLETLKEIEAFFAGKDTKGFDRTLEQVKDKIRGRAAYKTRDAEGVKQWLVSNGY A QC762_502990 MRRPSLDLTEPERNLEESIRSPTAGTPDPVHLSLPPPDEEIDLV EGDADAAKISTPPPHIAARLFYRPTNQTRRKDSAASSRRNSISSAQSRSSHGYSLEGG PQSKYVAQHLRRASILEDRKARLADRAAHAEKVRLRAAIAKAVTKDTSASEERALAAA QARERNLAEIAASCAEEVKRAKAVAETMKEKREQEARKMKSQMEERLAEAERRREEFR NRNAAKIRGRERGQSLGMRKSPPVESMPNADTETDGMELSESAPLTEDAAVSKIQWWW RTFQRQRAVAEFSALGLSIDAVRETSFDKVTVLLSQEKVLLVTSRILRICGLDEGKQG SVEEMAAVRTFLSAFLILGHPSQVLSNKEGQAVEESDQDGSGLSKPIPKDELPNPQSQ ELVGKARDLLVSFENILGRLTSFNNYTPPPLLFTTFPEVYATFYNAFIAWKARDSSSL VELMVMQFVELDAIWESVKDSTDGSVDQVYKKSIRDNQLLLLVRIKKLAGPARGKQMV ADAVKAARRAKAKKPVGDMKPRVAEHSVTETAMGVLGVQEGSQQDASSQMPTPPSTPA RKRDTFKVNVIIPSQKSLLPDNRIVVHELAINKEFRTEPHEYHEQQAGLLNPIFEDMR VTMQTQNQEAHFFLLLRVAESIREKLQRLVKPGNSMHTFIGELLDTETAKQQFAMGSF SYEKFFEAMGTLLPKLCAPVRDEEVKKLTEEKLSQGNYVDRLEALNGFIDVMLSDYAN YLLQLAAPKLVMQAPVYEAKAFASDLEAGHFDLSVALQTWKATQQKLLAEVSRRDPEG VNHPSSRLTANRIYAQLLVNLFTQLSPVALDDMPEMLRLDHKRAVEAGRLTRRIVITG AILLQCKNLLKRDVRAPWRNEAQRIFAVLERSEQQGDLALDVAVEGIMAALEAGRSMP AATRGQLKALVSKFLLAGAEAATQSRQDEVREPVLRLLLGRLRGHILARLAAVSTSDK VKFTSTAGEKLAGLGLAEFVDKVRDMVEMLGKVSVVDREAHGVWWDKVAEQAEGEVSG N QC762_502980 MSATWRRLFSVERRQGGAQRQYLWNVPSGKQPDFSESYTHGQNI PISWNALNNSVYDLWLTTWNFEVNPMALCLARAINLGHDGSINLKTPNIPPQTLSTKT RYVLRFKPQTKEGGYVSADPELCSPAFFITDSSQTVQAEPESNPVTSTQTTLPTRSLA PTHIPTSIDNTTVTTSFLPLPPGEDGTANSENMSSGQAAALTIGLILTVALLVACEVA YLMWRRKQKRKMQQSRRLKNKSLFGAVHDPRNRGAPLTKFATLETKSTQETGLSRSAF VKLRESITSWTPSRWARSWKWPGGTEGKRGIFTRVVTTSRDTSSERGRKNSAEADVEV EKRERRENKDRWTMFNSPYTGPWMLVSPELPGDSSWGHYGRNGELVHELHASNGRKGP RTIHSSLVELDAEGDRWEKQRLEGR QC762_0073350 MVVHHAVCPTNLDRAVITRLSEMAAGPLGRIGVHLSAPTNGDDG IPAEARLQTNMAMKKDISLSWPCGCDLAAIGGLAVAGAYTRLPELLESKSAWSPICDN IQGHHEADTSSLNTQQRDENTS QC762_502970 MKSTVAVFSQSIMDSPHQHPRGVEDQDAAIIHESDSEAGVYQQG RWQHRTDTIERQGNSRPDLLLSRKLKPWYTARTILGIFCAVLSLALLGLGIKLAIKYE SAPLIHVTVAFTVFTAGASLLWSLFEFIAMCTRAQRRGIYPGAHVGVHMGLCLVSVAV VGYVGIWVSHGQDSRVEASRNRLEYSPLYHMGVVILIVSSVLLLVHFVISILACQELR RKDDGDVHRTVVTVRYDGVGPTGTARPMGYRTTPETIGLPAYTTRDHRDEAAMIRSPP IVIARGDLGVEIIETPTTTRTVLGETEMDGPEEKALRSSKR QC762_502960 MISQHKYTGSPARMPSRRSSVAIAFIALSFLLLLYTSRRLGSWT PYNEPYHHETDPHKSQHAPQGDGAHDTKPVPSTQAPAVDPLCEGFPDTSNILLVMKTG ASESFARVPTQMMTMLKCLPDFLIFSDMDQNIGGQEIHDSLATVQEAAQEDNSDFDLY RRQKWCEVDQENCNKLGNPAREGWNLDKYKNVHIAEKAYNMRPNYDWYLFVDADTYVL WPNLVEWLKQLKPTKKVYLGSVTLINNFSFGHGGSGYIVSKATMDDFIGNNPGVGNQY DMRAKRECCGDYIFALALKDKTEVGVQQMWPTINGEKPATLPFGPSHWCHPIVTMHHM NAEEINTFWHFERKRYHRLAQSGKKAETLVIRDIFDEFLAPKLNETREDWDNNADNRF YLDQSNDRKWEDWMTNRMKKQDQYNEHEKKAHESFEACGAACKSLGNDCFMYRYKDGA CSISNSFQLGKPLKKGADKDRTMSGWDVEKIKKWVADQPACDKIRWPEVKTN QC762_502950 MKLLNFLLGAAAVGSALAAPAPAPVCDAPTKRATRFQFVGVNQS GAEFGKDTLPGQLGKHYTWPVRSSIDALMGKGFNTFRIAFMMERIVPNRLDGPLDATY ASGLTDIVNYVTSKGAYAIIDPHNFGRYYNNVITDVAGFAAWWTTIAKLFVNNDKVIF DTNNEYHDMADDLVRRLNQAAIDAIRATGATAQYIMVEGNSWTGAWTWVSSGNGANLL SLRDPAGSTGEKIIYQMHQYLDSDGSGTSETCVSRTIGAERVRAATEWLKQNKKKGFL GETAGGANTNCIAALTGMLSYLQQNNDVWTGWAWWGGGPWWGNYMFAMEPPSSVAYDR VLPSLQPYI QC762_502940 MARLRVIPGCRRGLHFGGTCGRRVTLQTTRQLRPLGQPGSSCRP YSATTASTPNGRGWGRNAFIASGALGLVGFGAGVMIGKGYYELMQPKAEWDLNTPNIP KAITYANRFEMLHAAEEIARLIGQDCVSYDSDVLEHHGHSDWSTSNSSERAVAVVFPR TTEDVSTIARICSKRKVPMIPFGAGSSVEGNFSQPYSGICIDFTNMDKVISFHPEDMD VVVQPGVNWVELNNKIAHTNLFAPMDPSPTATVGGMVSTNCSGTNAFRYGTMKDWVLN LTVVLPDGQTVKTRRRPRKTSAGYNLTSLFVGAEGTLGMVTEITLKLAPIPQDTSVAV IPFPSINDAAAAATSLIRSGISGLAALEIMDDAQMAILNKHGSATVRQRRWQEKPTLF IKLSGTTEAIKSDISRVSSLVAPFSAHQLIFARSKKEESNLWAARKEALFTMVNIRPE GTEMWSTDVAVPISRLAEIITWSKEECSKLGIFASVIGHVGDGNFHVAMMYDPRNAGQ KEAVGKTVKEMMKRALEMEGTVSGEHAIGIGKKGSLRDELGVETIGLMRALKRAVDPD WIMNPGKVFD QC762_502930 MPKMKLPTIYNVHLVAIIATLGGALFGFDISSMSAIVVTNQYIT YFNNPSGVIQGAIGSALAAGSVLGSAVAGPISDKIGRRDSIMFACLFWLIGTSVQVAC QNVGQLIVGRVFNGFTVGITSAQVPVYLAEIAKAEKRGSLVIIQQLAIEFGILIMYFI GYACASIPGTASFRTAWGTQFIPCVLLIIGLPFLPRSPRWLAKVGRDEEAIRTLANIQ ADGNIEDPRVIAEWEEIVTVMNAEREAGKGWRKFFKNGMWKRTMAGMTVQAWQQLAGA NVIVYYLTYIAQMAGLEGDVAMVTSGIQYAVFIIFTGVMWLFIDKTGRRTLLVWGALG MGFCHFVIGGVMGGNHTDVPGGVGNPPNANIVIAVHPGAPANTVITFSYLLIVVYALT LAPVCWIYAAEVWSLGTRATGMSMAALSNWVFNFALGMFTPPAFVNITWKLFIIFGAL CVAAAIWFWVFYPETCGKTLEEIEVMFSKDGPRPWNTRKGESRLAAEIEAVIARKEKG EQPGLAETVTRDGENKA QC762_502920 MALPSRAPQARDLVDLDWTGPDDADCPYNWPLWKRLYMTSIPAL LCINVSFASSVYTSGINDISQQFNVSRTESLLGLSLFLWALGLGAIIAAPVSENYGRR IVYLTTVPIFGLFTLGSGLAPNFVTLIVCRTFAGFFGSAVVSVGGGTNADLWRPTLAG VVYPFYFVSPFLGPAFGPVVGGYLIEAKGWRWLQWVILFMIVFNYLYALPQSETYKKI ILEKRTRNEKTPTWSSKVAPPSRAILQRILLKPFKMLFVESIVLFMTIYMAFNFAVFY SFFAAFPYIFGPGGHYNFTPGQQGLTFLSIALGCVVGFVGVVYIDRRTYPALETKYGV GKVPPEHRLYGAMVGSALNPASLFWFGWSANAGAHWASPVVAAVPFAVGNIMVYSSGA LYIMNSYGSLHGASALSANSLLRYAFGGAFPLFTVQLFSSLGTGWASSLLGFISVVLV PVPWVLYKYGKRIRAHSQYITAA QC762_0073440 MNASGSESSKGGQAKKKAKSDQGAQNRGGRKGTDPNDLIEAALQ DGLTEDKSEIDGDPVRKALSDTTKPRYNQLMKIWEAYVAKFEVSDPFDTRTMKHFTKT V QC762_502910 MDSKTVSSRLRELVKFLGTVKGDLANVTGPPSLLAPSSVVEVGH CWAQRPAVFAAPAHEPLPEKRSLLVLKWFLVALKSQLYVAGSPGPQAVSIKKPLNAFL GELFLASWTDEENKACTELIAEQVSHHPPITAMHVIDRQNGVRADGYARVEMTFNGNV NIRQVGHAIVHIDRYDEDHLVPLPDVKIRGFLGGCMYPEITGTYTLHSSGGYVSQVKF SGEGMIRGKRNSFEAKVYKKDDTKQRAIYTLSGVWSDGWVVKDAQTGEVLEMFKHDAV ENEPVPMDIVPVEQQDDWESRKAWGKVLNGMAMGNLEAVTREKTKIEKAQRLMRAFEE SRGETWEPLLFQSISADDFEVFHRLADGTGHQLADERTKGVWRVKDTQVKNLQRPFRA GLTPLGY QC762_502900 MPTPKNDRESRDHSRGGHVNSRGSTRRPQVLNAAVPSRRRVIPP IRSPRMRADLLAAAQEAQASAAENVAMEAPVTETTAVQVQTGPGVASSTSGQNEASGS PSVREPSDPDPASQQVQIWTGSLSEGVRQVTMLVTKSKPGRTDVSADQLKLYQESARA FFWWLEFDAVVRPSFTDVHHLDQVMNLVRNSTNLGIPADIKEAANAVRLRFEEDNWGQ GDEGYASDVSTDVDAGQSRIVSPRSPGRASSGGNRVVGVSVIPQPPVNHPIYGKGGIM HGVVCYRSPKKGPTYKLNPAYKHEKVNAAFIGEGHLTPGDWWPFQLVALFHGAHGRSQ GGIFGSASMGVYSIVISGRNNKYHEIDKDDGEVLYYSTDNMGVATISVGTQALNRSID TRQPVRVLRGQGQTGRGWAPECGIRYDGLYRVIGKKLVPTRNGEEWFRYTLRREAGQR DLREIVGSSPTLQQRNDYLRIRDAYPGVARGVCE QC762_502880 MPKRHPSAGKAQKPVIIVGAGLAGLVAAFELSRLRIPVLLLDQE NANNVGGQAFWSLGGIFCVDSSYQRRMGIKDSRELAMKDWFNSAQFDREKEDYWPRKW AEAFVNFATDEMEDYVKARGLGFLVNVGWAERGDGRADGHGNSVPRFHLTWGTGPEVV RVFAEPVKKAAKKGTIEFRHRHRVDEIILDELTGRAVGVKGSILEEDNSPRGVKSSRT VVDQFEIHGAAVLVTSGGIGGNVDKVKAAWPVDRLGPKVPQNFVIGVPHHVDGRMIDI TESAGANIINRDRMWHYTEGLANWNPIWPGHGIRVLPAPSSLWLDANGKRLPNHLFPG CDTLGTLKYICSTGHDYTWFITDQAIVAREFALSGSEQNPDVTGKSVWGVLTQRVLSK KGTVPVQNFVKHGVDFVVKDNLEDLVEGMNQLVAKIPGGVPLDYQKIKDVVETRDSQF DNPFSKDAQTMLINSARTYWPDKRSRIAPPHKLLDKKKSGPLIAVRMNLLTRKTLGGI ETNLKSQVMKADGSVFKGLYAAGEVAGFGGGGVHGYNSLEGTFLGGCIFSGRAAGLGI AEELGYDISKASNVRARL QC762_502870 MADVDIHEKPKEKRSIRTWTPWLEIVALSAILICIICSAVVVTV SHDQEVSTWRISPAVWLAIFSAISNVAFSSALAAGIAVRFWLCASGGTQLSQLHYIWD HGRGLGFWPAIKSGSEARKVTLICTIAYLVQFASGPLFQRSTHTLAQNRVVQQNMFFD IANRIPDGWSGNWQSDRTVIHNRRVMSQTQAWYRNDSITVPSTEGYVCDGTCSGSVRG AGFVYTCAPTTYQPLDLSTNASHKATVFHIRAELVADERSEPLLTLLTKHIDKLEGNC QATVKIDRCNITAAVVEHPVIIQNSTAWFDHSALKFNQSLPIISPYISAGDSLTTPIS SGVGPLAGLQAFVSGHMYDNATTTFNPTLKKWLFAGPGPGTLADVFFRAEPWDFGNHS LISCGLIWDSPTEYVLANLHEWQFRVAERVGRGTERQSFEVTKTVPVLVFWSEKQYLG PALAVAILGLLFVASLSWGWWRLEKPVTLSPLETGKVFGGGIFRGVRGDATVSEILRE VRDIEVRVDTESGVGAEDRITRVEVEMQRPNKVYTTGSGCGIYLSEGIERGEGSQGGS VTGSTRVQSHLGQA QC762_0073490 MKWNSLAALGFAAPAQAYLRFGCATLTVQRLDPIVEPGKVPSSH VHQIIGGNAFNATMDPKVDIAEKATCTTCSFRLTQFTSEDFTNYWTAVMYFKARNGSY KRVGQYPNALLGSLTGGMTVYYLQQDFNSNGKQKITAFKPGFRMTVGSPTATNGNNPG LRYTCLKDVMTRFPETADFPKEPCPAGIMAIHHFPACWDGKNLDSPNHQDHMYNTGKG AFTNAGPCPSSHPVRMPQVALETMWDTTPFNNKDLWPTDGSQPFVWSYGDSKGYGTHA DYLFGWKGDSLQRAMDSTPLLSNGIKSQSVAQANNCKLQTTTVKENIDGWLDKLPGM QC762_502850 MAEQSSPRSHDRRQQYRDGLPPFSLPPSSDFPLSGADDRSPQAS DLHSPSSVYSLSAASSPGFQPAWNSKLSSQHQDSGSQEQYYARKPLPGSPPQSSSPSR FGGQLPPITSLLPPASQYPPSLHPSHYPAHSGPLAPPAGMVAHQHQGYMPQGFGQPPP SLPSIGSSGGPYHEFNVRVGGSVYPVSRESSQQDRPFKCDICTQCFSRNHDLKRHRRI HSATKPFPCPHCDKCFSRKDALKRHRYVKACSGKKSNPGSESSSAIPTGEASTAAEED EDDDDEQDAPESPSLLPRKSIPSTPSQPQLTMKELKPKEPKVPRSMSSKFTFTRPSPK IIVPALTSTALTLAIPSTHLGKRWIIYTLEEGEASAPEYRPSQDT QC762_502840 MLLRSVLLTVASVQGLAFLVEGGPTTARSGRPLIVPRSHDLHER QHDGQLQGWVKRDLVRDGVTLPVRIGLKQGREKEERAHKLLMDISNPKSPNYGKHLTA REVVDFFAPGTQAVGEVKQWLKASGVEERRLGLSGNKQWIQFNAPVQEVEKLLFARFH VYEHPESGVTNIACSEYHVPHNISHHIDYITPGIKLMAGGYDEKIVKRMVGRRGSVWY DSWYEKGRRPGDRKGRKKGGKDKDKDKDRGKGKGQGGSGATSTSVSTPTATKPAGNIQ PDQNEDEFEVTEGCDVDITPQCIRNQYQIPAGTKATKGNELGIFQGLAQHYNQQDMDT YWKYVAPWIPKGTHPELKSINGAEGPIEDPQLAGEEANLDFQVAIPLVWPQKTVLFQT DDEWYQQDQTRADTRYPGFFNTFFDAIDGSFCTMAAFNQTGNCADDSCRDPEYPNPNN DRYGYQGDLMCGTYRPTNVISISYSGFEHAWPESYTRRQCMEILKLSLQGVTVVESSG DYGVGGRRGDPQAGCLGPNREVFSPRIMGNCPYVLSVGATLVKADPKNKGKFIETATE RFASGGGFSNVFMRPPWQEKHVAAYLKRANVTELGYNLTATAASTQWGWEPSLLGSVL GKERGKRFNKGGRGYPDVSAIGDNYRVVLRGYADSMSGTSVAVPVWASILTLINEERL VRGKRPVGFIHQVLYDHPEVFTDITSGSNPGCGSNGFPVKEGWDPVTGLGTPIYPKLL KLFLSLP QC762_502830 MKGVATLVAAAALVGQALAAPPEPPTQVLEKRARPTVSIAQGNV VGVSRINTEAFNGIPFAQAPVGPLRLKPPVRTTASFGTYDAGGIAAACPQFLADTDSE DLLAKVIDTVVNTALFQKALKISEDCLNINVIRPAGTKAGDKLPVLFWIYGGGFELGW SSMYDGGPLVSNAMAAGKPYVFVAVNYRVGAFGFMPGKEVLQDGSANLGHLDQRMGLE WVADNIAAFGGDPDKVTIWGESAGAISVWNQMSLYDGNINYKGKPLFRGAIMNSGSIV PADPVDCPKGQEIYDTVVARSGCGGAADTLNCLRNLPYETFLETANSVPAILSYSSVA LSYLPRPDGVALTDSADRLVKAGKYAAVPMIIGDQEDEGTLFSLFQKNVTTTSRLEDY LRGYFFHGATAQQIKGLVSQYSPLISAGSPFGSGLFNEIYPGFKRLAALLGDIVFTLT RRVFIEYAIAANPNVPVWSYLASYNEGTPILGTFHGSDLLQVFYGILPNYASKSIQNF YANFVYNLDPNDASGGTSAKSKVKENWPTWNTKDKRLIQFFNNRNGYLKDDFREGAKQ YIAANIDALHI QC762_502820 MLAIDSLFSGSFLGQLRNIALMTTTSRDAGSSPRRITEPTPPQL NSRPVSPPAPEPIPRSQPKPASPKARSSRRGPRPGTRIIRPDPGSLYDIMHDNAGTSL YAMPMCWTDRHSELLGVQFDRRPTIEKPVPENLDGRWLEPSHMARVLTTELQVLVQPE PSPTKLFCKNRAIKHVMSTLFPHTLSKAKTSAELDLFFGMKAFRKAVRLPCVWKSAAS RDASFDSMATLDPHTLVRSDSEYAPNLPILAYVNRSQLAAIRKNLFRIASGPNGIFNE PVARLQALRSKLLIPANIDHDPYIVATLLAMAQAHFYRIPAYSGRMTPSSSAHDSQRG VRLRMPQFRDIKVQLITHDEGQESDPHFIIYTAVITAAFLERFMTPHKTPLVDSEDGL GMKITYTPVKVWPILGLKERLAKALGSEISGVSPFDNPEFIDLHGPLVEPAPEQLPVF AHIISQRKLKRRRDKERQPLEEMLNSSFEEEPPSSDDRPVLSPSAKRRRTARSVGTLE VC QC762_502810 MPAYNSMFNTDPNPPRLIGNFPLLPLRTKIRGPVYPLPFPEPAL PENESPDQDSESYDILDEVLALFRANTFFRNFEIQGHADRLLIYGIWFVSDCLGKIKP TASRREATKEVNNLALDTNFAIPGDPSWPLRQMYEPPRDRQDAEVLRQYMMQVRQELA ERLLARVYADDETRPSKWWLSFTKRKFMGKGL QC762_502800 MHSLIRPNAWVGLKLPSGSTKIIQIVPDTTISLGKYGAFPANLV LGRPYHLTFEVLDKTPEEAFSRLRIVPASELHAEVIAEEDEAEGKTTAADRDANAVLT ATEGEEFSLVDEQGNIVARSGREVIDDSAIQKLTHDEIEELKREGNNAGKDVIAKLML SHTALDQKTTFSLAKYKLLKTKKYIRRFQVVPIDVATFAQWQLEEKDASKIMDMRAEM FGLVGCWGNVHYGGEDSMIDDPHSRTDQGEETCVPIQPDELKGRWLVVDDTCGMLVAA MAERMGVLYPKEEEGEDATVAEQAPAREAKQAVKTDAQTQNGTAQENGDVDMVDVVLE TTVPERPKMTTTNKKQKVRPRGSDFAIPFSQTNTLTVIHSTSQPNLSLLNYWNFDITA PNHPPHPLLNHLLNLTWLQLLKPELDTSYSTEPPTASAEELASWKPSRRGNFHRKRRR FARTRYIVDSTRAGNFSGLVCASSMDPISILKHTLPLLAGGAPVAIYSPSIEPLAALA DCFSVPRRTAWTSGNVPETVGKSLEELERWEGNEQFPLNPTLLQGVSIQTSRARRWQV LPMRTHPLMTERGGADGYVFTAWRAKPAEGRVEARGKFKKIQPSSSKKAAKIDGEGTP AETPATGEEEDSATVGKRKRADTLPPLDTEGTPAPENAATGDVASPTKKRKVDGEVKV EEV QC762_502790 MSSYSIPLSLLMLLLPRSSFAQSFVGGTSPLSSAPYGLPAAPFL ETIRGFNSISNASFPITGYNLSIPAGAADGTASRVQGWALEIGITPDVSLSGVASTLS DKKKQFMTTTTLKIIPPDEGLVPGYNASTWRVCAMVFTGGLVQGTGNTSKILKDGGDG GCEQMLPSDCINQLQVNGLAGNGGKEGGCSDVSVPAVCQEYFRLVGVEGDSPRMVEIT PISKNENGGNPLAADRSSLFFAAGSSPTEKGNSSSIREAEHMIWPVLMTWTHFAESGE VHDSSGSLSCVQAKQTVNEEASSEAGQRKTSKLVLAMGLGVVGVFVVG QC762_0073580 MATTPALKSPSRWLTALLATTSCLLPGARATVYKLGTKGTDPTQ HMSRESDELMLIVLLFAAEIKESAATLAYDLMLYYKGNQSGEIPGILPGPPTEHKGDY YWWEGGAMMGTYVDYWFLTGDESYNKVVTEGMIHQVGPNEDYMPPNHTASLGNDDQGF WGMSAMLAAENKFPNPPEDQPQWLALAQAVFHTQAAPERHDNTCNGGLRWQVPPMNAG YNYKNTIANGCFFDLGARLAAYTFNQSYADWADKTFQWLWDVGYIDHKDWRVYDGGHV EHNCTDINKAQFSYNAALLLHGSAFMYNYTNGSEIWKTRVDKLWEGMHRDFFEDDIAY EIPCEGRKGACTADMLSFKGYVHRWLSVVTKVAPHTRDKILPVLRTSTEAAVKQCTGG DTGRRCGFYWREGVYVDPAVDKTSGAGEQMNVLAAVSSLLIDDAPPPANNLTGLSKPN YDAGSKSRGPSEPLAPITNGDRAGAAILTILILGSAVGSWAWMSFGD QC762_502770 MKSTIVSILLSAGACLASPVAQADTPVSTPSPTTLQQGAYWIRG VTPPNYHKYLQTKPANVPGIAILESHTTAGQFNIEGGQLVNKVSNPPLYLWVEEPTDK ANPPRTLATFFNTTKSTFGTFAWQGDTLTWSVPSIRRQNVGAWLVCKNQQLFINTGAY GYQTPAGCSDHTIHYYNDKTANN QC762_502760 MVGFTSFLLLGGAAAASVNLNSHPVRLETRHAVTSRLGNVHLFV ERDVAGPVTVSYGSCSSLSARDAHHTVGEIQGKRQGTRLVWKLPERSESGGCLSAWNS AGQLLGRSEPQLLENRHAKRAEKRAAIAMTNATGIETLGPWFEGVKLLQDQQPGPIDV KAAKEKEVAIVGAGMSGLMSYLVLTQAGLKNVKIIEAGNRLGGRVHTEYLRGKAFDYS YQEMGPMRFPWTYNDPATNSTLEITDSQIVFQVAEEINKLNKNSKNLTVSFQPWYQSS PNGLVYRNGFKLPSGLPPTSAQIAADPSLGTPSMPRDNSTIALAAALSEYMPPSSVYA DVANNMFKAHKDWIENGLKGLGGDTWSEFAFLTHHLGGSLNDTDVIGGSDHSYWSSIY SGAYFRAASWKTIDGGLNRLPLAFHPLVNKDTIMNRAVERVAFSTDSKTGSKKVQLSY RDSNPRSGKKSKLSSAGYDYAIISAPFSVVRSWRMPTLPATISNAIRKLEYANACKVA LEYKTRFWEKYANPILGSCSTSTDIPGIGSICYPSYNINGTGPASILASYDTGALLES LSEEEHVQYVLDAMTEIHGEETRKLYTGRYNRRCWAQDELTRGGWANPSIGQHQLYIP EYFKTYDGLIFVGEHTSYTHAWIASALESGIRGGVQLLLELGLVDEAKEAVDKWMARW IDI QC762_502750 MAIAIRHDPHSHAHEESIPGTVSLAAKEGEETHYGQALFPVPSA DPNDPLQWTKFKKHMIMFCACAFSFLGISALLGPAVYIGLWSAQFNVDPNTAAGLVNY PNLIFGFGSVILVPLYKRYGRRPVMLLSLVAYIGGIIGASQSTTYGGLLAWRIVHAFG SGVCEALPVQLVNDIFFLHERGKKLGWYTVALCLGATGPMFCGFMLAAGYSWNLFFYV ELAFGVALLLLCFLFVEESLYFRAPVAPSGGSSSGSNLEVVTSAATTAEGNEKERQPE TAELSGNSVLPRRKSWKQQLSIFPDKFDYTCDFWAMPFRAFTHLLVPSTFWVIATYGI YIGLCGFSFNFVFPLKIVQPPYNWPETNSGLSAIATFIGFGLALPLLPASDILAARLT RRNGGIREAEMRLGVLIPAAFVAPAGQVLFGMAAARDLHWICYFIAIGITQWAGYFYF TLTLAYAVDSYNANLSEMLIIMNLGKQAISFGFSGELLNWILKHGYVTIVVAAFVPIL VVNNMMVFVFMIWGKRIRVMMANSWLARFHGRSLTRGEGH QC762_502740 MPPAEPLHEKNRNAPNFSPRKSLQFKAETGWVNIRLTRVGAAGV PYWFPILASNAQCPTSSWTVLADTGASEPAFHCPSYKYRRFPILGYSQLLLDSKTPTM RASLLSLAGVASLAFSGLGLAADAEEWKTRSVYQVMIDRYARTDGSIDHECEAHEFCG GTWRGLINKLDYIQDMGFTAVQISPINKNMEEHTAAGDPYHGYWTTDLYALNDKFGTE KDFKDLVAELKKRDIYLMADVVVNHMAQKFDNNPPPKVDYSKFNPFNDEKYFHPYCNV TEEGWLNATEYQDCWLYPYGVALADLDTRNEFVIKEMNSWIKGLVSNYSIDGLRIDAA KHVNDEFLPGFVKASGVFAWGEVLTGETEDFCRYQTLDLLPGMPNYLDYYKLIEGFNG GSFEKLAQIKKQAINNCNDTFALGTFVENHDMPRFANKNSDMAIAKNAMTYVILNDGV PTVYQGQEQHFNGFETPHNREPLWQSGYDKESPLYKLTATLNKVRNHIIKLDKDYVNT ASEILEANNNYFCTKKGSYGSQIVYCITNNSSKGGKHTLTVGGFQADQKVVEVLTCQS NQAGMSGTIDMKMNNGVPKVYVPADALKDSGICEQTTVEEFQDDTSGAGVTGAATGFL AAAVAGWALMFLA QC762_502730 MIRPPFFSNALLITLLTATTLVQGLRYDKDEENWNLNTKKSAKT PLEYDYDVSLRPENYTYMDSPTNWRMPVYTLFLDRWVNGDPNNDDINGTLYETDMMST QLRFGGDLEGLRDSLDYIAGMGAKAIYIAGSPFINLPWGADSYSPIDLTMLDKHFGHI REWQEVIHEIHERNMWVIIDNTMATMSDLIGFEGFLNETTPFRTEEHKVLWKTDRVYP DFSIGNEYNETCDYPEFWYEDGKRIKPPGLKGCYNSDFDQYGDIEAFGVFPDWQRQLA KFASVQDRLRDWHPSVAARIEHFSCMAVRALDIDAFRIDKAVQVTVDAQASFSSAMRK CATEIGKTNFAVFGEITSGNTLGSIYIGRGREPGAAENLHPEKAMNMDSDWKNNQDLF VREPGNSALDGGAFHYSIYRSMTRFLGLSGHLQAGFDLSVDWVMTWHEMLKTNDMYNA NTGKFDPRHLYGATNQDVFRWPSVVQGMERQLLAYFIITFVLPGAPIIYYGEEQALYA LDGTAANYVFGRQAMAPSPAWKAHGCFQLNVTQYIGWPVEKGRLGCQDDGVARDHRDP AAHLRNTFKHMFAIRDHLRSIDHGWYLKTLAKQTEEIWLDGSTNFTETGIWSVGRGTS DTQPEDEEPVWLIYSNRNKTHTYEFDCSNPDTFNKGAFIAPFDAGTKIRDVFEDGPEI TLEASPVKNEFGNSTKNAGCLSKIVMPPYGFKMYVLKDSWLEPAPMITKFEPGHDMSI DSTGKGGVIPIRLEFNHEMDCDSVKKSTTAVLTVDHSGIANPKKLDITWDNNACGTFT PSEKSEYIGSIESTWKITGKLTNVQDGIIKLSVRNATREDGSASTNANDHFLIRFGAP NNPVVFPSSANYSRTLLHFDGNRMAINHSAAGATHFRFSTDFGSIWSEWFPYDAEKTY QEVDAMYRDNTLWTGTELQKWEGKHLQVQYFSKPLGSSGFIQHADSNDITFERHVPHI RIHGPYNKWGYDAGLPGSMDLVHHHTWELHYMYEWPAEFQLNVWGINPDNQPDVGFIY GDIDNDGIVDRLPPSSLARNVINITEAPPLPQLAYKLVYNDATWRFEYIPTGHIGIQV VLFILLAIIPVLLAVLAGWIYMHSFYQVKINKSGFSSKGWVPLKLGNLSKLDFRNLGK GGVEMSPMPPPPPSAALVPFGSGGGQRTVLIATMEYNIDDFGIKIKIGGLGVMAQLMG SALKHMNLIWVIPVVGDVTYPFDSMQAAEPMYVQVMGQPYEIEVYYYTVNNITYVLLD APIFRKQTKANPYIARMDDIESAILYAAWNSCIAETIRRFPVDIYHINDYHGAAAPLY LLPQTVPCCLSLHNAEFQGMWPMRTPEEQKEVCEVFNLPPEVVRDYVQYGSVFNLLHA GASYLRIHQRGFGAVGVSRKYGDRSLARYPIFWSLKNIGQLPNPDPSDTADWDPNEDI SNQSKEIEIDQSFEEKRGDLRRQAQEWAGLEVDPTAELFVFVGRWSLQKGVDLIADIF PSILEKYPKTQLICVGPVIDLYGRFAALKLEKLMKKYPKRVYSKPEFTQLPPYIFSGA EFALIPSRDEPFGLVAVEFGRKGALGVGARVGGLGQMPGFWYTVESMTPSHLLQQFRQ AIVSALDCKHNKRQMMRAWSAKQRFPVAQWLKQLDELYSESIRIHQKEAKKKKFDALS PSPMGTRPSSRASNISNTYVDPTGGAHTPGITPSPSPGPEQGLMTPRLASPEALPTPT APWAGGMKSNSPRESVASSINGNTLYANPAAQSSTVSVDSFAIRAQKDGMHSPGLAPS DNGLSLPRPAFGNANRNSSLLSLPDVVGDRQDFKLQQVDQFFNDTNGEYYAEFEDMLE TLSAGNSTNELCIETFLKRSEKEWFARYRDAKLGRYRESHIGSPGSRPESRNGLGGRN ESVVSRGRQRHRSMTPSGLARSVFETSPPGNGGGGGGMVDDEFLLGDGYRAPTGLKRI MSIRIGDWPIYSFFLALQQVISVSSYQIVLLTGETNQTPEKLYMVAATYLATSLIWWA LERNFKSVYSLSAPWFFYGLAFMLIGISPLLSDWRVSNKLEEAATCFYAAGASSGALS FALNFGDEGGAPTKQWITRALVVSGFAQVFSIGLWYWGSIVSTLDPTSTIFVGTSKVP QAIVVGIPICLLMWAIGAMLYVGLPDFYRQSPASIPGFWISLWRRKVVPWFFVMIIIQ NYWLSAPYGRSWQFLFNTQHVPGWGIFLLALGFYVGLWALVLWGFSHFSEEHTWLLPI FAIGLCAPRWAQEFWGTSGIGWYLPWAGGPVGSAILSRCLWLWLGLLDNIQGVGLGML LLATLTRQHVLTVLVGAQVIGSAFTMLARATSPNALSPNTTFPDFSQGIMPGAASPYF WVCLGFQLIIPFGFFKFFRKEQVEKP QC762_502720 MTGYLLSKLGFDLKNQADNHDPGNNDDRPPPLPQLHISTEDHFP PSTQGTYPRLCQLSDGSLLKSYTTFGPDGERVLVVSRSVDQARSFEVVGEITRSHGDC DNCFLAELEPGVVLAAFRNHDLSGEDGNKLTWFRITVCRSRDGGRIWEYLSQAVEKGG SDGVWEPFVRIPVGRREEVQLYYSAEAEQGQRQDTMVVVSGDGGETWSEPRRITGEEG LRDGMVGIAGMKDAVSGKEALVMVLETTRCGPGRFSIEAVVSYDEGLSWASRQEVYKP SGEGKNAGAPQIGTLAGREGVAVVFMTDEDGNEGIWPSGAKIKTVLGVGLGNGVINWS READTVFEEGSSWPGILGVGHGEALVVCETRSRIGGRLLSLDL QC762_502710 MLLHFAIYTTLFLTVSRGASLPNLSNEHSLDDGDKGGGKRTRDP GYVRMPVSRQKFKSKGKSKRGWHWGPPTDPHNDPPALKSNQPFQPTHASSSTRPPPTL TRITRSPPTQQSPTLYRRAADRRWGWSNLEELGGIAYIIQLDIGTPPQKVRVFVDTGS YELWVNPRCSTSASDSLCQTFGNYFPSKSNSAMHIGGNFAVTYGTGAVRGSYWSDVMS IAMLQIPQVQFAVAADSNYTFAGILGLGYAYPYSIPYPSVLNLMVSQKMISAPIFSLG LGGDGDGFSEIIFGGVNRWKFAGPLVPVSIWPPVKEQDPRWVQYWVNVTSVGLTKPKE AGKLYTPREGFSMPTLIDTGSTLSYIREDLVAVIGQQFNAEIDTQGNYFVDCKYRDVA GTVDFGFNSGAMVINVRYKDFIYQLYPGRCMLGVQPADYGSTYYVLGDTFIRGAYLVF DQQSDVVWMNQYYNCGDGVVTVGQTPRDTRNVVGAC QC762_502705 MSVRRLHGVQQLDGYQAIDEDGAALIGVISALESCPPVKESCFP TQVSGRAAMPLNRNNMAQWWIDGWEKLIIITTSQWKPIRNQDWWKELSQMLGHYQCTA VRLPFGMYLLKSIGLAKLEEYIKLIKEKNKVAEQWEDEKAKKAGEEKKVLEEEKKVLE EEKKVLEEEKKVEEEDDKGGPDVESD QC762_502700 MAPVYADHPFPLIQTPVFAAKQDPHAKVDSFDRAASEMANAHNL MIRGLNSIYLQAPHITAPDVKPFCRYIAAFTNLIHVHHHGEETHFFPEVERLSGVVGI METNVHQHGVFKKGLHDLDDYINGVLADKQEYDGKRVAQMIDVFGKSLVEHLRDEIPT LQRLREVDGEGRKMAEAIERIMGEEGESSMKALGMPGMLWCFANLDIHFEDDRWLDWP AAPGPVKFLYRNVFWWVYTDLRKFGSVDRNGKLRALYAVPKSE QC762_502690 MLWHRLAAFQFRKLIMPVDLRSLLTQPTKCQFIYSRLDFRNPRT SCCFHADCITWGSAVGGEEDIMQRRTHIAEKQTRQYLDLLVSRMPAFGGIAYRPYNAV DPDGIGIISSHETRSSDRDPEKTPAKPYERETHDGQEPENITDQIPHSLLEELAPNGE YEHILSKINAMSTEEALAIIRESLTFHADDWNFPTVMRTRMQRLVKESPKEYGDFYER DLRIDAVMMRWSSPYPGVRAVAELTDNDSTPVETIRAYFLGISWAVIGTFMATFFNSR FPSITLSGSVIQILLYPCAKVLEYVLPDWGFTVFGTRHSLNPGPWTFKEQMFATITYN IAIYTTNSYGMILVQKMPIFYGQSFVNYGYQLMLTLFVQLMGMGFAGYLRRFSVYPVK ALWPTILPTIAMNRALTRPEPKENIHGWTISRYNFFYVCTVSMAIYYWLPGYLFTALS TFNWMTWIAPENLTLAILTGSSLGLGLFNPITTFDWNIATSSFAALANPFFATATEWC SAWLGAAVILAIFYNNMNHSAYLPINSSSAFANDGKPYRVQNVISADNKLDEQKYQTY SPPFYSAGYILTVGANFAFYPVYFLYIMVNQWTTVGKAYVDFYQGLRRGKGNYEGAMD VHSRLMSRYAEVPDWWFIFILVAAIVVSVIFLRIYPLETPVWLVFLMIGINIIFAVPL SLLSATTGTNLGLGSLIQVLTGYLLPGNPNAFLFAQTLGSWALAGYGDNYVQDQKMAH YVKIPPRAVFRSQIGTIIITCFVAVSTQNFIMENVKGLCEPDQPSRFTCAADGAPLYA SSLMWGLLGSERMFGAMYPMFKWCFLIGTGIAVVFLVGQGYGPKYLPGIKERLRGRLR PRTFAQLDRTIFPFVASLLWLNPVLIIHGFQHWAPSNLSYKTPGFILSFIFMYLLPKY RLAWWEKYNYVLSASLTAGVAISALIMFFAVGYHPVKLDWWGNRVSHAGMDGKSVGIL PIPEKGYFGPERGQFP QC762_502680 MGTENDLDVEGQTEPPIRGLKSSKNTSASASSPTLAGTVRTAGT VSADSTPTLPGFTPESAHTLTVPDVSSLLETDSQNGLDNTEAARRLQQYGPNKVEGAK GLSLWTILLRQVSNSLTLVLVITMILSFAIDDHIEGGVIAAVILLNIVVGFVQDYRAE QTIQALYALSAPTCKVVRSGQTESIKAELLVPGDLVRLGVGDVVPADLRLVSSINLST DEALLTGESLPVSKHAELILKDRDVPLGDRTNMVYSASTVTRGRAMGLVTATAMNTEV GKIAELLRTTRGKTVDEDSSMVKKIWTKFRNGLRVILGLDGTPLQVTLSKFALLLFGL AIMLAIIVFSVSKFNIDDEVLIYGICVAVAVIPESLIAVLTIATALGTRAMAKGNVVI RKLAALEAVGGVTNVCSDKTGTLTQGKMVAKSVWLADGTEITIQNTNHPFDPTSGDVR VGDVDWVLSEKERKTPAQLTSFLETVALCNNSAVTKADVAGPYTAIGEPTEIALQVLA MRFDSGKPQLTAGGSHGLLAEYPFDSSCKRMTVVCRADGSDDADSASAYAYTKGAIEA ILPLMNASDALKAEIVTRAEALAALGLRVLCVARKPVDASLFSVEPKEGSEQSNNGLP ERNTVECDLVFLGLAGLYDPPRVESAAAVAKCKEAGITVHMLTGDHVKTATAIAYEIG ILSRDPSAAASSPNSIMVASAFDALTEAEIDAMPSLPLVLARCSPTTKVRMVEAMHRR KAFCVMTGDGVNDSPALKISDVGIAMGLNGSDVAKEAADMVLTDDNFASIVTAVEEGR RLFDNIQKFLLHLLISNIAQVILLLIGLAFKDNKGVSVFPLSPLEILWANLVTSSFLA LGLGLEDAQPDVMQRPPHDLAVGIFTKELIIDKFVYGTAMGGLCLAAFTSVAYGVSGP DGLGELCNSDYSPACDLAFRARATTFATLTFLLLVTAWESKHFTRSLFNMHPEKYSGP LSVFKTIWQNKFLFGAVSAGFVICFPLVYLPVVNRTVFKHEAITWEWGIVAACVAAYI LIVEAWKAGKRRRLAGVRNKKAVVGVEAA QC762_502670 MANDAENNKLTATAPDTNPATPADAAPTSPAKEPSSASSPTATT FPPARDDAPVSTAAAAAVVTIAEAEELQPDDSDEVDSVFDDGDSAIASLHSSTTSLRD ELILQVKEHGRQYQGYLEAKYVLPMDEQELERLDFQCHLVWLTLDKQHSTAPIQNIQR ALDVGCGTGIWAIEFADEHPEAEVLGVDLAPVQPQCVPPNLIFEVDDLEQPWNFTQRF DYIHCQLMIGAFQDWPKFFRQSREFLAGPNSYTEVHDIDFFIRCDDGTLPPDSPLAKW HELMHDAANKAGFPLDAINRVPDMMAEAGYVDIVARQVKWPINTWPRDPKHKELGKWA HENFSWGCESMSLALFTRVLRWSADEVRIFMASVRKDLRDRRLHAYWNFWVVYGRRG QC762_502660 MALTDHPAAALIARALEATGATAATVFVAVVALFVLPTIIQWYR LSHVPGPKLAAISKYWQVRESIKGTLPQVLKELNDKHGPLVRIGPNDLVTSDPDVLRK MMAVRSPYTRGPWYEAWRLNPTRDNLFSMRDEVGHTALRNKMVAGYSGKENLSMESTI ETEIARLIDLIERKYISTPKDYRPMDFGEKAQYFTLDVISDLAFGEPLGYLEKDEDVY DYIKITTASIPAMLTLGSIPTLANIIQSRFLRWLLPKETDKIGFGAFIGVTNHAVAAR FAPNAVPQQDMLGSFIRHGLNLEEAQGEAVLQIVAGSDTSASTIRAFMLNICTHPPVY QKLQQEIDEAVAKGIISSPIKDAEARQLPYLQAVIREAIRILPPAGGAFFKQVPPGGD VICGKFIPGGTQIGSSPLAIHHSKNTFGEDAETFRPERWLEADEDQLEKMKATADLVF HYGKWQCPGKTVALMEFNKIFVEVRAFSKVGPSKMARC QC762_502650 MSSVTIPTRKLGRNGPEIPAIGLGLMGLSIAYGNPGDESSRLAF LDHAWSIGCTNWDTADVYGDCEELLGKWFSLHPERREDIFLASKFALGGRTNEKGEFK FVIDSTPEYARQSIEKSLKRLGVEYLDLYYIHRTDGKTPIEKTAQALKELKEQGKIRA IGISECSSNTLRRASKIVPIDAVQVEYNPWQLDIENETGTHLLDTCRELGVTVFAYLP LGRGFLTGQIKSVNDFAADDFRRLVPRFSPENFPKNLEVVEKLGEIAKRKGCTTGQLA LAWLMAQGSDIIPIPGTKKVKYLEENVASCNVTLSDEDVKEIRATIDNADVSGDRISP GFFDGLAADEQLYQDTPEL QC762_502640 MALLKSLLLTALSASTLIAASTSNIEDDSHRPIDLNNYVCEHPP YKVLMVSKSPLVIYIKDFITPPERAHLLNLTEKTFTRSGVTRGNSKSHLSVRTSQSTT APRDAVVRCIESRALAFQGYDTPETHLEPLQLVKYGPSERYHFHTDWFTSSSHTQGLG GNRVSSFFAYVHVANDTMGGGTNFPRLDAPANDKWCQEGIVDCDEEWENGVTFRPVEG NAIYWENLLPDGRGDERTLHAGLPVLSGGKVGMNIWTRQEPLPEGIKGDDL QC762_502630 MRESIWTLYTLAVLLQRVLAWEHLEGKELETTLGARDRTLVASE INEINPPAGIDTCHFSREHTQALEPEWAALQKQNQEDVYVSIDCSQDAKLCQKYNVRS CPTIRLYKQDGSYTSYRGPRKTQPIKSFVQRQSRPVVSYVNDQSMLSFQTSDDITFIG HFGPSEKQIKEDFTKLAKQYHDRFSFAIADYTLPKVLVECFNNVDETSLSATSNDVAS PGALQDFIFSCSTPLIPEMTRRNEIDFFQSGKSIVYFFAHSQQKKDAFVSDIRPLAKK YDEYLHFVTIDAKEYADAAKLMGLKEGRTGLSVQNPNNGDIFPYAKKEAISAAVVEAF LVDIIQGKVKPWRGEEPHQQGHDEL QC762_502620 MSDKVYRASTTAPVNIAVVKYWGKRDAKLNLPTNSSLSVTLSQA DLRTLTTASCSASFSASEGDSLLLNGEPSDISGARTQACLRELRSRRAALEAADPSLP KLSTYPLRLVSENNFPTAAGLASSAAGFAALVRAIANLYELPASPSELSLIARQGSGS ACRSLFGGYVAWRMGDKADGTDSMADQVAEASHWPDMRALVLVVSAAKKGVSSSSGMQ QTVATSGLFRERIATVVPENMAIMEKAIAEKDFEKFAEVTMRDSNSFHATCADTYPPI FYMNDVSRAAIRAVEAINEKAGKSVAAYTFDAGPNAVIYYQEKDTEAVVGTFYHVLQG ADIGGWKSADIKGLKPTISLDENVAGLLKAGVSRVIMTGVGEGPVKTDEFLVAEDGTP AKR QC762_502610 MSSGKTCYDIDPAGDVLCTYTGDGQKEPFLATKTVVPTAKALLY AFLPAGYPHTVTTDYLPYQTYDSLQAFASSITSLLASRAVLEGLGVGSSEASPTGALI LKITGDTISRIATILFAHRMGQAIEPECKFYRFLADIFNDAAQFLDLLTPALPYLPKL GVIVSAGVLRSLCGVAANASKASLSAHFAVTGNLAELNAKEASQETVVSLLGMLVGSL VVRLVEDKHKVWGLMIILAGCHLAMNYRAVRAVRMTSLNRQRATIVFREWLESGTVLN PAQVSQRESILMNGRGELSSKTGDYTGFCDFATYGELKGWNPRGYHRYDLETKTYFMG IWHRGGYFYMKIALKEAVKSPLAAWFDAVNHAYHFGSAFKDGLESHYESEKPLGYVDE EQKESIFAALGAAGWDLEANALETRLPVRVRVGDKKGTPEKEGLLRHPGHQESKHD QC762_502600 MHHEFVDTVLVGKDVVKAGENDPSGGTPSSNTPNTARMREAGAP GANAGAEEKCPVDHKTRELWMQQARAKEEAAQNASNKSRPPVPEPSTQPQQPQQSSSW TSWLRLPSFSSPTSTESASTTPLKPRASVLDEVREVSSIPRSRDTGPSACPQNSEQET GTSESGHWIYPSEKQFFEAMRRKGFTSAQAKDMKTVVPIHNAVNERAWAEILKWEAHY DSKSCGGPRLYSFAGEKGKMTPKARINTLLGYTAPFDRHDWIVDRCGTKVEYVIDFYS GRDTSGGGKLNFYLDVRPKLNTWEGVKMRALRATGLA QC762_502590 MPSIHLPSILKSPDRLRSRLLARPVYILPIPASSIQHPATTPTN HPQRGALTERNDRSFPDYTTTTNQPISKCLPPEFFARLLSTPSVLPPSSSSASGLSQS PLTTLPAPTPLLQPTPFRLPSPTVPPTPRLAPTVTTPSSLVPSARPSAPTPVLAAMPV LLLARLLPPPVSTSTAMISRPASTVSP QC762_502580 MGAASKVIHIILRVFEVICSVIVLGLVARFLHLVSQAGVSADSR IVYAIVTASISTLFALVFIAPFIYAYLAFPMDAILFVMWIVVFGLLTSRTGSAMCNAS WYWNYWGYYWGGWWRVPDLTVWDRGYGGCASWRAVLAFSFLAAMAYLVNAILGAVVVH RYRRKNSPVTTRDISAPIPHSPATGRPETAQVHDPSAPPSALAQQTMGTAVNV QC762_502570 METRSDSSTPNPDYDLSRPLNLDGSGLRQKLASYGDPHFSLFMR KLFIKALGYSEDALSRPIVGIVNTYSSFNPCHANIPQLMDAVKRGVQLSGGLAIDFPT ISLHESFASPTSMYLRNLMSMDTEEMIQAQPVDSVVLIGGCDKTTPAQLMGAISANKP IIHLVTGPMMPGSFQGTRIGACTDCRNNWAKFRAGTLDIEDISALNEELAPTGGTCGV MGTASTMSCILLALGLMPLSLPSATAPAVSSSRLRIAEATGTHAVALARSQLRPQALL TRESFLNAITVLQAIGGSTNAIVHLMAIVNRHPQLAGSIKLQTIHDIGLKTPLLVDLK PSGDNYMSDFHNAGGMLALLHELKPLLHLDAMTITGRTLGEELASIPFRPLPLDSPLS SIIHPFNKPLYPSSSLVILSKGNLASAGGAVIKASASKDRSLLSHTGPAVVFSGPQDL AERIDSPSLSVSPSSVLILQNIGPVGNPGMPEAGLIPIPRKLAAKGVSDMLRISDGRM SGTAGGTIILHVSPESADPESVLGIVQDGDVITFDAERRYLHVEIDEDEVRDRIAQRK KMMANEGSGSAWVARERERGYRGLYKREVNQAEQGADFGFLTAAGPS QC762_502560 MSTQLKELRLPQLVEERRKHELQQQQLHHQLPPPCLDEEQHAQL FFTFNSASSSSDFALPSPVTPTFSRSSQQARFSSSSSSLETTDSPASPSHPIHVIKSP TKLPLPDVQEDPSEREDDDTAFIVSEYGDTEFPTWSYCLCDAGCSCDYNNDTRKGRST HPYSRPGSDYDLGSLSDGDFNGSPRSRKRRVGSDAGIASWGTRLGSRLTSLPRWRSAS VSRRANLAFSPASDPALAEQRRPSFSHAASSRSSSVSVPATARVPESVPATPALSFYE STDSIVPTSPLDIQPAAMGKSLERDRSMATTPLLPPMMMEKAGHQTHPQSLQASPLQS PAVVPSPMPEFPVQAPYPTPPLSTKASFTSLRRGTVSSIFSDLPSPVVMTPTILVEQQ PDAWSDRLGHANFTIDPKPYVPEKADLATFQAFCSDWNLARTNYAKHLGRTGEHYGTT SKTYALTEAKWADIEREWQQAEQVLIQRVGQSGNGNPSIISHLRRTAEEMVPCGIPQI QNNDGKFPALGDSEIVGPMARDAVMVRDGHDEKRSASIWLKNLAEKVGLRK QC762_502550 MPTLSEVVKRDHARITDAYHVLVETKPEERNADEFVWALARYLI VENLALIPALEYHISGGSERQRRLSDDYNSINAKLRHMAKYDPSEESFESALKAIWLD LEPHIREETDGDLDELERKMEPEDSRKLGQKYETLRDMLQRPYGAFGVPNAEIMDDVL GTTKEQLMERMGNGI QC762_502540 MRSPTAVTADDHQRYQYKPLPTGTSIRVLQIKGVQEGKLCISLE LIDLADDPFFYALSYTWGNPHANGVDFTEHFNAVSGEYTSESKTETVCHGKSIYIQTN LADFLQELQSSLEQQDSPFQIPRSHEFRIWVDAVCINQDDLEERAFQVQMMGDVYRKA ARTIIWLGRGDQYTTDAVEAISKLAACPQDVFVQSNITPFRQQEPDVYTASGVPYISW MEWCSLAAFFKRQWFSRLWIVQEVILSRELSLMCGSHQICWEVLVTAARTVEARCKVL GFSPSTLFMQAHEIAVALEHNTVQLARWRDFYYGTSAPEPQTRMTFENLIYDTWIFSA TDPRDKVYGMFGLMKTDLKAKMAVDYTSPVELVYALTTKHMIDHYSSLQILSCVQDAS IRRIKPSPSWTPDLSLPYFNMMCSNGFFCAAGLENKTPQLLPSSSWDRLKLKGCLFDT IVETGNDRTNHVNSSVLLDPSWFELCMLLSQPYQHTGEPRTEVLWRTLCANQTSESVV PAPKDYGILFKELLSAMIMVRAEIESEAYAEDQAKQEARGDPGPPPDCCTGFMDALGK AKEKWTFAEFDTLGREEILRHLCQRPRFLSSDRHGWLIYTLTKLQILASTEDNPNTPN WEELEQFFYNPTYVMRRKKGHDIVLVRQNDERFSASFHKRYGKHKLFLTEKGYLGLGP ASAKVGDVVAILAGAEGPFILRHGHPGCDIGEENQEERKEKEGENEVMSLVGQAYVHG IMNGEAVEEGFKLREIELA QC762_502530 MTSRTDFGQQTLGSEVAKVFADQIRGKTVLITGVSPKGIGSSTA LNFASQAPGLLILASRTRARVEEVASQIKEAYPSVKLEIVLLDLSSQKSIRQAAAEVS RLTDKLDILVNNAGICVVTRQKTPEGIEQQFGTNHIGPFLFTNLLLPLLRKAGKTNPP GATRIISLTSAGHRLSPIRFSDYNFEGGKEVPPEEDHFKPLAGAFAKCTEDGYNGIVT YAQSKTANILFTFYLQKYLPSQGITAYTLHPGSILTDLSRDQDEELAAQFYKVAPYWK SPDEGSSTTLVAALDPALNDTKGLYLVDCQFTEPHHHAKDPVAAERLWRLSEELVGEK FALTV QC762_502520 MVEGGIATVCVANFPCAPCDSYDSSGVYKVWCPIKDEAFVWMFL FLCFDGLLLIPTIWSSKIWRADSSLSHFINILALVHCSRSILPTLSLIYTCLIVQKYR SEILHSGTLGSPHTLKKKKGGGNCKPNRTEPHPDPVVTGWCHSIDLVPNSAATMPFFK RYHFSHHHHRSSPPGDLEMSDFQHHPGHSRINSGKSSSVSSNSGYDLDDDAITPCPLL ETTASHQTHHSHLRDLQPSHHRLSPNQHKYGSLSRTSSQERSASRSESWRSSQPRPFH GYVGGYDEEEEELDTEILWKQMLAVQDRFGCYNSARMRAALEGGDTSIPSKICLDLIN DSIGEMPEDARQRIELFLEREGSGAMMRRGKWKKFWHRVIYV QC762_502510 MAAQAEQHLSPHENTPIAAPEEPSANIDAPPSGITQSAPENLYH TTLTIIEHHESTSGATRTPYVLGTHTDLDSAKAWAQVALKESLNYSPSDFTKFVTQSS LHPYQEWPYGDNIQVYALSPSGQEFLVGVVTKPNVDKLPHHSHPGEGKEGAGPTVPQH ETDTCCGHEDLHYILQTKWDFKHAKGDKNSTAFQRTELAGCCVPRKEAFEKAKSLLRG EKDRGMFAQYDERETGDEESEIDERRWVKGSGWPFGEEVVVHAVGHGGENYEVAVKSV SGAKRRRSKPRLEMGEMER QC762_502500 MWKIGNIYFIAAVAVIGGALFGFDISSMSAIISTQPYLCQFNQR GHDENGLCLGPTDDVQGGITAAMPGGSWLGALVSGIVSDAFGRKTSIQLGAIIWIIGS VVVCASVNIPMLAIGRVINGFSVGICSAQVPVYISEISPPSKRGRLIGFQQWAITWGI LIMFFICYGSSFIPGTAAFRLPWGLQAVPAVLLFLGLVFLPESPRWLAKKDRWEQAVE VLTLIHGKGDPNSPWVIRELSEIREVVEFERANADVSYFELFTPGMINRTQVGVFTQI WSQLTGMNVMMYYITYVFTMAGLSEPGTNAVLLPSGIQFVINVVMTVPALLWMDRWGR RPTLLVGAFLMCFWLCINAGLFAVYGRAPYPGEFTSTAESMAVEGPPAKAIIAATYLF VASFAPTWGPVSWTYPPELFPLRLRGKAVALCTSANWAFNFALAYFVPAAFANITWRV YVIFATFCAAMFLHVFFMFPETANKPLEEIEEMFDDTKPGAIKYIGTPAWKTKNTRNL ALRQERNETLSSEEKMGVSGEHKESAA QC762_502490 MQRLSGRALHVPVSLLVWVSQIHRVNHFSASIALSTMSPSKPSF KRSADNRSISPPPLKRKAQTAISKSAVASFFTPASQKPKEPTVWSERSPDDNSPATLL VAKYDKTEPDAPAIKRRKIAAFDLDSTLIATASGKKHAGDSADWKWWHESVPARLRQL YQDEGYQVVIFTNQGGLTLHPDPKTKAPVKFTKNRVAGFKAKCNAVLGQLGIPVTLYA ATGKDIFRKPRPGMWEELKKDYSLPEEEIDRENSIFVGDAGGRTAELKGQAKDFSCSD RNLASNIGIKYLTPEEYFLGEKSREFKRDFDLEHFPLTEEEEETPRFEKKHEKEMVLF VGPPGAGKSTFFWKELKPLGYERVNQDLLKSKDKCFKTATEWLKEGESVVIDNTNADP DTRAQWVDLAKKHKVPIRCVWFKTPLHLCEHNSAVRALNKSLNPEDRQLLPQLAFNGF KSRFKEPKDKEGFDDITEVEFKFKGSKEEYAIWGKYWV QC762_502488 MPDHAYHRQEGPFKAPNSVNIRQSRHATQVLNQVLQQDQVTAAK CFPWQCPPPSPSKKGKPMSAMGAAILEEFHQKHAEPFSRPAQYQSRPAPSHHIRPPLQ GLLHSRSSHVSSITPQAGNGPSTTTSRPVTHVSTAPVHGRPAHHHQAIGSHWTINDQQ VQVAPDLQTERLAASAAKTRIHQILHRDGTRRAANGRGKKVTRVGGSSLKRQMSVNNG DAREELARLAESRTGGHNGTVSRPSKKARKRVGK QC762_502480 MATNDNIEPSAPPYSAQPTALQQQSSSSTDIGYPDEKNASAPVQ YAVDPEKQSTQAGSLRGDGRSSLPTVILRLVERFFWKVVQVALFIVFSVWWIYGLVKY RHTDGKGWLIPSLIYIAICLRIFFNFVPSSLVMRPVRTVWKHTAVRVYDRVPTHLHHI LAALIAVAVFLIGTFVPEETGDNTRANRAISVFGLMVMLGLLTLTSRNWKLIPWRTVI GGMLSQYIIAIFVLRTKAGYDIFKFISDMARALLGFAKDGLIFLTDEDLANSNWFLTG VIPAIIFFIALVQMLYHVGFLAWFIQKFAVFFFWSLRVSGAEAIVASATPFIGQGESA VLIRPFMDHLTKAEIHQIMTCGFATIAGSVLVAYIGMGLNPQALVSSCIMSIPATLAV SKMRWPETEEPITMGKVEVPKNEEDEETVNALHAFTNGSWLGLKIGATIVACLLTTIA FVALINGLLKWWGSYWGMVTDPLLVDENNKILSIQLILSYCLYPVAWLLGVPKQDLLS VAELIGTKVVINEFKAFADLVDPKQKFVDMLPRSKLIATYACCGFGNIGSLGIQIGVL STISPKRSGDVVKVSISAFLCGVLCTLTSASIAGMLYNDGMIDMS QC762_502470 MQHIFSLAQSTYVWLGHGNDRSDLAMEYLSQLARFGRQLPLRIC TAPDAATARAESARYREAVWEAMRCELLHSWGVLSFESSSMLTK QC762_502460 MATTTATTATAATATPTVVRAPAQAGVFEGLNPSIYNPADPLVL FIIQATIVIALTRALYWPLSKIREPRVIAEVIAGILLGPSVMGRIPGFTDAIFPPASM APFRLVANIGLVLFLFLVGLEINLSYLLSNWRIAFSVAALDMTIPFGLGVAVAYGLYH EFAGEPGTAPISFGIFALFIGVAMAITAFPVLCRILTSLKLLNTTVGVIVLTSGIAND VVGWVLLALCVTLVNAGAGITALYVFLVSVGYSLFLAYAVRPAFIWVLRRTKSLENGP TEGVVALTLFMVLASSFFTSIIGVHSIFGAFMVGLMCPHEGGFAIKLTEKIEDLTSTL FVPLFFALSGINTNIGLLNTGTVWGYVIAIIFVAFFSKLAGGTLGARLNGLVWRESFT IGTLMSCKGLVELIVLNIGLQAKILSTKTFTMFVIMALVTTFSTAPLVSWLYPPWYQQ KLDLWKKGKIDWDGNPIIPADGQDSEEKYRKGDVATRLLVYLRTDGLSSILGLISLFT SSSAPTPAASASSDNEKAADPSAAHTDEQRPLRIQGYRLVELTDRNSSVMKVSDIEDY ASHDPIVKAFGTSTANNTSRDVIVSGQIAVVPEEGFADTLATQASKTNSDLVLVPWSE TGTISEIQSFYYGGNSSIKDNMLANKDFAGLVTDVYEKHRHLAAVGVYVDSSLLSTSH PRSRIGDDSQATKTGITRQLTRDATGVSLAEAQDIGAAKFHSAESKGPKVVRVLYRGG EDDLFAVRLGYQLAQTDKVVLEVVVEAAANRADSEMAAFKSVLSESLGDRVVYLDAQD TAEAIQSLLSPCRQERSSRSVLVVVGRSVSSWLASSVEAGPSSSAAAELAAVRKVLGL KAARLAAEVRKGAGDKANVSLLVVQAKTAPATTTTMTTAGEGGVPPLKRKPSTYSQES GHVGA QC762_502450 MPRRDEDDDEDGGTGLYVSELAEDSLEEGNPFATHISHSDVDDD DDDNESGSHEEGSDREDHSDGDEEEEYSEHDGRFDLIDDMAADSSEEESEEDDGGEDD SEDSSDSDHDKNGTRRILFQDKRRSKKRSQFIPQFSRLPLELQQLIWKQFCPDLSEKA RFYEFQIVGHLVPQNGTPPEIWETVQLEQQTKAARTVLAIHHQSRKFALRYLPDELAL RGGYGSVRFHSQRDVVFIDRVASAMSRHFPPWPMPVIPGVTDRIRNIAFEKGLFFLTG DPMLQSFTCAFPNLQHAFFLAKYNHCEARNLLWCADPSANHYTLTSEEEAEIQHGRRG HETKNFVEYRWVWPNVEKRAAEARRASVADARPTSADEVNGTSNTRSPGVVEEEFLTI TPEEIACYAADDMRGLDDSDSDSDSDEEEEPGTYVQRAWSLGFKVWPVIEFIDKRGER AFQKLLQWNEDGATDVAFDDEDYSDEGEEIPDEYESSGIDDSEIESGDSDEDSDDLNI VDVDNSDGSDGSNEGDSEDDGEGGGSLVDSEDEGVDGDRPLIDLAGEDGNEHDDEVFT GFSSPEPESVTLRASSSVEEVPDAESDQQAARARLKRRRNRIMESSDVENDSDDHEDD VPRPAKRPCRVVDSDSDDENGTSEEEVVPRPTKRARRVVDSDSEEEDGSAEKDEDDEM PQLIKRARRDSTALLVRPDDDTDQEVRKMRANKRLRAVISDDSEDDDDAHHNSSKEEE GEEQESDSQSSEETDESESEDEENEQFGRSRKATSALAQKLGLTGGRGRIPMPPSGSE DDEDDDDDIERKRGDDYDVGNYEVFEDDDEDMEEVNRDGEDEEEIFGGDDYDEDEEDY QC762_502440 MGSQVIYLPDGQSYTVTPVFAGLFFKSNDLSVHHNAFPAGWTIV IHTVDPDDGSSGSRSTPDNDSIRADVDGSPAPQKMSHIHAFTSPTLLNDSLFISSISS PSSHDFKPAASPTRQVAMMLWVTLCWYFHQKAPPSALTTDASRLTPAAGRPRGEWRVR IKRDGLLKGRNLIPKLERMGLIASFNSAVGTALDETDDQWANMFVSRRMFWQTPGRLF LFTLQPTTKFTRSGTASPTPSRPGSPAQGERTISQIADISDLPGAPPPTTLASVPSFP IGPFFSASHLPTYYPPASLPYTITNHTRHPLRPKPPRMGEVFYTRFIPSVNQYLSFRV ASISLHPVPYLGPTGPKSPTHTHLCQLSDTALVQQWHSSPRVSAFWGEYSPKFLSNAL QSRHSFPAIGLWDGVPFGYFELYWVKEDILGRYAGGSIDDYDRGCHVLIGEEWARGRV QSWLTSLVHWAFCADYRTQSVCLEPRVDNERFIQHLQYAGFSKEKEIAFPHKQAWFGR LRRENWEGPEL QC762_502430 MNHVFRRLPRQLTTSPPRRHSCLPLPLCHPAVRHFSSKPAERPG DTKARKLDQKFLDQQEQEVKVRQHQIKRPWHREGADKPTVDPKGEDIQPITKGKLLTT PTRLLKLILPLPMGVEKDRQNNGNSDDKEKPDYARSISQNDTIQPLAILVHPQQPLSY VERLIQAELPPVLEDGKEKIPSIYFRAEDTEHGDQKPTSRSEARKKDAGGQDASKRTH VASYSGLGHEGPEREGKEKRWVRWSSSTEMGDFIRDAARGREFAIEVEGYHLEMRVTV PSFNDRTFYMRSRLRKMSHELDRLAKIKKECDLLAHRGANLLAKGGFGILTGWWVIVY YVTFHTDYGWDLIEPVTYLAGLTTIMGGYLWFLYISKDLSYKAAMNVTVSRRQNALYE AKGFDLERWEQLVQEANALRREIKAIAIEYDVEWDDARDLGEEVKQALDEENTKNGED NRSDEKEKDEEFTEEEKKRQDKSKKKDS QC762_502420 MDASSDSSSPATLATEPPTRDRARLSSRPERPCDTCRKRKLKCA KAPGHERCVLCIFHDRDCTYEDAPQLRRKRGSGVGQPSPETVDSSTTSGKRRKAITED ATPSLLDQALGLHRTTHFKYIGSSSPQQEKLVDIIHQVGPSQDCLTGTKFRRVAHHVT FLSKPDHDAPLSNDTDRDLEAIESLVSPHGRGLVDLYFGTVHPSYPILHKGVFMEKYK RSYTEFSPPLLAAVYLLAMDWWEFDRKLSSQAKPDTGALSQWATKALTDVMHRPKLSS AQAALLLLQRAGCDSWILTSQVVALGEELGLHLDCSDWNIPDWEKGLRRRLSWALFMQ DKWGSLIHGRPSHISSSCWQLPDITLADFPESAADDENKEGSSEVEKGRLLFIHLTRL TMIMTEAKECLYGPHDTQVQAIVRSAGLQGLLELVKPLVVQLKDWMHSLPPELRMSDI KTRKLCSNGYLHLSYFVTEIIIHRHIISNLDSAPLPLIALCRDAARARLERAVAFVDA LKPEHLQAFWWFAAPKSLAYIRTYGGLLWATSATEDEAEFYRKKLADFRWGLKVRAKG VGFVTAALKEMEESLSEIDMSRGVAVPESTGG QC762_502410 MAAIPIQAPSSRHGPRDTMNSGPLPSLSGRDGGFPPGPFAPMSH RIPFNDNLSPSAASNPMAIRNRDTDFAPPPLPPPRLVPINGPIDPKEHQKWEGMRKRD TNYDGSVDSGLGMSPHDFRRRDSDYDETYHSYGSNRSTTLPSFSAISQTMKSFRPSHE AIDNSMLNRLNRPTIRRSGLSTSHNELPPPRAHHADLSTLSLPHRSKQPFLDIGYSRS PMSATSPGPSPFGHPGPMDYRSPLSAADSTDLERSPRSHRLHSTQSMTDSEGPGLSHG GHDYEGRDEDVDFPMEETTRMRRLKIEDPWRERERERESYQPGQKRRASSPPSDDVPM ASDSMRWPGRDGSGISRGSPTPRLLSMPQNSTLGGRSPVSRSGSYSSNLTTSSMTLGR RSPGLSPSGLSPTDPMNCGSPYGTPLSMTAGSPRSAIGMGLGRSAAAAAQQPTGRIAL VPPRKVAEMPKNTNGGSLAAKLKGPYMCECCPKKPKKFETEEELRTHEAEKQYECTFC GNRFKNKNEAERHQNSLHVRRHSWSCSALTGYERAFHDSTATPGEADTCGYCGKEFGR NGPNASVTDEDWEKRIRHLQDVHKFRECNASKKFYRADHFRQHLKHSHAGTSGKWTNM LENACMIEEDGVSVGR QC762_502400 MALNRINGSEELFTDVLGLDSIFGVTRPRVITEHKITHVLSVIK YSLDSLQNEAYRSLQHMSIDIDDMDDQDILVHLPKMVRFIQRGLYGHDYTEEKQQQQQ EQQQQQQEEEEAAKGAVLVHCAMGKSRSVTAIVAYLLWKHPHRFGLGKGAVDAKEAVA KAVQWVRGTRPIAEPNKGFMEQLELWVEMGCPAGSDDAVEKEVKYQRWLYKKEVETAA AVGRAPDWIRFEDEEAEKEQQKQDEEGGGGAFELRCKKCRRRLATEPFVVPHQGRGNK AKEDCPHYFVEALSWMRDTLELGELEGRLNCPHPKCGSSVGRYSWRGFKCSCGDWVAP AFSLQQSKVDKVAVMGAGKNGTAGANEMASRMAALGIRMPPGQRAENL QC762_502390 MELPRGRLAWGLVAPTAQHYLESRHQQTTFSFSLSLSLSLCLEC PKMAFPNEYTHRKVAETSAKSCEICYKPSSSVLITSDSKDWFYVCPSHLKDTGFCTPK IDHAAIEARKKKELEDEIERVKKEYEEKQKKKEKAAKKEDKEDKDDKDEKDDTKKTDD KDKDKAAATVRSADSPASSSLLPLAVSCRVPVLTVLQKKEETSVSPAEEEEPRVFELK PTFFQQRLFKKRQAEIAKRNRERLRDPNYFPSVPKNLP QC762_502380 MPAKLNVETLQSVFQTRPDILAGIQEAADTPARVGLFNEIASFV YERIATASDHGSTQDDDGPAAKRRRVDIAQVQPSQQTQSNGSTAGGGVSLDAATSEQV LLEVRDISASAPQRKKYDVCFTKNFLYARVSGSSTPVQGIVYPWKEIEHIFYLPVPEK STVQHNYVVLPRNSYLPTRTAKPAAGAAPTSPTALEPFVFTVPGAAPKPGSIGGASAK TAEAVSDSYSTLFHWALATSLKSAGNHSCSIVASDPKLFHSVTRQAHRPTEKAVHVKA FRGSKDGYLFFLPTGILWGFKKPIVFLPLDRIVALSFTSVLARTFNLVVELDVDESGN VEKEIEFGMLDKEDFEGINANYVQRHGLADKSMAEKRKAKRELAENNKTTGGEDDADG TGKGEAENAQTAGMTELEKAQWEAEQRLQDEEDEDEEDYNPGSEGESEGSGTSSEEED DDEDAEGGEDDDDDENDLDGEGMDED QC762_502370 MHFPQGWSILLCLLVSATSTAAAKADPASGARRSKDAENGIVRL PMRKRSPSQQQSRSSRGFPHAQRLPAQYTSDYYSTSTTSSAPPAATLAQANLTSIFKE MAYGIKMWIGEPAQAVTLDFDTGSSETWVSPHCTMVGWNPSYEKLCRSLGMYLPQQSE TVISMNRTFPSKYITYGSGETHIEFYKDAISFNGERDLIQPVVFHCPPSQALTSQTDP SEYYDDEMFSLRQPVQFGVATWSSGMISGIFGVAYGEGYNQNYSGIIDAMYSQNLIRD KDFSFSLGSVDDENGEIVFGGVDMAKFRGPLHGVDMASQLNQEEDGYYRYWINLTYIG VTQPGSCLSMPVTEHSFEERFLPDTGTTLTYVPNHVFENIKRFFPDAVDNATYGTIVD CSHLHAEGSVDFGFGNQTIRVPYRDFIFQLEPGVFGDNEETLCLLGVVPSWDQFYILG DTFLRSVYALFRQKEHKIYFAQYQNCGTNIISTHGIGQFHGDCEEGSDAPSSADDDSK HGELSSTSSESWVMTPASSSSSSYSASKCTSYSTGAYSAVPTSTSSYDPWTEEPWGTT TTMIWDTASSTSDISWESSTTTDDSWSWTTDDSWSWTDSLSTSLVSIESDLTGTDWDW TTTPISMESMPTIGTEDWTSLDFETWTDEDKKVHVTGKPVLGQGGIPTVSPTLRRRGK KGRVDRSSPTDAAAMKPAMTVSTGPKETLVIDPGNGKEKVTVVGGAVQGQ QC762_502360 MSFHLSAQDIRVDDGHILRARLDNGEGEWVDAELDLNTVLGNND GLFEWEGGDFAASAEGITFQLEGDENVPILRAGLTNMNGDVNWHDVNLAERITNNGGQ FELQ QC762_502355 MGCHENVSIDYRCVNTSHNHSQPPPFNLSKAYRASDWANDKPRV ENYLHTSDLTGATKMLRIHHDPKTQFAPDAYDWIVKMYPNPYKPAGTVYNYLAKVLTI NTPDVQDYSGFEGLQLNLMVVAVAHDSPVIEVYYEICRPMENQAKREEMRKRGLAWAR PLITDEAIGSSWLSISEEREFLEVRAAHKVRITGTPEGVHALFYDMLIKVRESNGMII KMAIELSREFTKG QC762_502350 MGQLSASTVSSGLRSHDKRSRHDVSDRYWWSRNIGSHLMLLLTK AGYTLDAQAEALSFLYHIVAPRLGPKPTSPMPKWQSFMTDDFTPLEYSWKWGRGDNPP EIRYSIEAIPPITNNPSDPLNQAATYALLSQLQSMTPELDLSLFHHFVTCFFGPQSPV LTSTKATHQQSSLFLAFELSRKTSQDSTKCYFVPVSTPSNSAAEQISSAIRSSSYHSH LPAIDELEQFFRQDQDGRTIKPIMLGIDCVDASESRLKIYARSTRTSFEFVRRVMSLG GRRRGMEKEEGQLKELWCRVLGLPKDMNTEEELPFRDHPTAGTLFYFDVGPKEAVPNV KVYIPVRHYSKSDRQIVSGLASFMEKNGSRRFVDCYKEVIEGLATEEGIDVGTGVHTY VTAAYKKGGLAVTSYFNPQMYHRARWA QC762_502340 MSQAALTFRLATPEDAPLLQPLVQSAYRGETSRKGWTTEADLLV GTRINVAGIVEKINTPHSAVIMAFSPTLGNALVGCCEVLLKPSRKIGYFGMFAVDPTL QAGGIGRQVLANAEQYARSHGAEKMEMTVIWTRKELIDWYVRRGYAVTEERREFPHEE LAKMDGENRALVEDLWFKVLVKDL QC762_502330 MSNTNQQPPVPNGAHYELGNGKKHILINAFDMSTVGHLSPGQWK NPVDKSATKRRLDYWIELAKLLERGGVNALFLADTYGGYDTYEGSVDNCIRRAAQWPI TDPTIPISAMAAVTKNLSFAITASTSFEPPYLLAKRFSTLDHFTQGRIGWNIVTSWKK SAFKAIGLDNPIEHDERYRQADEYLRVLYKLWESSWSPTALSPDPANDSYVDPAQVRT INHKGKYFSLDAKHIVDPSPQRTPFLFQAGTSSAGSDFAATHAEAIFVSGHSPSVLRP KIDAIRALAAQKGRDPRSIKVFATFTPIVADTDELAQEKLKELKKYASTIGGLVLVSG WTGIDLSKLPLDKEISKEDSVEAHKVTSILDNFTTASTEHPRWTPRLVAEHAAIGGLG PVSVGSPQTVADELERWVKEADVDGFNIGYVTTPGTFEEVVDLLIPELRRRGVYPELP DPSEEPVTAREKIYGKGQNGLRDDHEGSRYKYHLYQEDPPYKSEEEGQT QC762_502320 MAFHDNTVSRHNEGEVEPPKSELHPVKWYRSTFWNMTVLGLCNL AAPGIWGAMNSLGAGGAASPQLINAANALTFCLMVVSCYFSSTLVHYVGIKGALIFGT IGYAPYAAGLYTNNRFGTQWLVLLGAALCGISAGVFWMAEAAIAIAYPEPWNRGKAIG YWLTYRLAGQILGGAINLGLNAKNSEAGKVSYTVFLVFIAVQCTGPLFGFLLNAPDKV ERTDGKKVGLAITRGPLFELKETARLFVGNKFLLMVLFIGQAVFAEAVYFTYLAMWFS VRSRALGSFLSGIIAVVSGWILGAWIDRTRIALTTRARTSFWVIVVLQGAWWTWATVL VTRYRVTRPTFDWVDGNFGEGFGVFVFLTVGFQLNYMFLYFIIHNLAKDESEVVRYAA LLRGTESAWQAVSYGLTSLVVFAEVGGVYINFGLWAIAIFPAWLVVREFGTSKVEFVE ERASSAETPSLKSDDKGL QC762_502310 MATITTLPDPVAAINATEKLSNLSISALEVPELSSSDRDTNSDS HSDHYTPATSPGLPPSTTTIPQRIPTYPKSRITLVDRFIDQPRALKVAVIGGGLAGIT AGILLPAKVPNIQLTIFEKNDDFGGTWLENTYPGVRCDIPSHVYQSTFEPKTDWSDQF APGGEIRDYWQSVAKKHDVYRLARFGTRVQSLEWDAGKSVWKVSTQHKEEEGPKVEEF NFVLNAIGRFNAWKLPDYEGIESYKGHLRHASHWDGEFDVDGKTVAVIGNGASGIQLV ANLQKRVKQLDHYARNKTWIAGSWAGDERTAGPQPYSEEQKELFARDPTAYLRFRKEL EDKYWRRFSAFFRGSETNIDLRERFIEIMKQRLKKKPELLEHIVPDFSPNCRRLTPGP GYLEAISEDNVEYITSRIARFTEDGIVTVDGRERKVDAVFCATGANVDMVTPFPIKGQ DGTELRELWDPESKTGYGFPYTYLGLATPGFPNLLFVHGPHGTGPSGTVPHSVEVQLV CFAKILRKVAREGIKSIQPSRRAADEFVEYSDAFFTSTVLSDNCSSWYNGGRPGGRIH GIWPGSAGHVTAVRREPRWEDWEYTYLGPEGNRFAWYFGNGWTSKEADENSDMTSYLR LPGEVNLKDLHESWWDLP QC762_502300 MTTKTSSPEKRKWYQIQWFQPQDTPRERKLINKLDLLIVPYAFL AYWVKYMDQSNLNNAYVAGLKEDLGFQGNELVQLQTMYIIGAVLGQIPFMFLFTYVPM HWVIPFLDVAWGIFTLLQYRVTGFAELAAYRFLVGWFEAAFFPAMHYIFGAWYRSDEI ARRGGVFYLGLTLGTLTAGLIQAGASQRLEGVKGLAGWRWMYIICALITIPIGIIGYF VLPGTPDRPNGLLLSEEDVAVAKERLQRDGHVTEGKFSWKGLAKIAKTWHFWGLILFD VLFWNGSINTSTGGYLLWIKSLGRFSKARVNELGTISPALGIFYTVAICFASDLVLGP AWAITVAHVWNIIGLIIQVIWEVPEGALWFSFMTTYSAVAMSSVLYGWVNSQLKAAPA ERAFTLVLINTVAQSTTAWTPLLVFKTVEGPRFTKGYSFVLANAVCLIGMAHVLRIYL AKKE QC762_502290 MVTPSFDPKEQPPAMYKFKYGEVILQHAELHQETVTASSVSTAI MLPSLLFTSIALALPVLGQTPCTRDFLKAATAEYLDALTAGEPTFSTLSSDVDYYEND ALVNISTGVLSQGIKIDFNLSIYDTTQCASYTEIVATTEHPYVIGTRLAFTDSKVTHI DSIVCDTGDWLFNATGSLIYNRQESWAPVPVEKRESREALKAAGDAYIDAWGNHTVKP VFAKNCARLEGGFYITSNCLLNFPPPFNVSNQRYTIDEELGAVDIFHLFPFLDAAIPR HPGTQTNNLIRVESGEIRYIHENTVCSTRNCGR QC762_0074160 MPGFGRRIRFATIQVCCSNLPFFSPVSDRGRVARLPRPWFARLL TTGKLSQFVTKSTDVIPPRPEYEALISLQYCELGSSGTMHDSLPSPDDQRVYGGFKQQ QC762_502280 MSGILRSKYRTALPLFLSIFQGLAEAVSPVSIKGTKLYDESGAQ FFLKGTVYVAGDNRNDPLLNTTQCQIDAEHLKNVGANAVYIYSIDVSKLGQHRGCMEE FDKQGIYVWLQLGQLPMVLSRSDNTPRWDLGFYNTWTSIIDSFSEHDNLLAFGIGQET INGTSVTTLVAPSVKAAARDLKLFRDKRGYRPIPISYTAGDFEQYRLLTAQYLTCGPA ESGVDLYGINIFNNCSDDKLDRLRSEFSNHHTPVVFAEDGCFPETREFSEVQTFFGES EFSRIFSGMNIYQWGRNEFGFALVVYGDEADRNLGQPSTFLPAYTSLQQVWSETVPQS TSRDAYTFSSTQLPCPTANPQVGWLVDRAAALPVISGLDINTVTARTRRTRPTTSTSA TAVPTESGDSSRDNSRDEEVLASSGMSAGAIAGMAIGIVAAVVGGAGAAFWFLRRRKS RQGEPDDHNGPYEKAAADSDRLSTAKTELPDQERAANELEGRFHHHQLPVKTDWKYPL EAGSKPVSELPDGAGRPGNHFELEGSPVHGPGYNPGAELPAPAPVPK QC762_502270 MSGTSFLRKMSLHKFRKSLSSPKLDSLNLTEAEKAPVRPDEPLT PPPETQTVLLLHAARQPYELTDDYPVPQLQDEHEVLVRTQAIGLNPIDWKAPDFNFAI PTLPYISGRELAGTVIQPPSSSSTRLQEKDRVLVISTDYRDLRKAAYQEYVVGLDYNT VRLPPSLSIEEGSTLGVAFVAAALALGVCAGLDFSHVLDGPDLYSLVRDLPADRIAED IRAECLDGIRSHERAQKGDWLAVWGGSSTSANLTIQLAKLAGLKTVAVVDKAKHGLRL ANHKAIRTDLLVDSHDPERAVAIIKGNLKGKLRFGIDTRGRESATSLLQALSPDNLGG GGEQPLLKEGEAPPSPPSTPHDSTLLSAHLIGLTGLPKQTAPEGTIFHTVPIKLFHEV PAVGEALVSWLERLLKEGLLQPPEIIDVESGLGSINKALDRMRKGEISGGKLVVRV QC762_502260 MAATTPAVQTRQKKPLIVNAFVEMCSGHQSPGLWRHPDDESWKF NDLDHWVELAKLLEKAKFHGIFIADVLGGYDVYKNSLDPAVISGAQWPVNEPLSVVPA MAAATKNIGFGVTISTTYEQPYHLARRLATVDHLTKGRLGWNVVTSYLDSAARNIHGK ATQLAHDDRYAQAEEYMKVMYKLFQSSWRDDAVILDRERGIYTDPALVREINHKGKFF DVPGPAITQPSPQRTPLLLQAGTSRAGKIFAAQHAEAIFTSAHSPAVCAKSITEIREL ARTEFGRDGNKIKVLALVTPILGRTEEEAQAKHAEYRKYASTEGALALFGGWTGIDLD QYGDDEELREVESNAVKSTVTGYAKFSPQNSKWTKHTVAEHVAIGGNGPIIVGTPEQV ADGLERWVEEGGVDGFNFAYALFPQSFKDIIELLLPELRKRGLFWDDYAVPGGTYREN FYGEPGQKHPLPEHVAAKFQWRAGVPAEEHQIPE QC762_502250 MSTPGPPATEKPINTPEDSEDQNADPKKITYPEGGFKAWSVAFG SWCAMTCGMGLVNSVGMFQALVATTVLPTYSNQAIGWIFGIFVFVSYFCGVQIGPVFD RHGPQGLMALGTVCLLVGIFTTAQCTEYYQFILAFSILTGTGCSLLFTPAIGAISHWF DKRRGTASGFAFVGSALGGVMWPLMMQSLVPKVGWAWAMRIVGFVLLVLCVASVLLCR SRLEIRQAKASSTWRDMLPNPRMFLDGTGAMAFTTAGVFFIEWAYFVPVSYIPSYYLA RQGLAEDADAGGDAAFAYQLLAIINGASCIGRYLPGYIADKAGRYNTMVVSIAICLVS VACFWLPDALGQDGGGGAGLITGFAVLFGLVSGSNITLVPICLGQLCETHDYGRYYAT SYTFASLACLTGIPIAGGLVDMGGETDRRAYWRPLVFAIASYVGAFGCFFWVRVRVKG WDWRVKW QC762_502240 MAATTLPAFNTLVAESISQHSQIPPEQIIVLDNSDKYCPDGIKT DQSQDEEGESLAAIFGTMASLNKGALLDKNLCTGNGGHSFRSALLSATDELANLAQGR KVRYVELGPEPFKSSVIITHLISSGVQLSQYVGIDINPESELTMRAALEPIIGPDRFA YLVADFYKTSADDLPPLPGSDKEGETITVMTNLGFQEGNDLPSRLGPMLSRLTRPGDL LLSEMQVLPSSAPSSDASDSESDSDVSTTSITDSKLVEEFYHHPEMLKFSSLVGKKFD RNFDLSPQSSPNSSSEDVGGGGASSNDYEYIFRLVPLQLSNVGEVAVATTLVSLPIPG GKGKNYVLTNSCIKYTREQFARARETQGKFGVKGWRETGDGSVVFQIAERRH QC762_502230 MASSSSSRSYKDKDAGVVLSFNGQWVSWSHTVAASLAFLSALVI GSALHYHKIVQNEWYGYPQEWFPSVSATIGDRYPERSIFMLFIAITSGPRFALVGLWY LLTAKPGRTLPKLIGWSGIIRTLTCGGWTYITSTDDHDWHDILMISYIVFTIPWTTGC IALSPPNAKAIKYRKYIAGAFFGTLVPLVYFFIQHKVHRVAGAYTIYAFFEWALIVLD VSFDAVTALDFDSLEITIRDVKGASKGVNHSSVPTAVLEKEKEQATGGVYSAGFRFGE FLDIAADVYHGFVFWSILTSLGVVIWYFPLWHMGISGYEALVMTTISPFLLAIRPLRS FIVSNQRITHLLSLAGLLAYLVKDPVYRLFTVGFGVSMGCLSWAATWYSDSVQPTRLE ARILAWTIGLLMSSVAKFAWYTNNPIWPIMHAENGGWNATGLFLAILAALRFTRRGPH QGGNTTEKKSGSSVLSAIGIGGLFFGLHSLLSDTSTMILWVWDGYPIRGPVSNVHGWY TIAATTAGILIGVLRPGFATSWTAYGLGCIGAAYLTLYEQWRGYYGGLTLAAYLMAIA VPMIGNAAKKSPAATFGLGFLIYNFLVLFHVWVVAYAFVPGGPLVREHTDWIMITMML ALGIGLFDLISQQAKNNSSSKKKVGVIRPVNTHHRKYHFGVLGVLNLLFLSANFLRFP TNDYKPYHAKDRLFTAGIWTIHFSLDNDMWSSEYRMRDLIKEMEVDVIGLLESDLQRV IMGNRDTTQFLAEDLGMYVDYGPGPNKHTWGAALLSKFPIVNSTHHLLPSPVGELAPA IHATLDVYGTLVDVFVFHSGQEEDPEDRRLQSEYLSKLMGSTNRPSVLLSYLVTEPLK GNYNTYVSDESGMHDVDKTDWDRWCEYILFKGLRRTGYARVSRSTITDTELQVAKFVV PNSEEDSKFAWGVPEDVRDRRVEEHEVPEGWRFPRIFRGEGVRGHRYHVFDEPRYYNF QC762_502210 MGGAAEKARFYLERAAPELREFEEKEIFTKEEIRSLVVKRSDYE HLILSPGTKPTDFLSYISWETSLDRLRAKRCARLKIRNSSSHASQARTFNIFERAVNK HPGSLQLWFAYLDFAASVKATKRWNRIATRAIRLHPSESSLWALAGRRAAKAGDMEKA RAHYLRGCRFCTTEPDLWVDYARCEMEWLQKIEAKKAGKGVRKGVNPVEAIKDTETLQ EGDVIEFDEEDSEDEDMDGELMLPDPDAEGADGKPKKKKVMTEEETRKIEQSPALSGA IPMAIFDIARKQQFFGARAAEMFVDMFAQFGGVSSQERIVGHVLGAMQELYPGHACTV SCWIRQPVVGVNALSVEFPKALREVLARLKKGLEETNDKKALVEKMVSWFDGVLAVEG LDEGIKMVLQHTKGSLEQQVAN QC762_502200 MVPKGWATSRVACAPWWLSLAWQGPGLSQRPCKFPIRSDRPPSS HTTKVNISGFEAVRFASAASKMPKRKSTHAEEPAVAVPEPRRRSMRLQRTEDETESKA VKNEKASKAAIKTEEDEPSTKKTRTSKQETEPVVNKSSPPAKKATKKAVKREEVEEAS SSPAPDESEEKNYWLLKAEPESRYENGVDVKFSIDDLAAKTEPEPWDGIRNYAARNNL RAMKKGDLAFFYHSNCKEPGIVGTMEIVKEHSPDLSAHDPKAPYYDPKSKPSDPKWSV VHVKFHEKFNKPITLKELRELGAPGGPLDKMQMIKQSRLSVSKVSASEWKFLMAIAER SFGP QC762_502190 MHKASLFMTLSETADRAVRCHWKTLAMMVNGQSVRGSDRAFGGN TRCTGHCFGCRALSVALIGHRERDGPARLGRFRRAPRFVRLKSNQRSFSRRALCHSTA PLDSGHPTSTRDLGTEVWQKPGLRGGDCGSLELWVTTREALTAKNSRGSSINLRTLSF DWINDGAVPSHNGNGFAIADPAAVAGVMMDPSAFMGNPAQFNPQFANPQQLAMQNTPM RNASPSFPNAMYQTNSVIPSKRARPREDSIGQSPRQAPGMLPTSRAETPQQSPFPGYQ PPGMAQQQGGQPSPYPHLQQNGSANATPSPIMSNQMRPGSVPQRVSTASPHPFSPAAQ QFPQTSPVPSEHGGNPQAFMQQNAFPQGFNPQFTAQSPARPSPSPNPMGNPMMAQHMT QMQGQLPQQIQQMPQQMQNPMAGQMQNMMLQQQMGQGRGAMDPQKQQQLLYQMQMQQQ RSLQQQINAQNMMQIAPNANLTPAQIQAQAHVQAQVQHQAQQRNMMAGRPGVPNGQMP PGGMRPQQGIPAQQFLRQVPPAQFLNQLRAFFASSGQVMDQTVPTIGNQPIDLQALFH AVMKFGGYRAVTQSNGWVQVSMALNIHPQQVPAAPSHFKAIYERWLFKYEEMVKMRMQ QGGMQKAPQMAPGTPTKIMPPGQMPGQMMQPGQPSPLQQGPMPSPAKPPGGQQAGMNG FPVHGQQPMMPSQTHQQRHSLSRSIQATPTNEDFSMQSPAQGKPGSMSVPGSAQAENQ GMAEELAGTAKFAAPFATNPEEYMPSSREHISYGGVDASLIKVGEELQNARIDLPAAY ELGNVDLHAITKSLQSGIHGEVRLALDVLARITASDFHSFAPTNTIPIPQIELKFCPE LVEALVDCAEEQIELLAESSEEASNEIVILPYEDIVRACRIDRLTVKSIPVHGSSEYD LERAADRLICITTIFRNMSWRDDNHPALADEAVIKLLCVVIRYMGTREMLLRSNANTL DIMKDLVTLLSNIAGAIEIPGREQAFCLLQFLLAFAPNPPPTMVNGKLYFSVYDPRSH PYLPHAVDSLAKLLARDEPNRTHYKAIFTNESMLNTSPPCELLTRAFALAIAPIPDCT KEPRHPLPPLVEVRKPIIMQGLLAADIMAGLAPEFDSGVARSWLASGNGFAQNLYALV RQISSLYENQVMRPGRGPPKRDAELVYISSVGINLIRRLCEKARDPHRPAGESGIPPE ILPARESVLQALQMHAREWTVEGMLTDLVAYARLVR QC762_502180 MQQSRRELRDSISTTATCDDDHHHNPVRLTLLNLPTRITTPSVP HQKQQHHNITMLRPTLLPSRLLRQQPTLTPLLRQFLSTAPSQPQKPSPSPPQSLPRQS QKTYFPFVLGRSRTNNYSVYQDAKRGGNFKLTIIKKIEGNRIAFKQELAKALNLSPND IRVNSLTGHVEVRGHHRSEIVAFLEERGL QC762_502170 MASTTASGGSEYDLLNKLAPNLDRHMIFPLLEFSASQLVDEDGQ VRDEAKARQITQAKFALTKRTNMTDYVANLYCELEGLDEAPAEYTERKKQVFSQLEKY EQQTAKITELLERDDVVNALRSDKVANLEFLKREHDVTIDMVNALFDLGNLQYSCGNY GDATETLYRFRVLSTDNDKVAYATWGRLACEILTMSWESALEEVQKVREVIDSKLSQN PLAQLQHRTSLIHWALFPLFNYDKAREPILDLFFNAGYINTIQANCPWILRYLAVAVI TNRTKAKNMGVQQKQMKDIVRIVKQEAYEYQDPITRFVHALCIDFDFEEAQQQLVLAE EVLRGDFFLLNHADEFVDSARHLIFESYCKIHARISLTDLSARLGLNAEAAEKWIVNL IRDTRLDAKIDYKEGTVVMNHPPTSVYQQVIEKTKGGFFRTQVLTSAVGGRS QC762_502160 MGTINAANAARDLLSSYVKIRLCLVVGISGGVPSPEVSGHDDDI RLGDVII QC762_502150 MMLLRLVTLATSLLGLVTPALTIPSPAAPFKVKPFRVNLSKNVP HMLDLIRSTQLPAKPQYPGIGSSFGIDLDALKALKQEWLHDFDWEREQASINKFHHYA VTIEGLQIHFIHEKSKDPNAIPLLLCHGWPGSFLEFLPIIKDLTQQARTSTGRNVSFD IIIPSLPGFAFSSSPPQKWTLDDTARVYNTLMTKVLGYETYAVHGTAHGVAISFTLYD EFNATARAAHLVFMFFHPTTPEEISARNISLSPLEQFELQRSVEWGVNGMGYFVMQTT KPNTVGLALHDNPVGQLAWIGDKYIDCRVALTQAAGTSLTFFTGSDPRAGTAPSILTT NELLRCVSLYYLTGTFRSSIYIYAQDPGAFERVPRRARTDAPLLVSFFKYNTAFWPRE VIAMVGNLTEYRNHDFGGTFAGLDNPPALIEDLREIGTDWQY QC762_502140 MCGITASIALPRGRLDSQPAVAKQTPPAHNSAQTNDSTFSAGPI EKQLRSSIDILNHRGPDEAGVWISQDSSIALGHCRLSINDLSPSGSQPLVSDDGSIHA VVNGEIYDQDRLWEECREQHGYQFRGESDSELVVALYKIHGAPHFFKYLRGEFAFVLY DRREGKRRVIAARDRFGIKPLVWTMSNDRLLIASEAKAFLPLGWKAEWDVDAIVSGGW MLDDRTLFKGVHKVLPGHWLQVTEEKGIEDQVYWDAEYEDKTKVETRPLEEMVEGVRE RLVDSIRLRLRADVPVGIYLSGGIDSSAVAGIVTHLAKTEHVKIGDRAPTTPVTCFSV RFPEESGYDESSIAERTAKWLGVETIKVDVNEARLASDFSDTVWHCEHHHFDLNTVAK FALSTLPRDHGVKVVLTGEGADEHFAGYPYFPAEFLCEPDLALPDTTLSSDNELREAM RQTTDAEMRAIWRNIGANQYDGRLDHPSLSDANARGMAESILAWHPTQGLFAPWVQSK FQDLDCRATLITSHPASVRAKMRGRWHPLHTALYMWNKSCLANVLLSCLGDRTEMAHS VEARTPFLDHHLAEYVNRLPPSLKLSYNPAETATPKQGEKQGPLWKTAGSGLHSLTEK WILREAVRPFITDELYRRRKHPFLAPTKWPKGGPLHRMFETLLTRQAVEGLGFVNWAA VEHALQKGFGDHADPKAFRTLSYVAAWVTLGEKFAVGQSKVNGSA QC762_502130 MQQQFHLGTLIQGHCHEVDMSTMETLDELKSELGRTFGFVDATS ITFFSPTIGTLTSLEEVKSCDGPVELRGSQDSSVRIPPGPKTLPVVGNHYELYPDPLG NFDRLFSRYGPMIKTVNMGTTTYHTNDPEISRHILLEGEFFTKATSNPSHPLYYLSEQ NALFTCDTASPAFAISHKFVPPALSPRAIAHHAPLIRAAARDIFPVLDELSTKDLAFN VYQYMFKMGGQVIWRVVAGQDLQHFKAVNTPPALPIRLFGQYLHLMKKVSLRPAWYGR LPFGDAARLRAVRDELWAEIARALRECASPDGETLSLSDPTASLKATCIADYLSLVRD DKGQGLPEEMLLANTVAVLGAGFTTSASLLSWSLYALVKYPGNQERLLQELVDHGADS EKDWTYDQLHAMKFLDSFIKETQRLHSPSFQTTRNAKKDLILPGGYFIPEGSVVTTCF PSLHKNPAHWDKPLKFDPDRWLEQGFAAQAARKGLYTPFAAGKRGCVGFNLALAEVKM VLAELVYNYKFEDASPEAVVYDPEFLVTRPLNFYASATRRTEWPSKRAE QC762_502125 MAQITSAHLQTHNTAKDLWVAVHGYVYDLTSFAADHPGGIDVLL ECAGTDASEPYDYAGHGDDATTTMQKFRVGELAGYRHAKSKTNTGGGRPVAESASGKG SSSWIVKATSVLNSRMFLSCLIGGAALLGLKLLQRAPVEGVNGRSFSGVEPVYSFLGG LLVATVVCLVGAGYVYNEFNRTLKPEKDVFDYPALIPRRRDQKLETLST QC762_502120 MSHLPCFCYKGFGEEKRQELWYSQAVRIGDQIECSGQGGWNPET SEVHKSLSDEFDQAFKNVDLALRTAGGKGWCQVYKIRVYLTIIDDEAVEALVRNLRTW MPDHQPIMTAIGVNKLGLEGMRIEVEAVAHDPEGAAKHAAEEAAGSH QC762_502110 MTNPSAAPVSTKFLNVLVIGANGYLGTAICSAFLRTNAPPTSFR VHGLIRRESAAHQLAMNEVIPIIGSLSEPDMVRTAVLSHSPVWDIIVTCTEPSRATEA AHWSDLLAFIQDLASESASHGVRPFVLWSSGCKDYGTTGLHGEKSLTPHSEDSPLQSH PIIRGRMDAALRVLEVAGAEGSDFTAAVVRATPVFGYSGSYYGAAFDYAAAFAGAFGK DDIRSRTLDFTSDEGTIMHGVHVDDCGEGYVALATAALPDDDRRRRIAGKVFNISGRR YETLREVGAALAQEYGFGHGARFGLAQDELPEAVSGHNCGLVFGWSQWVSSERIRNLT HWCDKRSLFSENIGVYRLAYEAAVEAGLDDVEKVKRRMAGNWGDDHKAAE QC762_502105 MQVRCSGQPSGCNRCQAVGTQCRYPPREPRRKNRAGTGGDKTGT GTQSQKPKSDSKPSNEQDQGLKFSKRGTSEEGDGSAPGVDPQLLDQEMKSNSYWYQEF GGHGLATPISPHSGEEQGSNDRLDDWLDTNGILESEFPSIPGRVDDFHHFNIDMLNFG TVDSGNDKYFDALKQSAPTTPALVDFPDHMVLFEAPASIPERNPPNENASNHRETLRH LSPLSSCPSSHNPATPSTAEDTQMASRAELSVMSGSCGCLQLAACLLEELGTKAAAGD RDRVRMDVLLGDFRDALTQCTDILDCERCVEAREINMLLAMSAKYTSTMCQRLAVCYA DLKRARSSEKDGGGVGDLRFSTYQIESLKEQVEVLGCLVMVQIDDFAQIIARLKTRPG IRKGHLTLLAEARNKVNALQVLLRGRQDSSFVNSVNNMY QC762_502100 MGSRYAEPASEHSSTGYLYASSPFSQPCSYFFSVSVVYFPTFNL NGLLHFLGVSFLPTFTMRVLCLHGVGSSGKMLEAQLRPFLKAVDPSFDFVFVDGPFPC ERGPGMAAFDGPFFSHTAGYSPEQMIEAHEHLDRTIDDLGPFDGILGFSQGGALALSY LHRKQTQNELRPFKFALIMSSVIPCSADVGVCEEVIQSLCDQTDPSAVDQDQRVFVEL LDRTVGEARKNNALLPDIDLSIYEAGGDPSLAPRIMHPSFVKQKIWIPTVHVAGKKDY SFMRAMSDVAYAVCEPKLAKKMVHSGGHQPPQKPSEVKEVIRALDWAIGMSDRFAHWN L QC762_502090 MDNSQQEPIAIIGAACRLAGEVSSLGTLWDMISNRKTGHGKIPA ERWNADVWHHPDPDRKGGIAVKHGYFLKQDVAHFDAPFFSTTAKEAAAMDPMKRLLLE VSYESIENAGIPVENLMNSRTGCYVGCMTNDYEMLSLHDIHDIGHNAASATSEAMTAN RVSWFFGLKGPSLTLDTACSSSLYALHLACQSLRTKETDSALVAGVNLLLVPNTMHQL SAMHMLSPEGISHTFDDRANGYGRGEGIGSLIVKRLSDAIRDGDTIRAVIRGTGANAD GKTPSITQPSSVAQADLIRDTYAAAGLPLTDTQYFECHGTGTPVGDPIELEALATTFG VARKEVGLGPLYIGSIKPSVGHTEGCSGLAGVFKAIACLENGMLVPTYGVETINPKLK LKEWNLALPQETAQWPTPGQRRISVNSFGFGGANAHAILDDAHHYLAERGLAGNHNTI VWERDGAYANGHHPVADTPRLFLLSSKDQAGIPRLADAYAKALGAAHSAKKDSHYLSN LSYTLASRRSHLDFRSFTVASTLSELTEKLSKGLPKIKRSARQDNNLVWVFTGQGAQW SAMGRELLGNPVFDKSVQASQVYLANLGCAWDAVEELTKTAGSKMQLSEYSQTLCTVL QVALVDLLRSWGIKPRATVGHSSGEIGAAYAAGYINRADAVKIAYVRGLSSATVTRQG AMLAAGLSREEANEYLTKVPAQSAVIACINSPSSVTLSGDLDAIHTLEKLISADGKFA RTLKVKTAYHSPHMRAVAQGYLERIGHIDTTAEGADANKTVMYSSLTGKIVSPKELSA QYWVANLTSPVEFSAALSALLAHTVASTTGRGRPVPVRWGGILEIGPHSALQGPVGQI MAASNSEAIKEIPYMSLLLRGKDARETSLSAAAQLWALGHTVELSAMVDSFDLPDTKL QHKALTDLPAYPWNHSRRFWHEAYITKSNRSPKFPRTDFLGVPVDMQNSMEPKWRNHL RITENPWIEDHKITGTVLYPGAGMLVMALEGALQVSDPTKNVHGFRYSNIRFERGLVV TAADEPAVETSLSLHPDPNIPGKFGFTIYSTTGDSWTCHCHGTISLEYDASGSSEVED AGIAVDPWTLHTTRYKQLFSDPGAEEIDVDEFYDQLETIGMEYGPLFRNVTSLFAVPA QHAAHGEVIIPDTASVMPMSFEYPHVMHPATMDAIFHLLLAGFNDGRPIDEAAVPYSI DDMFVASEQPHGAGSKFLGYGQLTRKSGGGRELVGDLVISDERWSGPKMVINGFALRQ VTSADDAGISGSQEDTLKKKCARVTWSQDTDFIKTSEQLVGVSTDASLPAQLSAWFDL LQRKKAIGEVLVVVRGQCPGTSEIVGDVWRRVRSREGFQSVKAVSTSDSGVEQLRALV PNAEPVDLWDISGDAEPPASSKGYDLVLVIGTDSYPDFSTELQKLALLPQSHIVLIGE KNVEAFSSEGHQSSLHLQGNGDAAVVFSFAAEEATVPLEVCLLLPSPTSKQTSALASN LTTVLSASGINDINTITLSDLSTLDLTSKHVISLLESDKPFIYSWNENEFTSFKSLIS SVDHLFWLTHGGVLQSWAGGVEFAAAQGLLRVLRNEYTLASLPHLDLSTGFDSTTLSS AELIAFVWRASLLEGAEMEYAEFEGKVHIPRAVADVGFDGDLQLADGTKQPVLGPLKG GKPLKPIVVGAEKMIVWVEDEEASLPLGMTEVEVQVEFVGLSSGNTFSLTGTVDDDSI TVLDRCVDAVGVVSRLGGGVNSLVVGQRVAVLKGHGCRTHVRQDVSLVAPVPDSIPSE KTAALPSAFITALYALSHVARLDKGQTVLIHSAASAPGQAAIQIAQHLGAVVFALVSS KGEKAILVEQHGLPVTRIFDAGLLNFIPAISNETGGRGVDVVLANEADAAVSSSLATL GDFGVFIDLRSSESTGSISPPSGKKNVSIVRVIMDGVAQAKPHIVKSLFQQTFDILST NTIRPITPTTVFSASDASQALQTATTQAHGKVVLSLQGSPSVLIPPAPAPELQLDPSA TYIIAGGLGALGLNIADMMIAQGAKHLVFLSRSGGTKNQSDLARLRSHGITAEAFPCD VTNSTSVSKVFSHLRSAKHKIAGVIQCAMVLEDGIFDNMTHTKWSRAFAPKSAGSRNL LAQLWPDEQPFFILLSSITGVIGNTAQANYASGNTFEDALALWARTHLRIAATSIDVG LVADSSHFTEAGEFGDLEGYLHRYQHGWNGLQTTLDELRVVLKSIMRGSTADGGNIPA QLVLGLGDSLIRDENGTGFAKDKKFELRVVKSDKSGGQAGGKTEKIGEVLSRASSVGE AAAAVEEYIKLQIAVAIGVEVSEVDAQKPLPEFGVDSLKAVEIRNLCLREMQSDISVF ELLSSTPVAELAVKIVTKSGLVKLDAEAV QC762_502080 MASIASLGVHQPTGLRHAIQEGILDPDPPPSTYTWDISLSKEGD NEYEDELLTTKNCVLWSRGGVFRKSFKFDLENEPIAQALLANFPASAEHQSRDNAKQK KKALERPNLSKALVVFLKTQAHIYFLSGASHVVHMPFEVESACAAPCGVIIQRKPRAN NTAPVSLRLPKVPPNSFVSFQPPPSSSNTQRGPEFSTEGLGNPKVLPLRLSSTLENMW QPPMETSESHWPRLVCLTDPLLEIGLVVTQQDRTKPPRGRRGSTGPLFLSPAEEMLHI ESVKLPNLPASEAGGLHIAVTVNREAGMYTVWRLTYLENEDPFLSKKKKRRTSSSRRR SSMAPGLPSGAATPIHPSMRESFGAPLPGKRTRKSVRIEENEKALDNALNSLDPDKRN DATRRQSRRVSSLLARADLSASQDRATFTEQSSRRLDSQGSQRARMSSGYAGSVFSGS FSGNRDLNSLHEAPVDSLLDELRAGGDFEGFHSMGLEDHDFDGLTREMMLTKIHSFSM DNANLRYSLSDKPARTHSKVFILASPPTATDEQGRAMLLVGIQDPVDRRLQLLTLHVD IRDEKNTTKSAMKSFSNPSSLTIVPGEPRRVQSVVDSCKLSDGHETIMILSEDTSGGR ELSLQSPWGKVTTVTLPLLFSDNLHSLDYAGSHKVNKEVRGRRSMGIGMTCTQIDSVC HSNSRGVVDLRDKDGRFHRVRIQLQPSSPQIRRVLDTCRSVLPTSYADKLLAGWWHIM QWLQSGRIRGLSRPIVDREWTALIILLFSSFLAMRHNSETSLRSYGEGAAQPPCPKSW DTMRVYENPSSSACPPWTRTKAWRWLLDTPIFEPAPVTAESGPRPPSFLAIHIELAQR WMASSGGVLAFGFDGYLPTALGRGSPGRSHAAWSMMMALHLFLEEQKLNILSPEDTIH GQIDLRTALCQVSRWLGWQRHEAIYALGIDMDLESVHDLVPTLAAEVVEPPTCFCVLS WIQDHLAYGKGGEFPTLPLVYSTGCPDAVSGKSRSQLWSSLTPRSLMFAKFFGLLGTT TNRYEVVVAMYEARFTPQILETLPEAVLTPLQDIIFMCQPNPPPSWSKELLSLVSRTD ISTVLRPSKTWRPLGAEINAPSHAAKWDVRMLCQHLDDFHDRAEETEATERQAVVRSL FREDRRLNEAQNLLSTSKHRVVRLNPKPGTTEPEYLEQQKQLVATVATSTLAIPAGRG LLYFALRFPLLTQKYQINGFQLTCVVQPINNSVGVDKAMFTEEKINWAFFHQGVSGGL AISPHAKGIDTSWILYNKPGSDLNNRHAGFLLALGLNGHLKSVAKWVAFKYLTPKHTM TSIGLLLGLAASYLGTMDSLITRLLSVHVTRMLPRGAAELNLSQHTQTTGIMGIGLLY CNSQHRRMSEIMMSEIEYLEDGEEENPLRDEGYRLAAGFALGFINLGKGGDLKGLRDM RLTEKLLTIATSTKRMELAHVLDRAAAGAVMAIALIFMKSEDHIVARKIDVPDTTLQF DYVRPDVLLLRTVAKNVILWKEIKPTFDWIKSSLPSIYHSRARLSSTRKLQSRDMSFF SILAGICFSLGLRFAGSANIQVRDLLVHYLDEFVRIVRSPVTNFDAELARSNARMCMD LVALSCATVMAGTGDITVLRRLRGLHGRDDKSTTYGSHMAAHLAIGALFLGCGTATFG TSNLATAALLVAFYPLFPANVQDNRAHLQAFRHFWVLATEPRCLVAKDLATGQPMNTP INIHLKPGSATAVAAASQTGSDATDPEVVILRRQTPCLLPPLDDVLRVATDAGGLGCW DLTIDFQNQPSLVDEFRNNQSVYLRRRPAHEGTFPATLRALGSSEVVDKGLGGRDPME WVFELQALRDLTHAERGLVLDRLGSSGGGVGEGEGASTAVDAKLVLRAGLDTEGWSRD RLLGLRLLFEWAERRGVFAGRVVDKTEAEEGKGRKKGKTPAKNGKKKVSMSDGVEGQG GGKSEGVVGGRERELDAVERGEVWWMRDSVIGELKGRAWLAGRDT QC762_502070 MTGSSPQPGDNDPHHDKSPTESSSAGVALKHPPASDATTTGPMK ELRVNTGAGATSDDETDDTLEQSSDEDGEREEEGEEEAEEGEEESEDEDEEPKLKYAR LTQHLGPLYRNGDATSTFLVAGDKQIIGTHNGNIHVIQLPVFQPLRVYHAHSASVTAV SISPYPPPLSTLRPDATPKATPSSPRRPGSSIGEGHDVPTPPPRRATQLQNTVPNTPS NNIYIATSSMDGNVCVQSLVDVKDVSLRNFARPIQAVALSPDYKHDKTYLSGGLSGQL ILTTGAPLGRSTATTTGAAAQAAGWLGGMVGASTGKDTVLHSGEGTINTIKWSLSGKY VVWLNEHGIKIMRTKLHLESADADDAWKRIGHIDRPQTAEWETMASVWKGRAEWIDEQ AVEPDEPEKESHEVLLSPAAERLKQQQVKHSKTIERLLVGWGGTIWIIHVHPGGVGVG KHAGEKSAGRAEIVKLLRMDCIISGISLYTQNLILVLAYCLPDDDDANDQDDGTAARG HKHTLSSGSEPSGGIRRKQNNQPPELRLIDLTSQAEVDKDGLTLSRFERLSSNDYHLG VLPAQTVAAAASSRGALETLAGLGTEMLNAALNPISLFSSGASIRSKDSNEGTSPVKI AAASALLRSKGGSVHPNLTKPGVKIFIHSPYDCILATRRDLGDHLSWLLERQQYQQAW ELVNEHPEIMSTAVDTSPLSPDHTQTTDDFYDETASLAEGMQSMYSAAEKEKRRIGEL WLQELVEAGDWVRAGQTCGKVLGTPDRWEKWVWTFAGANKFDEIVNHIPTERTRPPIP GTIYEVVLGHYLETSKPQFRELLERWSPDLFEITTITTALENQLNYREVREDSVEDGE VGRDWRIVMESLAKLHEANGRNREALKCYIKLQDADNAMRLIKDGHLADAVADDIPSF LTLRVPQGQAKMSNDELEQATHEAITLLVDEAQHGLVKPDVVVEQLQEKKLDLYTFFY LRGLWRGQGIHEHSGESRARLVTDSQSLVDNFADLAVHLFAKYDQGLLMSFLKTSTAY AFEEAVKECDRYDYIPELVYLYSKTGQMKRALTLIIERLGDVSRAIAFAKEQDDPDLW EDLLEYSMDKPRFIRGLLEEVGTAINPITLVRRIPEGLEIDGLREGLKHIMKEHDIQH SISSGVAKVLRSEVAAAQNLLRSGQRKGVKFEVVVKGGDHVDVKAKDVPTVVGEKHEV VDDGVDNEEVPKPPGKKWAPGHCAGCCEAFTEWEMETLVGFACGHVYHLSHLLEKMHP GERVDQSLVSAVGESVSHRIGAKVTHAMLLRDKIAGGCPVCKEAEET QC762_502060 MFIVLGTKSRNSFNISQHPLCSLFILFDISHTTAAMAPIGKIYS YPSNYRVHIAQVAADLNNVELEFPSFQMGVTNKDPSFLSKFPLGKVPAFSSADDTFHL TEGLAIARYIASSGPASSQLLGADPKTSALIEQWALFGESELSGATIPPLLMVLAKMI PYDEARYNQCAGNLERAVKRLEEAVKDGRKFLVGDQLTLADLAVLGPMTLASKFLFDG EMRKQAPSVEGYLKGLLEVPEVKKHFGEVTFVEKRVQG QC762_502050 MATPVVPVISQAPSATDPAVYAEYESKWATYPTDAQGWLERARE VAGVLSVDAAAREKANKSPKAEVALLKHSGLLKVLGPKKYGGGEQPWSVGYKVIREVA KGDGSIGMLLGYHLLWSRTAHVVGNDEQAERFQKLIIENNYYVGGAVNPRDNDLKITY DDSNIIYNGFKNFTTGAAVSDLIVLEGAIHDRPEEHIFAIVPTAQAGIQFHYNWDNVG LRLTESGSAKIEGISAPWTDALGWDVATKKPDPAVLGISFPALLLPTIQLVFSNFYLG IALGALDFAKKYTTTSTRAWPYGGDNKEKATDEFYILSTYGNFFAHLRAAEALANQAG AEADRIYGTYQNNRAAFPIEERGEWAELVASVKVVTTDVGLRVTAGVFEVTGSRATAS KVGLDRFWRDIRTHTLHDPVAYKNRELGRYFLLREHPEPTWYT QC762_502040 MAQPHELNTDPDRLLFAYWVPNVSGGLVVSKIPQNTSSSLKSNL TYARTAEQHGFEYALTQIRFTALYGASEQHESVSFSQALLHGTEKLKVIAAILPGPWT PAVVAKQLASIDNYTDGRIAVNIVSGWFKGEFHAIGEWWLDHAERYRRSNEFMRCLRG IWTAPKDEGFTFSGDFYRFKNYKLAPKPVQKPHPPIFQGGNSEDARVNGAEVADWYFM NGNDLEGFRAQIQDVKARAAKVGREEHVKFAVNGFVIVRDTEEEAIRVLQEIQGKADQ GAIEAFAQEVKNAGQSTKEKQGMWATSTFNDLVQYNDGFKTKLVGTKEQVAERIVLLK ALGVNLLLTAFLHYDQEVEQFGKEVLPLVRKLEAEGRGKDVAYEIERTGAVYQKH QC762_502030 MGGVPTRPATRIMIVGDSISHGREGDWTWRYRIWEWFRQNNTPV VFVGPHKGTIPPPPEDDRTRDGGYAPDVNRDFLQDCYHYSWWGKAACVVKDGIGEQVA THKPDLCLVELGFNDIGWGISDPGGTLKSMEALITEARTHNQALKFAIANVPQRTIVP GLGDLPVRVETYNELLAQAIPSWNQPSSPVVLVHLCENYLCREGSYDGLHPGALGEFE IAQAFSRTLLSPEFALTDRSTKELEIPQPVPRRVLSTPVNLAAVASSPNHEGWVRLTV TWDLVYGAYSYDIRIKTGHDDAWWDWHPVQDTRYQLWCSPMYLPTPEWKAQVRATAGE HVTSNNSQLVNVIVGQEDDL QC762_502020 MRWTQFCSSLIAGFLATTSLAATWERDVWAPTFRVGGKGGSEFE LLAETGQTVQKIRVFRVATTKNKQTLRGIQVTFSDGATRSAGALEGESKDYHFQPGEA ITEMTLWGNGDGKRTGRILFKTTIGGEFDHGQDTTGQGNFVMEVGSGMLIGFVGRAGK EMDQLSPVFIRKLAKDPVLEDVRIDSYNPFANLELETLSTKKVKWDGVAHNWSFGDTI MRSTSTTWTTSSSTSLTFGMSIKAGIPDVVSVETSVSWSTSSSSSQSTTQSKDKTLTW SLGGRINGPEEAVDCTAQVWSGNLNIGWDGVLVLDTGVRVYRIPTRGTLKRVDVSEVI SQCSPLYPELVRPGSTQPAVQTPTPTPTGFITVTTTSLSTPSSSPSTGIKPQPGTVEN CAEFHKVVAGDTCHDIAQGAGITLDEFYALNKKVTIDFECDNLYRGYHVCVGLAA QC762_502015 MHSKFLVLGALPILAMARAYPPVIRGRQDPDTTTSIETSRPTSP THTWTAAGDASATGPWDGHYTGIYTGTLPDDDSGHYTGIYSATATGCSGTCTGTPVAD YTGIYTPTGSATGSATGCTGICTGTPTAAYTGIYTGELPTTTGQIASNGTSTSAPVVI GGAGKGVQGASWVGGIMAGFAVMGLAL QC762_502010 MHSKLLILGALPMLAMARAYPPLLRGRTDGGYVTTPVKTCEDIG QQTCGDGCVPLEYSCCPTEEGACAAGYKCQIGDNDKYGCCPEGQTCVGNGGSVTTTFS TALPSSSAPAHEEEPLPIDDVEEPEPTSTDAIEEPEPTSIDVVDEPTSTETAEEPLTS EPAEDTEPTGTDLVDEPEPTITSTIVESDLPSVTASDSFELSATTTQEPGTTVTGEPS ATGTATATPIGTLTSLSTTLSPLPTSYTTSTIYTTTLVTITSCAPTVPCNGAPTVITK TIPFTTTVCPVTPSIIPTGTTRLPILPPVKPTYGCSQGVGANCPRPTSPTHTWTATRT PSGTAPWDGNYTGIYTGYLPTTTGQAASTTSKPVTAGAGKGVPGAGWVHGIVAGVAVL GLAL QC762_502000 MDVVIVSDDEHSPHHFSHHQNSFTHQTKMSSEPYLAVAATWEIP YAHTQVTPESFFSTDDTSESVFDPDHRSLVDDNDIRDGGKYRSIVKLQMRYEGQQPGD KSYAMGTGWLIAPDLLVTAGHNVFDWSGYGRGLGKAVDIKAYIGYHGRSSINSPIVQF RSGKVVVTNAQWVVDRNNRHADVAFVKLDKPFTGNLRLFTYKNTPESGDDMIGVVGYP ADKTLEDADGREEKGALMWEQFTSTTYVLDSAKNRGRGMLKYRISTFGGQSGAPVIRK GSKQAVIGTHVYGGGDKNSASVIGPLGNDYEGMLKVFDGNLPPWGEHQGIKLVKYGYS TTSPGASPTTQPAPRTGYTSAPAPGPLDAEGFFDDLKKVAKFVQGNVGPAAPVYLQPF LGGVHGAIAHAILGVINQATESALTDVSAVLQGTLERGILAEAALQSILKLEDNPITR KILQEMSSIWSTHYCSINFDPIICRLGPALPLTANKILSSTEYTQTTATGEVKLKTVP FAATSNGPATNGQQAELFGLFPGITIGIELGKFLVDTIRNRAESYRAEGWFDDLGKVI VRAVEREPLYQLTKKIVEHVSESSFSTQSLSPADREAAILVAKRAVFGETALQALSKL SSSELQQIKMSVPEGQGHEESFGDFLGGLVRDIGGVVSQVAPVVVGTVLPFPLRVAGA AESGGMLGVPAQPPLRKKSSVLDLIHGDGLSNGLLQTRISA QC762_501990 MPGVTSNTSSKYNAIPGPLGLASASLEGKVALVTGAGRGIGKEM ALELGRRGAKVIVNYANSDSAANEVVQQIKKNGTDAFAVKANVGEVDQIVRLFKEAKE KWGKLDIVCSNSGVVSFGHVKDVTPEEFDRVFNINTRGQFFVAREAYKNLEVGGRVIL MGSITGQAKAVPRHAVYSGSKGTIETFVRCMAIDFGDKKITVNAVAPGGIKTDMYHQV CREYIPNGDKLDDEGVDEYAAGWSPLHRVGLPIDIARVVCFLASQDGEWVNGKVIGID GAACM QC762_501980 MITNGSSHAKKPEAMHASGVLSEWQRLQKQLTGTGVVSVDGETL TVADVAAVALHGAKARLTDDEDIIRQVNESVAYLAHELAAGHTIYGVNTGFGGSADTR TQDFERLQSAAIQHLNVGILLQSDKGNDNGRNELLRSHALPSPVVKAAMLIRCNSLMR GHSGVRTSVIESIMRLLANDMTPVVPLRGSISASGDLSTLSYIAGAIEGNPDIFVKLG SGKIIPASDALQIAGITPVRLQAKEGLGITNGTAPSCAMASLVIAQANQLALLIQVLT AMGTEALNGTSHNYHPFISSTRPHPGQAEAASNILSFLSNSKISPPFPAESSPKTRLG LAQDRYSLRTAPQWIGPQLEDLLLATKQITTELNSTTDNPLIDPSSSSIHHGGNFQAM VLTSAMEKTSLALQNLGRLIFAQCSEVINNMTSKGLPPNLSADDPSQSFTAKGFDVTM AGYMAELSYLTRPVSASVQVAEMGNQSVNSMALVAGRYAMEGVEILGLMCATYMWVLS QAVDLRVVQMRFREEVRLWLPSLVRDHVFGGSGAGRVEVIERVAGQLGDIILQRWDEL AHLDLRERCDKVAKESMGWLLDDEQPFSKGEMVDRFFLSEYRTAVREFLEQHYREQHD MFSDQGQAGGFVGEGGKLVYEFVRKELGIPLNRGVEDHPPLLLRTRAEGENDDGHGNG TQADPRTKILGTQASNIYEALRKGVLHDRIMKYAEEARLWA QC762_501970 MPTSVQDYQPAMTSGTTAVANLGLITSRPTGRQGSISDPESAMS TITDQCAPPTPPPPRSRTPPPPPPPRRRTSVTMSMVHGDSVDELGAEPRLAVLHRIPT YLQPPLPWRERLLHFTFAWYTVTMSTSGIAMVIALTPHRFTGLGTIGLIIFLLDLLAF LFITVMIILRLVMYQHTFRRAFTRPHEALFISTLWLSICAMLLNIDEYGRIFLNPAAY LRLAPFMRVAFWIYLAVTFLFSVLQYHLLFTVKEERRLSIDAMTPAWILPIFPVMLAG SLAGSLAKSQPAIQALEMISAGLAAQGLGILVSMFYYSTYLSRLMAFGLPVQRPGMFI AVGPPSFTCSALVAMAGEVPRILVGLPAMEVIAFSGGNPGVNIQTLGAGIRLLAMTTA VFLWGLSFWFFASATTAVVAGMPDRKFHLSWWSFVFPNVGFTLACIRMGRVLESAGML WFSTVMTVLLVVAWGFIGFRCAVAVHKREIVWPGHDEDSL QC762_501960 MASISLGAFQLYWASIVRTYSPGQIEFFGSLAVQLLFFWVPAIA YTALDYILPSFSARHKLQPAPKQPTWKEIKHCAYIVGRNQLMNTVFALLMLARSHYTG NPSSFQITETPPTLNIFLRDFLVSWIVREISFYYVHRLFHTPRFYKMIHKVHHEFIAP VALAAQYAHPIEQVVANTLPVVMAPILLRTHILTFWAFLSWQLIETSTVHSGYDFFGA IAKGHDVHHEKFVVNYGAYGWMDWLHGTGPKQRAMSKNKRN QC762_501950 MVKLLDIGLFALALASSAVAKPCKPRDGPVTYEAEDAILTGTTV DTAQAGYTGRGYVTGFDEGSDKITFQISSATTKLYDLSIRYAAIYGDKRTNVVLNNGA VSEVFFPAGDSFTSVSAGQVLLNAGQNTIDIVNNWGWYLIDSITLTPSAPRPPHDINP NLNNPNADTNAKKLYSYLRSVYGNKIISGQQELHHAEWIRQQTGKTPALVAVDLMDYS PSRVERGTTSHAVEDAIAHHNAGGIVSVLWHWNAPVGLYDTEENKWWSGFYTRATDFD IAATLANPQGANYTLLIRDIDAIAVQLKRLEAAGVPVLWRPLHEAEGGWFWWGAKGPE PAKQLWDILYERLTVHHGLDNLIWVWNSILEDWYPGDDTVDILSADVYAQGNGPMSTQ YNELIALGRDKKMIAAAEVGAAPLPGLLQAYQANWLWFAVWGDDFINNPSWNTVAVLN EIYNSDYVLTLDEIQGWRS QC762_501940 METLKPFLAPPLNLPTTTLVPLALLFLLTTFIIIPTLIQYHRLS HIPGPLLNSLTSLVYARRTLKPGSAQYVYDLCRQYGPLVRVTPNIVVFSDAATFRYVC SHKANYTKGLWFEFSRWDLKRWSCIAMRDNESRKERKNKLIPAWSGAGLAAMEKRVDQ QVGAFIDLVERKYVSGGSDTKPMEFGHRAQFFTLDVATSVTFGRPFGFLDRDGDVNRY LEITEVMLPMFGVLGALPQLVYAMHTWPLRKMMPGAGDKVGFGVLMRFAEEEVRKRVE GGAEEKGERDLIRSYLDNGIEAEDVVQECITLVVAGSETTSVVLRMTLLALLTTPEAY RKLQVEIDAFFKEYDSEEVISYTDTKELKYLWAVIHETMRIWPNGAGLSFSKQVPDTG DTIHGYYLPKGTEIAQCMLGITRDKALFSPDVDIWRPERWLEATPEQHDEMWAAVELG FSTGKYICLGKQVGLMELGKWFTEIFRRYDIAPVNKASPLKLVDGVTWLSSDFWIRLT RRNKQV QC762_501930 MTTPDKSQPAHGPAAAAALATDEISPAPITDTPTDKATTFLQAH AQTDTTFTCDEERHVLRRIDTRILPLLLGAYFFQQLDKSSLSYVSIFGITEDAKLVGQ QYSWLGSILYIAQLVMQPLAAFILVKFPNGKVIASAIFLWGSSQAIMAACTSFQSLLG LRFMLGSFEAMIAPSCIAVTQMWWRRGEQTLRTGYWNAMNGITAIVGSLFTYGLGHIE SGVMFKYQIIFLFCGLLTVMYAAVVLAFMPDSPMEAKYLTEREKVIAVERLRANQMGV SSGKWRWEHVWESLLDLKTWCWFVIIVAISIVSGGIGTFGSLIVKSFGYTKFEAILFN IPFGAIQFIVIIASGWVATRFKHKGLTIGGVCVLPIVGTIIMLTVPREQKGVLLFGYY LVSCMAAITPLIYAWQAQNTGGDTKKKCTSGMVFIGMCTGNIIGPLLYSVDHAPLYRP GLISNLIMLVLVAALAILIPFYLMYLNRRHAKRREELGKNAVLVDESMLGKGQVESGK AAELEEAGAGVKPKALEEDNALRDMTDVKNEDFIYVY QC762_501920 MSLDSPPASSDNPEENAPAWGSLPVEQYILQNWNADSPQPVAEQ RDALVKAFINEDVIGPEYQSITHVPTQQEINTILKPWRPQKIRAIAAKHLSISWPDFK NEGFCVLRTWYQGGEEADAKLEEWFGYDELKGLSEVEIEETLLDRDRQGGDKMKMKPK NRWWMVLDDKDMFDVEDENNDDDWLDVYKILPELAAPSVHRGLDVIDALDNLRLDGTP YPRKSEPSEEAYEDAVLEMASGGLRYPLVILDQEAFETGQIYLVWRDAKGKVVKDIRD DIAGLPYLQHDIHRVGAYEIGWWTEACFGKQYDIHGKKGKMMRKLLPLVKEAAKQTMA HNAAMYGW QC762_501910 MINSQPNIVSPASFTMEHIVFSPDLTIADNVVLENLSGEIEIGL LGANLESIKARRDSRDISALQSFNDPKSQFFDPTVLSTYDLRHLRHTLPVIVGKNVVA PYIASARRIVRHETDVVMATHLILYFSTSVPSAIWLFYNFTYLHGVLHFLMQAWYTGS YTLMRHQHIHQNGVLTKDSSLVRLFDMYFPYVTDPLMGHTWNSYYYHHVKHHHVEGNG PDDLSSTLRYQRDDLFHFLHYLGRFFFFVWLDLPLYFFRKGQTVNGARILFWELFNYF SLFILYQLHSKATTFVFLLPLLLMRLGLMVGNWGQHAFVDPDEPDSDFRSSITLIDVA SNRYCFNDGYHTSHHLNPRRHWREHPIAFLQQKRKYAEEKALVFHNIDYLMITVKLLQ KDYDHLARCLVPIGQEQIKLSMKDRAEMLRGLTRRFTEEEIREKFPRAGQKQKEL QC762_501900 MSIKSLSIDLLSRRSPSSVRPAALKTINMAPKSLAITAMSLFLS LASATPVTLEARDLLNPIGATATADQEKWSPALDYDTDSCYNTVAISPTGQLNAGQDP GKGQNEILSFCRKEDRLTKRNVYVRSKCNNGWCAHMYDYYFESDFGIGGHRHDWEHIA VWVQNGELKFVSASEHGKWNIRFPGQNPQIRFEGGTHAKIVYHKDGAGTHAFRYATGG DEPPENHWQSWRWGVGAGLLNWDWIAGNLRTTLSQKDWGSAEMAVRDKDGNAWNFGWY LDRSRYYCVTELECPGNLAGAFNPWA QC762_501890 MTTQPKKRYALVGTGGRSYFFYSAIATTYSSTSCIVGFCDTNQT RLNYALSRLTSLGHPPVPLYLASDYDRLITETKPDEVIVTTVDRTHHIYIIRALQLGC NVVSEKPMTIDAPRCQAIFDAVNETKRNVRVTFNYRYAPHNTKIYELLHSGAIGQVTS VHFEWLLNTSHGADYFRRWHRDKRNSGGLLVHKSTHHFDLVNFWLKTRPLSVYAQADL KFYGKENAELRGVTKFYDRVRGNEEGNARDDPFALDCSKVETLKSLYLDAEHEDGYFR DQSVFGDGISIEDTMNLLVRYKNGAVMTYSLTAYAPWEGLRVNFNGTKGRIEMEVVEN SYVNSGGDQSLEGCLERSTILLRPLFEPPGEVEIGEAKGAHGGGDNVLLQDLFGEPVS DEYMRAASHVDGAASILTGIAANRSIATGQVVFVDDILKVPDN QC762_0074600 MISSSLQLAVTAMLTMTSTVSAQPDNAQLGHGRLLPRVVCADAG DTPCDTDCCASTQRCASLAMVGWACWETDTAYMSTYTDPDLNTMLITAHDGSATLTTT TTEPDLSTMPISAHGSSSITGAPTSSRTGTAAGATTTTNNGERVGLNSFGLVMMAAML GLYLL QC762_501880 MVLLSNLLTLLVSLIHIYILLLETTLWTHPAYGRKIFRMTEEFA QQTRLLAVNQGVYNGFLALGLLWGIWHPVPMVGQQVKLFFLGCVSFAGGAGALTVGRR VFWVQSVPAMVAGIAVVAWG QC762_501878 MDTSKMTPEIMALAADFPMAAPPPGVTANLDNPTSDAWQIFVLD GFCTALMLAFTAARIFSTTWLGQRANRIHELVFYTGLVTSLAAVTITICAMTGKSPYA IQTWNVRLGDFKKIHLVSAHLFQVITPMAQFFVKCSVLLLYYNIFSVLRWMRMATTIS IVLLFAFQFSVAIATAVNCSPTTGQDVFSYLMAFSQPRCFKGRLYWLVMGIGSVIVDV GMIILPLPAVWSLRLPLKRKLAVSAMFLVGLFGLISSILTLHYRVVWYYKELNDTYGV PLWSTAIAEITVGVIVSCMPALAVIWRKIRTPPSTKVSSGARATMGRSFGRSFGAMTF TEHRSQLDGDESCAQVYCPLETTVNTAWPSPELDAVSMQEHGYRPSGPAGAMKGKQSD VWVSQLG QC762_501871 MLLGSPRCLAPTGSKRDRTRRSSLRWVPVTSMSHLSDSAVLVTS LLLLSLRPGFRSSPLRTRLRPRHRLASFRSFLLRREPLSQLRPTFKTTITISPEATST RLSTMTPIKVITVTQTIRPTSPIPTFTIPSTAPPRSSPTFTITSIPTPAEPTSEKPTN IDLTSTFQPPPTLEVPTSIDPTSIFKPSPPPSPTLTSSLNLGPLVPPTITPTPSPTPI AIPTEGSEFSSQLTFPLLPPVTARALHPSHKEVIIELKPDV QC762_501870 MDPRLWLATVSGAVTLGYLAIRKLLDYDPREPPLAPQRIPIISH MIGLYRRTYNYYSDVYAATGAPIFTVPLPGQKMYVVSSPDLIQSIQRQHRAFSIDPIL MKFSSIIAGSSKESDAILQHNVYGDDGDHGVAHESHIALREGTKPSNIDEMNRDMLRE VSSSLDLLEPPIGQTKRIKLYSWLRTTLTSATTRAVYGPMNPYNDQSIADAFWEFEQG IMPIIINILPILFARKAIAARAKVSQAFYKYYTSNGQESASLLTRLRYGVATRNKLPV EDIAKIEIGGTIAIMVNTIPAAFWTLFYVHANPALLASIRAEVEACIETARGEDGTVT RTINIVTLKANCPLLLSSYQETLRYVGMGTPVREVTQDTYLDGYLLKKGALIQMPTRV VHSDPKLWGENVNDFVPERFLPENKSSRPKESCFRTFGGGKTLCPGRHFATNEILAVV AVFVARLEMEPVDKEKGWEAPTSWNTGAAGQIMAPDRDVEVDIKRREGVEEGWRWVVR VDKGDKVFRMVTEDFGDE QC762_501860 MKLLGLSVFLALAQATPTPTLPEENTVRLEKRASISEAATLGFA SLNGGTTGGAGGTVTTVSTLPEFTAAVGEKNTAPTIVVIRGVITGNEKVRIGSNKSII GLPGSGLRGIGLHFRRQNNLIVRNIVSSFVVASTAEDALKIEGSTNVWVDHCEFHSTL NSDKDFYDGAVDSSHGSDFITVSHTYFHDHWKTSLVGHSDNNGSQDKGKLRITYANNY WKNVNSRAPLLRFGTAHIYNSFYENMSSAVNTRMGAQALVQSNVFRNVTAAVVSQDSK EVGFAVLEGNELGGGLANAPAGNLGSSSIPYSFSLLGAGAVPSRVPAEAGAILKF QC762_501850 MSSLLSIALMAFLAVTSTASPLAANSKPSTTAASVPIYTPLTPA EIKALQTELLLAPSYKDKEKVLFPPNNGGSANNVSFQFVPSNGPAPQDGSVIVGSVDS IPGLIGTNVAAAIGFIGPCGLNVPHLHPRGNEFLTVVSGTLIGAFLLEPDGPFVGDVP QVAMTLSNYTGMLFPQGHTHWQFNPTCEQAVFSAAFDSNDEGRFQVAQTFFSSMPDNV LTASLGNPTFLGPKQLDQLRGIIPSAFVVMVDSCAKACGIKTA QC762_501840 MSSPARSLVSSLTWWSRIFWEFVESDFLTFAVPNTIFGLIGAAA GSSAGLVAGPDPSPVDILKRYPTVLLFNAYNLLIFNLANQRSPESIAEDRANKPWRPI PSGKITPEKTRQALVCLLPVALWLNYSFNVWNEGLLIQLFSWYYNDLRAGDSVFRDAI LATSYGLFNLASLRLAIGPHNSVTHRGHTWTALISAVILTTMHIQDLKDQEGDRQRSR KTVPLLFGDGVARLALAFCVLFWSCACASFWQLTWRSYALPVGLSGFIAWRVLTKREA REDARTWRLWCLWHSMLYAGPLFGRA QC762_501830 MIRGSQSYSLLGWIISFIIICSIFVLLRFWSSRIQKRKFYADDF LVLLAHIAMIAMAGVTIWGIVNGLGNPATELSIDEFKVMAQVLVGASVTWTVSTTVVK MAVLWLYTRIFDVVIFRRIAYGLIGVCACYGVAFEIVFITRCNPVSQEWDPVPWGSCK DPKETQLASNSINLVLDVAIVVLPMIPLWSLQMALTKKLVITGMFGFGFATVAVMLYR VYVTVHANPDPALALADVGLLSFIELWLGIIVACVPTTAPVFRTYVKPTLFKALSQFC GYSRKTISNPIETTGGSGKASGGRSGHHHNFRSNRDYAELSIASTFDSRRSSDISLVP PSGAKTRTHCGPGGVVGSPGLQGGVYVEQQFHVQELGNNNRIR QC762_501827 MREAYSSYQAPGRNAWQLCTKLSSHTIMLVHNLASQEFLWAGGA LSGVAIHLTLFIRGEWHVHAPEILCAYGALVAVSTLAGILYEASIIGQALACHLLGLT ASIITYRIFFHRLTRAGFPGPFWARFTKLGHVWYSRHSKNNLYLHELNAKYGDVVRTA EFYDLIWPEMALFAARDRSVHSRRRRDWQPAFSPQGHYEEKVLLHIEELDQQLELKAK SGAVVNVTDFLLWFTFDVLWIKDWYESVDWCQEQIQARLAKGPAPELRDLTYYIMEKE KENKVDAGPWLRGDTNRASPRRGPPRAGAAPRAHRQAPRRAGRHMPNRHQRPGRAPPS QRRHPGGHAPPPIPAHRRNPQNHRHWRDHPGRAHTASHDSPNSSLHHLPARGLLRTGL LLHPRPVDHPPGDGP QC762_501820 MTESVPQQAECLAAAGLQNRILLPTSPEYASRIEAYWSKSSQLK PACFIQPTSATEVADALKALVAAKQTFAVRSGGCNFWPSNNIDHGVTIDLGQLASIKY NPDDETVSIGPGARWGQVYEYLAKYDRAVAGGREARVGVGGLLLGGGNTLFTGRRGFA CDNVIEYEVVLANGSIVKATASGEYSDLFRALKGGGNNFGIVTSYTMPAIPCASIWGG LVILPPDIMPAAADAFVDFTSNLEKDPDSNLILMIAHLEPKPGTVIAGLYANVAGVEK PPIFDKFLTFPELFTTYKKTTLVECLNATAQGTGYHGVWFASSFANNPAILRRAAELH QELAAEFEAHITDQDFQTQCIFQPLPRAFAQNSVKLGGNMLGLERNKVDGVLWSAHIM VRTAEQEAWAYPRVRAYVEKVRAYAAEVDGLLPWITANYANPEQPVLESYGPENVARI REVAKKYDADGVFQTLCPGGFKISKVEG QC762_0074710 MEGDEDYEIKDIQFGSRRFRAPAKRIYANRNVFLLDVPPNPIAC WLSFWMPQLVCSWLQQLLPEWFLPTTVILKERNPNKADNYENEIDTYLHLRSLQGTHI PRLFGEVAVSYAQRRYQISKRPTPAILLENVEGVSLHNLPTEELGNPRLLGELQNIYN LLTKKGVVHGDPRLHNFLRVDQRIVAIDFEFSYPLPSDITNEDELEGLKSEIRKRERQ AQGAESKHLVSGVYFMEGGSLVRKRLGTGLRSSSTTTHNEQRSGEGTVYPGSSAT QC762_0074720 MAAIALGKAPALEVVERLDQAVQLRQAALRLLNGKPPITFNAES EPKGNALLGEFLLVQAQSAAEQGHISRSWQLLDQWTPAYVAQPSLLESIMSFRFYLMR GKSTAEASVYVT QC762_0074730 MVDHLSLQLPVALSEDFELHGWDPGNRCWTCTPPETVGGKPAQI LLTVDELPVVIPVRFHYPLLPLKSQPPDPHPKLISPIKPLSDDTIDEILSVFEDAIGF YLLINHQLQVIVSDEFDYEEELHKYTADFGGLKWLSQHEWTDIKYNSSNLALLDDNLI EDDARSIGVIDSRCEMVGQGIFRVYQQGRPRRSFRSLLSRAPVDSRDDPASWTSLVAR SILYRVRQPSNFKELGQSGTPVCVVENLPNNAGKIAKVAGFSSFIQMSSDSQRFDLEG ERLYKRLEEGRVAFYGAF QC762_208050 MRLTASILATWLQLSAVLAKKPNIVFVLTDDQDLHLQSMDYVPL IKKHLADKGTSYKRHYCTTSQCCPSRVTLWTGKLAHNTNVTDVLPPWGGYPKFVDQGL NENWLPVWLQEAGYDTYYTGKLFNSHSVDNYDKPFPAGWTGSDFLLDPFTYAYMTPWY QRNREPPVAYDGINTQTLISEKALGFLDDAISNPDKPFFIGIAPIAPHSNMYIDENDV RHWGPPIPLDKHAHLFPDAQVPRTPNFNPDVESGGSWVRGLRKQDDDVVEYNDHYYRE RLRSLQSVDELVDDVIKRLEAAKLLDNTYIIYTSDNGFHIGQHRMQPGKMCGYEEDIN VPLIIRGPGVPKGEVSNVVTAHVDLAPTIMQLAGIPLRDDFDGAAIPLTKPEQKKAVN KRQEHVTVEFWGMAYMEGGVVFRGGETDVVLNNTYKSLRVIDVKKNDYNFYYSIWCNN EHELYDMKTDPYQMTNLLHPTAKPPKTILGRPFEAVVARLDALLFVLKSCKQKTCVEP WRALHPAGNVDNLKDALNQRFDDFYIKQQKKVRFERCEAGLIIDAEGPQFETDGLLYR DGSSWSEWT QC762_501810 MSMAGPTLDNDGHGGTLPDVEFAIHHVFLPPKVPQSDDTTGTGE AFLIRSFLKSLREFAALQPEEATRLQPLVRMIERLLRLHTNESKQQVTTEVLHELSDG ESAVFYVREQNAGLLLTGKVNTILAQGFELLSDNASVMSNRGRLIRQFPNAAAEFPRA LLMDPKFLKEFADHLCKLSKTPIAAVRPKTLKANANHDEYRDTVSPVLVTGMLMAILQ GLGQVISPTTITKRMREQVNWDDADKPFHRSPLWVLLRAAVGILLRQSSGPIDRDFLY KSVTTFYHASLLNMATNCGLDSDLRSTMAAKINRRIVKLDHLVELPWTTLVQKVVARN HDELKGRWLAAQQEKRLSNTDRPLAGLRFADDTNLQLTGLKKHLTGIESRPSADTVDP GPGDDSTMPEFSSTSPPSLHSKPDMRPFVLLNFEAWVDQHLSSWVVSQLDKNNKQHDR AAHGIGELECMIQTYLCFAKDSYVNDPEALSMMHLVTMELWVALDRIAGDAIPLLLEY DPGFTSDIFYPLILPTKRRMERLQTVENYLANRRTGTYPPMFRGFGEANSFAVRYFDA SLPGEHSDLHSQIVSYAERNKHQKLEEFDRKRQEYVKLSSERSQTDHKRTWNPQSRRF QCSWDCEACDLDARLQTIKIRRFEWPLPTNRCEAIAVVFEIAVPSVVLVWRNTTVHIF LSILMNSDICKKRAPLELYYPEEHSGLQRFTRSTSSIKLASAVKSVERSHYDSTQHIS MFTEENICVEHGCRYDYYHTGKNLSHDKIFERPSIHSACSHATHEQNAQLSKLIRKSS HDSNYVISSQDCCPQDMTLEAFRAFGHHRSGDSLQWGNTLSQLTMPSLDLNRQSTFLL VMQSCTEAGAQDKLNSVYRKAHNDAADELFAGKFLGALEDAFARFHENWQNDIAVGLL ACLATRILSLTSSSSIASGVLQLLARIRQTSMAWTRQLLRKRSTSSSENEREALAHRA LMTAFICMSTFDMDSDLLDPLLSSPEQLSHFVEAAVTACDHLPSKLSNPVYRFMAYRW RNLMYQSMRMMRAKIIGNRNSGFGSAVQHIWAAFDPSQSTWLARQGSQGHIVESSMSR NGKNTKASWNMLTGELLVNGYPLSRLPRKYEADQNYSQLFGTRVLEVVPSTIQGMHFS ARQDQDGWIVHFAMLDGIVVIQAVKRGSNDEELWEFIPRSCFLGGLSKSFVMDYSHWR NNSTGEIEFRPAATPWEHSPDHWLLAEEGARTVLRLDQDLVIDLHSETALAISTILEP LDCRENIDMIFHHGADKGQLNVTLPRFALSFSLAPGSSSLRSKHYAGMIIDERQEIGA LIGLKSKLVLRDEKHVDNLQPSRLLLIPRGQPTVTMHDDHVRVKIVTEKIPHVKHEAF RVDTRLGQLVDNGSLVSKLYLCLLHALTSYCLPDPLTSRTGTEEATRVLQLSAVRSFQ RLESDCIAILKKISGLSPKRSFYPKHLQNMEQCSWDPNLPVLSQYDGFRAIVEGIMAH AEDCEVLYEQSEGGAGEAIRELAESVKTHLLTERAIIRNSSFRVTQFGAEDHSARHDV IYGGRHSKDREIERSSIARNIALMVSSGAPQLLFPTTPSLKQSILSVTSNSFSGDPRV GLGFNAEYYEKPEVALKELWCGLHRALATEENCYRIIFFLSSLSFATKANLDVVQALL AIATQRRMFGGLVTPPDEQFFDLAHDRLSLRQRVESIVTEKRLSMKLCPDMPVYRQRH ESESDFQARYDQEWETLSGSMVRALVSDFESQWSKSWTVTRPSSRQPCEHWVPLSVVI PLVNKTLDLTRRTVLFTDYLDSLALAIEGMTCLATSRGSLVASLPFVDDENTQRPSST PIGFIKDTSLFSNPPPEIHRPVPERFLDLLEEIPPVDVGKRPITNLIEHISALGQEEE HQRIYVHELHQSSKSVGTVHVRLKTHGQALFSRLSQYLIKCQGDSARIENDIVRALKC GGVSKELSICAELFPRISPIFLLQHLRRDRWIKLPPKWRLALINYALSLAYLQRAERL VNSCQSANRRVDFLKELRNFGHHDEKDFDPLDWPEYVLLEVEQGILIRPVQHRIAANM RQPPAGKSCVMQLNMGEGKSSVIVPIVSIAISDGSCLARVVVAKAQAKQMEHTLVSAI GRLVGRRVYFLPISRAVRVDDKAIRTVARLIRQCKEECGVLLVQPEHILSFKLMGLEG VWNKHPVAQTILSTYSELESISRDIVDESDENFSVKFELIYTMGSQQPVDMSPGRWVL IQDLLGVVKDVAKKLKRGDAKQSGPTDGLLFEEEPNSGRFPTIRTLDESAGTQLMEAT ARELCRLGMRGLPIQHQTRQMRDAVLQYIMDPDVDQQHIEMVENDRSGLVQNDDMRRG LFLLRGLLASGVLQFALGQKRFRVNYGLAPDRQPATMLAVPYRAKDSPAPRSEFSHID VVIVLTCLSYYYRGLTEAELFLSLEVLSTSDRAEQEYSDWSRASPLLDRALRHFSAVN LKDKTLYTEKLFPGLRFSKPVIDYYLSTVVFPKEMREFPFKLSSSGWDLAKPKAHALT GFSGTTDSKHVLPLSITALDLPEQIYTNSHVLACLLQSENTVVELGTEQEDLCALTVN MLLSAVIKSIQAVRVILDVGAQIVELSNLQFAKHWLSVVSDADAVIFFNDNDELSVVT RDGTVESFYTSPYKTQTERCLVFLDQAHTRGTDLRLPDDYRAAVTLGPGVTKDTLVQA CMRMRKLGSGQSVTFCLSPEMQRRVRDYEGMDASQPIIVMHVLAFAISETWDDAHRSV PLWATQGLRHQRQEEILSRVGGYDKLQAEDVEEYLEAEAQTLEMRYRPGPGTQAQSLS CQLEDASSLVLRRADVAAIRAKCIKFGFKNLDLVVTLEEEQERELAPEIEQERHIERP EPMKPLRHSLHPDIRLFVVTGRLDRESRAVLPAFHAMLRSSASKVFPANKFPASLLVT KDFANTVRPSQAGYCSDSYQRPVQWILTSKISGDTKQTDTGSRMLMVVISPHEAEIIK SQILRDSNVAGTLHSYLPRSSLSFRSMEDLKTFTYPFMTPTQLEKWTPPPELIMQLNL FAGQLYLRSYEEYVRMCRYLGLSFTENKSDGSGGVVVGADGFVGRAGGKGYEDCPFEE SPVGFLSVLYKRLRKDCANIDRTHMGTVLAGGILTEKDFEGIGDDEKGTGGLEKGIGR MGLD QC762_501800 MGACDGRERESRFLFPPASPRFPLFLFLAPVLHTRFPITLRYFP SLQGSKVARAVIRQKMRTTTAATILLLSAASGLFVSAQSDGPSQFSSFLYPVQDDDSE TYHFMDTVNVQYISSFTRVTLWTFCKPGIGETQFIQEAPGFNATVPVLLNLTSATPCW FNLRSPDEKYGANSQTFNVIGQERKGGSKTFGLGNPPSKESASPIQTQTQTQTTTSTS TSTSASSTTQSSTTQTDAAANQTDDSSPTPTSGSIQPSSNDAPSSGLSAGASAGMAVG VTVAVIAVGAGAFWLWRRKRRGGKLPVEDYQQPPIGPYGNGDVYAKVGAPPNYATALG PATHHHSYQQYPQHQFGGELGTANSPMEMGGTNVWPANGLGGRAHEMAG QC762_501790 MRFSTTFIMASVAQAAAVVRQTEGFAPGADGKYTISAPGIKAQF IPYGATLTNLFVRDKNGDDIDVVLGYDDIDYYPVDPGHPVYNAIPGRYANRIGNGKYS IDGVEYTTQKNDGDNTLHSGTNNWSFRFWEVTALSEDSITFSILDKSNSSLGFFGDVK SSVTYSVTDSTWKIKITADSLDQKTPILLTQHTYFNLDAYRNPATSLIWDHTLHLPYS SRYLEADQGALPTGKILTAAPGSINDFASSANLKFGHSRDDPKFQGNCGANGACEGYN GYWLIEDAPEDAVVLTLASEFSGVKAELRTDQPGVVIYSCSWMDGSADVKKSTQGLGE KNNKVVKSSCVAIEAQDYPDGINKPEWGRLEKQIFGPGERYVQETSWTFGLV QC762_501780 MNRLALAHPKIRRLAFSRQALFVGLAICFVWFVTVSMRNDVSEH WSRLGLQLPDLRKQPQRMPTHNVLPPLAPRIPCYGPRGHLLGHSPDDDLVEKEIDGPY PIPFAGSFEALGFDLTYMTAENRYGPYGYGEDKEDYKRQKVDWANLDWGKLQNECFYR NRERFPEAAEPLNDTRIETRFTYRKNAPFSEIRHWHEFEPSRRTAIVVRVWKGYEYSS EDMYYIRSLIAETSLKSGGEYQVILLVDMKDYEGYKPEIFASRELYEQGLKDAGVPPE FASIAILWDNRLLDSWYPDVEEHRTMWQVFQPMQLLALFYPEFDHWWQVEMDMRFMGD AGKMLDRLALFAREEPRKQALERATFWHMINEIGDYEEFSKQVDKANNGGSLAWGPMR VREILPIGPEPPVSDPRNETFRWGVGEEADVITTSYCNDANKPNSWVFKEWIYGFKKG VQTPRYYCPPAIMRGSRTLLLAIHQAQVEQGIRVPSEATLPSFALWHGLKLSFPQHPV FHRDNGDDKMQDEWWRGGPQASKSGNGPDNLDHPRGMGLTFWWESDFPRQIFSAWTGR KLEEDVDFPWLLHQQDGQIFAPNIIMHPMKHIKDDKE QC762_501770 MSFVLPHLETGWHVDQAILSEEERLVVIRFGRDGSSACMRQDEV LYRIADKVKNFAVIYVCDIEKVPDFNTMYELYDECTLMFFFRNKHMMIDLGTGDNNKI KWVLEDKQELIDIIETVYRGAKKGRGLVVSPKDYSTRHRY QC762_501760 MTVEAPSTTPAAPAAAPAATTPLKRHEEYQYLDLVRDILENGEL RRDRTGTGTYSLFAPSPLKFSLSTPTGTPLLPLLTTKRVFTRAIILELLWFISGSTSS LPLSSQNVKIWDGNGSRAFLDSLGLTHREEGDLGPVYGFQWRHFGAEYVDSKTDYTGQ GVDQLARIVQTLKTNPYDRRLILSAWNPKDMSQMVLPPCHMFAQFYVSYPRSRKETEE GREVKGHLHCQLYQRSCDMGLGVPFNIASYALLTHMLAHVCDLVPGSLTHVMGDAHVY LNHVDALKTQLEREPREFPELEIKREKGGSIDGWKLEDFEIKGYNPHKVISMEMSV QC762_501750 MDQDQRPSSPSTTLASRHHNENTDSFPAPTVVPSSPSQAQNGSG SPRFARQLASSPAAIQEQERAISPLSSPVAPSTLAQPQQSSSSDRASSLFANPHEQSS DHTHTNNRSHPDHYNHKSLRPVVHAVNAAMAFEKGRKFSTGTSVHRKRQMSTLVEKEG HFGPALTTLYLGVSAVFSDDHTAVVALAIHDTVYLVDFSVKHIMLDDAMKMGADLIAD YVIAEVEKYEHENFAKFIGAGLPTTLKYMSPSLCSRLWLELDIVPIVMRPDDEHKEKS FWDVKRVDEQADSMARKCIMNFGPSLVPLLHVGWRGIVQTDAGFRAHLTTVQNHKDTC SHATWETMLTYAKKLRANKTKIAFFSSTPQGGGVALMRHALVRFARLMGVDLTWYVPK PRPGVFRITKNIHNILQGVSHPDQRISAEEKQSIIDWITDNANRYWFSEGGPLCSPAD GGADVVIIDDPQMPGLIPLIKKATPDRPVLYRSHIQIRSDLVAKAGSPQADIWDFLWS NIQGADMFISHPIPIFVPHTVPREKVVYLPATTDWLDGLNKHLNKWDSGYYGHIYNVA CHSQRMTELNWPARKYIIQVARFDPAKGIPTVIDSYAEFRRRCDKQGLTDVPQLVVCG NGSVDDPDASLIYDQTMSQLETYYPDLIKDVSVMRLDPNDQLINTLLANAHIVLQLST REGFEVKVSEALHAGRPVIVTATGGIPLQVKDKVNGFLVQPGDWKAVAGHLMELFTDE ELWKKMSHAAATGVSDEIGTVGNALGWFYLAAKWNEVGVEKHGKGGLKGNEKWVNDMA REEAGFPYAEGENRLPRHFTQSKEVPVHSK QC762_501740 MSSTLLRTVPALRGALRASAVKPAAALASTSFVRGKATLPDLPY DYNALEPYISSKIMELHHKKHHQTYVTGLNTALENIAKAEEKGDFTKAASIAPLLNFH GGGHVNHSLFWENLAPASREGGGEPKGDLKQAIEDDFGSFEDFRKQMNTTLAGIQGSG WAWLVKDKESDTLSIVTRANQDPVTGAFVPLLGIDAWEHAYYLQYENRKAEYFDAIWN IINWKTVAKRYD QC762_501730 MSYYDIDSILTDAEKIPCTFQLDIPDLGYLDNTPNQPLKAGTKV NLPLWLAEMLVIANTGGPDNKTFVTFDLPRALGNDVIQALKADPRAVPLRDQSAHFYG LATHMMDLSEEQELGSVLRKTFVTRAAEISLHARKVGGVSTKGKGKEEGSNLGIGGAG EEFLRGLDEWERKLFRKAHDGAKSGKEWMDSIKKN QC762_501725 MSQPRAKPIEPGELDTHPGFARRNEVVRRADYLVQNYLEVPPHI QAAYNIITSKRQDWAMVDNATICHTIDSLPPGLTERKIYRRDDLKEPNPLNEPGYYQI MRRILTAEHIFYTGPLAGHILEQMGEPGGPFKYYLKQSNISITNLLHFTRAVSHKAQK PKLSPIEKKPPSQSSSSKAPSIDPPPNNSIIHPQFQYNNINRQSYFFEDMSRVLRKKP DNHTQLEHRTFLLPDFQSPHEYIDRGILKINSHTLLFDTTLNNTLLKLIQELDVRPGI IVKEFSFWPDGADSNLPETPSRWTDFYSVHHWLSDNYTIYPFALPSPNPPSGSGFVAC MPKIYVLKKKTSSLGVSKLIGRARSLSRSVSPSPGQPSS QC762_501720 MTVMTEPSQRRAYIRHEPQQRLVLALCQVPISVFDSLSNTSNMR CHIFTSILSFLFFSLFLSCVLAQVLRDDSPIWVQGAFEDATVTANDTSYNAGGTITVN GFVLNIPRNLLVQFPAAWVPWRDFVASKSDFIGFETLVMGNTIEGEPRVGQVVITEFF EGLSFGFIESLNYTDGSMKIENGPTVRISDPNGVFSVGYDGAPFMTADDQSPSITAFS GFPMCIPRNGSDPLCPLSNRPTGRPGIFRAPDPLVMAPFLPGDLITFSGFRRGDEVIA FSIVAQNVQITTGDDIVYIRMELALLGIFSPSPAAEIADSRFIGFSSNPRTSVTLYAL DVNPCTGETTERMIAGVGLRGGRNFQNKFEYRNEILFGYTREYRAVAEIDGVPITRRT RNGILAGSYIQPVNVWVQTEMDIPGLFANGVPFEFREMEFLTKGVGRDENGNIWGPLD PFPQSLVPISAPNCGGMNSRRGAEGREPVKGPVRSRIQRRRTFGSRFGRMKAEAVAGA DNKGTEEPEVVVAPAETAAIEEKAKLDAVLEQDQIESVFGDGPQLQ QC762_501710 MVLFSYLKLAALAVALAPHLSKAHLLHRPEDASSEKITGSHDHL GFRSETEDHHLVKRKHPNLSPDYPLMFQVPLPIPPLKQPTRIVKVPALSNMTSPFSNL TNANTMIPRFVHYYEIEIKPFQHSIYPDLSPANLVGYDGISPGPTIMVPRGTESVVRF VNNAHANSSVHLHGSYSRAPFDGWAEDTTAPGEYKDYYFPNRQSGRMMWYHDHAVHIT AENAYMGQAGVYLVRDPAEDALNLPSGYGEYDIPLVLAAKQYNLDGTLFSTVGERISL WGDVIHVNGQPWPFLNVEPRKYRFRFLNAAVSRSFSLYFVKTSNAGGLNAKLPFKVIA SDSGLLEKPVQTSYMYISMAERYEIVFDFSSHAGQTIELRNFAKAGGAGVEDDYQDTD KVMRFVVSNTTASADTSVVPAQLRTVPFPRPKTRRKIDQHFKFHRANGQWLINGVGFA EANNRILANVPRGTVEIWELENTTDGWSHPIHVHLVDFRVIWRRREGRRVEKYESEGL KDVVWLGREETVLVEAHYAPWDGVYMFHCHNLIHEDDDMMAAFNVTALPDFGYNNFSA KFLDPMEPRWRAKPFAMADFTARTGPFSGAAIDQKVKDFAASDAYRHADEIMDGLDQY WRTASQPVRSTSTRSSATNTRSTSSTGTRTTTTSRATTTSRATTSTPTTRNLSTRLQA TTRSFITKSWDSSATQSTLPPRLTSRPLSSSRPPSTVTLF QC762_501700 MAAITPEQIAIVKATAPVLKEHGVTITTTFYNNLIGDVPALHNF FSTTSQTTGRQPRALAGAVLAYATYIDDLPKLTHAVERIAHKHVSLQVTPEQYDIVGK YLIQAIGQVLGDAATADIVDAWIAAYGVLAQVFINREGEMYKSNAADGWVGWRKFRIT QKVPESSTITSFYLAPSDGATPLPKYMPGQYVSLQVPVPELGYLQSRQYSLSEAPRKG EYYRISVKREEALEPSAPALVSNMLHDQYAVGDEVELSHPQGEFFVDPQDASKEGVPV VLVSAGVGATPLKAILDSLVSAGSKRPASWIHSSRSSAAQPFADDIRRICRENENVSA NVFLRTLGPEDRAGVHYEFGDMRLDLAKLDKERGLFLGDSRAEYYICGPEAFMIDVRR TLVEQGVDRSRIFLELFATGDVSDEEAKW QC762_501690 MPSSPCGAEPIAIIGSACRFPGGASSPSRLWQLLANPRDLSERV PAGRFHVDAFYHPDGEFPGTTNSARGYFLDHDPRLFDASFFSVTPKEAEAIDPQQRLL LEVVYEALESAGYSLQQHAGARVGVFAGVMTADYDTLSQRDELTTSQYYATGNARSMV SNRLSYFFNFKGPSMTIDTACSSSLVALHQAVLSLRSGDCEMACVAGANLILTPEQFI VESSLHMLSPSGHCNMFDANADGYARGEGIAAMFVRPLSKALASGDHILAVIRETGVN SDGRTTGITMPNWEAQAQLIQDTYRRAGLDINTLEDRCQYFECHGAGTAAGDPKEARA IEHAFFSGELRAGPSTDLIPPAERPRLLVGSVKTVIGHTEGSAGLAGLFKVVESMRHD TIPPNLHLDRLNPQVAEYASHLVVPTSPVPWPAVQPGQPKRASVNSFGFGGTNAHAIV EQYVPAIHDENFVSSAHVPRPIPNGSVGPRVNRICLPLVLSAKSEKSLVAVVQAYYDH LLKNPGASTEELSWHTYARRTAFAHRVSFAGTTTERLLGKLARFLKIPDAPPEPPRKK LKTTDKRETPPPVATMCRVKPEHQKPKLLGIFTGQGAQWATMSRGLLVTSKVYRDSIR KLDEILRQCPHPPPWSLEHEISADEGLSRVHRSTISQPLCTAIQIALVDLLHSIEISF HTVVGHSSGEIGAAYAAGRIGARDAILIAYYRGMDVNLACGAGGVKGGMLVAGMSMEE AADFCSRREYSPGLCIAASNSPMVVTLSGDLDLIHEACKYLKGKRLLARILNVDTAYH SPHMEVPSIKYLEAIKSCNISPRAQNNGTAWISTVSGTGEPKAADLKDTYWRDNMMKP VLFYEAMSTALDKNGPFDGVIEVGPHCTLRGPVFEAIRESMGTEAILPYTGLLNRGMD DREAFGEFLGWIWAQFGVYNSHIRQFVVGSVQPELINTRIQGAPSYPWDHSQIHWRES RLSRQYHFRLAMPHELLGVRTRDDSRYLLRWRNILKFEKLTWARHHAFQGQSLLPASA YVIMAVDAAKAAAPEVAISVIELRDLKFYKGITFDPNSPGVEVLSSLVIEKETPDTWE ASFLLTSTVADGRTDVKKNFSCRVAITSGAPHPNLLPTRPATRGETLSANPEAFYAMM AGTGLRYTGPFRGLQALDRRFDFASGSLRRVHPDDTTRLGLSPATLDSCLQTAFVTMS SPGDGAIWTPFLPVEIESIRMNLSLCNAQERSDTLVVDAHKTQATPCTRSAPASFTAD IEIFNDEGKQEIQIEGLKVGSFGPTNPEDDYELYLTTQLDLDPEDAIVSATDEDVHPP SPMLAESCERVASFYIKGLPAIAVCPSPGSHLVSASIASRAELRRATSTWSDETEESL DRFILSSPYYSALNLVRRLGRNVPDVMAGILPTVFEEARQTHSFQRHISRVVKQISHK YASMHVLGLTDAELGLTEHVLAGLGTSFASYRIGSRPEENLNDRIQLSESLSEKVITE KFDFTTGVPANGQLYDLVLLSTSLFEPHNTATVLGHVRNMMRPGGFLMLIQVTRTPLE ERLCRTISCALSLQSMSPTPPDWPDLLDQCGFQNSSEDSTQNYATGFSLIVRQAQSLE KALLMYPPSEDSDPERLMNRLLIVGGKQLWTSFISSQVTAALASHCGLITTVASLDDL APGHAANSTAVILLCDLDEPLLLNMNEKRMEALKELLRPEMVILWVTESARTTTPGNS ASLGFTRTLAAEIPGLTLQVLDLETVNTDPAVKAVSETFFRLAMYARIQHTSVENPLW VLEPEIHIKDGRRMVPRVRPWKKGNNRANAGRRIVTAPCNTLENVVEILPGHSGNGPY RAEEWGLASASDPPGELFGIQVNYSTVGALNTGLSSFPSAYVCLGRDTKTLATTVALS KVNASYIMMPTRWGTEITIPSLNEPVFFGLLVRYFLALSISWKARGQSLLVIEPDERF QECIKDVCVKQGISFQIFSTDEERCRRVPEVTFIHPALSRREMRDLNLPQRALVVDML PKGSRLSEMFKATVDEPSAYHHLSSFLRPAADLEVDGVNPDAGACVHLDRIWEGAVTL SLAKMETLADGAVSPLLSVPALVECTEPVAPFSVIHWKAERDIQQIITPPYGRQLLSP SKTYLLVGLTRDFGQSLCTLLAQQGARHLVLASRNPPRRSPRWAEELTRKGVQLRFQT LDVTKMEQVTALKTKIAQTLRFPPVGGVINGAMVLDDRVFSEMSIESFQRVMAPKTIG SSNLDDAFCSPDMDFFIMTSSFAAVGGHAGQSNYAAANMYMNGLAASRRHRGFVGSIL NIGVIYGLGFLHREKENLYEGLEREGYPPISERDLHHMFLEAIVAGRPTEDQIYDITT GLRRFPVGRPTLHWHSDPRFCHFTRGSDDRDEESDAGSQQLSLKEQTSRGETEEDVAE ILVPAFIKRLQNQLKLAEGTVTAEQSIVELGVDSLAAVEIRSWVWRTLGHDVGVMKIL SGMTISQLCGEIAAATMAVRVTTQQSLEATGVPSDASATPHTTSVVSGAELETHSVAT TAAEE QC762_501680 MATPIPSHQRIVPGSVNLPPYPWPATAKDTSVDPVSIAESVTSK LNGYLSSGDFASISELFTDNGFWRDHLALSWTPRTIKTSAAIADYLSSSPTKLSSVQV DLTSEFRKPQIASFAPGGSPDVKGIAFYIKWGTSLGTGRGVARLVQEDGEWKIWTMFT CLVELKGWEEKVGARRELGVEHGKQEGRKNWRERREGEQEKGEGEVLVIGAGQSGLTI AARLKMLGVKTVVIDTNEKVGDNWRKRYHQLVLHDPVWYDHMPYLPFPEHWPVFTPKD KLAEWFEFYAKALELNIWTSTSLISSKWDEGTKTWEVKVNKGGREERVLRPKHIVLCT GHSGKKFMPDIKGLSEGVFKGLAVHSADFAGAKQQQEGTERKRKAVVVGACNSAHDIC QDYYEKGYDVTMVQRSSTCVVSNKAALKVLLAVLYEEGGPPVEDSDIWLHGWPSEVMK SIQIDLARIQREMDRDLLEGLEKAGFRTDKGVDEGGLFMKYLQRGGGYYIDVGMSQLI IDRKVKVKQGEEIEELVENGLRFKDGEVLEADEIVFATGFMNMRTQARHILGDEVADR VDDVWGWDEEGEMRGIWKGSGHPGFWFHGGNLALTRYFSRVAALQIKARLEGLGA QC762_501670 MGSTHHPLSIAIMGGGIAGLSLAAALVKKPHLDIHVYEAVPAHS DVGAGLALHRNALAAMALLGPEVHQAYLDKAINIGEDAEAEMATDIFFACGPHANTGA DQEPVAELGRAKGRKSVSRADLLAGLLGLVPGEKVSFGKRLTKIWEEEEEEKVKFEFG DGTKGEADCVLGADGIRSVVRGYVVGEDHPARHPVNHDRWQVYRTVVTTKEAIEAGVE ERFTRTVPILLGPRGHVNCIPMNKGTRLSAGVAVRGAALGEKEVDGPGKGNDEGRRKE LDVGLYKDYTPEARAIVDLVARDTSASWAVGDHDNAPVYFKGRVAMLGDAAHASLPFA GNGAAQALEDAAVLDHLFERVKQPSQIEAALGAYDAVRRPRSQDVVNVARMLGRAYAF AERDIHEDPKKARVFFTQAGRFTNEADLTRQNEEAMAKMEDSVRGVSKNN QC762_501660 MDQPLGSFVPPYLISFPTNTVSFIHVGPQSEWHRQQRPDTTLCR RASKGHLSVYCNLEKDQSHVLLAISFQEDDLPSLNRQVYYHYVDKDDSIIGNGTLIEI TFQNYPEDIDTADRLKNSIAWRIHFNKKHMDAIKYILTQARSQTANLPRVAALPKEDR DLLYHYIRATLIPQPVPHGLAALGKWPIRLPTTLSTSPSLGAKSEPVAASVYHDAAQD QVVEDTEEEAPKAIRLREMERERYANKPPPSPPPPRPASPSPWPARSKNNHVPGPSRE DEEYYQSVIDAATTPKKPELFVAAQDAVPTLTPVGRGRRAEEEDAFWGSPLGKPGQMA SRKKGGLAPGCGGATERKVSMQALVGNAEVEVRFKEKDADKVLKAMVPKKVENSGDEF TA QC762_501650 MRWLSFFTAMLASLAPITTAAPRPAAVSHNLAPALLPPDQSEIP RLVLYFQTTHDSLGRPISMLPLVTVKHIALTHLIICSIHMHQNGHLHLNDHLPSHPRY KTLWTEASIMRSSGVKVMGMIGGAAPGSFSRSTLDSPSDLTFDHYYRQLASFIRRYSL QGLDIDVEQPMSQGGIARLILRLRWDFGPDFIITLAPVASGLTNEWGGLSGFDYRVLE RDYGSLINFYNAQFYNGFGSVHSTSHFERTVDEGWDPEKIVIGQLTDRGVHQHVSLNR TVVQLRRKLGVIGGIMGWEYFNALPGGADAPWEWAQVMTQILRPGLVPEMKIAKDDAI MLMETWVESAWPGAAVICASVGGAGNEACAAEAGRPNVDYMAMVNA QC762_0074950 MQLARSLSTALAALLLSSIATGHRIPAQSEELQLRDAAPAEVNE TGTPPVVLPADDTLSADVIVDETEHGSLPFTLVSLARAKVEGKERAVGKERVVGKERE EPKGRVVAKERGARAREGRERGERARVDSEDSVGPGRGEAYPVDEVVAGVDLIENRTY D QC762_501640 MGPKKSPTKPTTGRRSWYKPEFVSSPVHSPVKIGARPSLHAQHA ATPNTQRQQPGGTASPTPSHPLRNNFNTRPAPARPTPPVQQSIGPPSLGLRRLQEAAA KAKAELLAEQAVASQRITSVLDPNLLHSNPQPRTVTPTPQIVKPTPQIVKPTPQTVKP APQSVKPTLQTLKPALPITTTRPPPAVKPPSPPRPPSPTTSWPHPTVDPVPVPPTTVS IPGGSSTQGPRTKPPIKPILKNRPTSNQPTARHAIQQLQLSAW QC762_0074970 MKLNVTLFGLTGLLGLALAAVPIKNDGISADIVVPEKYIVKYKA NADAGRKKKHESHITNKAKKKNKKGVVESINIDGLSGYVAEIPDSELKELRDSDLIEY IEKDTVIQINAVAAPRVAADPVEEKHQLAKRAYVTQLHAAWGLARISRRSAWNSGYYY DNTAGQGIRVYVLDSGIRTTHVEFEGRAVWGANFIAGSPNTDEYGHGTHVAGTIASKT YGVAKKATVVAVKVLDKNGSGTMSGLISGLNWVVNNAKARGIAKKAVINISLGGGYTA SVNAAVKGATDAGLTVVVSAGNSNANSANYSPASAPSAITVGAIDGTGYRAWFSNWGN LVDIFAPGVSVLSAYHTSNTATWYMDGTSMAAPHVAGLAAYFIAKENLSGSPAVTNRI LGAAVTGSIGDPKGSWNRRAYNAGGA QC762_501620 MDFMPPRNKPLGFVNCPSSPPQLLETPKSSDSGRLAVNMTATGP SPVIADPSPLGSPLPFEFSGRTAPNRFLKSAATEKVATYDPNDRLSSGIPNDELFRLY GTWASGGFGTIVTGNILIDPDHLESPGNMIIPVDAPLDGPRFEGFRRLGSVGRQHGSL FIGQVNHPGRQCVYALQPNPISASDVQLLVDMFGATFGKPRAATIKEIQSIVAAFVHA AVYLDKAGWDGVQLHGAHGYLIAQFLSLTTNLRTDAYGGSLANRARIITEIAAGIREQ CRKGFILAIKINSVEFQADGFTVEEASELCEILEKAGFDFVELSGGTYEEMALAHRRE STKAREAFFLDFAEKIAPRLNKTKVYVTGGFRTAKGMADALQSVDGVGMVRAVCNNPN LPAEILEGKKTAAPVIAGGVYFDDFLLTGGLAGLQMRLMGHSLPVLNVEDDAEVEEFK KALESNLKGLVKGGLFENMELGFLGARGPDVERRVRDIQASRATLR QC762_501610 MPGLLSGQDSLAGRRSLFPLLSIFPFLACEVKCGAAELDVADRQ NAHSVTLAVRAIVELFRAVNREDKVNRQIPAFSISHDHRSVRIYGHYPVIAGNDTIYY RHPIHTYDFTTLDGRDKWTAYQFTKNVYDTWMPAHFKNICSAIDQLPSNLDFDVPPLL RQPDFPRTWGA QC762_501608 MPASSSSGIMPQQPRLPMESTTTLALDVAEGSLFKDGFLAFNDP LVGEGIAEVEKRGFPYFTAYGSDFCEEFAFNKRIRSIFEASFEKCSLGHWLRYGEFPG NVKCFKRGGPKAGRYVLMVHSWAKGSQVAYYVGSHHHNITTSRDNRSLYEIPLSKLNR VGSKPKHKESPDSSS QC762_501600 MLNIGRLLTVKTFITPTSRQPILHHAVTYTNQPFHKPAINMEQK EVKCYPGWKLDLRHIPDNNAIEYPMGIHPDCMKKSSPITVREVAMMLVMDRLSDKPDW HVKVFDDAIADKWRREALAWPEEDLWNRIDHIQRDLSGDHSWYPKRAKNVLDQASVEY CIEELRHKAEYFKRTSLVPTLDASYMAAKSDTLVSPQLQAALKEGFDRLQADQAVNPD WHPNTNETVQDLVHPSMYPLVYGRSRFFEDEVVGVEDAIGKWAGKGVVIPKWIETRAQ TNVRGSVFGKNVEYSYWSDDYQWLPANVKFTEEGGVKFTSYINNLHPLKYKGIYRSLE QLIEKALPMWDQCLTQIHGGWKAIAAGRTEPRMIPENPDDENEELWMRKESLTSNESK KGVGRVGNWQIHNPDEEGLEEEDAEEENDEDEKDEDEEDKGEPVFPPPPPLSKIPKVK YDVTPKRTLRHKFKDTGLQIIVKMASIELTPEKPECPAGGWHVEGMMNEHIVGTALYY LDSENITESQLEFRTCTDDYLQDEGPFENIGQDGFHWMQSVYGAFFGSGSGSACLQHY GSVLTPQGRMLAFPNVFHHRVSGFKLADPTRPGHRRFIALWLVDPFTRIISTANVPPQ QAEWWADLTFRRLAGSADSGSEGKIPAEMAQILLERGLAKEELAEAMASGKVASAWKL PPELVEMVRQELGDALPMSRKEAEEHRLELMKERSGFVKNAESTWREKEYSFCEH QC762_0075020 MKSSMAPGILITCLAGIGAAAVLRVLYWASQFTLYHFWRPSKPL AAYKRASGDSYTLITGASAGIGLSIARNIVRQGFGVVLLGHLPDELESAAKSLNSPLV RTLVVNARTATPEELETAVESISDLNISILVNNVGGFPMADPPLRPLSTLSTAEVDAY VDLNARFMARLTNLVLPLLSSSSSREKHERSLILNISSAGRVGMPWIVMYSATKAFNY AFSMGLSRELEDDPTTAHIDCLAVTLGEVQSQANVMAEGAVTAERFGSYVVGGIDGAV KRGWREVRPYWGHDLALGLADRVLPDGMLTHFAREELRLKKERWDGIMAKRR QC762_0075040 MARTKEITRRRSDSLVTWTRFHLPVEREWPTWAVDHENVHFGPL DDVTGVRKGWLGRMVEDPKQAAYIIEWEDLEHLKQFRSSPACAAFLRNLPENDHSLQL SRDGSSSEQSSSSVEDASSSSSPASRFLTLRESTQRPKSDVEGRVTFNAFLVPHKQGH ETTWNAYHALRDELCSFQPRGFEFIAGTGLHWECYMNTWFFALEEDQWVQNKFGKSEQ TDENTDGRTVICEFHLWPQKYGATPEHEEASASDPEATASWNEAVAKVMPPVTAWVQE RWDVLPLPYYEPPYEPTEEELEHHRKLEEFIKYHRENPKPEVRWCGTRF QC762_0075050 MATVSFILSLTACLLTLLPLTVGVGIFDIFDVEQNAANNGGCGA RMATLDVWLSDSIESLDVALTAIDNYRQNVGVRRALSTFFGIRNTGGRATPKAVNDIK NNLAHVFEFLNHHQENGNFFYDSTYNHLHCDSTFLVPRAPNSPAFDSNGQVIVDQNGN QVTIENIPSYRDALVKDARASPWWAGDFTKLKGYYFDEQGGNFCQGDHLGATAHIKPL LTDGATETDPNSQHASVIICPHAFVGSTQPDNYRDANNLIAQGTNFALVVPKSATLLH EVFHVVGGDYYLSGPAEKYPLLDALDLASRKSGQAQRNPENYVFFVAHMYHMLGQPEG NEPWSIGGNWDFSVTGSGSNRVYGATAPPP QC762_0075060 MVDNQHNYYKAAPDKGIHNGPVWAYSGSLDSYLRNLRQGTVLRG GYKGDSAIKSTSFTSKSKRQSSDFWLASLGPLGTQPHAGGDNYQFFRNVVDDFGADNT GETDASEALNAASASWNKDSAGDSRTRCGEECGNTFSQGAIVYFPPGTYKICSPVVQY YYTQFIGNPNDMPVIKGCDDFKGIALFDVNPYIPGSSGKQWYINQNQFFRQIRNFRFD LTEMPELTGENDQNLAPTGIHWQVSQATSLQNLVFDMPKTSSTTAVGIFTENGSGGFV SDIEFNGGNIGWRAGSQQYTARNLVFNQCKTAVQMIWDWGWNWQQITVNGGGIGFNIS GVGGDAGQGIGSVSIIDSKINDVIIGILTNDLPSSPNIVLDNTVFENVVSPVLAEGNG GTLLRGNTDLWATGKRYNGSEGSIQTGPVEAPGRGEGLNGDDGKLFVRSRPQYGSRGT DAFLVATTDGKCKNDATGDQAFCINSFLQRALEEDKIAYFPAGVYAVGSTVLIPTGSI VQGSLWSQILGSGYYFSDMKNPKVMVQVGNKGDIGTLEINDMLFSVRGATAGAIMMEW NVAAVSQGAAAMWDSHFRVGGALGTDLDLTTCPKFTNKAECIAASIMFRITPQANGYF ENVWAWVSDHDNDKTIVDVPDSSSTQISIFGARGMLIESQGPTWFYGGGSEHSVLYNY LISGAKSVYLGHIQTESPYYQPRPRPPEPFRAAASFPNDPDFSDCEVTGDGRDDRCNY AWGLQIIDSKDVMIHSAGLYSFFNEYLQDCIPTHNCQDRILEVKGSTGVVIFNLFTVA TVNIATGIDDTSIPQDSNQRGFTTEISVWVPLPGSDNVDIVFVDTNVWNTPTLSCPAK SCMMILPTSSLESSTTIHPSSYTTSLEYGEISSTTIGGVPTTVFVTTTTTVTLSIPPI VTDGIPFSNVNVSTTGAMPITLYPSVNVPPVIVTLPDGQGSETTRTVTVPPWPQIDGG PLVMYTDPATLNSSTGQLAKNTTYFTPISTLFTVRGATVTTVTFPASTEVFTIDCPAT TSLVFATPAIAVATTCTESAELTLNFACPTTRVFTFLGPDLADATVDCSLVTAWKTGS TSTEEPLPVFTTWPQGGRIVPEEEEIDEPEPDEDGDGVHVPCKAWFFFFCISFGEVRI GSWHWTLPPGIYGPGPPPIGIIHPPPGIDIRGNLPNWPRITIGWDYRLTTESEPECTK QTAEACTTSNFVTDGTTRSSTTRCETITGCSISVSDSTTDVFGTQTAAPIGIFNDESW ATMTMGDEYTNAVLAALESRLAREEASAGATTIQFTSGATPGPTCQGGSTACGGTVCS GYWCNPSPTAPPPGFRDPKDPSSEGYVAPTTTISDTTSTSSSPTSNPNFTPLTRGPIG CFDEADFPGHGDIQSGEQDDGSLAFSDIRLDMGDDDTIGPGDNPIRLRWTDGNDVNYD YQAEWVAGCETEVARQSFGFPLGSPSLITAYLLVREDYTMCDNGGVGGSTQVGCLLYS FVGGLGNEPTDPCDVFNCGQCGSPIDSIECQNCCQG QC762_501550 MSEMASPVSLTTLSTELRHKVLASVIWTSTATLYNHLDLFFQDP RVRLRDDWDIWVPTTPPQPPALSLLLTCRILRYDVQYLLNSSTAQSHPYEIDVVFIAK CGLFPTWVCCPLPSQINLDTLQASFRIMDVEDIDDEVPAGRQGEFLSRYRGVSSDFDA DNYPNPPPGSWNFYRLLASFLALGPRGLTSPAYQRENRGCLSRSRYSLQHLIISVTSK EETEMDDERRERASGPRRFLLDHNSDLPYGGSREEDVFPGPPDNSPYTWTGPTELETV RGMYGHAGRLTLGHADRYGLYLANTLWALLDFKWLSRGFGLMVYESILDDITFYVDGK PRPHFGMDDLLSLEPLKHRTLTPEVAAALQVWKEWVMKWRSKLRERGMLDEPRPSFAF VRYLPASMADPNECPDSDSDSMSGSDV QC762_0075080 MLSSAYALVLAAGLVTAGTTGRHAPLLEARDGPLPSLPYDPKTS SYCTWWADVRSATTCDAIVSENFISLALFKQWNPSLSATCSVEVGKSYCVEAYGEPAP GISSTKAATTTTPSLSTVVPPVTTTTPGNGITTPTPTQASIVNNCDAFHFVTAGQTCE TIASLYRISQDQFKAWNPNVGASCTGLWANAYACVSIIGHEPSPTTPGNGIATPTPTQ ASIANNCDEFYFVAVGDTCEIIAAKHGITQAQFLSWNPSVGSTCTGLWANAYACVSII GHTPTKPSTTTSVGNGIATPTPIQPNMVNNCDSFYKVKSGDTCATIAASKGVTVAQLT TWNPYVKSDCSLLWLDYYVCISIVGHTPTPVNPGNGIQTPTPYQSGMTTSCKTFHFVQ SGQTCQTITQRYRITQANFVKWNPAVKNDCTGMWANAYVCVAVL QC762_0075090 MKAYLYALVASGAAGAARATGGFVATDQSFISSSSFVDITEADE DLDKWLSTVQAQPLEALKPCPISCSKAGEGPWFLFPEADQLASCKETMLLNVVVQMAE VKDMPTVIRACTADYDTSPSMRVAFVPDSTKASLCTTANKVLEDASISIHRPGKDGEF SPTHLLSAGRQVKSYLASQKPSCSNNAMAFGYSQTSVIGVFAGAEVHQHGVTSDVLQQ FLEHVQNESVSGTTVVQLCGAKDRGADYSIGIVASRAKNLDFVQEVVKTWADGKCVSQ VGAGQDWMPVTLRVPVPLEELSKNDTISNSTASSRHSAPGDISARFARLSPRADCRVT TVQAGEGCWAVAQRCGISQTQLQNFNRANLCNSLVLGERVCCSTGTLPSTLPPGNSDG TCKTRQVVLGDDCGSLANKCGITGDDFTKANPQSGLCSKLSEGQHVCCSQGNLPDLRP KKGADGYCAVYRTKKDDNCAKIAASNMLSVTQLENFNKNTWGWNGCKLLYPDFNMCVS DGAAPMPAIVPNAICGPTMNGTVRPPLGTNISTMNPCPLNVCCNIWGQCGMTDDFCVV SKSETGAPGTAAPGQHGCISNCGRDIIKGPAPAKHIKLGYFEGWNFGRKCLHMDATQI DTSTYTHVHFAFPNVTRGDFRIEITDPLVKKQFERFKKLQGVKKVVSLGGWDFSALPG TFMILREAAQPANRDLFKRNIISFINEHNLDGIDLDWEYPGAPDIPDIPADDPVNGLN YYRLLASIKAEVGDSKTVSFAAPASFWYLRSFPVKQMAQALDYIVFMTYDLHGQWDAG NKWTSPGCPTGNCLRSHVNETETRDALSMITKAGAPSNKVLVGVSSYGRSFKMAQAGC DGESCLFTGDNRNSHAAKGRCTDTAGYISNAEINQIIAQGRANKRYNKEGSNIMVYDN TEWVAWMDDEMKDKRTEFYHSYNFLGTTDWAVDLQEWYPDASLDEDDVEVVYLGTEVY RERTAYCTPPCRLILPPSSLPAPTVISIPPYTTSIEVGRSQGASFVVETTTITVYAPN ITTNQMPMSNVNITIANPTDGGGAGGIPPFYANPSIDIPPIPVVVTPPGGGAPPTSRW ITLPPWPSVTDGPLPDDPSGVDIPDNSDLPSDDQNPIIDRPPLGSGPPMVWDCPPGGV LEIDEFHAILTLDNCQGQVTLGGCAPTGTKAMDAPPESTLLIGCTLFTGTQAPDIKPF PTGSGNIIQPITTPVPDPPREDDPQDSDDDDDEPAGVYLSCKAWFFFICIDWPELKVF GWVFPPLPPGPYRSGPPNIDWPKLPGITVKGRLPKWPAITIPTRGPIPTPEKPSNCKT QTAELCKMTTSYAGVVEGGTTRTTSSTTKETCATIYGCEVEDDNSSTQTFNGCTVTPV AKREVVATPTPVQGAAGTLEERTRPFLQARAKKKSPCQIGDALIIPDNPENVQAIRTW LGDVNNNPKKQLWSYTEIKSDRAKFTAFFHVVQLDRASLDTLSGAKGTYGIDDIYYLS EMSAEAKAKTALADAKTPRPVTDIWQGKFPGSGGGGPAVVPRHFSRDQSPGNLTSSTE IPDIHRDLNETISGVLRKRNDYEEHETAEYWELSQLSAPPLYIGFQSWRRSGTPARKQ DPRKPDRWFNKYWYPKGTGPTQYIYNMESDIDTSHAEFQGLGGRLIRWPYSSPYGGDA KTPKPVSEFDWKHGTAVSSKILGNLLGAGREVTMVLPVNPGSGFTYTGNSGAKTRNFE EAAVLETFIRVMDDILDSANNKEGRAIINYSGGMFTDGASEARIRMLYKIIKKLGQYN VLVVAAIHNSYKERGDNIDAYPAGWGDVSRADYLSNVLSVGAVQQSQRVATFAPYQQW VTYAPGEKTYIAVPGKGIATDGGSSMAAPLVAAMAANLRSLPSKWKEDLKDPKRLKAL IKILSRPLPMGKPDKNPAKPKIPVGKIDTLVSTAWNGQVFNLGHCLLDTTEAKGQGGN AQLDKICPKIEDIKKPDADKLFSPPGGGGDSGGGSGGGGPSGDPIEHKPGPAGPLCNK PIGLGKRDGQCGKICTGYYCTPTPVGPPPDYHDPEDPAHKVTRPPMPTLTGAPDLGNC PKTTTRRCVGSGGRETCEDVTICAPTTAVPTPTAQPTPTAAPQPATCRIHIAQHHWFS WTSNANAMFMEVTSYINEVRQNYESANTDFGISMTWYKASSRFPTDLQVDIRKEKGAV NFKRDRPAPGENLERKRLFMSFDLYFTAGNQHWSSGNRDESRMPFCRVGAWDENSWDG INHLQPSRRMDCYWRC QC762_0075100 MYELDVPTIVPPPVLRNNSAPHAKPFSPTLKEDDVPHITVIRPI KGVEAGLYECLVSTFHLAYPKSKLTIYLCVDSTRDPAYPVPRKYISAFPDFDAKVLVE EPDPVSHGDGGHVDKLGPNPKIRNISRAYREVKGDIIWIADCNVWLGANSAGRMVDKL FGFLPDGAQTKPYKFVQQLPLLIYLETPRTAAEEE QC762_0075110 MSERASLTTAVGSKKCSWQPPTQNFYSAINTVGIAPCVVGKSNM FRKSHLERLTDPAQNPLLSPANAARRRGVDYFSSYICENRLIGGLIFKSNISGYKKHG LVRGEVAIQPISGMTVAAYIARRVRWLRVRKWENLNGHACGTRHDATESQGGQVSEIE VTGGVGRISKSRAGWARFEVTSEVREIRSHKRVNEISAPNNPINPIQA QC762_501530 MAVLHQFDYLFAIGTIFAGLDAWNIGANDVANSWATSVASQSVT YLQAMVLASVMEFAGSVGVGARVADTIRTKIVDTNLFKDDPALLMLGMVCAVTASSIY LTMATKIGLPVSTTHSIMGGVIGMGVAAVGADGVQWVGKGPGTGAINSGVVQVFLAWI IAPGLSAIFASIIFLITKYGVMLRKNPVWKAFIYVPVYFGLAAALLTMLLLWKGGNYE VKLTDSQLPGVIVAVGVGFALLMCVTLMPWLYRVVMLDDWQLHWYHIPLGLFLLRRGP VPENPDDEYEDEPVQEVSPKDELAATKAAQRGDVEISAAGALPEKIVGTADSTDGASA NAPAAPVRRKKPSDFQKAHKKLLKGRPEGKWYTWPVIWYGMKWCVLHGIDQDVVNLAG QKSALAGDVEEIHAHAARYDNKAEYMYSFLQVMTAATASFTHGANDIANAIGPYATVF EIWNSGALPETGKAAVPIWILCFGAAMLVLGIWTYGYNIMRNLGNRLTLQSPSRGFSM ELGSAITVILATRLKLPVSTTQCITGATVGVGLCSGTWRTVNWRMVGWIYFGWFITLP VAGIISGCLMGIIINAPRWGYSG QC762_501520 MPLEFTSIPGQGEHPGHPSSTPPIPTTGSPPFQVDTPTPAARYP VTMGTPVGTDLLALAPTSPSLPLPSPTTPLGSFPATIAAPLVGSPAGPPGGHPHDILG MLQKEQTALEKRFVEGKNAWGYASAPYTDIVRYGEVLANLATATATNAPVAPAVPVVS PALSSVSAASLNREKARLAEIEKILRQREKRLLQAEVEAKASLDSLTRAIEEKKHQLA DLELREAELLQREAVAVERETALNEREDALTAGEDALDKEKEDLEVREKAAAAILEQQ KIVETQKVTLRLWREDLDDENKALEARKKELEEEEKNLAEKKRALEEKERRPLEETEL GKKEEGLRMREKALKEKEKALKEKEEELKKRNRNFVAVNTSLVKKDMENAAKEKEIER RLAEMAEREAEMAKREAALDPQSAYHSLPSGGQTIPQFVADMDQRVVIGYDLGWQAAL PIGVEQGRRAAAAQITTMHIQHQETVLARIRNMLACFGAERMALDVRREFKTLQLVIK SNIDVERIHADSIEMTADERLDASIPKPGNKVWEFIRAMKIECGCPVYYYFPAHPKSG PQPTAGIVRPAPQGETPQSLGVAPVTIVGNTYNLPPDARVVFTAPAGPDPALLAGPIP SLEVTAPGGVSRTITGTPGPNERRRVRSGTPSPRADTKRARSGSPPPASAPAPKRTRG RLPKNKNVITVTTVTDPPPARPGQPTTSGLRPLAAAPAPAVTTATTVVGTTTAQEGFT SSAFAPVPAAAAPAPAPPTIGLEHDANDTESNEEQGQVVVQKNEEKKAGKTVHEQLGR EDGRETNNEEQAPGNIDDENKNEDEDGMEMHLAAPVGSMERKN QC762_501510 MASLLLPSPQLPRLANLLTSSILLHLLIVLLLLSLAYRVHRWHK LRHVPGPFLAGWTSLWLTRGFISYKVYEDMHALTKKYGPVVRVAPNKVIINNIDSIYR ITSARSEYKKSDWYLLARVMPGADNLLSMRDPKLRAKRLRHVLPAFSGKNQDDFEPAV DKTISTLLDLINTKYLSSPSQHRLMNLAQKSHFYTLDSFGEIAYSQSFGSLDTDSDVL GIVKTGDVTFPLLSAVHNHHKIFQTIQKWPFYYLLPREGDKVGFGRVFGLALEIVNKR SREVGEEGVDKKRDMLQSMMDNGLEGDELRSEVAMSFFVGSDTVASAIRMTMLLLMTH PAVYRRLQEQIDDAAEKGKISRPVTNDEAKRHLPYMQAVIQESLRLFPPSSIVPFFKE VPETGDTVDGYYLPKGTTIGTGCVMWSMNRDEDFWGPDANLFRPERWLEANEERRTEM ERCVDLIFGSGKFVCAGKKIAFMQMYKLFPELLRGYNWSLPDPLHLPTIDNPTIWDIH GLMVRIEKR QC762_501505 MDTTMVTVMVVVDNFVRFISAMISSQPHQARVPSPGEAFVEMAI LALKGLVPMVWLRSKTSPVEFLVGGAALLVMVLAVSHFHTAEPSKPSSAKGGKIKGIL LKAPKPRHAYKPRVAFDPALDTGKKVLKRSHDVCGDGGMM QC762_0075160 MHLPTSGQELEKRDIKEFWNKLISPWCKTFPKSLGCPKPEEPAP QPEPSPTTPTPTNPPLEAPVVPVPAPVPTTPASAPDTEKPPVNNTPVTNPVKTPAEDP PKNSAPTPGNSGSGGGSGNGSGNGSSPGTGSGAGNGSGGGSGNGSGNGSGSGSGSGSG SGSTPSPGVGQGSGSGSGSGSGSNQGSNPGTGSGSGSGSGSSNGSGSGSSSGNGSGSP TPTSGNSNSGSSGSGSSGNGNSGSNSNSGNGNQGAGSTTTGPQKAFPSTAGNALLAVD GTQDGQNQVTQKGADSATGGGYVPGSDYTSTDEFGYTYHGELDQNSGGVRGTSGTGTN NGSNPGSNDNTNNPNEKGSSPMIGIIGAVVSLLVVLLLLIALLYRYRRTRRVQAFLTR CTPFKIAPYSKKEKKRSSMGNGLLFSDVGDAADSAMYEKRSSMNYGTATAPPPPVSSS VTLPAAATIPPLRLDCAPSNNNNNNNNNNNLSLMDKRASSPTGGLLIDFSPLTPGLPT IPSPTIPRRSSQDSIGAASIASSGVFSPSLISWPMPPSTPGNSRPTTGTGCNWPVLQP ETVPAVPRVIQQTSAAAASAPPSRPTTGGSHRYSLMPVIKPAQPALPSNWVKPKGWD QC762_501500 MLILLCPTRRLPAVTVVASRLKLANARPQRRWLNLWLHSKDKWK TLPWDQTVYLRLLKEHEEAVKAKRDDVAWGLPSRPYIYKRGIVVHALNLKHYVILLDR VSLSVRLDDPQQEPVLTVDVFMLTSTGALNAKNNHFSIRWSDLDREPMLGDQDPWQLT PSIEYEFRPEENPNLPITKVARMFQKEVSRPVLAPGALTIPVKHLSTPLPHRWFALTR ESIDRLDPTGDHGPSTREFLAFCPALGEPAVGRADAYYHGHQKIYLPPGRWPFEDRTA VVFSQIENTEDEKRRMAAAAQRLAQKFKEMGRVRSTTVKQLWLAEIIKKAWPPKDYSK FLPQKAENHCRVLIARALEKWRGTTIVIHVSTELPQKPTRLLLEEVSANMKQDTVVIV SLMGRRLYDRIFNHRDEKVRETFATEIVFKPPLRPAPVVEEPVPETTAQEDEMLKRLM EKFLSQNEQRKMREREEAEKARREEERQRKLAEARKKEEEERQARIRHERKMEKEAEE RRRAAERERLKIQKDVESMFEAAVQYEIDASLRKEESVGERVLVDDAEHASADDAENE TEEAQLSEGSINIKNGAICIETEGSIDVMGGFLNKRDGSIMIKTGFVNMVSGDIDFLH RDDRKRFQEDLGTVSISFEDGVSRRGINIDDADSNPDLISLTDAKIKITEGYIEINDG SIKVTGEEGLAHAKKILSSIRIKRGAIHIIEGSATFTDGQDDFINCSFDIKETTLGFK DGYTDIEAHKDMYSTRDWGRGYQPNVDGNSDSTKSWSYDLPQDKARERVRKMQDDIEE KAREQWAAEEKKREREEQQRKRKLEEEEEERKRKLEEEEQERIRKEILARKRESLRKS LSTTFVTDSRRKKKD QC762_501490 MHISPPPPPPLLLPLEDTTLINHHHQNNSTHNLTHELLIASLAI QRASLLTKRVLQTLTSNNNNPPSPTTLPSTPVTGHPFFPSTSTCLNPSYDPSSRRLSI AKPDASPVTIADFASQALLISTIHHHFPSDTFIGEEDSSSLRHNPDLCSQVFDLVSTT YLSDPAAEALLGPRPGSIPEMLGLIDLGCGRGTRGKRCWSMDPIDGTSAFLKGEQYAV SLALLDGEGRELMGLLGCPNLGIGVVVGGGRIEEGEVDREGWGVMLSAVRGEGCALVR SMGQAGLNKVVKRINRRKGKQREIRTEELHFVDSRVSCATDSGMVEQLARRVGAGRTG ERTEIYSSHMRYAAMVLGGREFVQVRFPKRPKGEAAPWCVWDHAGSQLIYTESGAGKV TDLEGRPIDFGTGRKLTNNWGLITADESVHGKMLELAGEVLKEAGR QC762_501480 MPYLHWEIEKRLVRMTNVMRKTRLENEEEFAYERLSKRKGTWGS VVDRARARAQRMNSTTSEFGEWDEGSPSWRPQSPLGSYLWQASKLYQLIDEAADWRLI TNHLYSPSPLHPRRTLEQYYYWTADDTTQRDRQQVVYRATQMRSDPEAIPRVVMVDQL WLWILDENTILSAFPRRWGRNKPDPSAVHRAIRDHLGAIDHAQITSVYDLALIIIDEC SKVFFDRTKPDLRPEVVDMFSSAISSISEKKTDAYERFGRDVKRMNTQDPLQTAEELL RKSLNIKFEWSVLMEAQNLIDQLQIMQEIFTQQITVMGDFEKALRAMSSESQGPSDLK PALARAAALIEDMKLRRDELWNLEKRQANTRSQVTASYHVVIADLGMLTAIPVAARTP RHEATAVGNYRGQGRHPQGRRERCARQIDCRLHRGDHFLPSSFLLRHLLWHERARVKR GLHEAEYPTCIHV QC762_501470 MPPGYYASSTTAKLALRDGTSTSPPTPEETSGVVILLAVLGSAV FALLFFWAVKRCYWDAWWYSGTYYPIPAPRPVEVVWAPNNSTDYCSTCSGESHITVPS TSSPSSSPSLSVKPGASAGAIRLISKDVSDGLEDDETIKRNMIMPNDATNNEPKGGMF WNKGTGAKAGARTCVDLKQLDSGPFSSTVMSQCGTGTRGLQEQKQVEDSKSCILM QC762_501460 MDVDGKRRLPLQQPVPGRPRWQGRSRPRRTARRTAGLLLSACLC FIAYAQWRQLPHSPSSVPPAGSDITVHGLSVKRLQDDLATCSALRKKPQDPIGLGRKE NSRYIDGHAPTLVKNATVWVGEPKEGTDPEAARNGKGYGWIRSDVYLEYGLIKKVEAA IGLSSVASDTIVFDARGRPLTAGIIDMHSHAGVGSLPSLWGNEDTNEMSANISPFVRS IDALHPGDPQIQVIKSGGVTTSLVLPGSGNNMGGEAYVIKHAVGKADGRNETSAADLL ADPDRNWRYMKMACGENAKRVYGRPGEAGPMSRMGESWDFRHAFEQATKLVREQDDWC DTAAAHGVHNHRSYLPQELRWESLGALLRGQVHLNTHCYTISDLEAFIDHTNEFKFKL RAFHHAHQTFLVPEILKRAYGGDPPASALFADNMYYKAEANVASEFAGKILWDSGLTP IYVSDNPVLNAQHVLFEAAKAYKYGLPYHAALASVTAAPAERLGFGQRLGKIKPGYDA DVVVWDSDPLSVGAAPVQVWIDGTAQLEDPVELDKPAVELIVPDQDLAHLPGEPVQAD EVVFTGVTHVLLDETSVDRKIGAKGIAVVFSKGQLTCLGDCQDELQAATKSNTPVIQL KDGYLTESFTAFGSKIGLNAIDAEDDTDDGPNPNTFVRAEDGLVLDNQKTNASYTYGV TKAISAPSFRGGFSHHGTSVGFLTGAKTVVGQDAVFASDVSAHYTFDTSVKQDGTPSI SAAVGSLRRKLLEAHASSSSDETTKDAHSESAFLQKVVNGSLPLVITVHSADTIGALI KVKKAVDEVTKASIRLVILGGAESWLVAEELAAAKVGVVLAPLQSYAVSWDQRRALTG APLTNGTAVDKLLEAGVVTAIGLEEDWLVRDLGLLAGIVYRNGGGKVDEKGALDLVSG NIYKLLGLKQPGSKSGHFVISEGSPLDIGSRVKAVGGGTGQVTMFAK QC762_501450 MEQFLIDDADRYEELVQAFNPKPAAPVRAGSTNPDFLVSTRIRP LLPDEISQDFPESICSRPGGANIINLHELKKSVRGLPCLNSFSYPVDRVFGPDSTTKQ IYDAIIQPLVPWAWGGGVSTMFAYGQTGSGKTFTVSGLEEHGTLQKQDGLLYVIDLAG SETARDKSTHGAARMREAREINTSLSALEDCIRGRAMVDPDPGSGKKAHVPFRQSTLT KTLKHVFDPSPVGGGGRDSCGGASRNTLRYAELLRVTAGPAGKNVQDYDEKRPVTWGN GEVREWVGKNSGTPPVDGNVLAPTESGAQLLRLPVAEFVERCLKTPGVKMEQATAFQS RVLAAAC QC762_501440 MALYSSPPPARPFSEDKPTLLTSWWITLLCAFIILLRLVGRFVR VEKLFGEDKVAALVLIPLFLRMAFVHPILLFGTNNVELNDELDLSDEGLRRRAIGSGL VLISRMLYAVVLWLLKLVTLEFFDRLVGSSGRNRYTLLLRSMRIALVATFVAVVVSDL AECQPFTKYWQVSPDPGPQCRQGYANLLTASVCNAATDLLLVVFPVPIVIQSRLPMGH KSLLVALFCLHIFTVVVTICRVPQIISEQGYQATRTTWASADILMATFAANALTIGTF MRDKGVKKKKFKYEPTESQNRRNSRKDSMAISKKPSWDEDDDMEADYSEGKRGGLSRT KTPDISSTPGEVEQAKREIKSPRVHHSSRSASMDSLIPRGRQTPATQVVKTTTFEMTV SSSDDQEHVRCKKQAHAGLCLTPIHGVVTATANGRGRGSSILLREMKPMPDAHNEETE QQQR QC762_501430 MTLDRDIEMSQLDRTRSLAEGSSFTVRGQSRASDPDHHHHHYHH HHHNHHPHNTVGVGAGGMKARVGRFIDTFRREHPDNVRYHDDPNFALHVTDPEGGAGD SPTTTRTTNGFGSASGVAVVRQHNGERYYDLRTANSRTAGTLLARELKGRHLQMIAIG GSIGTGLFVASGKALSEGGPAAVLLAYIFVGVMLYCTVQALGELAVVFPVAGSFSAFS TRFLDPSWGFAMGWNYALQWIVVLPLEIIAGAMTIGYWNESLNKAIFVAVFLAVIVVI NLFGVKGYGEAEFVFAIVKVTAVVGFILLGIVINIGGTPEGGYIGGKYWSDPGAFNNG FKGLCSVFVTAAFAFAGTELVGLAAAETANPRKSLPTAIKQVFWRITLFYIVSLALVG LLVPYNEPRLLGATSIADASASPFVIAIESAGTTILPSIMNGVILVSVISVGNSSVFG SSRTLAALAELGQAPKIFAYVDRRGRPLVSILAASSVGLLAFMANSKVHSHVFDWLLA ISGLSSVFTWGSTCLAHIRLRKAWAYHHRSVSDMAFRAQGGTIGSWIGLFCNCLILVG QCWVAIWPITSEPLTSSQRAENFFLQCLAIPVVLLFLIGHKLWYRTSVIKVEDMDIDT GRRDFGRLGIIKAQEEEERASWPKWKRVYRVIC QC762_501420 MPVSLLWCCFLFASFHYRMAFLWIASLVLCSLWGARGQTERCSG YEAINVLKADSYLIADLVLIGNCSSHSSDIENLRLLVEYQTDSRLHVLITDADSQVFQ IQEHVLPRPRNENASSSSSRLQFSFTQSPFAFSVTRASTGETLFDTADTPLIFETQYI RLRTRLPSNPNIYGLGEHSDDFRLPTWNYTRTLWNTESPMIPNGLNLYGSHPVYFDHR GESGTHGVFLRSSNGMDVKLGTSDQGQQFLEYNVIGGVFDFYFLAGPTPTDVSKQYAE VVGLPAFVPYWVLGFHQCKYGYKSIDEVGQVVDTYAAAGIPLETMWGDIDYMSDHQDF TTDGSRYPLEKVRQLVQSLHDDGQHYVQILDPGIHRAGGYPTYTRGAEQNVFLKAADG SFYRGFQWPGEVVWPDWLHPNTQEWWTDEIRRFYDPNSGVNVDGLWVDMNEASNMCES TSCFASTSARTCVANKGIAVRKRYGDPVPFLGVPERDLFNPLYRIQNRWGDISSKTLW TNITNADGTHQYDTHNFYGTMMAGATRNALLSRNSAVRPFVLTRSTFAGVGRVAAHWF GDNASRWDHYRTTIRQMLSFTALHAVPFVGSDVCGFNENATEKMCARWALLGAFQPFY RNHADITANRQEFYLWPLVTQAAKKAIDTRYKLLDYMYTSLWKASADGTPNASPLWFF YPSDSNTFGIQNQWMLGDALLVSPVVDDDSQSVSFYLPDDIWYDFWTFEQKAGGGQTH RLDGVQWDEIPVHIRGGTILAMRTESANTTAQLREKNFRIIVAPGKDGTAKGELYLDD GASLDVGGNKSEIGFLWDGQSFAANGTFGFETDVKVERVVVLGGEGGEVVTHEGPWGL GGEFGFHL QC762_0075260 MTTTEACRGLRRIVPVDDAPDDATIDIIAIHGLGTESPRTWEFK KRSGDGVVNWLSDGDMLPAALPKACIYTYDWNANYFADAPVQTLLGHADTLLGLIAEG RGSQTRPIIFVASCFGGLILAEAIIRAAQEGSAYKHILLSTVGIVFLATPFQGSDAAK QARWQVLVKGIMGEQASDQLIKDLEQSHDFVHQRVQKFAEIANAKAVQLPLSCFFETR KTEMLRRILSPGWAKRLSRSVTRKILVTESSACLHGFPRQGLDATHSGMNKFQGPECP NFKFVKDAVRKLAGDASVVLKLRKNSTVKGHWIVRFGRNKEFVGRESILEDLLRGSGG WKDADRAGDRLSHSRRAAGMLGLWVPAVDATAFENAYRAIGQQLKVPGIDEEKADVKA LIKSVLGRESMGNWLLIIDNADDEKLLFGDTALTYYLPFSRKGSILFTTRNHKLGLRL VESENHIIAVEEMSKDEALKLLGKNLKGSQMSDTRSNNALLEFLANLPLAKRQASAYM AKEQISTARYLKLCKSSDEDMVKLLSSHFDDRHRYKNIQNAVATTWLISFQQISDHDA LAADYLRFLCFLAGKDIPHSLLPPAGTLETVEAIGTLKAYAFISQQNESDSYDIHRLV QISMLSWLDGKGERQEWTAKVLERLNDIFPWPKHENREEWIRYLPHTLHALQLRKRTD DEEATTGLLSKVGESFRNLGKYKEAEQMHRQELQLREKVLGKEHPDTLTSMDNLAIVL DSQGKYEEAERMHRQALQLREKVLGKEHPDTLTSMDNLALVLHSQGKYEEAEQMHRQE LQLSEKVLGKEHPDTLTSMDNLALVLDSQGKYEEAEQIHRQALQLREKVLGKEHPDTL TSMNNLALVLDSQGKYEEAEQMHRQALQLSEKVLGKEHPDTLTSMNNLALVLRSQGKY EEAEQMHRQELQLREKVSENR QC762_0075270 MLKHLWFAGAERPATRCTSMSPWAGRSPSPTGWTSTCSGLIKGG SSSNPSRDSFLIQAFVSNLQCPDGCACYNPPADTCRGIARKVALGFLYTYVCLISSES DFHIANETRLLPRNEDDSPIKWADWKALARELLQVHERNPDVVHPRFLRAELRLSRIN TIHRFTRLPPFHPYVRGRHNYSSLLHDNLAWMATAAVFLALVLTAMQVGLATERLQKD TTFQQASYGLTVFAILGPMCAFGLVVLGALFNLVNDLPLLIGRRRNRAVHETSGEVSY AAP QC762_0075280 MHTYETHLRSCGYTGALPYWSWPLDLSSVRFSPLFDGSDTSIGS DGIPTPHEGMKLNWPGTTPSGESFVHISPGSGGKCIQSGPFANATVNFGPYGETGSLS SPDPTAAGQARGIKRDLNGDPGRRWSTFRNITELILESKNIEWFQGVLQGMTQYTGGA ASLGVHGAGHYMIGGDPGSDAWITPGDPAFYFHHGGVDRVWWLWQMLDLDGGRKDVFG TNTMMNNPPSGETTVEDWLDMGPLAERVRIKEVMNSLGGELCYVYI QC762_0075290 MDSTRTRARSPHQVDPPVNFKTAALTVMMSRYLQKVHDSTASSA ENKSSPGDLEPKSNFHVQEDSMEDNTCEKCEEREGDIICSCGARFCDPCFTKSHLKRN PTHQRKDRDAETAWNWIKGKSPGQGADSQHFIADEDAKWFGLSAEKTSRGNHAPTIFE TARLRDLIESSLGQPGSPRRQFPSIVSFVGETGAGKSTLVRSLIYHSKKPKDNGAAVQ APVPGADSGTSAIFSTTGEVNLYLDPVSFGSESPAFYADCEGLMGTEPVSALHQKEWT KHAKPYKLATMDDGRYMDRRTAVQTLYPRFLYIFSDVVCYVTRNPKAWADSALRLLEW SMAGAESTINQHALPALIIVLNGPTIEDEEWIHGSPEAVTDAFFKTIEEEISANEKIK NFANFHGTKNMKDLFRRSYSTVYVHYVPLQGYLTLGSTSNVVKQTMKLGHRIRADALR VQLARADSWARFDTRQLSVIVEFAFRHLTSNKHNEPFDFRKCRRRITVPTSAEEHFSE ILGRCLEGMTTSAFVTTAQTLGSSLLRHALHEDTSDSAAPLVPDGVFNSDIRALCERA VSQYLDANSQCAFADFCGRRCVNTKMGHAQGHQDITGRLLQPGLFVTDAKFNAEAFLG AVETAINDLMSRINAKSPPGRQAWRYLAAAAHRKNLEDLRNLGAFPHSETGPVPQDDF MSEFSVCYGCFFGRPEYRLPCNHVICEKCVEDFDDTPRDARYPGLSTHNGCVVCGAKD EGWPYKAHTKPNLAGVRALSLDGGGVRAIVELSILYRLERLVGLDIPLGRLFDFMVGT SAGGIIAIGLGVQGRMVHDCLKSFRIFTSEGFKSKTFTTNRGVGMVARMFRSSMYHTQ NLVQALENALGPSATEPFFGLRHSCRVAVTTVVNKELRLIANYNSGGKDTYLDSKLPL WLAARCTSAAPMYFRSADHNGQECWDGGLRANNPLCHAQAEPQSIWGTAVGFDLLLSV GSGCADTSQKHHTTQTKHPWLRELLQTLLSTMDAENVWKDFRTEPRIKNRAERLNVEF EGSVAPALDDKNSVPSMEEEAWNFPFHNGPKGEANTYDFAPLSGNIPVDKLACIAVRL RASMFFFEMERIEMKNGLAHFYGWICCRIGPDEIGFGQLMKMTKGFDVAGRKIKCEPA TAATATAPLKLVVYFHESERDNDDIVRIDVDFGEKYVATISGFPMTVKSLLDHGKAYI DPYPTLSMDECQKSAEKNLEKDLGPSLESPTAITPPPPYQASLEPVNIVVGAQELE QC762_0075300 MNGKYDEVTDLSVEPWVRYIPGDKVKRIKV QC762_0075310 MGGAPPSPLESSLRKLLTPELFSRLVTNRLPYPPQSPINFSHFA NTIFLTDPYSPLVSPQAWPALLALSQQPLSSIPDLATFLPPPSSPSYPTQTLGLLLLL DHIPRLLFRGIDQRYTYSFFSHLSQSLALSWHSLPSHLRPDSYARWKHEQNVALDYWI AVRFWFATPFVHSEKPELQEIAITLTEETRATVEKETGSADPYRQERDEILADSYAFP RVYNAGPPRGDQVTRESFTWWMGMLFDVHKPIVDRFGRYPYLNGITGRDANDGEEKWL EEINHFAEADEESVRRVREDVKAGRWSPLGTDTPR QC762_0075320 MDERCSEPEPDSDPVVQGDVLLVLPAGVGVGAEGGGRKVARSGM EERGCWDRARRAGQAWGETKGE QC762_501400 MASSQPTAPDVPSKDENENSLGSEIVDFLPIPAVNPTTSVEGPH KPEPSDKLGNQQTLSHALATEGTTEHPQGIAQLDHDEEVRDLGWNEPKQEIPAPLVGG MDNEELWMLVRRFNKQIFHTKATPYPPPGGLDLNIAEQEEFSPDKMRGNFERLYMTVG VGMLAAVKHIARLRSWKETRRTAAFCSAYFLAWLFDFLTPLLISVLVALIAVPWTREY LFPPAPVSLVDSKTGGIQKPKAGVLGSHDSATGAPENHKGEAVEQEASNFVSGIATVA VSGAVGQHAQGDQESAEQSTTEMHKAIGSKPGTKRDKTEVPMQTAMWSKLQPIMHALG DFVDTWERFANALSPTPPFPTDVHRLRFVALILPLLALSFVVTSYMFVKGVTFGIGFG FFGDPIISRGLDWLNRTIPDWKKLLELRNTLLKGVPTNAQLTITLLRIGEANHAPLPP PPRINEVPPDKPAQLTSNDLSAVGADAPMNASAGELQEAIHYDPSIKHDKGGNQGGHS VMVKGQDKEQNKKSNKFLNFFRGTAKTAVKTAVGADTIRGKMGISHHAKDRLGVVPPA EKVPISGPVEFEARYDGKKGNVYLSTAATIPVVAFGTKKTKEKIGPDGEEKEDLHAMW SVPVSEIVELKKLGGYGWKAKLVVGWSLEREVSDGLELRTSRGEVYKITAIPLRDELF NRLIAVGGQKWEAW QC762_501395 MSSGCSVVDFVAGAGMAQKLYILVKTSADAASEYEAAMKELVLT QQAFITVSQLSQNQVFLPRDTINSASFLISSSLDTISKFLKRTESLKKSLSSNGPATI RDSECRIGWELYGKDELRELREKLHNCLAALNLLLSAANLGHRSILPPSTLSHAPTLD QNDGYTSGSTCVDLEVVDLIGEELGSTRSDHQTVKESPKTSAKPSQLKTELGGKLEAA KSPASSLEKPKTLSSTGGPDHALLERLAVLEKLAVEKKDWESSQAERRKNADFLICHA ESAFGSKLQGKSIPKGI QC762_501390 MTRTDRVFQLVGSCNNYPWGKQGEKSLAVQLHKKTDPNFEIKND EFYSELWFGDYPDFPARVLETGELLQDVLQKHKDELLGKKVIEELGGQLPFLPKILSI AKALPLQIHPNKELAAKLHKKDPENFTDPNHKPEIAVALSKFEVFAGWKPLEEVQLLF KRLGVLRQFVPAGIEDWSELTLREVTRSLLQADEKTVKSVEEALAKASKEELGKQTYI LDLLPRLQDQYGATDNGSLVALLCMNFLVLDAGDAIYIPADGIHAYLSGDIVECMARS NNVLNAGFCPPADRNNIDMFADTLTFKPSTRSKDSVILPSQDQGKVKIYKPPMSEFDM LRLSLFQGEGEDIEAHRGPGVMIITHGNGTMLADGKTFRLEEGSIFFVAPGVKVRIDS PGGLEAHMAVVA QC762_501380 MACLALTTFLTSALTLSHFTTGTRATSELPDDDELCGRGLPTTP FNRTVLTTIGPYSYDPAAWSPWTHRPYCLEANEEPWCVYTNAASPKGHGISIITTPEI AGTTLNILEHPFDQKFFAPEKIYLPRPYKVVDIPGKGKGVIATQKIEKGKAILVDHAS LVAAVEYPADVMREEVQDLLETAVHRLGKPEKVLGLSKKGRGDEATEIEDLLLTNSFT VSIQGKEFMALFADLSRFNHDCKPNAFIYFSETTLAMTVWASRDIEPGEEISITYSTA GLLSKERQQALENIWGFKCSCALCTSPPEVLKKSDNNRAQIRSYQASIPQLAQEEKFE EALQQAEHMFQLVEEEGLTDQMSDMYEYPARMYYHVGNLDKALEYTLKVKREIDGFGV PGKFGQEKLQAMEGIIRRLEMEIKIKREREEQFGKQAKLRGKARRVVMGMP QC762_501370 MRFNLLLLFAPLSRALPLLPTSLFGLASWDVEGFARDNPLGPTT GGKGGPTVTVSTVADFKAAVTGDEPKIVLVSGELNFPSRPKIGSNKSVIGVGKTAQIT GSGLDIVNATNVIIQNLKISFILDNDCITIRNSTRVWVDHNEFTSDISKGPDEYDGQV DIIRGSDWITVSWNYFHDHWKSSLVGNDANFRDIDFGHLHITYHHNHWRNEGTRGPAG RFGHQHVYNNLYEDFLYQAIHSRSDNQVLVEGNVFRGKTREALSTYGLVIPEDSPNTC VCGDEELDGFANLGARNDWGGATVNITQVGDFVKAPYKYKLTPLLLVSPLVKFGAGVG KI QC762_0075380 MVWHSFMLNPHAYAEFCTITRPGGAGDGGIPWLPVDLSPDLLES LKAQVKTHPDVLELLSAEIDHTETVLSKQALRFSPSVLDLAAAVHRQAAFARKMTRFG WIRSPNVDSMLGRAISRYRNFFSLFAVANHATVPTIDIDLVWHTHQLSPAQYTLYSEK AAQGRFINHNDNIEQADILSAFQSTRALYQRVFGEEYVVCNSWFCEAARSKPTDSGAI SESRLTALQSLIKESSLRSPVQLDLAECDCHKVGIHGARGGADRAVASCGDCNSSCNA S QC762_501320 MLRGIGAKVVICEEAAVVMEPHLISTMMPGIEHLIQIGDHRQLR PQINNYGFSVETSRGKKIQLDRSQFERRAEGEPGLAPLPVAQLNVQRRMRPEISSLIR TVYPDLKDHDCVQNFPGVTGIREHLFWLDHQHPEDGKYDGAKVKSHSNSWEVSMSTAL VRHLVRQGEYKSTDIALLTPYTGQLQKLRAALSGDFEVFLSDRDLEKLADDGFGHADG VESDSVDSVDDAPRIEHNRGRMLQKTDLVDTIRLATVDNFQGEEAKVIIVSLVRSNSN NEIGFLRTENRVNVLLSRAQHGMYLIGNARTYRGVNIWDDVYQQLAERGAVGDSIVLC CPRHPDIPIVCSNPDDFLRRSPEGGCTLRCDQRLDPCGHRCPAMCHSQALHDVFSCSQ PCPRIRTTCQHACPKLCGEECGPCRVRVTDVELPCGHIVDEVECCQTPHPETIRCLHP VTKIVPDCQHKVKVPCETDVSQYYHCPTPCEATLACGDRCTGKCGTCRYSHKECQRIC RLPSSTCNHLCDRKCHSEELCGSCHQLCQPCHKPCAPCIEKCGWACEHMGQCSLPCAA PCYRLPCDRRCSRKLKCGHQCPSFCGEECPEDLCQLCCQDEQRQRRVDVLEWKLYREV DLDDSPIVVLSCGHFFTGETLDGSLGMTQVYTTNSNGEYNGLQDITGTLSTSGVPSCP DCRIPIRQFATRRYNRVVNKAVMDETIKRFFVDGRRGLQEIQQRLAAATAKISLDNIP EWDDTVPGSGASSVLRDRHLELHRINLALRKAKQQMDTEHQPAKKLFDAIVSSRRRQL KTLSMEQRLPELSLTGPPPAYNSQVLLEAEALHLQVRAAILQDKMRIAAKVPELQEGL KGADRPWADVPELMKDCMEGIKKSREGKLPRLVISLSQLYAQTSQLAGRYSAQYRART VEWRDYGATAGELLIEALQLCGTFEDGESFREDVQEALKALKSTRYEKVSREEVKAIK LAMVSGFGGMSTNSGHWYNCQNGHPFAIGECGMPMEVARCPECGARIGGLDHELVDGV SRAEGME QC762_501310 MAPLGWAAGISAILFGLTSSVSAQAGVDVSCVDNVSNGTVYESP KGVEFLVLCGVDYGGGDMSAAQTGTFAGCMDLCAVTSGCMDVSFVGGSCYLKRALGPL NAAGHVWTGRRITGPSTTTGAPPAATGSLVPSSDPPSCVEGRSDATAYLAESGAVYEI ICGREYYGGDLQMVYTKTFQGCIEACERLVGCVDVSYVGEACYRKGEVTVLVEAGHVW TARKILEAPVQPSVSESASVRTTTAPNAGPTVVPLTCAGGHASTPTHTTSEGRTYEIL CGVDYGGGDIGASSQATFSGCLAACDTASGCLGVAYSNGQCYLKGTLNNPAAVAHVWG ARLHGLSIEPSSSSAPVESTDIPVSTASGSPETSATSTQTENDPPSATSTQSPTMTSS LDESSMADTSIAGASTTGSFSQDAVSTSSQRDEASASSSITAPASPEPTDLVESSATA TLPPSLTSMTTSSLTTTASASWPPSPEWTSDYTYYEATLPAYNYTSQVAPPATVLPTS SSCMLDPVQTPGALFHLLDPLGAHIINRDGRPGTPQAPETQEEALAILMTIDEWQPPL FRFGRQVGSFHVLELVGGADSYEVAFSLSSDIIFQSSPSTNPLLFTVDCRGRLLETSA GTPRYWHTTDDGIRTTLAIEGSEDAELHGIVAIRPDLRSPRPVETSGISLRRSLQSRE FALLPRCPYGPDAIPVPKPGRRPESPNGCGAADAAVDLVPDFNWGECCNQHDDCFDNC DKSFWQCNTEFRTCMRNQCWKDATVRWHDVWKFPACADLAGFYFTAVSSPIGWMAFNS ANSDRCECACDKPTHALCPVEAGGPSGGQETYLACQNVNLNDPEKCGGCDFKCPEHTK CTGTPNKCQCEQDQCGNLCLDLKSHPKNCGTCGNVCQSGYCYDGRCYEPESTSSLPSV PTTSATPTPTGCSVGQAIRSEWLTLPEIYQPDMPEYTLTRLGPQPGLPGDFSVHVVSN TQNDFHLRTHAILCPNVQYEIKFSIRNEAIAPEGYVYPNGVPGVWIGNYNVPFLDTPK IPPLGEWIEYKQTFAYSVGFGGSQPVEGGLMSLHFDFYVLLTSAGAEYTMGGFSILAT GRR QC762_501300 MLIDAAAELVEGLARGHHPSYGVGSMTCSIYDTAWISMITKVVG DQTEWLFPSSLTLILDQQHENGGWIGHGTETDVILNTAAAILALCKHRGESNTADLND RISRATQFLDRELKAFWLDASTTLPVGFEMLLPKLLQLLAKEGINLEFPARAMLEKIR AMKMARVRLDKLYEGHKSTLLHSLEAFVGDVDFDRLSQHKQMGSMMASPASTAAYLMN CSTWDDEAEAYLQHVVLYGAGHGSGGVPSAFPSTYFEYTWVVATLLENGFTREDLGTE SLEKIGQTLEGAFEAGSGFIGFAEGMELDADDTAKGITTLNLIGSPTPASKLVTLVDR KAKEAHFRTYAGERDASITTNCNCLTSLCASPDASQHVDVIEMAMRYLCNKWNTEPVG IRDKWHLSSLYPMMLMTQGLMSGLDSWGRGVLPNLPTEVAVVAVTVAHQIMGHLLRFQ RDDGSWDGERECTAYAVIAITRIASLPTVKPILSHINAALERAKGFLRQYLNCDLEPE HLWIEKVTYGSRNLSQAFLLAAMKCTILPAPPRLQELVPPNLEATLKSAKLFRQLPMF SEVSTSRIDISLIESSLFVSRLRERCLEIFPGRTVTKEKHLAYIPFTWVAGNELHGRP LGSHILLEMMVISALAYQVDEFIETSVSQLPPEAITRLHSELDTLHLFHLPATPPTTT PSLFSSIPLLGSLFTPPPTPLLATIKQTLSHFLTTISALPYVQTAPPILQSNLRLQLK AYLSAHLTQMEYNHALSLQPNKNTLVSPPSPLYDWLHTTSGSHTAGPLAISLFQCLLS PFFTPTPKVQHLFSSISRHLSAICRIYNDLGSLNRDREENNVNAVNFPEFEGVVDIKE QMMGIAGVERKMLDQALKELEKELGGTKGGKVMKGLGVFVSSADVYGEMYVVRDMTPR VK QC762_501290 MALLVDLLDHAPSGSGRAIVGLVALVFVLNILFWSTKYCTVSGS PVAGRKWWFEPLILTRYRFLLNGWSVTRAGWDQYGDRIFTIARPDSNVTVLPPRYLDE LQNLPDTKLNGIEALAADMGDEYSGISILTGTHLTFNVVRNKLTPKMPAIITPLMEEL EDALKIELPDSKEWVAVDLGNVFTRFVSRLTTRVWVGKELSRNDGWHTDNIRTVENIF MTAIVLRFVPPALHPIVGALLPTRGRIREGIKKVQSYLVPLIEERRRRQAELGTVPEE EEDVLQWLMDGASEEESSAENLTERYVYSVIGSLYTVSGALTDCLLDLAEHPEHVEPL RQELRQVMAESGGKWERGTAAKLVKMDSFMKESLRTNAPSPFSQKRIVKEELTLSDGL KLPAGAYVCMIDQSAIGRGPDKFDGFRYAGMREDPGFMTKYQYTSTDRDHLTFGHGRL ACPGRFVASLEMKMVLAAMLERYEVSFHPSGKGGVRPQKIQLLELAFHNPASRVYLRQ RHQTKE QC762_501280 MDSEHDFIIVGGGTSGLVVAARLTEDPNISVLVVEAGTEHTNDP RIRTPAFWLSVLGSPDFDWAYKTVPQKGLDGKVIPLSQGKLIGGSSAINGLAFVANSK AAVDAWGAFGNPGWDWETLGPYYKKFHTLAHPSDAAGKHLRLSYVDESVRGCDGPIKA SFPEESKDPLPNAWVDTIGALGFPASGDPFSGKFSGGYVNALSVDPESRTRSDAATAY FEPAKSRPNLHVVTSALAEKILFDTAGASPKAVGVQIQKDGKTVTLAAKKEVILAAGV FGSPKLLELSGVGNRELLEKLNIPVVVDNPNVGENLQDHPVSSVSFEVEEGVKTIDAL TRQDPEAVGAAMQEYMTNKSGPFAVGNFTGSLLPVADFVGPDGKSTLDQVIKQITASN PSPSSGDFTPHHTEFVHSVLANRAEGAGNLFMYAACANVNPDSVGADIIVKDASPANF VTICAALCYPLSRGSAHITSSNAADLSVIDPRYLEHPLDLEVQARLLRYAETIVRTEP LSKFIKRGGRRNAGAPADLGDLDVAKQYSKLSALSCWHPTSTCAMLPRERGGVVDARL HVHGVEGLRIVDSSIIPLATRGNTQTTVYAVAERAADLIKKEYGIGN QC762_501270 MDGPDGMPMDFNLIPAMAPPEGQVPNFENPSVNQAPAYIAVAAV FMALTVFFAGVRFWARFFVQRAPWWDDFSLHGVGRHMWDVSVASVMKLIEPGRAIGDV TEPAIGFTKLGLLLFYYKLFAVNDLTRIGSLVGMAVVVPLYTALFFVFVFMDEASAWK ANKAMAVFNIVTDFYLLVLPLTAVVWLRMERRKKIGLIVIFSSGFLACILSIVGAVYR FRAADDPDFTWVLSNVYLVNTIECCVGIICACVPFLPALAKKSPITADWMISLKSLRD RILSAGSRGSRGNRSHPSANDYAYYGNSKDRNASGEIDPELGGTASLRPPTGKSSSKN TTQEVGLDTIDVIDSMPGLYGDERSKERKVRGEMFRMDPTLQTQTETRVGSDGESGEG VKGGIEVKKGYAVTEGR QC762_501260 MSILGLVTLSQAAVVAAVCFVVGRALYNVFFHPLAVFPGPRLWA SSRVPYAANLVRGRLHHRIKQLHDQYGPVVRIAPDELSFTLDGAWHDIYCGGYGNKGF PKHDAYRNAQTFVSLFDADDENHTRLRNLLGKEFFSLNSARRQERIVQEYTALMINQL RKQYVETKQPADMREWYNFLTFDVAARVTLSEDFGCLEKRTYHPWIEMVLTHFKLSAL LMISRFYPPSSSLLFTLAPARLMEMRDTFIRLVREKIERRMNRTLPPDDNDDFVTAAL GKGVEKGLTKDELEANCILLLLAGSETMTTSLLGATHYLCENPAVLRRITAEVRATVT SEEQLTFGFITENMPYLNAVLKETQRLCPPVANGPARVVNRPGTMIAGFPVPEGTAVG VTQFAANRQTSNFTRPNDFVPERWLSVEQAGQIGEKIGDSALAQDVEQFAGDVRSVVR PFVVGGRDCIGQNLSWVEFRVVLARILWNFDMEVVREEKFPSFNQWTDQKAFELWQKE PYHVSLTERTSL QC762_501250 MLVLSQNIGDAITGSCAVLIHFLCHPPQRRNFVRSAHCREMDKS HLMPERPGNRVRVSSPDRESHIDAHEDFFSTHLPSRPVTPMGPDRDHQSRHRKPSKIV LCFDGTGNKFHGDDSDSNILKIFRMLDRTARDQFHYYQRRRTKLYPEAGIGTYVVSSS LTRTGTVARIKSWYMKAKDSAIGSSFDHHVVGGYRFLMRFYNPGDEIYIFGFSRGAYI ARFLAEMLDYVGLLSHGNEEMVVFAWKAFSNWQSRQGDNTPEGNQKKREMYTFMKGFR ETFSRPVRRIRFLGLFDTVNSVPQFEAAWMQRSKFPYTARTSAKVVRHAVSIDERRAK FRQDLVYQSKSGKKQHHHHPVSDGLHNLHEKYRRKSAAAPAHQGKQSQDDRGRRPTLG VPTDPEPYRRRSHSTRSRHTNKTEASARPTENDVKSEVSIEPHPHLEDAESFAESEES DEEESHQDIDEVWFSGGHADIGGGWEETFEDSKVASHVPLVWMVHEAIKAGLMFDEEK VREMGCVEALHDHDESDTEDHGYRPPSAGQEQPLNDEIAPHETSGEPNPPIPNIMIRS SSMSTPKLFQQSSFKDSFSQTNDGGSGAKKSGEKEGDCSGQQKPSSFREMMHKAHTAR IHDSLEFDCGLSKTSVLAWKIMEYLPFRRMDLQEDGSWKPIRWPLPCGEVRDIPEDAR IHGSVIRRMKAHEKYRPGNLIIGGGGRGVRRAGEEHGIGDWVCVEKDGCPIGEIWMKR SAWEKMHGNCNGNEKNGNAQ QC762_501240 MSDYNGNGHKASNGTGVTALNGSSSSHGSSSAHQSREGMELVAS QAKPRPTPLDLKPTGANRAGVANAFERYGQVMQSSVAPLPNQHGADTFSRSKKWGKLR DDVKQLRLADYKTLLGVVKAKVKGEKIKDDKTMAMEKVIQLVSNLPSNSKTRTELTNS FLSELWYTLEHPPSMYVGEKFQYRQADGSYNNVMFPQLGAAGTSYSRSVAANVVRQGA LPDPNLIFESVMKRTEYTEHPNNVSSILWYWASIIIHDLFWTDYRDMSKSKTSSYLDL SPLYGSNQDMQDTIRTFKDGKIKPDCFADKRLLGMPPGVGVFLIMFNRVHNHVAENLA RINEDGRFSPPSPNLEGEKKEAAWKKYDNDLFQHARLITSGLYINITLLDYVRNIVNL NRVDTTWTLDPRVETGINVDTKEGAERGTGNVCSAEFNLCYRWHSCISAKDDKWIQDF YYDLFKKPGKDLSIHELIMGFGKFEGMIPDDPAERPFNKFQRGPDGKFNDDDLVNCIS DAIEDPAGSFGARNVPESMRAVEILGIIQGRRWNVAGLNEFRKHFGLKPYEKFEDINS DPGVAESLRRLYDHPDFVELYPGLVAEERKEPMVPGVGIAPTYTISRVVLSDAVCLVR GDRHYTIDYTPRNLTNWGFNEVQYDLNINHGCVFYKLFLRAFPNHFKYNSVYAHYPMV TPSENSKILKDLKRAHLFDFSRPGRIATPTEVTSYDGAQRIFAAEDKFKSTWNAGVAG IRAKASPELSGDAAVHDRHRTTASRSLFTPELGTQIKAFYESLTDKLLTTKSYTLVPG SKFADLVRDIANIVPTHFAASVFGLPLTTKENSKGIYTEHELYAVLQIIASALFVDSE PVKLFPVVEAAKTVAGQLSTLVDKTVKSPKAAKNSVLNTFGVNFIKELKKAGLATHDI TWNHVLPAAAALASSQGELFTQAVDYYLSPEGAAHVADITAIASQPSSSQNDALLLGY VLEGIRLSGSARSHFEATTAGTVTASNGTELHIQPGSKVTINSSTASRDAAYFPEPET VNPRRPLDKYIHFDAGPHAFLGKEISQIALTEMFRALFKRKNVRRAPGPQGELKKVPR PDGNGVDYLREDWGALTLFPVTMKVMWDDV QC762_501230 MDAHSQAPPQAGDGDAPNQSLRVKINQMTEGPVGLDPKSAFVND VWPKLLNEYDAMRERLEEFCKSALERRAIDCQVKSRTKQVDSIRKSLDRREKALLDRS QKQFESFSDIFNKIHDLVGLRIILEFADDMERAVHFIKESFREEEEPVIFVRDREVGR SWRTRFGAYETRNYRVSLEKAKCGALSQFCDVMFEIQVTTIAEDLYNKLAHPLLYKGS SLTRQDEIVIDMAHGNALCYALCLAYMEDKLKKRANKIGGRAELATATEEIARDGTRF RESLTKGASFDIPVSPHGLLEALEIPPEGYNSVDDLKQWINGKMTSGVLDEIRSNSQT IRDAILSKLPIANGASFDSHTDEHDARCHPDTRVDLQRDIMAWADDPQGECIFWLNGM AGTGKSTISRTVAQSFADQNLLGASFFFKRGEKDRSKAALLFTTIATQLIVKEPSSAS YIKAAIEADPYVTSKRLEEQFKKLILKPLESLRGSLDDIKTIVLVIDALDECERDDDI RVIISLLSQAKSLISVRLRAFLTSRPELPIRLGFNKIKGEYQDLVLHEIPKSIIEHDI AAYLDSELAEIRNDYNDLSPGGQQLPHDWPGPQIVQDLVKMAVPLFIFAATVCRFIRD PAWCDPGDQLAKILEYQSGTQESEIDKLDATYRPVLDRLLVGSEVSKRSLLDEFRMVV GPIVLLAEPLPICSLARLLDIQEKVVIRRLEPLHSVLSVPVSPTSPVRMFHLSFHDFL VDPNKQGTNPFWIDRGATHEKIAIRCLELLSGSLKKDICDLRMPGTARADIESSVIDS HLPSDVRYACLYWVYHVQQSSSRISDNHQVYTFLERHFLHWLEALSLLGKLCTSIGMI RDLQGLLSFHDLRVFTRCSTIYS QC762_501220 MNYEKGSYRDFVRSRCQTNPCITGLADYLRCGPGAASTIVTLDY SRDGQSVPNPLTVSAVDLARLMDATSTTAGRIVLVENIQPHLVSFLAEILDVDPIFFA GHVTTDFKDIEKAPPPPSLALFPSQIAENGYLHLHYQQVLDLGSADVFTSSYSLKSDS NVPRNVRRLPHLSGRQIALARACCSILVKKIKGIWICLVLVDPPVNIVLETLGLGGRK SHPSMMLHGGLEEFEQSTSFASFGSTTSDRLPDKKSMLSNLLRYFRHQPPGFMIAEPS ILSLGYYSIRMVLAEWILYTLLMSRYLKYYEYTLHDIENRLHDSDIIDLQRWRRRSMQ SRHKLIILSEFIDYWLQQESNKQPWDFILKDIKHVSSQLEHYSRSLEHMVPVATSMVQ LLDSRRSIQEAANVSRLTFIALVFAPLSWVASLFSMSEDYSPGHKSFWVYFATALPVM ILVLLLSTVQGDRLEGKLNRIWVVLRR QC762_501212 MCTFTLCHYACKDCGAAIDSQEIGLKPCNKQRKNWDECRGPKKR GTTYHYLKPSECFYCGDTTFTEEDLMEEAVLNIDLRHQPADKRPSPESYKAKVTVHFT NDSTFDPWHHYATDREIALFKPLLDSDKEQGAGEKDSDSKTNVDCAGESTASAAYDPW GQYAFTVAAVKSKGEN QC762_501210 MATNSTYFVALCSFVATRYAEAGIQLDDIEREKLPLTIGTFLNT ISNGLRDPIPEKRKRRAPTKAANASHTSAGTGIPARVYLQALFPNTSAARDGTGTTCP NANMTALINRESGPIAKLASAIYAIDQVFHKWVVHAFPPGFSWVSPLDNSYSQAELTT FFNLITLSYNLHTLRTTLKDLSTHIKFVSRKITAFSAALSFLLGILIHISESHGVQIP PNLPFTFKPVDETDYRCPFPGITNQSHTRPPHDHYFTLIKNSILLRHAVTQLSKFITT TLASHIRLAVMSLGALSQSPPGTIDPSLLCPGRLGQLADKLGNMEVISMEQELKPFLQ RLENHAAAVKEKLAGWDLGDQALAMDTTSLSGSYVEMLAGKFGEVQTATLGSGGWMIQ VAEEGARVVGEIAEGYRLLRLREYC QC762_501200 MTISVRFESPFLLILTSSPLHPPPHPVLLSVYSLQFLFMMKSFF SAAALLLGLVAPSAVLAAPSLPGVPREVTRDLLRPVEERQSSCHTAANRACWAPGFDI NTDYEVSTPNTGVTRTYTLTLTEVDNWLGPDGVVKQKVMLVNGDIFGPTITANWGDWI QVNVINNLRTNGTSIHWHGLHQKGTNMHDGANGVTECPIPPKGGSRIYRFRAQQYGTS WYHSHFSAQYGNGVVGTIVVNGPASVPYDIDLGVFPITDYYHKPADVLVEETMNGGPP PSDTVLFKGHGKNPQTGAGKFANVTLTPGKRHRLRIINTSTHDHFQLKLQNHTMTIIA ADMVPVQAQTVDSLFLAVGQRYDVTIDANKSVGNYWFNATFGGGLACGASLNPHPAAV FRYQGAPNTLPTNIGTPAADANCMDLNNLTPVVSRSVPTSGFTPRPNNTLPVSLTLGG TPLFVWKVNGSSINVDWDKPIVDYVIAQNTSYPPQANVITVNSVNQWTYWLIENDPTG PFSIPHPMHLHGHDFLVVGRSPDQPAGVPQTRYRFNPATDMALLKSSNPVRRDVAMLP ANGWLLIAFKSDNPGAWLFHCHIAWHVSGGLSVQYLERPNDLRNGFSQADKNQHNNNC NAWRAYWPTNPFPKIDSGLKVKKWVGEHPDWYIKN QC762_501190 MLKAENSPASVTSAICPADICLPSLLSAPPVTAPPVGLRSWILS CTAMCDG QC762_501180 MSNMARNRVIPAVIASGLFGGLLYSTAGGSNQPRPRARHDAAAT NLNVSESLESIAGTGGKHTRKQSDIPSDIDPKNTRIASHNPTAHAKRSPSKTLDLGDG SEPSQLPKNVGPHRDL QC762_501170 MHTVTFVAAAAALLSHVEALNILITNADGFGTASVRELYRQMTS IGHNCYVVASVAAQTGAGLHAEFTTNPRLDADGDWGLVKAGAPSLGTDPTDNHIWYYN GTATAQVLVALDYIYPTICRLEAPDLIISGPNSGSNSGTFLETLSGAMAATYVAIERG IPAMAFWTGNEATVYSSLNTSTKAGLQDPATINARLASNLVQAFISKANGDRVLPEGY GVTVDLPYITSETSDECTNPPFVLMRATQDVGVKVAYNHKSGVFNRMHTDSGYKQFEA TDTVSTVAPKCLSAVTVFSLDYDASHRRQCFNLADVTAIIPVLVHSNGTAPLTGGLGA NASIAGNSSSQPPTPVEAPPPSGQTAVTSIATLAQWSVNLLVLGLVVGMTLL QC762_501160 MYAKTLLVALFAASTASAAAVGARQNQNGNNGANAAPDFGLCNP SIDFVLGRPGRQADEGTFLPVDPLVAEGQQEALNPNIITNRVCDQLTNVCEANDAAKA LCEQAKAQVQALGTKDATTADAFNSALGF QC762_0075570 MAPNSKKPDPDSTDENILISHDQLYKIDQLRARNIGKYLPLPQL VDSDAFISVSIIPGPRASVEHKEVVESYSRYLNDTAQLQAEFPAILDEDQVNACMGIR TPRNPTGQYTFSEDVLKLRSAAPTETTSPSLMSWHLPHHDGGHHHGK QC762_0075580 MVIEYIKDPRTIILAVLPANVDVATQEILALAAEYDKAGERTLG ILTKPDLLKERSAKATVCELVLGNRKALNLGYYIVRNHGGDEDEDNSELAKRELLFRQ HPWCELPDERVGLMDMLDETQDALNEICASRKTAQEQRQYLATMPANFQDLRRAALDA DYSQHKAPDDPDLRLSTLVVLQTEWFDDTFRRLSQTYKFQEQKGLGVPR QC762_0075590 MSEESKEIRAAVYASLGMGGLIDWASDMQVFNDPPPPSKSWNEE PDSHIAKIKAGENPKLNNTVSTPPGRWKTYSCTHQAITDLYTVGSPSYRWHTLDTDTA WREGIKLYKETFRPRRRKLMERLEQIFRLGSSPKCYSFLLTGDNCDNAIECEKGLDEE KSGPAAKLIFDALIYIHQMHHEYHNSLVAAAAFVTPKLNSMHDDFAPIPPPKDDDKWV KILLDLVGLGTLTVAAPFFNNFLRTLPYFIPRESSLNNAKDLTMGFIGTTTSIAKELL PPAKGTDWNPQAQNKFSSYLGEVLDGWSHASEKSLLRFFDVPDDAALDQLWDMIKNGQ LSEGKIDDNVVRPDKPTASTMRDRITKTIFGFGSPHLWRFSKSYAFILDSGAECNANK PVSDYLSDDTMNTAARICSEGGDCNWAKFILPPGFGSISEYGGVTKEDLIFGSVNKWV ANGRQNGDPLAGSPNVFDSNVKKDLYDLNIRIPGFMKLPICSPERARQTWDSSAHGST PNWPCDVPPGRGYCGMSTFEDRTHGGSPLISDCLQIIRNIEGDGTTEWRHQMADEPHR EILSFGSCRFGIEATHIGLNVDFRVGGQDVIDIINDSVQKFGKNGRVAARGEMECSGS SNGQGVLWGIYGGT QC762_0075600 MNPCPLRSCCNIWGQCGVSKDFCVDTRGNGAPGTAAPGTHGCIS NCGNAIIRGTGNGAIKLAYFQGYSLSRPCLYQDPSQIDTSKYTHLHFAFGTLTPDYEV EVGDTLAQYQFREFKRLRNVKRILSFGGWAFSTDPATYLIFRNGVKPENRRRMATNIA NFIIKHGLNGVDIDWEYPGAPDLPEFDPGKAEDGPNYLAFLIILKTSLPGRSTAIAAP ASYWYLKHFPIQ QC762_0075610 MRLLERNDTGDFSLTDDIPDDQVPQYAILSHTWGDEEVSFEDVT DSTRKNKRGYSKIQFCGDQAGRDGLNFFWIDTCCINKSDCDEFQEALNSMFRWYRNAA KCYVYLTDVSTYQQDADSNPGWELAFRKSRWFTRGWTLQELIAPKVVEFFSEDRKRLG DKNSLAQHIHNTTGIPLGALQANKLSDFSFDVRMSWIKHRSTTREEDRAYCLFGIFNV QMRLLYGEGEERAFERLREEISKYDRCLSSLHSTDPRLDKKRIEEAKGGLLAGAYRWV FANPDFCLWRERSESRLLWINGDPGKGKTMLLCGIINELQGAIVADGHCRNLAYFFCQ ATDSRINNAIAVLRGLIYLLAHQQPRLISHVRKYTDAGKSLSDANAWFALSDILVGML GDPNVKPTCLVVDALDECVIDLPKLLDFIVCISSDRIKWLLTSRNETIIEKKLKSNNA RTRLSLELKENAMEVSHAVDVYIDDKLSGLEALQDDALLKDQVRDILHNKANGTFLWV ALVVQELSKDGVESWHVLQIVEEVPPGLDGMYGRMLDEIERNKRDSEFCRRILSVVTV AYRPLHLDEIGGLSGLPEQIAKTTENVHKIVAKCGSFLTVRDNQIYLVHQSAKDYLSD KASPLLFPSGVAMTHHHISDRSLKLLSDKLQRDVYGLCAPGFSIDHVRVPDPDPLATV RYSCVYWVDHLCNWQSSDDSKHPDIFQDGGIVDDFLRQHYLHWLEALSLCKSMPQGIL SMAKLESILQHRSITSQLPSLVADMRRFVLYWKWVIENYPLQVYASALVFSPAQSITR GLFTQEERKWITSRPMVEDNWNACRQTLEGHRGWVRSVAFSPDSKWVASGLDDSTIKI WEAATGSCTQTLEGHGDGVNSVAFSPNSKWVASGSTDSTIKIWEAATGSCTQTLEGHG DVVRSVAFSPDSKWVASGSTDSTIKIWEAATGSCTQTLEGHGDVVRSVAFSPDSKWVA SGSDDSTIKIWEAATGPCTQTLEGHGNRVWSVAFSPDSKWVASGSADSTIKIWEAATG SCTQTLEGHGDGVNSVAFSPDSKWVASGSTDSTIKIWEAATGLCTQTLEGHGGWVWSV AFSPDSKWVVSESDDNTIKIWEAATGSCTQTLEGHGGSVKSVASSLDSKLIASGSNDT NPPHYPWYGTDMSKRWITKGAENWLWLPLEYQSQCLAAAASTIAIGCSSGRVLTIKFT TDS QC762_0075620 MRCTGSPCQGTYYWRDPDNRSITNLIQVSCQTMSTTLKEITYSG LYTKSTTKSHWLHEMIGRTILFRLYRADRRIRMQYE QC762_501120 MPIPETYKAFRRTTGDLPRTIVPSTEPMVQELAPHDVLLKIHAV SLNFRDVGMLNGRYPVDVIERGIPCSDAAAEVAAIGSAVKDFAIGDHVSVNFDLGHLV AGDDEPMRALGGDVDGVLREYAVFEDKELVQLPKHLPWEEASTIACAGVTAWTALDNL KAPNPRSALLQGTGGVSLFALLICLAAGVKPIITSSSDKKLEEIRKLGSDVGTINYKT VSDQVSEVKRLTDGKGVDFVINNTGPASLPEDISFLRSRGGVVSLVGFLAGFNGDWQP SAIMALMSKFAKLKGIGVGSKQDFVELNQFLAEKKLSLAPLVDRVFTFDESPAAFDYL YSGSHVGKVIIKVQD QC762_501110 MSSLTAAFIVGAAVLSGVRASPVASANAAVSTPSFLTTIGLDPE VNITKRDVIGRLPNGADDIEHRFQPVLDFDGDGCYYTSAMDDQGNLNNGIHNPGDGVP PGCLAQNCREENRLQSNNVYSRARCNNGWCAIMYEYYFEKDQLICGPAWGNGHPHDWE HVIVFVQDDQVKRVAPSCHNEYPTATNEPRLHDGTRAKIVYHKDGARTHCVRMAAEAD DDIENYTGEWFLGELVGWNHYPTVDLRTKLVNFHQDAKPKLNDADFAAALKAAAGDQV PGFNPDSDS QC762_501100 MSALPLNSVEDSLFPRLGKVLWGWEFCNDLESEKECNSRSCPHR RIGQLQRFFQFYKALILNYVDSCSPENRLFKTHQDLHHAILQLKANPDLTRAELCDFI ANQGAHRELRRSNLLNASSLVVNVLTMIDCSALYQSPDRLETGTYRLHWKDDVLFSKY IQDLFPTTSHPILSFNESLSSIEMKSELRAVKLQKRLRMRFRDTHDIQDHLKWDRNQN TLEIYHHTAFLKEQLRLTKSDGDFSLPSNSIRVGALPRQLVLEILDSLQSIIFPLSEP KSKRLLRSLTERYSLDPDIQHLEVSAIRKTGEESIEYVFLADRLAELHNELQTPRPRG WLGRRLERKSGARYMLMATLCGVAFAVLLGMASLAVTCYQTWVAYQAWQHPVSPLGP QC762_501090 MTSLLRAIKIQNHSSYNTALLPMRANPPRAEPDAAQLKEKLASL NLKGDVSAAQISAELEKSPSPQDADAFFVVASGADSGYGSTSSTPQESKPNFLDRTSV PVSVPQVFDKPISDDQRDRFFDFRYQYTNSLWKAISKGNKKAHPGDISMKLKYMGSDE ESAKLSIVIQCEKRVAKRVRHFFAQEHIKQDLKPDFEVYILDKGVIRLSTEDTLDVFA HLDGRVTFCGMSIRMVVDAAETIATFGGLITVTRGEITEVFGLTASHPVEGSNDVDRW DDDDFDSEEFTDTDSISELNESDAYPQPSHSPKLNAMTSIGKVAHDSLRLSSTTSANH DWALIKLNTAVLLPNLAPDSHPPSIFGINLLASRVPLQPQQKIDVVVMTSHGLQKGSL VSNGSSIMMFPGRTFLQTLDLVLRSDSELRPGDSGSWVVSETTHEVYGHVISVDALSE AHVVPLESTLSDIRAQLDVDEVALPTKADLELSWTETPKITGDSAMPMGDYELGHDRD IAETQHKVGLHGLTSILPQEKHQPACTIREIKDSSDSALSVLQPVLRVPLPLSSLAEQ SRQFAKNQNEVYLFRQTILLDSHVTTRGEQSTPTLPSLHPMTEALSGSSKKNGKQRLS GLYHRLKVRLSRKKKVLRLVKG QC762_501080 MDRNMKAKTLCPECVGITFDDVAGDAIGALDPMTCWERGDTLPD LPHFSLSAKNGCSFCAFLCHLLRERLPKQYAAVKETAGCPRVVTVKLDTPAYTMRSDL MVVTNDLVGNNDAEEEDGLHSLCLTFGSKGWPQDWRVRVRVYQHPGSTAVSESLGITL KVPSTDVLSQGSIQTLKTWLRTCDDEHPSCQNVESRWLPTRLIYIGTHDDITPRLIQV SRASLPLTTQYIALSYCWGPPSQTRPQLTTTIATLPQRLETIPEDIMPGTHRDLVLLA RRLGIQYIWIDALCIIQDNHKDWETEAASMFRVYRHAYLTVVAAAGDSCHSGFLSRPG VGPLAVVPFQSQSGTVSGSYLLSWHQEYSAWDANNPSHMSGCSWATRGWTLQEDVLSS RVVYFRDTTSFFRCQTQRCLEHSTTVYRNVHRWQERFGSSALAGPSDDNSSKTTEGRD KTNLYKLWRAMVAEYSLRNLTVEEDKLPAISGLARSFNVGLDDQYFAGLWRGDFVRAL FWSTRHDAVKPAKFCAPSWSWASWKGEVGWSKSHSLPLVTECKIRHIYVAPLGSDLFG RVKGGYVDLTGSLRPVSLRPTDVSVLADNDPYRVDLFQEGVVEAWARGAIDGFTSSGL RNNGTYKEPDVAKLDCLTDIQALVLAFGSAPVGVDPDTDDLIFSQPEYPLGLLVVADK NSSLMDMPTYRRVGVFQAQTTAAQGLQAEKSVFDIRLI QC762_501070 MASPQRFAPPRIDASTSWLMLEDEPLEARLLSVVSQVLGIDTAT LRIQASFRELGGDEQSASALRQACLDAGMDIAVDDILRCSTLAELQTCITPCTTPARN IDNELDDAPFFALPDVDSHNNIMAQDDGGAHSRKPSQASQASSVTVGKQRTELEQALG IQPEIGRMATVRPKAGLLEGKLVALLTLASMQKEKTDSSPIDLIPQSHALFAGTQVAN LRQAAESTLEPDAVPDLWIVLDGMPMTDSGDVDERRLRTWAQNINEDVHHQALSLELQ ETLQAPESGMEKTLQRLVSKILDVPQSQIGVNFSFSQLGGDEMTAMELAARCKHESIY IHASEALGSTTLSELAAIAATRGGLAHKWDEETSDVFDLSPMQHLYFQTSMGGDLKRR VGIEGSYRYNQSFLLRFKKHFSFADISAAVEAIVGHHPMLRTRFGRGLHGWVQRALPE VPGSYTISQNTIRSDKEAEEIIAKTQISINVETGPVFAVDCFTTQDEQQWIYLAAHHL AVDVPSWRTIIHDLDELLVNGSLLSQRSMPFHKWVDMQKADASGPDPRELLPFPVQPG DYAYWGLQDAANTYGDAVEVGFSLSHELTSILQTSCNQVFQTDSVDIYLAALMLSFAQ TFHDRSVPAIWNQEHGRDPLNPDVDISETVGWVSSLCPIGQKVESGDDFITVLRHLKD TRRNIPGRGIQYFGSRFYHYNKADIVANDWPFEIIFRYAGSMQHLERDDGVLEQLPIP GRSLGSRTSDIGADVGRIAMFEVDAVVDQGMARVKFLYSKHSTDQTRISQWIHNYEHL LLEAIGRLRYHPQELTLTDVPHLDVTYEGLQTFNKERLLTLNLPSVRDVETIYPVTAV QQSILISQALRPDTCYVHAIYEFASPNGDPIDISRICTAWQQVTMRHAALRTVFTESV SETGLWDKVILRRASPEMLFIDTAPAEDPVYELSNLPNLRPSDSKPLHRLTVCKAPTR TLVKLDISTALCDSISIHLLLHDLRRVYATERAIMEPEQFQYPDYLFFLKNVRQESSL KYWREKLTGVTPCMFPRLTVLPEEQKFVNAGLELDITSYELANFTRTHKISAAAVLRL AWGLLLRCFTGSNQVCFGFQTAGRDEANVGMRHAVGSFANTVACTFELLTYGPVIHAL QKVEEELQATLPHQNFTMAELQHAMGAKGGERLYNSCLTFTEEPAGLNSKFTTRTSFE LKPITLQQTFDTDVVVNTRFTGGKLFVDIGQRIMSPEQSINVASTFGKAIRAILATPN SSIGLVDLFTDRDLAQILAWDAESPQLTETLTDTVVHELISRQAEMQPSSQAICSWDG SYTYLQLEEEATKLAHHLVDAGVGPHSVVPVVMDKCKLAPVAMLAVLKAGAAFVPVDS LELGIIQPIFERLTNSRVAVSSELAAPVLSNLFDQVVVVTSELMGAIPDNLGSLTSMA APTDAACVLFVPTSTSEARGVTFSHSALATALVGQGPAARITPLSRVMQLSSFNVDIC ITEIFTTLVYGACVCIPSAAEKLQDFGAAVNRMQVNWSYMTPLLSRKLDPTLLPSLKV VCFRTRSLDDDTYNIWHGKVNVVLAYGPQDCCPLGIAFLEAMGTHHLRSIGRPFSGNL LIVNPEDHKKRVPVGAVGELVVEGPTLGFSYPNRESTVSPMSPLGTTGGKARYFKTGH RARYTEGGLMEFISSQREDIDLDGKTINVTEIEQYLRRCLGRGMDVIVDIVAFRGPKS DTILAAFVEFGDRIEVDESLSSLSLATQEQLATVKQLVQAGLENRPPPCMLPSVFIPV KHLPVTPSLKVNRRRLQKMITGMTKEELFSICAFSTEARLAMLKPLPPTESEEKMRLL WARVLGMEDSKITALDTFFGLGGDVIMAGQLVAACRREGISLPIAKVLQNATLAELSR TVAETASPQSLPVPQAPTSPPPPVPTASNSPAPPPTPNQTPVPNPSSTAQSSNAIKEV FIEKVIAPKIGVDPSSIADAAEASSVQIRYIETGMLRGRSNINYLTFNFIGAVDSKKL ETACKTLISIHPILRTVFVPYNRRVYQAVLKNPDIEFRRHYCPTWRLATMLEKIVKKD QSSGTKFETPMTKFMFLDGSKQSVLILRLTKAQYDDLSVALLVKDLKRIYDGSQPAPK RPSYCDFLRTAQLSMAQGPGAEEYWRALLEGAVMTQVVAHSQPYQMSTHVKTIRNPML SLGPLSSLGISFETVLKAAWGMTLAKLSATSDVVFGELIDGRHVRLPGNVSVAGVMGP TVNTIPIRIQFPDASLTPMSLLQYVQAQHGAGVPFENLGTLFLIEKCTPWPYWTRFST VVRHQYEDTAILPNEPKSFHLGAASCKFTINESRAQDIPDLFVRSVVRPPGRVEISIS YCSERIPEAFADHALRMLATNITMLTNANLTQELIPQGYQYRNMMRRIPLTPSESASS QSSEADSLNLINSLSQEQITLIRTAVSNTWSTILNPLALGVPEDQVHNASFYDLWGSL IPASQMMQQLNRELPKANIPGADANLKVTMEEIIENATMLKQFEVIASKVKPLGNKDA ARKEKSRETTRVGTDSPKLSGLQQKRKPSMNNLAAPASSSSLGSRIRRLASTVGRTNS PPIARPQTATSPPPVTPTTPVAIGLASAMVADMSPLAPKLVGSLSPSMASTPTMRNGA SSAAGVPNSAPQLPSLPLFESIAEESEAASDNTLKPAAWRKASPLLPGSSADSMTTGS SASSHHSGEGLGLMARIDEHDTEEDTIVATNNQIRTGANTPMITEEIIADEAEDVASP LAAPPTTTPKTGSTRYFSTTSSGQAESTAGVREAKERSKLWGKTGMSPVVG QC762_501060 MASTDDAPPVTTTATSAPSPPAASPSPAPEGKLSGKSSPKSGAG SPRNLDDALDPLNGEHWTQHPVEDDSDSVLDSILSSTASLSSSIFEYRNINGRTFHSD KTNAEYWGPNDDKQLQSQDIIHHCLTLVLEGRLYLAPIDAGKISKVLDVGTGGGLWAI DFADLHPNLEVTGTDISPVQPSWVPPNVRFEIEDATLPWTFRENSFDFVHMRYLFGSI PDWDAIFEQAYAATKPGGWIESFEADANLYSEDGTVTEDSPMGTWTKVFKEAKKKFGR TFFPIEEDVQRRGIEKAGFVNITVKDIKVPMTGFPKDPKLKEIGQYSHLAIEQDLEGM VLYIFGEVMGWSVVEIHAFLAHFRKQMRNKNCHPLFPIRIVYAQKPEKKKD QC762_501050 MPRDAERTKAAGGGYTRFTRVRRASYCRVLLVVSTFCLLGLYSQ LLRVTSPFCEQLGGFINHRHRPQLSPLLSPLKFKKDGSFQLSIFSDLHFGENAWEPWG PKQDLASLGVINSVLDREPETDFVVLNGDIITGENVYLDNDTQYIDKIAETLASRDIT WGSTYGNHDSDCRLSPTAMFEREKRYKGSRTARMVRGREEGVGVTNYYLEVQGLDARV EMVLWFFDSRGGFVSQEEGGGNRRKGRENWVSKEVVRWFREMSGRLKRENGGRSLPGL GFVHIPIGAFWEVQKRGIDGKKQPGINGDQPVNRQGEGWCKDGMEGCEYGGQDGGFME AVMEEGLLGLFVGHDHGDTWCSDYEKGGRRVYLCFGQHTGYGGYGSWIRGSRQVWVSI EGLRLREMDTWVRLESGKVVGRVRLNETFGRDEYEVVEDERTHLPVDEEN QC762_501040 MAPHPHLSRRAKSTGSGRPRHAFSPRASLEREILGGKSQQLQLS TTTAQTILFGEHPRNNRPPPSKSFPSLSFWKPITRNSQLGLDTKPNKSQRKQLLAFAL LLHPSHVCCFLRIPSSSLTKANLPDALLLLRRRTTLAAMTGRWKPPWLQKLIKRTSTL GSEKRKTCLSTDRLTNHTDQLAHSPRKHLLKSCLLDSDSTTTSPSIEEEQPAMADGEE DFSSLPLTDRWVHKVWKVRKQAYEEAAQAFEKTPDEYDPAFRPFIQDPSLWKTAVADS NVAAQQDGLAALCAFLKFGGREGGVRARQHAVTPICEKGLSSTRAATKASAVEALLLF IEIDVPGPVIEEILPVLSNKQPKVVAAALNALTQIFHNYGCKTADPKPVLKILPKVFG HADKNVRAEATGLAVEFYRWLREAMKPMFWGDLKPTQQTDLEAQFEKIKAEGPAKQER LLRSQQAAKERAPAAGGGDEYGEEGEEEEEAGEVDAFDLAEPQDVISKVPKDFYDNLA SSKWKERKEACEALYAIVNVPRIKDGDFGEITRCLAKCMKDANIAVVTQAAQCIEMLA KGLRKGFAKYRSNVMQPIMERLKEKKVTVADALGAALDAVFLSTNLTECLEDITTFLV HKNPQVKEGTMKFLVRCLRTTREVPSKQEIASIVECAKKLLSEGSEVLRSGGAEILGT IMKIIGERAMNPHLEGLDDIRKTKIKEFFDTAEVKAKDKPKPPPSAPAARGPPPKKTL GAKKAPPGLKKAPPAAAAPPPEPSPPPAPPAAARPGPAGSKLGKPGLGGLKAPQKRTL GGPAAGAVSPRRPAAPRVPSPAFEPEYEEEEPIPASPPPKPRIGLGRGGLAGRSLAKP AAPSAPAPAASPSPTSTFSHMERAELEELRMANERLLKQLDDARHDRSKLTSEIQELK NQNAQLIEDHTRDVLSIKAKETQLVRARSDAEAAEQTNERLRRELDRLKRALSKAEAA LNNGGGADSPVSPSLGFRALSPTHDDGGIYRDNALPPSAGRARQSFASTLSEEKENGD GVPHPRKMAPPESRYAGSTASSGRASPARGFRRDISGGDDRDIRNNDSASGSGAYGGY GDRAGSRAGSRAGSRLGDPPINASGAPATSGMESWRRAAEVTSQLKARIELMKAKAAS RPQ QC762_501030 MNTNILTTRQAEELHKAIIAYLTANNLSNTASALRSELNLGEDV FDPATAKKYEGLLEKKWTSVVRLQKKIMDLESRNSTLQSELENSTPTSRQNKDPVAWL PKSPARHTLQSHRNPITCVAFHPVFSSLASGSEDQTIKIWDWELGELERTIKGHTKAV LDVDFGGPRGNTLLASCSSDLTIKLWDPSDDYKNIRTLPGHDHSVSAVRFIPSGVAGG TGNLLVSASRDKTLRIWDVSTGYCVKTLRGHAEWVRDVCPSIDGRFILSTSDDYTGRL WDVSIPNPEPKTTLIGHEHVVLCCAIAPAAAYPHLAAMAGIKKPPATSAVEFMATGSR DKTIRLWDARGTCIKILVGHDNWVRGLVFHPGGKYLLSVADDYTLRCWDLTQEGRCVK TIGDAHGHFVQCIRWAPSIIKDIPAVNGEGANGESNGTPRKAAGAAGAGGEAQIRCVI ATGSVDLNVRIFAN QC762_0075750 MNQCYQAKTDVKRREDVYLCSEWKHRIHTIIGHRLPNAIYRQFH KLQHPNSEVCRHAPDCISWSKIQKQYMRRKDKIDAKFQQIVRGSHPLVEDYFIRRDNR LTGLVSTWSLYYADQQCVQIKTHVVQTPHDQPWMTVIEILDRGGKQFVPRRGGMRGF QC762_501020 MRTSSPIFLLLGAALASPNVIDDFVGQGQIHVLNSSSYVSADPA TDTIGCLTASGLLSKSNCATFTRTESYPYMLVSSRGVCTFNDKSMPNNKDSYYGKNTY AWHCLEGAKTGIDGERYYTIQGFKHPYLCNGNLGCYYDIPDAPENDDDSGDSFSVQEV GKTKAVQPVWQFFWGAHQMGITPGHLQVLWLWVPVKGKEKRDDGEQRRLVQ QC762_501010 MTSNTPNSGEPFVPTKLIKHFQANHTTRDAQTSGWSALWDSQQN DLWDRGKPSPALIDLIESAPWPSRERRRPKALVPGCGKGYDVVMLALHGFDVYGLEVS PTGVETARAYAAKQLDAPLAHNYGEGNQEKYPKDQRGEVTFMAGDFFKRDWEGKCVRE GETFEGFDLIYDYTFLCALLPEMRRDWGRRMGELLGPQPAKLVCLEFPLYKDLKLPGP PWALREGIYYDVLAGGGTGVFDNDEAAQSALQQPNKGPLERVARIRPSRTYPQGEGTD HLGIWQVKSW QC762_501000 MRLYIERPIYLTTRLKSDHAALTHGNLTSSHPNVHKTPSSHPSH HHDPFNHHRSTTNKLPQSIMAKLTLLTLLGLLTPTALSLRPSPGCGKTPALVTSSSTT TPLRITSNNKQREFFVRLPQNYNSSTPHRLIFTLHALGGTAQQVIAGQGGYLPYYGLP PLANSSTTPTVFVIPNGLNNGWQNSNGEDVTFLRSVLSTVESDLCVDQDLRFSTGFSY GAAMSYSLACSLGREIRAVAALSGNPQISGCAAGSEPVAYYGQHGTTDNVLPLAQARQ MRDRFLKNNGCAAQAEPPVPAAGSQGKVKTVYTGCLPDKPVTFVVFDGGHVPTPRETG ESETFAHKETWEFFSQFT QC762_500980 MAAQHIPGAFPSSIPPTPADEPVQQPRQYPEEQDQGHHHRELNK LPKATDSRGHAHTDSGVNFTESETIQSAKGNNDRWVGPSEAVGGGTYVRDDVGPYQTS RPADDGSLQPRQLAKENSDEEFPIRRNNANEKPLGAAAVGAGAEAAIHDHNTIDSTDI TPRRSHEQRSDPPYWGDLPKASSGGIYNTVTGHGSAQDDHDQHHHLPQRGTGVYNSVS GHGSQDAESRRHSQAATTGNRNATAGGPGGAVLPAPLSEIPEGQQKQTFSETDRSNVP PSSLPHSVSRDNALVAAPGSGPTDREINVSRQSPTQRAFPLSSSPKNARDDEATSTSN SRNAALAGTAALGAGAAAYGMADKNRNDKDAQNSHTESQARHSRSTSEDQGTRAAGGL LSRKPRDDRRNSSVDKHRSRSRSVQGEKKHKVLGIFSRHKDEERLQEDTSTHEPPVQQ AERKPEPVLVGSTTGTTKTRNRLRKGSRSEPKDRRASSDSPTDTDNSNHNSTKAAAGA AAGAGAFGLLHHKKDKDGKVTEDQNTQEKPSFSSHPNQPLASQAPASNTAQVRQPRSS GVGSAVGLDAVELAHKHDPAHPVPGTAVPVGLATHKHDDPSTPFEHPREPPSPPHDDP KGSHGWVPAAVVGAAASGAPLAMRQSRDSTAANTATNQHPDTNVVYNTLPSGVQSNST NISPRGSAVHESINTSRPVANAPGDYNVFASSSRPLSSNHPSESNNTHTGSSAQEPAY NHLSSSTPSGVALGSARQSESHGSRTGVVTQEPGAYNHLASGTTSGVKSDQSTDNSNT ARHSTEGQINQEPDNYNHLSSGNASGIAAAGATAAGAAGVAAHKARGSTTETSRQGNL TQDSGQYKHLPSGTESGIKRDAGPTEGSRAHDLAASGSSRPSQNRTDSGPYNKLPSGT PSGVKIKPKDNSRRTTEPTVHAHDQHSSDRNVSSPTGPTGVPFTQHHRSQPSNIDTRD SHLKDLPLPASSSSPTNAHPSSHHTVVSPPVHAAPETGKATNPPPAAGESATLRYTSF PSTAKGMSPEVMPDTYRESVTKPHEQQGMSPEVMPEAYRESVSRPSDHSMEMSPEVMP NAYRSSVPRDSNNNNSDLKMRGMQPVQSEQYMTGQNKFVSHALAAATGAWAASSGTGN GNVGGVGTGNVNVPQGKVMHKCEHCGRDNDISGYVKEAMNRVTGVDRF QC762_500975 MSENSESYRYRRNERSRRRRRSCKRSPERQYACPDPEQPPASGP PPASRPAQPPQQPPILLSHIHPVTLEENIQGGKGVYASVSVLEPVMRIERSGTYNCGD PSARPVYRIIVSFKLQFNINRSTGELQRHNRIFDSVNVRYLYRSGERHDVLPKGDDVV TDIAMRNESGVSTDLTAGVTATGVGHPLPAFTAHAQHASKVTYERKLRSWRKSLTYET YPQPRSDCRDSYRPTITSLLGLPFACPVPEPSESSFRVSSSHVSGCYCRRHRECRHRS SRTWPYNRAAHWSGQTEAQLHLWTPEIYENMTCPVTVNREVDAALIDSILKVNKKGWR NEDGLRELRRYLHFDFDVEVRLREIGWGFMGMFRSTSQPPEIRARNDSGKPLCPDRTE FCVSCCTSKIVWPKYETRDLQSEAEDQIAKYGFIRRLQSPQEYQTSLEAGGAPTVSAG SSTAVGVGVGIGAGVAPPPQPTPQAQPTRQVAQPPPTNSRERPPPPAPEEEDPFSSVP TSNNDQSNSPSSYRRVMFSPDYMDPKRIYHRQHEQEDEEKQGEECGESQTSGYTTCTS TEVAFCRSKLRERRGRRRSRLSSTTGVTEKSRDSEPKELDTPMDVDTPENVKEIRIVL GREDSKRTESTHQVAGLEPGASRTRERIYRETMSISECSSSFCVGGSSGVGGDGIESA VMTESRDRLLASIMSEAGGYGSFLFALSNYDSSDDEGLSGWVTVNAKVCEC QC762_0075810 MPGGAAPPVGADTLRVEAPVTMKAYLMCVFAAFGGIFFGYDSGY IAGVMGMDFFIKTIEGPGALVLPAWKKSLITSILSAGTFFGSLAAGDLADWIGRKFTV ILGCMVFIVGVVLQTASASLGLIVAGRLVAGFGVGFVSAIIILYMSEIAPRKVRGAIV SGYQFCICIGLLLASCVNYGTQDRDDSASYRVPIGLQMAWALILAGGLALLPESPRFF VRKGQLDKARVTLARLRDQPLDSEYIRDELAEIIANHEYETSIAPVGGYWSAWMVCFQ GSIFNSSSNLRRTVLGTSLQMMQQWTGCNFVFYFGTTFFQQLGTISNPFLISLITTLV NVCSTPISFWSMERVGRRPLLIWGALGMVICQYIVAIIGTVKPDDDNCVKAMIAFICI YIFFFATTWGPGAWVVIGEVFPLPMRAKGVALSTASNWLWNCIIAVITPYMVDSDKGN LGAKVFWIWGSLCCCCFLYAFLLVPETKGLTLEQVDQMLNETTPRTSARWVPHSTYAG GEKAEKSVQHHEEKVTPSGSDGESQV QC762_500960 MKSSVFWGASLTSAVVRAIDLPFQFYPNCVDDLLSTNQVCNTTL SPPERAAALVAALTPEEKLQNIVSKSLGAPRIGLPAYNWWSEALHGVAYAPGTQFWQG DGPFNSSTSFPMPLLMAATFDDELLEKIAEVIGIEGRAFGNAGFSGLDYWTPNVNPFK DPRWGRGSETPGEDILLVKRYAAAMIKGLEGPVPEKERRVVATCKHYAANDFEDWNGA TRHNFNAKISLQDMAEYYFMPFQQCVRDSRVGSIMCAYNAVNGVPSCASPYLLQTILR EHWNWTEHNNYITSDCEAVLDVSLNHKYAATNAEGTAISFEAGMDTSCEYEGSSDIPG AWSQGLLKESTVDRALLRLYEGIVRAGYFDGKQSLYSSLGWADVNKPSAQKLSLQAAV DGTVLLKNDGALPLSDLLDKSRPKKVAMIGFWSDAKDKLRGGYSGTAAYLHTPAYAAS QLGIPFSTASGPILHSDLASNQSWTDNAMAAAKDADYILYFGGIDTSAAGETKDRYDL DWPGAQLSLINLLTTLSKPLIVLQMGDQLDNTPLLSNPKINAILWANWPGQDGGTAVM ELVTGLKSPAGRLPVTQYPSNFTDLVPMTDMALRPSAGNNQLGRTYRWYKTPVQAFGF GLHYTTFSPKFGKKFPAVIDVDEVLEGCDDKYLDTCPLPDLPVVVENRGNRTSDYVAL AFVSAPGVGPGPWPIKTLGAFTRLRGVKGGEKREGGLKWNLGNLARHDEEGNTVVYPG KYEVLLDEPTKARLRFEVVRGGKGKGKVKGKGKAAEKGGVVLDRWPKPPKGQEPPAIE RV QC762_500950 MLPSLLSCVLSVGALTASTLAQGSETDPAPFSTFGQRIIYDPPE GHRASYPRHIELEDGTLLVTSTVLGSNFFTPSAAFPVFESKDGGVNWEWVSNITDQVN GWGMSAQPALLELTAPLAGFEAGTILASGNSWSANGTRIDLYASTDKARSWSFVSNVA AGGRPNTTNGADPIWEPFLLVHNDELIVYYSDQRDPLHGQKLAHQRSTDLKTWGPVVN DVAYDEYLARPGMTVVAYIAPLKQWILVYEFPVGNSSSHGVNYPVYYRLAPDPTKFDE APGIPIVIEGKVAPNASPYVVWSPYPGGNGTIIVSDADRDELYSNQFGGDPDKWEMHP CSQPSAYSRALHVFNKYPEHLMVLGAAIFDGGPDELSLSVLSLPELLKNKVNIDEWLA GQNKTQPGNE QC762_500940 MSSSDQKPPLEPADKQSFPPPPPGPPPIQTTQTQVPKHPDETPI PDYNPRHPQFAPPTGGADDDIYNATPTSEHPPQFPPSGDGHHDGETKKKSKFSFKEYY QKASESLTTHVGPAVNAMARKTGAEGFIAESLDKECEKAARILRAFCKDGIYVDAAQQ PSSTPAPTPAPAAMNTEASATDGKHTDSAKTKKPKVLLTIPSKVIAKAQGLAIFTAFR AGFQGTVSGGSGILIARKPDGSWSPPSGIKVGGVGGGFVIGAEIYDCVVVINTKEALD LFTKTRMSLGSDLAVTAGPFGAGGSLDWGVPANQKGKEKEKTSPQHPPTATSPPLSAD NTHFQTAPLSPGELTDPLEDPTKGRKPSALREAIGKPVYSYVKSHGVYAGVQISGTVI LSRDTANAKFYGRPVTVQEILDGKVEPPAAAKTLFEVLSGASGWRGHSGSSPVTPKFA PGAGVPPPAAAATSGVADVTAGVRGLDVGGSSSSATAPRPTTPVVNAKAAEAAAEAKL ASPTSPPPPSYSEFAPSGTQQQPEDLPPAYVEGQGTRPPAGNSKTGLH QC762_500930 MDKPPPRPLLPAPPPSESRAGLKTPPTGTPLPPRRSASIAACEL CREKKAKCDSRRPTCGRCLSNGVQCTYTTKVNETLTQADKREKARYKKERDDLLHLLT SIRDKPQREAENIFARLRVFNDPFEVCQSLRDAELLPFVSSSPAKLPSPSDANEIIDI HVPARPWTTLVNDAVVSRLMSRFFSPSSQLFPAIDRDVFVSDMQAKNLTTSKLCSPLL ANAVCAMPCFELTSSTGLVELFLSQAKSILEREHGRPSLPTVLALYLLYLISALLGRD RAGLHFRRTSLDMLEYLDLESRIKYLRDDVPDQALERRVLSKALWGIFVAERLFELDT PIQTSLCADNARNAAMADADGDVNGRLTDALCSLAEIQYTIAMHEAEQNTGVGSGEDI RIRQSILLRWRVLCDLFSNQLQAETNSSLSSCYVR QC762_500925 MDDSSDDERHCKIDLKDRAGVVEWEDANRHLQHTPDLRMDVHVD ASNDRALFALHGCIFLKGGKPNKVSVYIFVHPENIHSVGYDHSTGPSIPAMQRDPSKN FISLRFSMTQAPVFVVPKNRPLVPKARSEGLLDTMKALASAQDFTVYLNMLQLVPEVR YQLSLLPSVFLFNDLQTDERWAAIGRLYHGAGGQVVNLGNTAAAPRPTPSCDNLVMET LEELSPPPYVEKAPYQNPTQLATPPDRKRRRTSEPLSSSRTDKRLLLDLGQGRVFREN NAELESRIERLEKMFQDSTPGQAVQGYTGLESRIERLEMMILEPAARSPCRYNSEEAE HLISHVNDRIDDQFTGVHVELQDKVMEDTERLVTEKTEESQEELRKGLRETLMEELRE ELMDKLRVELLGTIREEVKRELMAEIKVELFRDMAQAMMGVACGTSSEKAKMALNSI QC762_500920 MPAADCQALRFSTSDVNFDKPTTSTRSSSRRSSGSMVALMPNPH RCLPMRSPMARALVLTFAILAISTLLLRSSAEVQTAIIQTAASAKQAIYLQRPLKHGA DWQDTLPTPTEPHRASSTGDIAAALVNSSIPMDCNYDIARLKQWKEKYKLGGQIEYTK RYIQVSRQPIRRKSMTALNQSFLTGTIMTVDLNNPEPYQAESCPEPLVAPVSQSPFPG SANASEFMFGVSTTYKRFSDPKTSPVNEWTYWLTDGKGNTNGGKLLLMLLDAEDEQLQ ETHNILTTAGIDVDVYRSNAEDIMAVRYLALVPTMYNHPERPRKKWLVTCDDDTFFPS FNALKERFDEFDDGFPMYIGTFSEDVNNIQRHGSQAFGGAGVFLSVPMAGLVAERYES CKTEQKIKEANSGWGPQGDILLRKCIYENSNYKLTLLNELWQLDLYGDPSGFYESGIK PLSLHHYRGGGWHVAYPWHYTKVSNVCGEDCMMQRFRTEDDFVIANGFSVAYYPQGID FDVNQFEATFHAAPQNHGWNLDFIMGPQRPSLHRTGRKLSWDLQEAEVLEEGMVVRQV YVRRADDWRWKNVDGSAMAGRDGVLELVWLGDRGQ QC762_500910 MMGASVQSFFLMLLFAASSLSLPYIPEYEEYNLNQNKSARTPLD YWGEWPDHEFQSSPENWRMPFYSLFLDRFVNGDPSNDNANGTAFEVDILSTQLRAGGD VSGLMDTLDYLQGMGIKAIYICGSPFINQPWSADSFSPLDLTLLDQHFGTIAVWRKAI DEIHRRGMYVVFENTISTMGDLLGFEGYLNTTTPFSFTEHNAVWKSPRRYWDFPLGEA LVDCEYPRFWDEHGKPVGDDVMSKMTKCRTSDFDQYGDVESFGKYPEWQKQLSKFGFV QDRLREWRPSVLDKIKLFSCMTIASLDIDGFRIDKGLTITLDAQADWSEYIRGCAKKY GKDNFFIPGEIVGGNALGALYYGRGKEPPMFAATPAESYNATNETSPSSYIRPVQALD SACFHYSAYRALTRFLGIDGEYGAEMDTRISWAEGWQDMLMTTDFINANTGKFDPRHM FGVSNQDVFRWPSIQNGTQKYLVGAFIMSLLMPGIPIVNWGEEQAFYVLENIAGNYLY GRQPMTSTNAWELHGCYKVGSEKYFNFPLDAALYGCDDPNVSLDHRDPSHPIRAVVKR FLELRTVYPALNDGFEMYQLSNHTNWIYLPGSNGTGTELGLWSYIRMPHQQLQNFSSV PHGEDPVWLMLGNENRTISYKFNCSDPQTALLSAFGAGATVRNLLYPYEEYTLEPSTK RIDDNEDELFHGCLSELSFPAWGFKAFVPVESWVGPAPVITKFLPGHDYRLESAKDGK TSVEIQIHFSQEMDCESVFNSIEITSNTAGGAVASLNRGSLRCKKLNVVENSTKYVGQ VASVWEFAATINSIPDGIHRITVRNATDKAGTGSTGSTDHFLLRVGPQSNPMVFPRHA NYSRELVYAKGEGIFVRHRAAGATKFRCSQTWSSNWTPWLDYTGEDYRLPAKNWTGTN LQDWTGEHVVCQYYSKLAGSSHHQQEGDLDASSLPRRFPHLFINGHFNAFGFDAGIPN KMLQKADSGVWSVDIMAEWPTALQFNVWGLNPDGLPDQTWIFGDVNFDYVLDRLPPGS LRQNVVNITESPPSPFVGWRFEVDDATMRYSKFPAGSRVRQITIFSLMWVLPLLTGWL VVFTFTGSFYKVKHNVSGAVTKHGKLSEKLRQVFEMKEKPLPRPSRPSSDASSVGDPT RPGTSHTTGRGLNGQGLHMDIGAPRKKALIATMEYDIEDWKIKIKIGGLGVMAQLMGK ALGHLDIIWVVPCVGGLENAYPNGYPVDQRAEPMEITILGSQYNVDVQYHTLRNITYV LLDAPVFRLQTPANPYPERMDDLDSAIYYSAWNQCIAEALKRFNPDIYHINDFHGALA PLYLLPRVIPCCLSLHNAEFQGMWSLGTKEEKEEVCKVFNLDQKVVERFVQFGEVFNL LHAGASYLREFQHGFGAVGVSKKYGKRSFARYPIFWGLSKIGSLPNPDPSDTAEWSPE LEAASQAEKVHVDGEFEAKRAGLRRQAQEWAGLKQDADAELFVFVGRWSMQKGVDIIA DVFPSVLEHNPKVQLIAIGPVIDLYGKLAAIKLARLMEQYPDRVFSKPEFTQLPPYIF SGAEFALIPSRDEPFGLVAVEFGRKGALGVGARVGGLGNMPGWYYTVESTSAKHLISQ FKDAIEGALASDTETRAKMRAVSAKQRFPVARWVEEISELHSTSIQKSQKHRDKPDHL RLVGLSKTNLSRSASPTPTELQSGRPITPTSFLTPGYNGLPSGGRSPMSDAAGWPLMP PLPSPNTKRYSDVSIRSVTKGRKDFALQKVDPFFTDTDGEYTVEFQKMLANLDAKSSE TDLCIEQYLVRSEKQWFQDYKSIKFGLSSSRNTSKVTLVEPPSPGRVHPRFLDVPSRP ASPYTPSIASSVYSAEDGDELHATHGQYVSTFETEDVPPVAHATAMQKFMLRKVFDWP IYTLILALGQILAANSYQISLLNGEQGQTAGTLYTIASIYAATSICWWVGSRNLKSVW VLSTPFAIYGLAFLFAGCAPFAQSFYARGWVQNTASGLYAAASSSGSMFFALNFGDEG GAPVRTWVIRACAVQGVQQIYISGLWYWGSLLSSYDSNGIPMARASNTIVSAVCLPVA VLMMALAVVTHLGLPDYYRQTPGSIPSFFKSVFRRKLIICFLVSVIIQNYWLSSNYGR SWRFLWTTAHAQPWQILLLIILFFGLIWIALFWQLAHLSREHSWLFPVLAIGLGAPRW AQIFWGVSGVGTSLPWASDVGGALLSRSLWLWLGVLDALQGTGVGMMLLQTTTRFHNN FALVAAQVLGSMATAVGRATAPNAVGPGPVFPNLALSLDGLGNGWFWVCLLMQGGICV AFGTFFRKEQLSKP QC762_500900 MLFHLSARLGRSFWALLAVLMGLLMAVAPASAAMSPTEIAVACH SLARMAFDLKDLVNVVAQKRNPGPFQDILDEFNDISDSCLSNISVMIRSSVMTDQADQ QLIYEAYSNFIQGLFELMDSVTESAPVLIVLDKQAEFRIPAAVREVAVVVDALLYQLI AIFPTNTSYSSQTANQKTQVDTHFRQAVHAFHLATANTGSPYSNTTSL QC762_500890 MSSDAPLVSFLPLGAIIQELRVGNLNIVQGFPTQDLYVSHNGPF FGETIGRVANRISNAKLDSLNGGKTYSLAANNGVNNLHGGVVGWGKRVWDGPVPVGVR EIPGVGKIEGGESVKFTLVSEDGDEGFPGEVKATVVYTVGKQTEGGKEVVVLGMEYEA ELVGDGVEETVVNMTNHSYFNLTGGSSIEGTEVTLVTNNYLPVDDGGIPTGGPAPFAK VQGQTPFVLGAQEPDIDDCFVVNEAAGSVPIDTRAESLTKLVSARHPETGIHLEVLST EPAFQFYTGKYIDVPEVAGIPARKARSGFCVEPSRYVNAANVPEWKSQMLLKKGEKYG TRTVYRAWKE QC762_500880 MASPAKKRKLNSDTKKAAVPATKGIQYFFAKQQQNGSSSKTTSE IQQNGSQSEKSGEQLTDEELARKLQAEWDAEVQASNQRQQQLQPEPNTSLALPAPPSP LKSSVSPKVDTTKFKNTLSLSSVAAVEDQVTATIPLDESPLTFDPSKYVPQLQESWAA ERGKSSYALLTRCFVLVSSTQSRIKIVDTLVNCLRLLIEGDPNSLLPAVWLATNAISP PYISMELGLGGSAISKALKQVCGLDNRALKAMHDRLGDPGDVAFEAKKKQSFTLKKPK PLTIKGVFESLVKIAKTQGQGSGDIKQRIVDRLLQDARGGEESRYIVRTLCQHLRIGA VKTTMLIALSRAFLLSKPPGADFPTREPAELAKLSKEELAEIWSKGEELVKASFARHP DYNDLIPVLLDIGISDELPIRCGLNLHIPLRPMLGSITRDLSEMLTKLQGRDFACEYK YDGQRAQVHCDADGKVSIFSRHLELMTDKYPDLVSLVPKIRGEDVQSFIMEGEVVAVD QITGELKNFQTLSNRARKDVAVGSITINVCLFAFDLMYLNGQPLLDRPFRERRDMLRS LFIEIPHHFTWVKSLDATSQDSEPVLTFFKSALEAKCEGIMVKILDNLPDIPYEDQSA AAGLLDNTKPTTTTTTKETPLNPPPPQKRSRRKPLLSTYEPDKRLDSWLKVKKDYSTS LSSSSEPLDLIPIAAWHGTGRKSQFWSPFLLAVRNEDAGSLEAVCKCMSGFTDNFYKA NKAYYDPAIEGRTLGKKPGFVEYYGPEPDVWFNPTEVWEVAFADVTVSPTYTAAVGMV HPDKGLSLRFPRFVRKREDKGIEEASTSEVLAGLYRKQEAGPAKRPMEEVEKEQEEGE DIMDEDE QC762_500870 MASTLNMNGEDPVERPQQIRDIIGGLERYNPQAAEVLEAYLQQQ CEEKFTDCNANRALLKLYQLNPDRIKDEIVTNALVKTMTQFPSPQFDLALHLLSPSYS NPGPGSSSDLAEAVAKLRTLNSHLEGARYDHFWATLESDDIYADLTTDIKGFEEIIRV KIAQLISLAFREINISVLESYLGLRSEAEVKTFVTETCGWKVGDDGIVHIPKNSENEA KKTEIREDVSIDMFSRVIKRSWEENA QC762_500860 MAPYDSDSSGAEDNDFQETNVLLGYASEDAQGEEISRLGGQPTW LDPSKPPSAALARCKVCKDLMVLLLQLNAELPDRYPGHERRLYVFACRRKSCRRKEGS IRAIRGLRVSPDAVAAAKESQQTKPKEATVIPKASTLGLGEALFGAKPTSSSSGGNPF ASASTNPFAPKPTTTSTNPFAAPAPTPVAPEPKPTPVEVEEEKLPKSFAETLSLNLPP ATPAPPPEPWPTDSSLLPKSYPVRWIAEADYEQLDPEPAPISQKTQIMDIDSGEGSSS GGGKEDKEVFESSMDTVFQKFADRVGQNPEQVIRYEFAGQPLLYSKSDAVGKMLYAKD GEWEAKVKGGKGGMPRCGNCGGGRVFEVQMTPQAIQELEGEEEDLDGMDWGTVIVGVC ERDCQERGVEGGEGGYLEEWAGVQWEELSVKR QC762_500850 MQIQNPTAVMIARAATAQDDICGDGTTSVVLLVGELLKQADRYI QEGLHPRIITDGFEIAKNEALKFLDQFKLAREVDRELLLSVARTSLATKLSASLAQTL TPSIVDAVLAIYQAPEKPDLHMVEIMKMQHRTASDTQLIKGLALDHGARHPDMPKRVE NAYILTLNVSLEYEKTEINSSFFYSSAEQRDKLVESERRFVDAKLKKIVDLKKQVCGS DGKKNFVIINQKGIDPLSLDVLAKNGILALRRAKRRNMERLQLICGGVAQNSVDDLTP DVLGWAGLVYEQQLGEEKYTFIEDVKDPKSVTLLIKGPNQHTIAQVSDAVRDGLRSVY NMIVDKSVVPGAGAFQVACAMHLKSDAFKKTVRGKAKWGVDAFADALLIIPKTLAANA GLDIQDALAALHDEHADGNVVGLDLATGEPMDPTLEGVYDSFRVLRNCIASSSGIASN LLLCDELLKARQMGRSGGPGPGMDGPEQ QC762_500840 MKGALSRASTVLATALSLATALPSYEPPQKQPTQQVAIIGAGAA GSSAAYYLQQYAKQHELLGISVNITLFERTDRIGGRTLTINAYDDPSQPIELGASIFI EKNHILHDALQRFNLSKRIPDEDSDPKLGIWDGDEFVFTVNERDSFWWTALKVIYKYG IMAPRRTQKLMEATIANFLKLYEEPNFPFRSLTQRAYELGLIDVTGVTGEQLLKANNI DDRYAHDIIQASTRVNYASNLVRIHGLDTMVSMAPEGAMAVQGGNWQIFYKMAEASGA SLLMNSSVASIGFSSETNHYSGNKKYLIRTKSATSESNGEEDYPVAFDNVIIANPYQF SKISAEEGVIQQTIDEIPYVQLHVTIFTSPYQYSPAFFGFTESKDVPGGVLTTLAKSD GPTSGVNGAGKAGFFSVTTLRTTTNPATQKREFIYKIFSPEKVSPEFLSRLFGVEVPD SFTTDPDEEGSVSPITWYHPHVFYSYPQALPRVTFQDPIIGPGLYYTSGMDSFISTME TNALMGKNVAALLMDDILKAGKNGCKGAEEMHENTLLDQFRSGPGSSEKDMKDL QC762_500830 MFALKGISRLIFGSNNQESMIELPQGQLYLVRPFSPKGYSELLF KDASARIRRTAQEFQYQLVVQRVYEEGEAELLAEEEGDDLEADADILVAERDEKTFLL DEALHFRVENREGSERVLCWRDLSGDAGDVFEFVCDGGILPAQVKQFELIAKQCQYER KYRKPHATASKEDFLQFEFDEQPIPPASPIHSPVLTRSIESSEMFSPKAKGKKDVVSD LEVTPTKKSPPTPTKTPTTKGKERNMGTPSAVAHPEAREMLAAEVAELHFFEFQSGAF VLKDESVTATVTEIGNWKYWLQVGSADRDWIGVPVTEDLNPVFNFEFLSFIFNQFHED GSAYSWLLRFKDQATLERFQEGLMQALWEQLNQIKWSKIKDNERDYVTDAFNDLTMED ADQEEEEHYEDAEEGSEDEDDDRPRSEHYDSDEDEDDVDYKPKDGETNKQIAVGYKHD RSFVVRGSKIGVFKHTPNNNLEFSTNISKVETADGKLFSPKKVMLHNEDRNLILQKDD DPNKLYRMDLEYGKVVDEWNVHEDIPVVTFAPENKFAQMTHEPTFLGISKNALYRIDP RLSGTKLVDAQLKQYASKNDFSAISTTEKGHIAVASNKGDIRLFDRLGINAKTHIPAL GEPIIGLDVSADGRWVLGTCRTYLLLIDAQQKSGKNEGKLGFEKSFAADQKPQPRRLA LSPEHVAQFHHETGKGVSFTPAKFNTGEGVEESSIITATGPYIIEWNLKKVLTGKKTP YLIKRYSDDVKADDFKFGTDKNVIVALPHEVNMVSHTRLKRPTRESIAGDFGRRGSRF GTPAKSPSKLSRSAIVEEAF QC762_500820 MGIYTPFCPQRTIIQDLLLTSNSTIQQTQPRSSKPPPPQPIMYN SPNERGLRRDNNSSINPNLPATQMPPTYGQSAASGPAPTTAGHHKHDFLNKIDPAVDS TRDNQPLPPPPSQHNNNIPSGTYGPHKSRLANALDPRVDSDMDSSRNQHFSGGPAGAP PTMHGATGPGPLSSHPNNIPEGTYGPHSSRMANTLDPRVDSDMDRQRSMPAKHGYGAV GNPIPEGSYGPHGTRAGNMMDPRVDSDRDGGRHRGMGGVGNSHLPGPAPNTAGPHKSD LLNKLDPRVDSKGGMTYQETERRGL QC762_500810 MSDHHRGRRRNHRDYDYDYDNAYYDDYESRPRRHRSLGRQALGK VEDAMAGLGLDDKHRSYSGSRHHSRGYDRDYDDFDRGHRHRHSSHYHSGSHSHHRDHS RRSYRGDDHYTTSSRRAHSSSPSRRSRHRSSHRSSYADPATRSRSRARMDKGLKSAVD AAAIEAFRVRNQPGSWTGAKGARVATAALSAAAIGAAAEKRKEENGSTKMGTVGSALA GMAVNRLVNGPRRDM QC762_0076000 MISPLTPAPRPARTGVDIRLLRSDWDSVTPRGLLQYNRTRSGPS SAVASAVMASVVMVVSMRVCRPGPRPARGSVVVPALSVGVRAAVGLCAGADDPPEQED ARDGADDNADYGAGVEASAAASATVGNYDLAGLECWCHC QC762_0076010 MAPTLQPRQVVVTDSSGSSGGGLDTGAIVGIVIGTIAGILLLWW IIRSCTKPNRRPDPDRQGWYDDTPPRRSRSRSTHSHRHHHHHGRHHSRSHSRRRSTSR PVILEKPARGYAIPVAPQQAYVYPSAGRSRSRSQGRYHASGY QC762_500800 MARISAEHIHEILESEARLHVQSKRQHRASFGHFERTGTNEQRH KHRDRSKYRRSEEIPRVPEPSPPTVALPLIIDDDDPILDFPPERWIASMIGNTGMLYR FSDDLVYKSNMTSREVELMEAAGSLTMRPLSRVVWKGSRPSTGTKAVIMEGGEPFRAR RIPIHKRHKCVVEMFLVVENLHKRGIVHGNIKESKFIWGNCRPTSFSPGQQQQPAKRL KMVDFAGARFIEENKDRWNSLHVTNSYLTPKRMQCLEQGLPLPAPTVFDDYYSLAITL WSFLTGKTPGNRQFNRKYIKKEDLAEVEDEMIKGWIRKVFTMAGCKIVSGADLEEYLA AKAAKRSQQEEYHRQRHSTGSRGYGETEHSYQRPPSRRESSREGSSPPERQRPSSLGL YEEYELSPRMQKQTRAPTPARRLSEQEPERGGRRRG QC762_500790 MCTYDYTPYTGCKQGEQHFYIQWMKCSKAIETGGKYCPPELSVE VDAIRKLSGNVLSCPVHGPIAIEQHEFDFVVAKVPVEQPHDQPARRGRSRTASRRGTS VSRSNRTPKTDTFGRDFEELPEKKRRESRAVSPTESVNSESSGTIPARPRTSDGLKKA DRSGSQDRRRSSVAHSHRRVSSADLNLMPRRASTLRQSKGDRQLPPPAEIPEHAEAQE EEARGRQPRPKTTVHIPSGTGMIGLPSSPDMNKRSPVSRAKSEGRPTPPDTLTARIDT ALSPNTALGSSDSSPEHPSEPLPFGPRRSSVRRTTTRSIRKSTDEGPMGRIDEHVASG EDEDQLQASGLHITTSNITRSGTNRTTRSSRVGISPESESDFRFPPPPSRSNNSSPIS RRGSETRPPMPASPLSPVFPRYNSYTSNDDASSLRSNQSKTSRRFEDQVAEGRKWAAA REQHMSILANHSEPNLPLAGVQSRRESADSGYRSGHQGQLPIPPNSPPPRQTTPNPIQ QEPKRRTLQKQNGQAPYQTDLGLPSPNTLSQRRPAPLQLGNVPPCALPVSLYSPSPLG QSGGTSSGEVSPGNVKGKVPLLQRMGLKKKISGLWEKGGSQRAVEA QC762_500780 MPTLKDKNGEPIHEGDHVFARSRGGRHEGEVEKVVTTEKEAEKE GVKHPPKVLFTDQHGHHVQHNPEALQHGVYKKAE QC762_500770 MARFTNLKQQNEWEESWEVWWTKHTKFILEREEMSRGPYSEEEA KLKEDFLSKVLPRYLRPLESGGRSIDPALCHTDLWPGNVKYRLDNESPLVFDANALWA HSELELGLFCNSRYPLDRVNAEEKERKVAQDGKKSFEVKIESVTDDLKVLAT QC762_500760 MSASFSAMFSTMSSYIYYPALVPVAHDLGVSVALVNLSVTTYLI VAAIAPAFMGDMADQTGRRPVFMVLFVLMISANAGDCPPNIIRRSPALVAITYGVIAD IIEFKDRGGFVGVFLNRHVFILPPTPPTYPLLTSTTQQANSSIGTTATPSRNSNPPPT KPTSSPSKKTRLRGIYRFILISSLDTLGYGLALMTNAHISGMILMHRDNDSKHFRTT QC762_500750 MMAGPPNQRIQFTSTERPVRSPGPRVSIPHKPSIPQVITGEEKA RRERQQEKERKVNVVEHLMSPQDVATQYRTIINLDKPSESFGLTSQQAATLLQEHGQN VLTPPKKRHPFLKYLDYLTSLFNLLLILAGVLEYILLAIDFKANFPNTYLGAILIIVA NINAFIEFYQQSKSQALLDSFLNMIPAKCMCLRDGKLSQLDASALVPGDVVFVRMGDK TPADILVFSASDCKVDNSSLTGESEPQDRTTDNDMKNPLEAHNLMFNSTLCVSGEAFG IVIRTGDNTVLGQIASLTAGEAKVTSPLTVEIGNFVKIIATIAIFTALIFFGVSFPVN NNNVSLALNFAIGVFVAWVPEGLPATVTILLTIAAKRMASQNVLVKDLQGVETLGAIT LLATDKTGTLTRNQMTVANIWTCGAMYEAARGALVDRRIATPDSPGILEILHISSLCT RAKFDRTDVPIYQREILGDATESGLIRYASDQLLGFDNLAEKYPKVFEIPFSSETKWH MSIHKKAHSNGALTLYIKGAPERVWRLCNRLLVRGDGSNSLLTDDHKGAYDDIYEDMA SRGHRVLGFAMLELPGDQYPEDFAFDKKAKSYPLGDFVFVGLASLQDPPKHGVREAIG SCRAAGVKVIMVTGDHPLTAEAIARKINLMLGETRERVAKRTERLIEQVQEHEYNAVI IHGEQIDGLSDQQWNDIFWKDEIIFARTSPKHKLEIVRRAQEMGHIVGVTGDGVNDSP ALKKADLGIAMNKSGSDVSKEAASMILLDDNFASTVRGIQEGRLIFINLKKSIKYTIS HSMPEVIPNLLYVIVPIPLPLTAILILVIDLGFELIAALSFAWDPPETSEGLMKLPPR KPVTPESAERFRRRQIRRTGGRWDQEANVVILPPENRSKFKTLLHNTGHIFTKQYWAD KFEGGDAEVLVDGPLLSWAYLEIGIIEAVGAMFSFFFVLHMRGISMRDAYLMQKGAGA PTNYWTKDAAPYKGIDGQTQYDILAEAQSMYYWAIMTMQMFNLFACKTRYTLPFGRYM FANRVTFYCILAGAALAAFIIYTPGVEIVFGTTRNLLPLYWLIPMAFGCLLIAYAAVR MLITRHTNPTKWNPEIAGLQMHPTMWSQRSGSRRGSRGGE QC762_500730 MPGLVDENGSSGRLLLISNRLPITIKRTDDGQYSFSMSSGGLVT GLSGLSKATSFQWYGWPGLEVPEAEIGPMREQLKEKYNAYPVFVDDELADRHYNGFAN SILWPLFHYHPGEITFDEAAWAAYRDVNRLFAKEVVKDVQDGDLVWVHDYHLMLLPQM LREEIGNSKKNVKIGFFLHTPFPSSEIYRILPVREQLLLGILECDLIGFHTYDYARHF LSSCSRILSTPTTPNGVDWNGRFVTVGAFPIGIDPEKFVEGLKKPSVQARIAALKRKF EGVKLIVGVDRLDYIKGVPQKLHALEVFLTEHPEWIGKIVLVQVAVPSRQDVEEYQNL RAVVNELVGRINGRFGTIEFMPIHFLHQSVSFDELTALYAVSDVCLVSSTRDGMNLVS YEYIATQRERHGVMILSEFTGAAQSLNGSLIVNPWNTEELANAIHDAVTMSPEQREAN YRKLERYVFKYTSAWWGQSFVTELTRIPTFEEQQAEQTTRRAIKSAAEGVAEVANNGA EVVHDAVCAVTGDEETEQPQQ QC762_500720 MALLSLGVGPSLLLLLGGFALYVLGSILYNLLLHPLRSFPGPLL MRATRLGHIRLLCRGTLPFDLLPLHQKYGPVVRIGPNELAFSNPQAWKDVMGHRTDKS EEFEKYLGFYRPVDDLPVDIVNAKREEHGLLRRTMAHGFSDRSMREQQPLIKQYVDLL MRKLRAAGGKKVDLAAWYNYTTFDVIGDLAFGESFGCLEGGEYHPWVKAIFELARVGV VFQSLVHYPWVFKALMAVVPKSLMEERERHYQMTLVKLKKRMEGGKERSDLIEGLLKK ADEWGLTLQKLQANSAILIIGGSETTATLLSGVTFLLMTNPDALEKLTAEVRGAFKSE DEIDFMSVSNLPYLLACLDEALRMYPPVPTGLPRVVPPAGASIAGHYVPGGTVVAVHQ WAMYHNEEHFKKPFEFHPERWLGDPEFASDHKEAFQPFHLGPRNCLGRNLAYIEMRLI LARVLWNFDLKMDEDSADWMSKQRIFNLWEKGALNAYLTPVQR QC762_500710 MTTPPHGSKRHRENDDDDDDHDDDNQRPTKITIGDNNENYPVLW SDYSQLPRLCWSLRDRDRDRDRRTSLPAPGAVRNMTIARVHTSSSRSQSLTFPPIETR YLRDLHPSFFRPTRPPPLPRRVRSPLPPRPGTTTIYSLLQEQHLLDHRIHLPSPFSPS PSDLDKILTYLSTPIQTLTTTSFSSEAYDTFQHKSSLPPSQDDRLLPDGVLDTIAGCV PAFPQNRIYIPFNAMKPVNSLPDVIPTPYSFDGVRPAELEHLIRDREEEFVIATKRYE VPVAPNFFVEVVGRGGKGGVAAVAVDGAYGARGMFVLRSYAPGERKRGEGKGEGLAFS GVYDAGGVLRLFVHFVAEQEEGVDKKVEGLGYYMAELGRWEMMRGRDEFLKGARAFRN LRSWAGRVREEAVEGGNCWVGGLLGGGDGLMGRLPRC QC762_500700 MAIIAKLTMFQQRSLALIGLLGLFIAWIVIGSITEREQAVETTV RAQPPASVKITRPTRVVPIGTGKTGSSIHLPLDLSFSRLGKNYASRNLTYSIGIRPVQ VEYVEPTVVATGEVVSSEVSQKEMIHLEDPAVGSSYELSVEVAPQQNSNGTLDIKMIG KDLVYLTVVPDKKDKAHLAVQFTEPAEYWDNWLLDLYRRNQTIPTIPLPDPSDFNQTC SCPDYPDEPTPVLSNPPNIPLLLPYPIDGNPPRREKETYKVTLRVRWEDRSTTPATHP PMSGATVWIYIQDITDDSRQTYGFTSLTLSPAGRATKTITLPSDQFIVLISVAFDNTK LQFRGGTSHLGRTGGTEFPLPYKISPTNEVTVDAIIPHSFGPELVRLWNEFNTIHQTA DRLVGAWDVPKLGIQYPMDWSRYYPGQNKIDIWGSAGSQSGDHFAHELGHHFMYSLAG GIPGRGGAHTICGGSPVEQGLAFSEGWATAFAASLLGRQVIGGWDYGGYSCGSDRDTM ETSEGRVAAGLMDLIDGGLVRECNGGDTNLGRDGVCDGTVGGELFTPRVVFRDSIEGV VDGGVRAWWGRVVRRYADRTGFGRGREAMEYNYYPQECLFRLAGVCVRLTPNVFERIR LRL QC762_500690 MMRQAIQFSSLLIAAATTARAAKGTVWATPHESYSSSVGVLGCK VDTNRIAYWPGSVDCNNICISLSYEGRKVKLLRIDQSEGAYDVSYDAWNYLYSGYSAT EKPTAGGETPMEYEELAASECSDLIHTPDGKLPLSAANSMNFLASCLEKDTWVGKNHI LFNILDPICSWGHDEPCNLDWPAANQAQCPNGLGTPAELTTAPVYNIQYNTGKRVLAS TGQVVASQAAPSQMQQNLAGILKGSGGMTRRADANRKAGEQLALEKTTLVARLDILSL FQASFTLDWTPLLITHCFGPTKSAAAEL QC762_500680 MVLRPYSRASAVLSFLAFLTASTCVSASRSPGLPFVINTWGGAF TAATDAAYLALIADRNTSALDAVEIGCATCEKNQCDTTVGYGGSPDESCETTLDAMVM DGTSMKTGAVAGLRRIKDAIGVARAVLEHTRHSLLVGDLATNFAIENGFKEQDLTTEA SKEKCEAWKRANCQSNYRLNVAPDPSTSCGPYTPLPGIDQQTLARSVMEDEGAVSHDT ISMVVIHESGIMAAGTSTNGASHKVPGRVGDGPIVGSGSYVDGDVGGCGATGDGDIMM RFLPCYQAIENLRQGMSPQDAAEDVVARMLRKYPNMSSGIVVANTKGEHGGAGSGWTF TYSFRGGDMEATEVVSVPPLPRRLEERRRNTNDIPDEI QC762_500670 MAPKKVADKTIAAELTSVVDQIYNGPDRDKLSVNYARELVEEKL GLDEGFLKEGQWKARSKEIIRAALEALENAESEPEPSPQPPKRKAKQQPKNGAPAKRA KKSPSPAPEADVPSESGDVDDASEASEQPAKKRKLAKPSKKRRVVSDDDDEASDASAK EPEPAKKKAQKDREEVPAKGDATVTAKDDSSELSEIKSVPESADKIPDSDDELSDVID EPPKRKQKAQAKPKAAERPKPVVVAKEDGNESSSSLSSVIDDEPPIKRKGKGSAAPKA PAARKTKAAATEASPDEATIKQLQGQLLKCGVRKVWAFEFKKGGQTTPKAKINRLKEM LAEIGMTGRFSEARAKEIKMQRELMADLDAVKQWDQTFGVADGGRRSRRGAPVKSFRE PSISGDDEAEKDDQEDEDGEESDEEVSDAAESSESSDDNGASEDSEEEEKPKKGKGKG ATKRRAVSDEESDSD QC762_500660 MSNAVNRIRGALAPPRKGETFELRAGLVSQYAHERKEAIQKTIM AMTLGKDVSALFPDVLKNIATSDLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSED PNPLIRALAIRTMGCVRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPTM CIENGFLETLQELIGDPNPMVVANSVQALSEISETAPETRALIITPATLKKLLMALNE CTEWGRVTILTTLADYPASDVKESEHICERVTPQFQHVNPSVVLAAVKVVFIHMRMLS PELVRQYLKKMAPPLVTLVSSAPEVQYVALRNIDLLLQAKPDILSKELRVFFCKYNDP PYVKLQKLEIMVRIANEKNYEQLLSELKEYALEVDMDFVKRAVKAIGQVAIKIEAASE KCVAALQDLISTKVNYVVQEVIVVIKDILRKYPGYEGVIPTLCKYIDELDEPTARGSL IWIVGEYAEKINNADEILSGFVDVFEEEFTQTQLQILTAVVKLFLKKPSNNQGLVQKV LQVATGDSDNPDIRDRAYIYWRLLSGDLDVAKNIILSKKPAITTTVTSLPPVLLEQLL SELSTLASVYHKPPESFVGKGRYGAEAIQRAAIQEQRQNLAENPIAASVAAAASNGTA GGSQNNIENLLDIDFDGAAPAAESTPDRVASPMSPGGPSAPPPSGGMADIMGLFDAPP PTTTSPAPGAAPGMGGGMNDLMSGFAGMDLSGNSAPPPPGQQLGHKPVEQKATSGNDD LLGLF QC762_500650 MESAQSTEWRSRKRPYSSSGLDSDDALDQNDQGLPLVRRRVLSG SDSDSSGEKDRVYDNDCEMQDVPAEGEPSVLATCLGMLVLEQPHLHRLSTEQREFGVS FTGFGNTYTIYSEASGEYCGLLDSEAAECVQVLERICGLQFQASMNRRSKKLKFLLFG DIEEAQDIGNTLASSSLFLQHPSTEDYQGTSYFNPHYLTRPGLTFQEAIETLNQQVHL SKQLTLTEKSEIATVLDQAAGPTIFSEVQVSNCIKTELKPHQKKALAMMVEKEAGNLS NPQFPSLWAATCMLGTEGVPIYQDTVTTSTLRSDPPVCLGGILADDMGLGKTLTTLAL IAGSIASDPDPERSPRPKANKGQPSAQPGTLVVAPLSTLSNWEEQIKMHLRHRSVTYQ IYHGSSRSKHHSSLPTYDIILTTYDTLKADIRSNRSSGMEKSPLHDLVWKRIVLDEAH VIRNPNSKVHEAVCYLRAKHRWCLTGTPIQNRVEDLCSLLGFLRAHPYGEPTKFRTAI TDLMENRDVEGYERLSRLFQAVSLRRVKDMDSLDLHLPKRHDVVRLVELDEEETALYN LVKKSSATTFKATGTGRGILQVILRLRQVSNHGADLFPSEILNRLKTADISGLPPSIF DTKRCEVCGDIVGQGMETSERFLGCGHPVCTACLPLNRQDDDDCDLICPICNDSAMGK VKSKPSGRELAKSYRPSSKVRALLVQLDLDKANITTGSEDVPKSVVFSCWAKMLDLVE LALQQRGIAFVRIDGTRSEQQRRKALKDFRDTPSCTVLLATLGSAGVGLNLTAASQVH ILEPQWNPMVERQAVDRIHRLGQAREVTCFYYVVDTRGSVEQYVRRIRESKNVLISMS MDGTGSLVDETSLTPLKEFMQEVLTDS QC762_500630 MQRMTTHRTLMLEKVTIANITQEIADRERAYKEYEKQHEFQDLH NYRLLRGELSPFLYDEELQQFAGSGPAKSGTWLFENGDFKKWADVNNKTRLCLWLQGI PGAGKTVLTAKTIRYLQSQGQTLLFAFLSYRDERKSKPIKIFQSLVFQLLEEQSMLRP LLHDIYLTNYRKLISNPDFVGDLLGKLLQTSGPTIIVIDGVDEAAESDKSYLVRSLLR VTKSGPNVKLFVSSRMDSAISKELMRSSTELHVEDHNEGDIHELIDMERDDLLSKFRK WDADEATCDRVGRAFQLLKEKSDGMILYAKLMARLLQGLDNEDDIRRELGTLPEGLGQ AYGRVIERIESNPVPKDKAAARKILEWIGSATRPLRYEEVIQALVIESGSSGFTKGRK AFRDIVETCGPIIEVVGDYVSFVHFTAKEYLFNGDGKFLDKEESNLHISLACLTYLAF QPLDRIMQHGDSAAICDETSKVLSGDFVLLDYAASEWLSHVGACAQSRHDEQLVQAIR RLYEKRGKPDVHDSTQVSRIFKQKYRALRRDPELVKRLGQSETFLNRSKLDLIEADGG CSWYDMDPTYISQGIMRFRDLLESSLCTTSPCNLSCNCTKIKRLYGHALFRCPRISCP RYIDGFETDSARSEHHKTHERPYKCSHSDCLFSQLGFRTANDLVRHADVTHNHVVNDA VPWKNFTAHHLSESNIVAIMEDTISLNQVGIMTRILSKESGFLRVCCSRNSEKGVHCD EYTRGHHNGYQTPFVMDDALRISARAGTTEMMECVIAAAKLAKHKVYSKVDLLAHAIR SGNNDALGVILQHYPAFGEASEEVEIREAGSDSEYDSEKWLCFTTVSLFELAFAHANG RAMKALVRAGARPDVRRETFIDVFKTRGHMELMSADNPKTRDTLGRMKVLKLPSLVLQ YGLQVAIQRSSAHYAEFCVDMGANVNGKWLNIGEKKRRERPTMLFLAIKSGCGPILKL LLEHGALLQGDEVEDVESGEIAGMKKIEKYFSMSWKEMMSTFSKSV QC762_0076200 MSYISCLHTQWKHQNNTIKTLNLEINP QC762_500620 MRALALLISCLVAVGFAHNSTSDEHTEGQKYHGESLAWTPCPVS PTLDGDHQLECSNLVVPMDQFNASNNGFNNEKHFNISLIRLRGKNATANGNINILLNP GGPGGSGMSLMYRWGVDISQVVGENFNLLSFDPRGVNASTPYVKCYPTPGNKTQASQN SWTGTDPYNLTESSGNWWASSIIYSQACKQTMGEHGEYINTPQTAADMNSILDAVGQE DLYYWGFSYGTILGQTYATMYPERARRVIIDGVANEFDWFTNWSDRESNDDTDKVFEG FVDECIKAGNQTCPLAQLAETKEELTEKLISTIWALREEPAPVYVNNTVSGLVGFSDV WNNAVFNALYSPLRWAPLAAALTPLVQTGNATAFFLHYMLPSTAESYNDYDEGDANDY IRYNDGVSGPALSPATPEELIPAILEASNNTIFGSQEWPGYFNRRSWAVRKTIDFVPE RGVRTAHPLLILSTTYDPVCPLISARSANDAFVGSRLVEVQGYGHCSLAVPSNCVNDI VRQYFVEGVLPESNVVCGPKLPLPYFPAENSTTGATSPVHTTGQAY QC762_500610 MRLFRALVVGVLLVSASAKKDDPNFAFETKQLSKRETTKHPNIV FGDPSSVPLNHNRPTCKIGPQDAAWPTLNEWSKLNTTLGGRLLKPSPAPIVCYPGPNY NAAACEFLVGGAARRTRFWLDDPLSVLSPWTQGNTCLLSANVSGPLRSCTQGGFPEYV VNATSVRDVQIAVNFARNNNIRLIIKNTGHDFLGRSNGYGSLSVWTHYLKGIEYLQSY KASQYKGSAVRLGAGTETWEANNAMIAQNFTIAVPKLPTETVGIAGGWFQGGGHSNLA SLWGLGADQVLSINLVTADGRFTTANKDTNKDLFFALRGGGGGTYGVVTSIVVKASNG LITLGTATYGFTTGPIAPTTLQNPTANITNTQDFWKGVEIYLAFAKKVVDAGGFGHGD VTYHGNTSFSFAGIFLMPGFSASKTQEFVNPLFQSFRDEAGINITTPVATVVTYAEPG NGTNTAPGSGTFSSRLLPRNNWANSTSISQTSSAIKRAVEKGFNIRTRAYGPKLDLVT GPYAARGVSPHMRSMVIHLTVFSLLDVSSLWVLESTPGEAASNFKAELARLEDATDEI RRVTPGSGAYYNEAGRLEPDWQESFFGGENYGRLLRVKRERDPWGLFWVHKGVGSEGW RVETGDGLTTNNGPLCRV QC762_500605 MADLLLFTFLLIRAAVAASCADGNTIQFRVGNCTIRSPNEPEVQ SWGAEVALNNERTICMVPSTVLNDTFLTTTSLCQSSEQLKVHQVDMTPAQCASRRGGP VSADKFKGVPLSNLVQNPGWTLLNNTIEDAVEVSMQLSRQAITTTVGLITKGQNSAAS HLGLATDSSILKVLKGQGLIVARSFGLNVGSQSVQSPRGGSLVLGGYDQGSVANPFLH EFPIPQNDRLQDRHCPLQVELTGLTLEIKMANASETESRDIFSKSTGITVCVEPYDNL FRLPSETLSALLGYVNQTTEQRTHLVPVTEYADELVNLEPGLVYRRSSGEFNAALRFT INDKMTVEVPFHELQRPLRGLDSNGAAVLNTSYNELQIFGDPAPGNAPVLGKAFLSQV YLFVDYDAGKFYMAPLNSEAGAVLPVATGTCPSGGLTATEKGLIALGAVLGALVLAIL AWAIYRWRRRRNPRINGTEAQAMDHAPGNATSGAQNRSVVGTGQFPSRNRSQEMQDTL SQNSAAGADSGFAIGHAPFGHLSRQSVETGRSYESPPQRPTHTGIV QC762_500600 MDPLTITTSILTVGATLQQVINLLGNFTNAGTKIAEIQRELNLT AGVLNYIQEQENNNNSPPTLSIDDNAGRPSRRRSNAGVHLSNILRDNVSQLQLDLQCF AGELSKLAKPCSSGSKVGRVVANGKVAWKLSYLEKMQHSIVNKRKELEFIRNSLVVDR RNDRAPSERRKSADRVASVFFALARQFNDHISNTRLPPPSHDAQEVFVKAVRRRKWRE VEGLLEQVHPDFTLGSMEGELFPLHVAAMLGDLVMAELLMSYGATVDCRSQDNKTPLM AAIEYDKSVVALALVRRGADVNASDSRGRTPLHMAARKNSKAVVQTLLNNGADPNAYD IDGNTPLMDAVCREDREIQPTDTSVLRVLLQPNGSTVAADPTLGTKSKDYTPLHHAAA EGWLEDLRIIMKLSHSRRAQECLVLDSRGRTPLWFAAKSGSLQVVEFLVQTGADFNRH SRDNEKPTVLWAAANNAATAEYLLRSGADPNQPNNEGYTLLHRACWSGNTVLAELLLR HKADATVRDKDGMQPLHYASREGHEALVEMLLQSSGIDINCVDNTGTTPLMLAADQGH DFIIKLLISYTPAADFKHKDVFGCDAFYIACARGHILCAAYLLGCGANINTRNAKDNT PLHAAVKVGMKDMVGWLLRMGADKGVMSKQPFDGMDVRGTPLEIAKSEGFEEIVELLE SWKIDRGRKERYTATRVAL QC762_0076250 MDYLTTALSKALSSLHQLQQATTARITATNTTSPINIHNQALSL LNDLSELINEGLELY QC762_500590 MIHHDQVAEMLYGYAGAAAAKPTHTDSPGPIPTVIPTPPQFQEI GETGHRTLWVVFALMVLSSGFFAFMSWNVPISKRLYHVITTLITITASLSYFAMASGH ATSFSCTPAKDHHKHVPDVGYTECRQVFWGRYVDWAITTPLLLLDLSLLAGIDGAHTL MAVIADVIMVLSGLFASQGETATQRWGWYAIGCVSYLFVIWHVALHGARTVTAKGRGV TRLFSSLALFTFVLWTAYPIVWGIADGAHRTTVDTEILIYAVLDILAKPVFGLWLLFS HRSLAETNVDVGGWWSHGLGAEGRIRIGDEE QC762_0076270 MSSTTMNCPVVGTTNTTLPPSHPDIDLNKPGQTCPVVGATTDHH HNLHKHPQVPHPGLSAEHNHDDANACPVLTGKLVNEPKSQQMDDQVCPVVGTATTVLP PDHPSTEGKAGDAICPVTKARVDHHKGKLHGHPDVSHASAGAVCPVAGVKAS QC762_0076280 MLYVKVPGSNPVGTSLDDLGGLDSSNRANSPSTSMAHVRVPMQL PLVVINPRLGHRADSIACLALSGRVIGRENRGGSSYDRADLIVHLLALGLVDELARQD GAGIRIVVVVLSGESGVGNLRMLVKVVVVVRCSTDDRAGLAWLVQIDVWVRRGQSGVG GSNDGAVHCG QC762_500570 MSSFHSHRLSLPDRTLRKPQQLRSSPKMDEMEDIVYDSPSYTKP SHHGPPSLTSSTSTLVPSDGGSLLDHFDLPSPPSTPVKSTHQYSSLNSFQSPALSPDL FSSSLSPPSSPSPRRDRHSGRRRSHSRSSSSSSVSSSSSAMELDGGYGGADGGVVPVV RSSRRTPYYPPNSSRSVDRARVYMNRGPHYVPNWTPMSSLPRHVQLQIEERMVKFTA QC762_500560 MNRSRYVPQQSAYTAAQFQPFGPGPVPTPAQMPGMIPMGYPQQQ MFGGSPNPNAMGASPILQQQQAMSPNALWAANFANGPIIEDLCAPPQMGLPTSPPMNA LPPRGMPMGAAIHPSSMPQVQNVFGLRQPGTPHPGHGFAPMMPGVPARMVGSYPPGYA AQPQGIAWSHPHQLQFQQQLQQQAAQQHAQQQQQQQQQQQQQGQFLDPTMMLGRPRME PGFATGGNGTEQMGSPSMGNSPGAQPLTPVESTLQGFMSPDSI QC762_0076320 MYLVNVATKKLETFSADNIPPYAILSHTWGNDDEELSFRDIIDG LLKPGTLGVFKLDGCCKQAQLHGLGYVWIDTCCINKSDHVELSEAINSMFKWYRDAVI CYVYLADATPNTRLSNSRWFRRGWTLQELLAPQELSLYGSDWTFLGSREDLAVSIEHA TGIPEGFLYGDGDFYRASVAQRFSWASKRTTKRKEDMAYCLLGLFDVSLPIIYGDDHA FARLQREIMLKLRDDSIFAWGLSYEHPTKEQSQDTIRASAGALAESPKDYEYCGAIVS HGSHSSPSNKFVIESGFLCITTTTYIAQEGGTYGLLNCGTKDHTSEHVVGIPLQHSTS GDYYFRPHSYSARIFPKQTTDSRSVSQSIHIQMFRDQEISRLSKPSWSIRLRTSGCLL KVLEVYPPGRLHDKLIHVDPDFDSADAVDRTWVRLQRHGNESHLLPDFILILTITRHP EDVQGRLTCALAICSCFTTLKEIADNAQLLWKSIFIRKQASNVSQHIGAALWRSSDSL SGHPRISVVMSEIVSPPDTTVDLTQQLSRVRLPDRFLSALEQEDCLNQRLARKGNELE AREKQLNKTRDMLTELNKQIEKLEASKKSLNAEDHISAIEVERLKNEKSKLESKRFKV SLNRTYLEGCLDEHHDGRNEWLRKIRLPIEKGDVTAGDDSFLDHRLENLVRLLISVER SAPLPSTKYNPPQDMTPLAYAIQEGCYELLQRLLNQGADVNEIYSNGRTALLAAVIWD KDMVVQELLEHGAIVWPALLWSVQNCDRADTLSPLLKLEETALEVDAGRFRMLLLLAA EQGSREIILELLSWGEGRHTFDLSSKSNQDVVWAAAVKNHDSTVRTLIKHDCSPNPLS CQRLLLRSVLENCASLPPVLWEMKKADIHAKYMGGRNLLWLAADRGYDSVIGSLALIQ TVIDPGSMGRQMTIADDFGQNALSRAAENGHVNAAVRLLLWEDPTVRDHDGHTALWYA VINGHADVLQALMKHKSIREDLWEMGKLLWWAVETDQEQMVDVILANDGALAFQHRYH DPHALLWSALGEGSTRIVGKLLAYREFQVHSVEMLQYAEKHRYDDLVKLLSNPLTLAL QGRMVVVDRVKPETGDKKQVRFGHHDGTAKFGGSMVPVETLREVEDES QC762_0076330 MVKSNIREPKVPVKGALALILYFSQRFNRYHTSSKLGSSIVVPK PHLLFVSRLRLHPINHNHSTLERQC QC762_500540 MMHAALLFSLLGLAAAGSPKPAKQTKVLFTYENTVLTPSSIRSF PPLSFGDASKPVRSKPKCRAFPGTSDWPSATEWSKFGNFLNGSLLRPEPAQAACYPGP LQNQTRCQWLVTQAGQTHFWLDEPLTTLTEWPQGSTCVLSANATGECERGGWPEYVVN VTSVRDVQAAVNFARNKNLRVVIKNTGHDFGGRSMGAGSLSIWVHNLKTFEYLPSFTM GKYTGPAAHVGAGIESFELFNHMFKSNISLVGPGWGTVGAVGGWVSVAGHGTLTSKYG LGADQVLSINVVTADGQFLTVDPFNHEDLWFALRGGGGSTWGVITSVVVKAYPPINTV TVPLNFGNIGFPSNSTDGTYPLPIRVATGQPPPNTNFMNNKPEQFWEGVKISYHYCLK VQELGGYCFSYIFPLGNNSFAFTSNQIIPNITASEAIAALQPLYTQLNALSIPVSLPS SGQIPPTLYAGNGQRMGSSNPANTRYRSRLFPRKNLVDPALWNKTFAAIRSGVEEGGL VFHGWGYAPTCKKAGYPGCENSAVHPAWRETVLPAALMEVIPARWTAAQAKANDEHTY KYTDVFKQLTSGAGSYMNEGDPAEKNWQDSFFGVNYKKLLKIKGKRDPWGLFWAQTTV GSEEWRVATADGYPAGQNGRLCRV QC762_500530 MASNIPMTPWPLVLSLLAIYCFYGIWLAIYRLFLSPLSRFPGPK LAAATGWYQSYYELVTWAKGHFKIKDLHDKYGPIVRINPWEISINDAEFYSVLNVSGS VRHTNIDVRQRAALGFGESHGFTEDHNLHKMRRRPLEPLLSRRAIDLAQENIWTFAKR VEELFNKAKGTGNVISLNRAFSAFVDDAVSETCFGKSIGLLEDEDFSPSWHKLLEGLG TQTPLLFNFPILPRMLNLLPTAVVTKLHPEGAAYALLKSYTESIVDTALSSTDSKPPS SRKLIQTILSSDLPPSQKTRSRLATEASVVLAAGGITTMRMLTITSYYLLSSPSKLHH LQSELTSLMRSYPTTTPRWSDLEKLPYLSACIKESLRLGIGATRHAAKYFPNDEIEYK SWVIPKGTSISVPMYPMHYHQEVYPNPWGFEPERWLGEYDKRMNRNLNPFSKGSRVCL GKNLAYADMYIMLAVLFRPGGPQMRLYETDESDVKFECDFGVSSPRLGSKGVRVVVE QC762_500520 MSSLPTKYTVGDYLAERLAQIGIRHHFVVPGDYNLVLLDKLQAN PNLTEVGCANELNCSLAAEGYARANGVSACVVTFSVGALSAFNGTGSAYAENLPLILI SGSPNTNDASQFHLLHHTLGTTDYSYQLEMAKKITCCAVAVARAHEAPRLIDRAIRHA LLARKPCYIEIPTNLSGAECVRPGPISAVTDPVTSDKAALEAAANCASEYLSGKLKPV ILVGPKLRRAGDGAEQALIKLAEAMGCAVAVQPAAKGMFPEDHKQFVGIFWGTVSTDA ADSIVHWADALLCVGTAFTDYSTVGWTAMPDIPLMAAEMDHVTFPGAHFSRVRLGEFL SHLATTVKFNNSTMIEYNRLKPDPVLVRTATPSDELTRKEISRQIQALLDSKTTLFVE TGDSWFNGVQLKLPPGALFEIEMAWGHIGWSIPAAFGYALRHPDRKIIVMVGDGSFQV TAQEVSQMVRFNLPITIVLINNRGYTIEVEIHDGSYNKIKNWNYALLVQAFNGEDGNA KGLTAKTAGELRDAIKAAEENTKGPTLIECSIDQDDCSRELITWGHFVAAANARPPRL PGV QC762_0076370 MMSTCFAAMRCAMLLFVVEDLAVFRLRAKNRTLSGSLHVFFMSG GVAVLQYYRWNQECRFFHSHWQLLSPLHALLVRLVNKKAPVIHSRHACRGYRVVWNYV HNHVCALDRRNTADCTPDCPWFRRWDGLIFGSQYHFTGKPWFSRFDGGNSGDYVLFMA SAQL QC762_500510 MMMYSRALEQTALSSIREPASAIANMLLHYLALLPVAFAGSHKA PSATTLNGTYIGRYLPEFSQDLFLGIPFARAPVLGNPTPWDESWRGSRSAEYNGAICY CYAPPADMERANVTEKSDECLNLNVIRPSGIGKKKRLPVVVWLYGGGFVDGFGADINS NMSYIVQASVAQQMPIIAVTLNYRVGFLGFPGGAEVAKEGVTNLGFKDQRIALQWVHE NIAAFGGDPDKVTLWGQSAGSHSITHQILAYGDQRGEKRLFRGAIMVSSSVGVGNSHH PTRYDALAGYKGIVRATNCTGAQDTLACLRRLSGDQLWEASMTVADLEVWKPMVDGDF VSMPPTLQLLSGKFRRDVSVLIGTGSDEGLGPSKSLPQDLDTDEDVHAMLRLLLLDAR NETLDLIMRAYPAEAQGPPYALPMSETDRLCEEFRIAGGLRCGKQFRRFASMFGDFFV IAGRRAVAQKFAELGMTAYSYRFDTWPTSFPITNFTNFKPGFAGHSTDYSYFFRFPRE HDLYGNNPPIPKGSEAHLQLSQGIAAKLIAYIYTGNPNAVSVPGFPVWPKYDVKKPTN MVFNATAHPDRLNVHIEPDTWHKEGMAIWPSHPIELDYRKTVPDNLRDL QC762_500505 METSDRTVEFISTVTTMTVIAFCAVFLRLFSKAKYGKEVMLDDN LMVVAWLLTLGSLALLLASVANFGYTLHQQVEDKFPKGAAALISVAQALSSCSGAAAK TSAALLLWRITPVFWQRALLKTTTAITILTTLIVAVVMSVKINDNNMQQECVDRTTAW NFGIFYAVWGVISDFILSLLPWIMVWKLRMKKAEKYGLAVALSMGCVTSILGIMKLSY INCVSVLDLDLSYTSIDLITYHFVEPTVIITAASIPALRFFLHNQVTAMRRSCRASEL LDMTNPITNASRASRRSFLPRPPAQAHQHHHPLVDSESMISLSAMFRSLPPSAEDPPP MPPIRSMPVARVGVAGASSSEGRNMTTVTTITGGHNNIRSASSNEQQPKEGEILRTVR VVVSSEGEGSTLTSEPTASIRESYREPREPREPRERSWNTWPSGRRESDLEMGDVIRE NERKGGKKNE QC762_500500 MPLPAGVYRADHVGSFLRPKPILDAREKLDTQSITLDSLRAIED EHISTVVKSQVQSGLQSITDGEFRRAWFHIDFLQHLAGVERHGSLSSTNVTSHGVTPP KIVVSGKLGHPNAIQVEDYKFVEKEVAKVSDGKTKITTKVCIPSPTMVHFRGGREAIS TEAYPNLDPDFFDDLVQVYQAEIADLYAAGCRFVQLDDTNLAYLCDEGMRAEAAKRHG VDDPQALTKQYAKLINRAIAGRPKDMVIGIHLCRGNFRSQWFAEGGYEPVAETLFKEL DVDVYFLEYDDQRSGDFQPLRFLPEGKIVVLGVMSSKKAALDDKEDIKRRLKEAAGYC PQGLDQLCLSHQCGFSSTVEGNDLTEEEQWAKVRLEVEIAKEVWGEDLSV QC762_0076410 MQHPKATIRALLKILPSPKLPSSLFRSPSRRSPNKCSSPPAELH MPKRPAFIPRTCACRLSPRRLGKPDHAPRTAGLLSSPPAAKSASTAPHTVRGIYFSAV QLPSDGGKQHNASG QC762_0076420 MSTHDEKEAPAASHVGGLATTQDVERIEAPVTWKAYLLCAFASF GGIFFGYDSGYINGVLGSQIFIDAVEFAGAKAVSESRTSLIVSILSCGTFFGALIAGD AADWIGRKWTVILGCLIYIIGVVIQMITGLGDPLAAIVAGRLIAGIGVGFESAVVILY MSEICPRKVRGALVAGYQFCITIGIMLAACVVYATEGRTDTGSYRIPIAIQFLWAIIL AGGLMMLPDSPRYFVKKANLPAATDALARLRGQPKDSEYIQVELAEIVANEEYERQLI PNTTWFGSWANCFKGSLFKANSNLRKTILGTSLQMMQQWTGVNFIFYYSTPFLKSTGA ISNSFVISMIFTVINVCSTPISFWTVERFGRRTILLWGALGMLICQFLVAIIGVTVGF NHTFPAPTAEDPERTLANNISAVNAQIAFIAIFIFFFASTWGPGAWILIGEIFPLPIR SRGVGLSTASNWLWNTIIAVITPYMVGTDKGNMKSSVFFVWGGLCTFALIYTFLFVPE TKGLSLEQVDKMMEETTPRTSAKWKPHTTFAGSQPTDSAYLKQESV QC762_500470 MSEQPSPEPRPDRRTREVAMYGDEMVEERQEASYDWVNEKIQNP ELRTEIIRVVKKYHGGRAGKWHSSLCGSYNAVFVIEFQDPESYGMMRVPLPRFSLELR EEKVQAEVNLMRYVAANTTIPIPHIYHSGTASQNPTGLGPFIIMDYHPNLVHLSTFLA DPDTDPEAPNTINPTMPEDKILSLYRQMSNILLQLDKLTMPQSGCLGYVDGEYTVHAR ALPQTLTDTITMAGCPEFVLGPSNRVLNTSHEVYQFLTDLHMAQLIFQRNDAVESADD ARDKYTARHMLRRAAYMNLLPSPSYTSRQEHITPTPETFKLICDDLTPHNVLVDPETG EVRAVIDWEWAWFGPRSMAADPPWWLMLRKPDMWNGGVEGGVDDWVGKYPKYLGIFLR ALEQEEEKLGKGQEVTVPCLGEPLEDDKVAEDGTMSEWLDNLHISGDSSTTTTTTTTI STNQEGPKEPPLSVRMRKNWEDGSFWINYAARRSYGLDPIYWKFIDERLFGENPAGGY EKRAESMTAEARELMEMVVEDKMKEKNGERKVVEWFAEEARGHLARVLGYGQL QC762_500465 MSRNPSRSNSPAPRQSTITESTLSSGFGDYGSPGIEGFARPPSR SSSTAAQRGSASTFSSTTAQRGSTTTTAGRTPSTPARPASPGPRPGSSGGVRPGSSGG GRPGSSGSAGSGDSIIPTEGNVPAALLNRGKRSQEDRQRLAIGESNASMLDSRGRSAR PSRDRKSRKDGSKSSSRSR QC762_0076450 MERPTRRPTRHAGPDKSHSTDFGSTSTGPSHSATVSTIAEAGAE IEANRQRRLEAEQAAERVRQDIERILQRGPLSEERMEELSIAAEEFYRQSMERRRKRQ LRELAIAAGVFLVALVAWWAWWRIEW QC762_500462 MLSLSSLSALCALAVAALAVNPAPPTLTYLFSVNLTFAEPISIG SVPYGTRDLLTISGGNAVGPKISGTVGKGLDWGLTSRQGVFSPDALYSLHTDDNATIL IFEKGHAPHVHILFETASPKYEWLNSAVAYATGGPNEVGVGLDVWQ QC762_500460 MVSFTTLAAGLVAIFASSAMAAPTNAAAAASGDFTHYSPSVGLG ACGQLHQDSEFVVALCDKGLVSTVLLAVFTVVGSLTCDTLSHADFDPRAPGGNPNNNP LCGRRLRASFEGKSVEVAVVDRCPACSAGSLDLSPAAFSQLADLGRGRIQGSWVWL QC762_500450 MEKAATKPYVMREVPGKGRGLIATSKIPKGTRIISEAPLFQVSS VEIRPDVLEALIVSELHHLTKDQKRAYVSLRNSYSKESGVRPIFGIAKTNVLLFGTHE PEGGLFLEMSRMNHSCRPNTHYNWNNKTERLTIHALRDIQDGEELTVSYMTQTGPRVH RQKFLEDCFFFHCECELCGLPPGASEESDMRLLEIAAIEHELEDGNGTFYYAKALALL REMFAVFREEGIWDQSIPKVHVVAFQIACMYADERRAKIFAQRALEVRVVIEGEDSLE VAKLRGYVEDPSQHASWQLRGRSPPDEVARMEGSEVDDWLWGKMTLEAALDG QC762_0076490 MKLSLLALASATSAAVITTRDVVFEARNFTASCVPHSAMCFYSL NAFMPGTMDTLGYNCTASGVGGVGILPEIKGGTCPPSSRTFDVLRNATGMTVIVSVQV SRLSYTRGAHFIPSEQIQIIKGVTPTGDYTAYVGPKDFPLERIW QC762_0076500 MDPLSITAGVVGIVAPTLHCVRLLVEDLQNIADAPNTVKALTNN LQSVELALDSLGAVTDLQWESLGDAITTQSKATITSCERFKTSLDRWTRHSTDGTLSW RDRATLGIFRQDHIKSISKQLQQCNITLTSVTSIATLHSSLQQAQAAEEIRTIISTKE TAVNNAITATNDQSAEVSAQLVALTLAEPGEGETDADQASATKQVAMEKKALHESRML FEEMLSVIQTAAANARADQGTTITFGNNNSGQQVGVNSGTITATFGRRG QC762_0076510 MANLASTFWNQGRWEEAEKLFVQVMETRKTKLGADHPDTLSSMG QPSVDILEPRPVGGGREAGRAGDGDSQDQAWGRSPFYADERGQPSVDIQEPGPVGGGR EAGGAGDGDSQDQAWGRSPRYADEHGQPSVDIQEPGPVGGGREAGRAGDGDSQDQAWD RSPFYADEHGQPSVDILEPGPVGGGREAGGASDGDEQDQAWGRSPFYADEHGQPSVDI QEPGPVGGGREAGGAASTYRNQGRWEEAEKLEVQVMEIRKTKLGVDHPSTLSSMANLA FTWKSQGRHSTALALMKDCAQARQRRLGAEHPDTLSSLATVTKWGS QC762_500410 MAWSILALAALFVGAEATTLDLRQSARGSDFLRFSCSQLVVERA DPIVNPGQLFSPHMHQIVGGNSFNVTMDPATIDPPKQSKCTSCRMVEDFSNYWTASIY FQSPENGTFKRIPQMANGQLNGTIMDQTGGITVYYMRPFSGSNKKTTVFSPGFRMIAG NPVNRNKGTGPLVNCHRCLAKNDRISGGNGAPCDRSDTAEFPNKPCPGGIRVTTIFPS CWDGKNVDSPDHQSHVAYAPGNQALAGDRCPASHPVRIPQVMYEVMYDTSGPFANPDY YKNGKQPLVYSFGDKTGYGAHGDYLFGWEDGALQRAMDGLGTNCFSEQCPALKLQTPQ AANECTKQQQSREDVGTSTWLKELPGGVQVY QC762_500408 MTLLPPLGRLRLASPENILRLGVVCTSGFRYSEHFIWERTAHDR HPRNTVTFFRHEVAEFIKTPELSLSLLSMPTTLMNPPNPKQSNPLITFGHRRQPGHPW QC762_500404 MATITDTITGAQKPDRLHFVIVGGCLGGLATGIALKVLGHNSTV LEHHPTPLLHDQRADIVAGGHALEFFNRYNRCQRKDISVPSTCRQYLDRDGYIIHTVT ASHDMSSWDLNYFLMRANYDGISSPSAARSPNKTSHPRPW QC762_500400 MRILSVVTSMANLKTIVAFAVVSLAGISAAARCAADNCYRALFP CPSPSAVSVASAFCATITASGTTATNYPTRATNACGTTADRYISACQCGPTCSYSTLA TATSSSSTTNAPACEPPSTSTTFTSTTSTAPPACESTPVNGNLLYGDFECDFTPWNPL VFAPFTGVYGYSVTTPGFTKVNSFQTEFLGTPNCPTTCTYLRLTSPVFPVTPGVKYKY TFATWFDGISRGFVGTKINDRAGRTVSALDYPVTNWRFHQVPFQANATENKASVFFEW LNVESRLDSVTFAPLSAYCGNKSPVGLLPDGEFECGLGAWTQQKPDPQATAGVVNLGS DSLYTNSFPMGDYAWRVVSPGVPNPANQELHVSARIISGSMAVTPGKKYLVYFTSFFS NRTVGSVGVMINNSPIYTRNPNDAAQGTPAVFSPNHIIWTNMAGLTSASVKIEALVFG AGTIAIDSVMFVELNDGVV QC762_500390 MPSQTLALLAGAMTLATVGAQLPTSPILPIPFRQPPYHNYSPTT VPTEYVCGNQFMTNIATPTPQPNRPEGEPKKSKKPETEEDDNYELKAVNTHRTRPFAA PTGRFKSLQYPYTSVGRHMHVLERTPADCTSQTSELTVLAAQQPIFPPELQDLAKKHK VWFSACGPTFENAVDSSFDPQAFTPAFEAWSDALATNLRRLMNACHSSQETVDTINRD RCLRDLLAQQAPVIPLGPIAEDQATFTTMFTLDIPVPSSCPDPVTITKMSTMSVTATV TVEENKTVVIDKTGEGVQGVAVSLGALLTGVLAGAFIFAL QC762_500490 MGSTTIIRGFKLSVATLDAFLSANNVDETYGTPPFYKHHLENKD LISKLLFSKISYFDPNADKNKFRVLIPSIEGISRAKTAYVAYSWAAVRAHREVKMEED LPEEIPKGFEELRQEILSYSGKGDVEEEDKVQEEGKMGIYLVVTCDIRGYYGGNFSSK EGL QC762_500220 MSYLDGLDDGDLPPTYTEAVTTSHTGGSFTSSHTGPSSSTGNDS VLLPSSLTNPLTSPLTNHLRTLPRRLYQAQQARATEQASRELDIITALVPHIEHFLSN LGNHPSHAAELVLVPEAAVPKGWVMTGAAERRREGEVVKIVKANLGKLLSQHTGSTAA TQPPGKGEKSSFSREEDNDDGDSPNEDKPEFDEWGRFECEDTDGIGNSDTSQWLWFKD EGMARRLATYLRPEPNLERKHVQATVVEKKAEKGIWKSWGSKNKERVGSNPSSPTTPG TPGTGENEDAVKMAVRAREITFRKENDFGVWESRTGFGIVCNVRIAAR QC762_500230 MASYRIAAPDEYLAITGMGVKNVKITKAAWVWPFQRCMRFSVQP HDYAMNLQAMTKEKLQFLLPVVFTVGPDVNQRGANKKGKSPAPPGVSGAGSAGSPVED DEDGVYDYDNHGHVAGREDQGDSLMKYAMLLADSGAKKDGTKDFLENIVKGIIEGETR VLVSSMTMEEIFTEREVFKRRIFRNIKSELDQFGLKIYNANVKELKDAPNSIYFESLS RKAHEGATNQARIDVAEAQLKGNVGESKRKGEQEREISKIQAETAVAKTQRDIERASA EAVLDTRKAELNRDVEISRVAAKRSVEAQDEELKVKVEIKRAEAELQRLRATEVVKAT IEREAKQQAADAAAYEIEADAKANFEKAKQLAEGAAYKVKVETEAAAYQTRQNAEAWT DAAVKQAEGRLAGDIKTAEGMMAMAEAYAKMSQAFGGPQGLLQYMMIEKGTYVELAKA NAEAVRGMAPKISIWNTGAEVGGEGGAANGTAAMRNIYQMLPPLMTTINEQTGITLPE WQFGKMAAQTGEVQKAMKPNGTA QC762_500245 MAKHHCPEVNLPPQALVLSVTPKPVSMAVKRVVAVLALATSFLA TSVLGEEQAKCGQASYYPSEYACYNNKTLCPITFSLPTKPCGGGCYSPEQYSCEDETI KELPEATSPFTLTFSGVRKTFQNLNVKACGRYLAVGANARECHACTAAGGTNCGTYQN STVLLPGGQMASDVPGHQYWYINPTDGILRYTEALAGNASLWNATIPGKEFAGQNVKV YENGLFTWTREDATTHWWMACLVTLPGGAVGTARSWRIYAPTYVNMERGDCELGRIFS KAVDRKAGVYKYP QC762_500250 MKTGPTFPIETTALLVPSPGSPFTISPVLIQNLRPNELLVEIKY SGLCHTDFLLRDGALPKVTYPSIPGHEGTGTILAIGPAVKNRSLSIGDDVLLSFTSCN ECHHCLEQSPSTKCKLMPPLNLSSVRDDGTTAYQMQDGTPVSGHFFGQSSFAKIAVVS ELSVVPIKGMSEEEMGIWAPMGCGYQTGAGTILDVFKPRKEDVVVIFGMGGVGFAALM AAALILEVETVIAVDLVQEKLDLAKEMGARWVINGKETLDVVGEMMKITEGKGADFAV DTTGVGKVVQDMIRCLGQGGTAASVGAPAPGVKIEVDVGMFFALSKKWIGVVEGEVWP VEFIPRLIQAYKEGKFPVDKISKVYPVEEIEKAIADTESGKIIKAVLKFQCQLQSPTH GT QC762_500210 MSRDNVLCPRAVQDLIADGHIIVIYDEYVLKLDSWLERHPGGSL AILHMVGKDATDEINAYHKPPTLKTMKAFRIGRKPPGIWTNTTPPIRGGVYVKEPEEK PAVESTIPTDVSDAEDSSVLETSISDLSESRSTGTAPTSEDSCGEDPEETTIERMGET DGETFRFRSAKKIYVGKKDPLAFTTWAEKQDEARDILEYPSVDPAVQQDIVKKYRELH EKVYELNLYDCPYIEYAKEMCRYTTLFVASMTALYNQWYLTSAFFLGLFWHQIMFVAH DAGHRAITGNFVIDSLIGMFVADFCCGLSIGWWKSSHNVHHLITNMPEHDPDIQNVPI FATSPSFFRSLHSTYYDFTFIWDAAANFLVPFQKYTYYPVMGIARFNLYLLSWLHVLS SKASSLGSSKAWWIRPAEIAMMSCYWYIFGYLLLWCTLPSWPVRIGFVLVSHIVTMPL HVQITLSHWAMSTSDLGESESFPQRQLRTTMDVDCPAWLDFIHGGLQFQAVHHLFPRV PRHNLRKVQTLVKQFCAETNIPYVLLSFEDGNKKVLNRLQEVGDQVEHLINCQKYMAA TGESGLH QC762_500180 MSTFLSLPNPPLPPPLAALSRAATRQEPSSGHSTNIRPLRHRLP PSSCPNPLILSPPPSDPLPKQNETIFQSFEWYTPPSSTTPKSHWSLLTTLLPSLSQLG ITKIWIPPACKAADAKNGNGYDIYDLWDLGEFEQKGSTPTKWGSKAQLEELCRVAEGR GVKVLFDAVLNHKTGADYKERVKAKKVDPLDRNRELDGGEVREIESWTGFTFPGRGGR YSGREWDRRHFTGVDWDDLTREKGVWKLGGKEWCVDVDEEVGNYDFLMFADVDHRHPD VQQDAFDWVKWLPTQLKIGGLRLDAIKHYSFQFQKRLLSHIDDNVENGKDWFIVGEYW REDSEFLAKYIEYMDHRISLFDVPLCSNLSKISMAGERGDLRDLFKDALCLWKPNNVV TFVVNHDTQQGQSLETPVAPWFLPHAYTLILLRANTGVPCVFWSDLYGSFASGPSSFI PPMSGNPTLLARLILIRKLYAYGTQHDLFSHQHCVGFTREGHSAHGGGAGLAAVMGNQ WGLSKLKMYVGKHHSGEKWIDFLRLCPGQVMIDDEGWGEFPVSGNRGCSVWVSETAEG KDEVINLKFNSDIYRIEAEMNRRQSMFERRQYEIESMSRRALASNLPTV QC762_500170 MFKKLHSGWKRFEDWANEDDSVPKSTTTQTNQTKTGPSAPTQTS TEDHTSNHSDPVTEDTPKPEAYCYANKSTTSPYKYTPLPSTTKSAIRVLTLLPGRADA DIECQLIELDLNNPWTDDDDEEGFEALSYVWGDITETTPIQIDGATVQIGRNLRSALL HLRYQEKPRILWVDAVCINQEDMDERNRQVMLMGDIYTKAARTLVWLGCPCCLLGALN TDRLTYSQGKWLDHLAGIDPLFEAIEILGNEARKLAEEGREVVPKQGEKDEDGTTYDR IKKLKPKWNLIFLENPWWTRIWTLQEIVLAKEARLCMERHELGWDLLSVAIPYYTALG FGDFSEIYAGSKTNSGVEPFNLVNAIREARQPGSELVTGGVGDELLHYLASSHWRDCK MPQDKIFGLMGLFSEGRDVGIEVDYRLDAEDVYRQATKSLLQQSGNLDALGFCYPYKI PRVTNLPSWIPDWGSVGNLALPLMNDAKGQPRTTHASRGLRSNPRWENNDTTLLLDGH IIDTIAKLGRTQVPPNQDDRNEGSLDHMLNDPAMIAEADSFPDRWEDLDPERPIRHFI SAWWKGMRMAVPYVAKAFLEIFGNVVEMRETFLQWDEFVAAELGEPHEDRRVIFRDTI TTATPCPLEPSVFDSRFDGWLQAVYSIRKLKKKRIDRYAPKTYTTLAAVTTFVKMEDD DLPEAFATYTTHTPRRRLGITASKRVCLLPKLTEVGDKVALLRGGRVPMILRPREDGS MQFIGEAYVHGVMDGEAFHEEECIDFRIT QC762_500165 MLQDASQPPPDPVCQSIRICPPNKGDGSNGITPDWSPERVNILC SLSSLIRTRVEELRLHPELWWTPVVGDGIDEATAIRVLDLVGPGTAGRKQRVSLLSQE CNVMWAFQINPTLFPASLTWQAVDDDGQLAASRAVVRKAARRSYASLSSSSSGPKFSW QTEPGSRSRLTSLQLANIALVLEWEEAFKREIRTVIWDWTGQTQAVLATPVGCLQAHG PRGLDAQRRIEKEKVLTHMRDYLERQKNTNKTSVKRIYKDLELQNMKLETSSFLHPSI YSMLREIEGAILKEKRPGNGASAVSQLKTVNPNRPTTPGLLGKIKEMEHSVENMMSGG KGHRKFVQAMLGHVQEFVATQQEMLAGEMWKWRVGEVRRWSLQ QC762_0076700 MVTVTVAELSAMATAAPASAVDYADVLRHPEKYYQGFDLVWIMI SSALVFIMIPSLSLIYSGLGNRSFALTLFRLPLITAAFVGLQWALWGYLVTFTDSMLP SNWWGGENRAGGLRDVAGRPVVVGDGPDESAVIPELVFALYEGMFAAFTAAVVCGGTM HRTRPRRFIIFISLWSLLVYDPVARWTWSSKGWLRELGSLDFAGGTPVHIVSGTTVAA FAVFCSIESRGNLLDFLIDAGHKVWRRVQHLAYGVWSILRIVILLFTCGHLRVPEGED APNEELSEQGVESFPYNINFVVLGTAFLWFGWAGFNGGSALGGNLRAVSAWTATHISA CAGGVTGMLWIWLMKGVPETDVELEGGDPRDEAARNRAMARQAFDRISVFFFCDGAIA GLVAITPAAGYVPVWSAPVFGVVGALCVNFLKKEAEIFLRHDPLQIFAVHAGGGVVGM VLTALFVDSTTIGLDGHSTIPHPEYSTAQRVGYQLADVSAGMGYTFFMTLGILYLMKM VAFMFGKSSWSQTGVYSDSNRLEDNFQAVVQQQWRGDLDPEGRPFGRLVAPSLPPAPS RPLRDDDIHLEHLKNLPSPPRSATSGAQVPPWGMMPELPGSQGMPPWPSLPSQGLQPR T QC762_0076710 MKATIFIRYKIPSVMKKSSENHADNTAAGVHGKDLQRVMSKEYF GFFFQEVDAKGTNNTKHWSAPDGNLNLLDTGHT QC762_500150 MSEDFLPFGPPWPPNETRDRGESPPKPKDKADRGNVVPGISPMQ SMIKMIAAGDYIPFSPDSPVATRQQQEVFPQGPRRSSVVPLNLRTRIGNQSPFGFDRV NDPPPTVAAEAQAETYQAVEEVSIPNLGAERPQTKADSTLWNPVLLGARDERKDGNRV WPARKGGIYCDTCFRDECIRWIRARDKALSVSRLLGVDGEPEGMNPIESVIFPAQIKH RAKKLFCRTPPDVLGLALLRYLAQTRIEALGEDTQDEVTGTLDSLRQDIRLSKGRLKR LERQCRTLVETCVVSESGQKKIIPEGVVWLWEFDAMLQDVNKRSSNETPLDMSFATTL TNRAHEAAIDELWNMAVAHINVKDSMVHRTKTELLRLIGTAMAQAATKSKQENRGRVG EPLPCQYCAQSESVNSRTARNSNGRAVKVKPWIEPLVWSGEMSEETASSASAEEFLRL VESRGAVMAEVSGIKFLVDSTGGKRRIVLVEMASVLDDMWKERQEDKRF QC762_500140 MEGLTLILLYLAIPSLVYSAIQQILSWKKKKSVTTVAKFPGPKQ YPFVGRIHDLPRFSMWLKFKEWADEHGPIFQTRAVDQTFIIVSDEKIAEELLVKRGHI YSGRPQIRSLINHKEGVGYSALMDRHDTWKTQRKWAHAAMAEAYKHHFYGHCEKEMKR YLGLLLIDPARFLDYTREYCGRVMSRLAWDDATQGKANGDSADTTLHCMSVSGPITNT VTPLWHLPSFMNPWYNFEIKREKEQRAWWLNNFRLAKDRMLKGTLPNDTWAYRYFEQL KREGNESLDQEEEQEIFASCMIGFVNLVGVVTISGPLKFFLMAMALHPEWQRKAQEEI DRVCGDRMPTMKDFPDLPTVRACLKETVRWRSGVPLGVPHQAERDDVFRGVPIKKGTI ILACEWSLNRVPEKYPDGDNFRPERWLEPGWPTYQEPLTRYPNFREGQAMHSFGWGRR TCLGQNIVDDEMFIFGASYLWAFKSAPKICPRTGVPVPIDTQATNSHVILEPLPYHLS FKVRSEERAKLILSNYQEVMGELKV QC762_0076740 MGQAMGISRLSQPPDGHVAASLTADDVLQDIQKLKTDSRFLMRV SVPTSKLPYHSSPFLLQAHKKAINIADAAKHASWIPASLACSNLLDQNQLPTWFLFQC VGDNPFRSEEAPSSMFRAKPRHIDTDPNPGQTRLRV QC762_500130 MTTNNILSLPFRKSVQLSLSSSLRQYISKKYDQHPDMFRHDLEV IDSLRRDAVNTREPHSTGIRKLQTYAAQLVWMSGKFPIDIGVDFTWYPALGYHTEHPL VQNNLQYELLNILYNLAALYSQLAISSNRSDTKGLKTAASFFSQAAGVLSHMKKEVLP ELRMANPPDDMDEATLEALTQLFLAQSQECFWQKAVMDQYKDASIAKLAARVSDLYNL AVEAAMQSEAISSAWIHHMSAKHHHFAAAAQYRAARDCLEKKRYGEEVARLKDAVNCV TEGLKECKGGYISKAVVDDLHGLKKRVEEDLKRAEKDNDIIYLQIVPPKPELKILERA NMAVASVPSQVAKPYEYFGDHAEFGPALFTKLVPFSVHVAVSIYEERRDRLVNNNIIA ELETMTDRLHEILSSLNLPGSLQALEKPLGLPSTLVQHAEEIRQADALNKLQRGFADV EKLCASDKAVFEEGKALLAAEEEEDHALRLKYGTQRWARPESRADPSHDGGAKLWNQA GDIDGYFASSTSSDAVVREKFAAVKETLAILAGPDRGMMDYIPNSRRTEIPELLKPAI GRLRGVYNDVLRLESRRRKRIESLRARSRADDIKGDIMAEAARLERTYPNTPIVPAHF EDFFDKRLDSLYESELEALEKEQADQEKIMSEIQRANRDFESQKKVIGESGNREREKA LQRLDNAYYKYKEIVSNIEVGRKFYNDLSRIVEQFRNQARSWVNERRQEARMLEDELS MPPLASLSMRSSQPQSPPPQAYQSPAPSSYYMQSPQRQPVRAPAVHSPHVEAQIQSWA DNVPQQQPKPMPPIASMQGAWMPNMGIKFSEAGAGGSGGSPGQGQQQGGGSSSGPVRG TWDPNSGIRFG QC762_0076760 MMHGNGAWDDRELHKPIVPPGLGFITCITSLHRDKKKPNDTTAA TYSTMATNQVTRWVTNQDGIENLTKETIPMPTPAKGEVLVKISAVSLNYRDTEVVNGL YNYYDKPGTPKKPLVPVSDMCGTVVSVGDDNSPWKVGDRVVSTFLQSHLTGQVYPEHL ATGLGKPLDGCLQGYRVFENEGLVRAPGYLTDEEASCLPIAGVTAWMAIHGMGVRKGE GETVLLQGTGGVSVAGLQIARAGGMKTIITSSSDEKLEKAKTLGADYVINYRTSPDWE KEVMRITGDKGVDVILETGGAGTLYKSLDCVAFGGLISCIGYVSGREDKAGEARVNLN LLALRRTVTLKGIINGPRDRFEEMCRFYEKHQIRPVVDRVFGFQEAAEAMKYLAGGSH FGKVVVRVE QC762_0076770 MSQTQRDVFLYRRPISSFAKIPLLNKWRKATINHWTVCVGDTCY EVAATPDDPSGLSHNLRIKPRSEWIEEMQKKALEYEPINLGECKTTWPDDKIEECGKY T QC762_0076780 METSNYTRDSGPPKNCDTVPRSLFFRIFAFSYSAALRYHTVQPM HCIQRNQNYREAKHDVIAALARFRKTKSEELRFVQGAATLSGAAVIGVFSWPSTERTV WIAKMLWNWSLFLSFFALISSAHQRLLRLLPEDPSDELSEADLARCLSLFLQPPVPPG KGDRMFQRGISRCMVWFWQCPTMLMSFSWVLFLVGYTLHLLTPVFDASLAEFNTLVSL YFMLDPHGSRVLSYRHIACHRYRVWLHICGCQLLFLCRAVSEAHFEGQPWDY QC762_500070 MTSATLPPQTSTPVPLAPSQAKRLYGAIHLFCALKNALPHVSNP HTPDDDSIVTTPDDDRALYRCFVNKIAQICDTKHGGDTVTSAMIVQPGQVEYWIASNS RTKKQMARVKNFLSDEILKVLGSMKGQDLEDEAKVKAVSDSLLKKVIAFCRWRLYKYL RTLVDNIGLCLESCAKDAGAEAKLPSPLQIKERMCTGKDLLNRLTTDVEVHAAYDFFS PQLQARDFDAGLENSIKDPKCITTVHAEVTLLSNLRRETLCPSPGREDAHWDFFMEDK FGRYIGCSKPTCMLCDIYFDASPVKVDRRKGHGNLYHKWRVADIIQGSMVNGAVEMLV RERKNVIEEMIKTLKKEVKGVLVERRGWRGSRHDSRATPSDPFGSAVTAAGSVRGGLT EGRLMQAQAGLHGSVGSGSGSVQGRGRRGRLVLEEVEEVEDETDGDQGECMEGDSMEE VSRLMGQLSVTRGRKEEEDDDDDEGGAKL QC762_0076800 MLEFLLPLVPMPSSRVSKGKQRASEWAPYDHPSSLMGHEMYEEL VPGQGAIDPRLLQNDFAPAPPEPLDYPAGPTEYMAPAEAQHDTPALFPSTYVIGSHQI DYTNDYRDAQNQFPTSQVDSFVPSYPSSSGHES QC762_500050 MTSHDITHTSYEEQGYLVDPSLYQHEDTSYYTAGEASTSYAQPY SQEPDTTEASPTSSDPNKCSVCGKFYRRKCDLDKHMNNHTKRRQCPFDDCEGGGAETK DLHRHLWTHHPEYASANNIPKDEEWCGFQGCGYHGRRDNVKRHRDNHNHWPSA QC762_500040 MSSEEKTAITLPPSVRKRFYEALMIICSMIHILSRTENGTKSPS PDLEGVADKNSQGTFFCFVNKLSQVCDTERGGDTVTAFSVLQPDIIEYRFTSNSRTEI SFQTTTRFVTKLLNTLGGISGPELQEARRNGEDSLLFLTLMQQILEFNRPRITELHID YQMARHLEFCANACTDADVATLLRAIKTLADASLEPLLSDCEFAIKCQLLLRTISKIF RNPHVKEYLRERTREDREGDNKTPWTEVYHSLGRLQSYTIAVAIFITARQRWPELFDP GFKVIHVPSSTPAPPPSIRGSPERILSRLTTDKAVLKAFKEGTTDAAGHQSHLTDLTT KLESTIRSKCKTFHPIVHAEVHLADHILRELRTNNSGLRFYNEAAFGRYIGTSKPTCR LCHLYFACPLLSSTGRIQVRSSSHNYYHNWRVPHVYPEDGAEAQRMRNKVMEWMIENV KPEAVRTVVERFAVRNGHDSNTYPSVPDTESVASMQVGHGGSQSGVGESVRRLGAMDD IIASFGGMRVSVEE QC762_0076830 MRATTTIILIAGCASTAFGAATKMFSDENCGTEVDKKVFNGFST GDAPIPSDIKSIRTDSFSDTWFAYQDSEGPNCKGDLIQRVKNDECIKIADLGIGCTRL CSGGLGGGDCASTQA QC762_500020 MDSPEGEFDGNDFANNLFSDLAPLLTLFGEQVTKQFLSMSVGWA DNVLLAMGPLGILTIVVSAIRVAGETVPNLKALIGRAREDRASVEVELLSSTSREVCE LWTGTQIVRVRGQPLISQPFIMTDTGNVYPLWNDVAQGTFEVNFMAKDWDRETSLLAK VPPNLGLNISGAIPSNREMWMWAALGTVLQLASLVVSGTVTYRWNLAQVEVPTTTYGY PCYLVGSLLLTLGVLLCGHIVEAVSKERTFRCKAKMRKRVRIFRIQLAATVNDQHFDS YLIFNSPENPDVYASFYQGMKKNTRYYAIAGSALSFMGFITQFIGLRGLHWSAAVIQL AATLVMTVFRAYVRRGLAKGLPAVLVNTDLDPALTLAVNVAKWHTLESSIERYARKKL TGLNCESLESLGIITGGCKLWYQSGRVTGNIAVRHEVLLPKSTPPTGRQLPHLSTSIR IEGKSPGGAATEQGTEVHALLTMWENASFLHREQSAASSQAQILEDAIWKIMAYLEES GRDPTPLISWKKTSPFLKRASQSAECGRLIWGVDCLGYRSPKDGNGCEPLEATASHVY STEIPLAVDYPTTKMRDILEALLSISSCGSQPFRMARIIGTQLVPSDNPVQGNLARWL GSRSRAGNMNGIADENDYGMGWGLCWGMYLSPLAKSHNNGNDGDTKARTLTNDDSGEE RIIIVPWPKASTGLESYAQELFSLFLLAIAEHIEKVNGVTENLDIDTSPASKEQDGYM YEYQNTWQDVETPPRSLENSVFEGLVDIAVETGICTTRKAARILIIPAFAWHGLLPEV DSTSTVENKNEASENLGPVRSGLMSRQGAGWDAAYSVETDSQQEAGQTR QC762_0076850 MTLDHLTLRPVIPLALYQSKEDKKQPEKPHTLADLVAESEPLPV STLQRIPTPLLPRPIGTSRISTLRLPPGFEHLFSTEAADKRYADQITSGGTAGERASK SQKKRAKFRTRILL QC762_001630 MISQYFIPQFLLPRHTTPSPSTKPPDPSSTEYCDLKALGLLPPE YPIPDGGPTVSRTIWSFLAFSTVFLFLRIYCKKWRSRGLWWDDYVLIFSWLMFIACAV ICQLVINLGFGRYPCDIPPSHHPTIAFVGATLGSCITILTIVWSKTSFAITILRLSPS GSVLRNIAWFVLVSMNALMIVQAVVVWVKCNPISKNWDLSNEGTCWDVHATNYYGVFC GVYSGVCDIVLALLPWRLVWGLQMRKKEKLGVVVGMSMGVVAGVWAFIKSSKLVLLGS KNFTYEGCLLLIWTSAEIGTTIMASCIPVLRVLFKELHDNHVEKQNSKETADSMNSQQ PLSWPSSRAHSAV QC762_001610 MDANNPLSDREKALENEYIRKKEIQMAKERAAKKQAGSGRRSPI AQGSQDVNKDK QC762_001600 MTLPARISLPVALRPARGALTTPRVCATPSRTLTFPAAQPHFAR RFHVSTNTKQRLRLTGNQSQLTGPYNTSPTLKATENETALRKEVEDLKELVAQLQEER EQLVATKGGIPNTIEPTLGEREYISQDAPLFSDAKLDAQWVKLIPAPEAEDAKLMILS RLWLRDSCNCPKCLDPDSGQKTFSTTDLPEVPATSRDNVRVRSDGSLEIIWENDPISN GESHRTVLSAGELNLLYHNNNPRIQLQPPIPRTLWDNASYADLVRSGACHIDYNDWRN NDEAFWTAFEQFTKTGLIFLKNVPQEEHSVINIANRIGPLQYTFYGWTWDVKSKPRAE NVAYTNVFLGLHQDLMYHDPIPRLQLLHCLANSCEGGESLFSDGVHAALQLLNTDPEA YDILTKTDVHFGYDKGGHHYYATRKTIEADPNTGAPFITHWAPPFQTSFPAKDKNNRL RRWRDAAEKFQRLLEKEENMYEVKMKPGECVIFDNSRVLHGRREFETSTGSRWLKGTY ITPQVYRAKETELMRRLNKGKPWPVDSTEERGRIWQLERDMVKQRKK QC762_001580 MSGYNKEKEFGEAPKVHKIRITLSSRKVQALEKVCSELLERAKS KDLKAKGPVRLPTKTLKIMTRKTPCGEGSKTWDLYEMRIHKRLIDLTAPTEVVKQIII NIEAGVEVEVTIAA QC762_001560 MASRLPAFALFPLTAWRDQLPADEWAACLDAWVALIDSHLSLSD ADFNSASVKDESLPSFLTSFTQETAQNGVGILGPSPAAKRLLRGTYQLITKLLQSSAS PSSLAQWDFLSDVSTVYGKKKTTILLDTLSEASRSYLETSLAGLKKFLIKNLDAGLSG GDLNGIQSRLEQVNNLIHASPFVAEYFLAGSDFLDGLISCYKITNPPLRRSLISTLYV CLIGLADAQKVGPLTDHLYSLKSAADTHKSGPLNVNDSLVAELVTSTPLLTQLSRKLD PNVSTRTTTVISSLAAFKKPTSSTLFPPKPKRLIKRKIDKGKSLALPEGQHQEIHIHR LSSISQVQDLFPELGSGFISKLLDEYHDSTEQVIAHLLDNSLPPHLASLDRSEDLSPV KPPTIRRHSSLIPRPTPPISPILPPARDDDDEDLALLTGTLHLGKKPGTADSLLRDKS TAPAKAAILSALAAFDSDDDERDDTYDAADVGGTVDTSLGDEILPDGAEAHLFRTYQA NPKLFARDKESRQHAERIRLRAETGMSDEQVEGWAVMLQRDVNLQKRLQKRYGEWSGE QVEIQRTGWVAGEEDTDGDGPSRGGFRDNRGRGGNRGRGGRGGGGRGGAQQSGESGPQ DDAARRRKEANKGSRANHNRRDQRAKKMARGGFAG QC762_001550 MDSTSHIHIQSWVAPTFAKLQGCGKAENSCHRAALSTKRVFEKS KSVATNKPRQNDTTTSWYSNSIRHLETTGIMTVCKYFQQGNCRFGNNCRFEHPRDGGS SQSPFGGGGNRFSALSGGQQGAFGGSKQPDQPVYAGLNEEAIRKDLQNELPQWIFSCY GPGKDAPDNLFGGYPREQQPEELRIHFMKGQAAGEAEAAMNEIMQLHQVARQQIEHTL SNVPAAIQHVHDGINRHPNRHDICKQGTVGNTGTAFGQPAPNAFQSSQPASNPFGATA QPAAGGFGQPAALGQKPNPFGAPAFGQPAQPAATPSPFGQPAAPSAFGQPAALGASNP FGKPASSGFGQPSALGGGNKVFGQAAAPAFGQSGFGQTTQAPSAFGQPAALGATPSPF AAATAAANTAQQNPSPFGQPVQNPSPFGAPAPPAANGFGQPAATPSPFGAPAAAPASN PFGAASQPAQNTPSPFGQPAAPAANPFGQPAPAAAAPSPFGAPAAPTATPSPFGAPAA TTAAPSAFGQPATAPAPSPFGGTTATAPQPTPQQGVGPYGPSATRSHPPLSSYASKNP DNTLGMFKGRPVMYEVVKSQGEKPIPVIRGFDGSIQKIWNPNGAPNYTAETEAEPEKY NDPTVQRQWMMFVETGRFENGIMPEVPPKREFCVWDF QC762_001540 MAFGAAHVQLWLGLLAVAGLLYTSCLVIYRVFFHPLAKYPGPLL AKLTDAYMLYYAWRGDRHLEFWRMHEKYGKFVRFGPNALSANSNTALKEIYGFRANVR KAEFYDAFVHPAPNTHNARDRDLHARKRRVLSHAFSDGAIKEVERYILANIRTFCEAI GDYGRAIQDNKGWSAPKNMSDWCNWLAMDILGDLCFGKAFHMLDRPDNRYAVDLVGVA AQRHLLCGTMPIVNKLSLDKILFHKIAAGRAKYMAYSRQQLTERTALGDETDRRDFFY HLLKARDPETGQGFTTPELWGESNLLIIAGSDTTSTAMAATLFYLVRNPAALAKVTDE IREKFSSLEDIQLGPVLNSCHYLRACIDEAMRLSPSVGGLLPREVLAGGMTIDGEMVP EGTIVGTPHYTIHHNANYYPEPFAYKPERWVASSGSEKEAGVREQEVALAQSAFCPFS IGPRGCIGKGLAYVEMSITLARVLYMYDLRRAVGVEDPGEGKPGAEMGREKPSEFQLV DTFTSLKNGCMVEFRRRDL QC762_001530 MKIVSMFLALASLTQAAPSHQNQYQNDLSNRQAGSWPFGPFVTS AGKIRDTTGKNIVYAGTNWPGHGEVMIPEGLQYQSIEYIVTKIKSIGMNAIRLTFAIE MIDQIYANNGQDITIQRAFTQALGQANGTRILNQVLAKNPQFTASTTRLQVFDAVAAE LARQQIYVHLDNHISKGMWCCSGTDGNTWWGDTYFNTANWVRGLSYMANHGRNWAGLV SIGLRNEPREPTNNNALRSSSYNWQSLYNFHKQGASAISKANPALLIFLSGINYDTTV APFFDGSTLSPGNTRFSLSDFPGYANKLVLEVHNYETGINSCSSLQYNLFNKGFKAMT SEAKIQFPVLLTEFGFAMDANTWRGTYATCLASYLPSQKAGWTIWVLAGSYYVREGIQ DYDEGWGLLTRDWREWRSQGYVDGLFRGMVRNSLAG QC762_001510 MPSALPSDTSTTNPKSPPESASAVDIIITLAINPENKEQVEANL LKAGEWIEKNEPGCLQWEVFYLEATGEMVLVERFNDLPTARKYHPENRKKDGMIDGGL KWANEIVEKGWLSQEPDIKVLSRRGGFGLRKWQ QC762_512650 MSLSTCCAAILAALGSSKVSAPGNFRYTSSLSSYYSLQTSQTQP DCIVQPASAADVSTAVTILAANSANPACRFAVRSGGHMFHAQASNIAGGVTLDLRDLN EITLVNGNADVRLGVGLTWGEVYAQLDPLGLTVAGGRIASVGVGGYIVGGGLSFLSPK VGFAADTVSKYEVVLANGTLIEATATQNSDLLRALRGGGNNLGIVTRVTMKTHTQGLM WGGTMMHPTTTLHNHLLAFVDFNKATGYDENASLITSLVYYYGISHTISTQMAYTGPP SSSPPAAFSDFLSVPWVTTNYARVNTMRNVTVEAGASIPGSSRNLWWTQTAVCNLQVL GAAYQIWWDSHSSVQNIPGIVWTMTFQPLPPSIYNRNPTTNSLGFNSPSRSNQPLVVI QLLASWASSLDDAFVRTKARELYDSLTSELVAKSATDPWVYLNYAADWQDPIAGYGTG NVAALQAARTKYDPNGVFTSRVPGGFKIPV QC762_512640 MSTRYEYSYLETGHIRLLHILSVSPEIRVRIDVVPLDTDPAPIY AALSYLWGEDPPFSRVIVEPHGRYVDIARNLTVCFQHLDAFIGTNIWIDAVCINQEDD EEKSRQVSRMGSIYERATKVLSWLGPSADNSDAAIDGISNYGKAAVDAGLLDIKKELL ETWPDVGDDPANMRARDAVVELMVKANAAEGDADRAAERFPRLAFAAITRREYFNRVW IKQEITLARNSIVLCGFKQTDAESFHASLLFYGLLIVWETNEYRAGRHARIPGPFSIE ELMAAPDGPWALLKQTTTDPAAGSAFSGRRKFLREGGKKPLFELLHTSYVRNGALGLR STKPLDKIYALLGIAADAVESGIYTDYTKTPDEAFEQAARFLIAQGHVDILKWCRTRR VNPPTWVPDFDANLSYTWSDDMGVPLFKATGSKSQPTTLVHEMNGPPPASLRLHGVCL DTAAAVGSVFVHNDGMKYPQEAARQLFLNVKAFLERTSKYTKDQWDDALWRIPICDRE YHPTSMYFQRATLERSGRQFELLRTQTVDDEKVMAETMSYQATMRYENGARPILLTAG YVGLGPMETMAEDIVVLLYGGSTPFVLRSTRTRGEYYLVGEAYIYGVMDGEIMDKGLE EEVFTLY QC762_0076970 MDAIGGICSWLSGSGELFWVSGKPGSGKSTFIKFIADNTTTQKL LTQWSKGQEVILAAHYFTIYGTPIQRSLEGLFRSLIYKILSQEPTLIRKVLPQRYKNE KIQEPWKQSELQSVLKKLARELVHSRLCFFIDGLDEYAGDHLDICETLQDLSRSPCVK LCVSSRPWNVFENALGGDTDSKLYIQDVTRTDIQQYMKTMLRSHPCWDSLVSEAGSDK ADSLVQQIIDKSSGVFLWVTLVTRLLREGLTNDDSIQDLNRRFESFPSELEPFFRLIL DSVDPFYRDRMARALLFSLHAQKSLHIQMYMFHDREYEEEDYALGEPERLIATLQQRT SQAARVFRRERLV QC762_0076980 MEPVAVLAWQAMCCGFFTWKLFSNAEKILASAGSSSEESAQLDD ICRTLQVFTTQLKRDTCSNYSTRKWEPMLTRADACERDCEKLLAITTKLRAKTQNGKA SKCWASFKIAISEVWKANEIEALISRISDHRSDIILELCATTSEVVQQMQSDLRQWEA FNSLRHKELLNTLQPLTKLVQGPQGCPNTQLQDLNQLCIDLPALSLDTRQYVLEATVL KSLHYPELSLRHDIIPEPHEVTLH QC762_512620 MKTVAVLSLLASLASAASLTLVTENFGPNPRNNPFYIYVPDVLP PNPAILVNPHWCHGTAPAAFSGSQYATLASQHGFIVIYPQSSPAQANSDKCWDVSSKE TLTHNGGGDSLGVVSMVKWTINKYNADPKRVFVTGVSSGGMMTQVLLGSYPDVFAAGA AFAGVPFGCFAPAGNNTGAFGYWSDDCAKGRVTKTPAQWADLVKSAYPGYDGWRPKLQ LFHGTNDEILDYVNHQEGIKQWAEVLGVGITPVSVTPNTPISGWTKSVYGAEGWLEGY SAAGVPHDIRVQKATVMAFFELACKGEDCFRWGDGEWCDAEPSATTSSVVVSTTGAPV TTTSTSSTSVRVTTPSATSTSSRVTTTFVTSTSTAAPVVGQPLWAQCGGMGFNGPTAC AVGTCTVYNPYYAQCVPRTGVPIW QC762_0077000 MEHEQEESVFKGPGTGHHGIISIPTTNPNFKMQFTTIALMLFVT LALAAPTPQNDGILNNNDVSVDDVTVLVPVAASIPVVANVLGETIGNSATKPIAAV QC762_512610 MVFTFPADLYSTDPNFTTLFRLLDDFDTYSREVQDESASTPAPA SRGGRHRRGPRPRFDIRETPKSYEIYGEVPGMSRSDIHIELTQENVLLIQGHVERPYD TTPSNKTKAKPVTVTGEKCDDCDCGPGKPCEECDKAKCECTQGKHCNVCGMDTCPKHE GEGAAKAEGKKDGGETIRYLLKERFVGDFSREFAFPGPLQEFDIGASLEDGILKVVVP KQEVAKGGRKIEIQ QC762_512600 MAPPIAPVAQNQIRQVNVRQVATVTVWGPIPTDLPDPTVQVLQE TRTIYIAPTTTNTEAVVVAGGGGGLNGGEIAGIVIGTLVAVILIIWLIKYLSQRNRRP SYYHEEKVRSGSRHGHHHHHHHHHGRGRSRSSRSRSRSIEVREHQVQVQVQVLAGEVE FETAKDI QC762_512590 MTVHRPAKAAKSRAFVPRQQAMRKEATILRPTHNGDRYELTSPT VMPKAAGFLWNQKMMVQITCRGYATAQFMQPEPAKYAHAPNLEAKTFMQPEPNYYAHH PGRFVYIKDEESGHLFSAPHEPVRTVPDRFVFSTGKSDVAWAVESNGIRVEMVMGLPT HDVAELWTIKVTNVSGRPRRISVTPYFPIGYKSWMNQSAEWNEELVGIVASSVTPYQK AAEYFKNKYLKDKTYFICETTPDSWEANQQNFEGEGGLHNPSGLQEPQLSCGDARYET PTAAVQYRLALKAGDEREYRFMFGPAYDEAEIRTMRTRYLSKQAFIRTADEYASYMAR GQGCLRIETPDKDLDNFVNNWLPRQVYYHGDVNRLTTDPQTRNYLQDNMGMNFIKPEV TRKAFITAVSQQEESGAMPDGILLAEGAELKYINQIPHTDHCVWLPVTLEVYLAETGD YGLLQEKVRSSNGDELTVFERFSRAMDWLLRLRDERGLSYIAQGDWCDPMNMVGYKGR GVSGWLTLATAFAANLWANVCEHEGRTDLAQRYRTGAAACNEAANKHLWDGDWFARGI TDDNVTFGIKKDPEGRIWLNPQTFAILSGAAGKEQISRILPQVDEHLNTPYGIQMFAP PFTKMREDIGRVTQKAIGSAENAAVYNHAGVFFVHSLYSLGGEQDRAYTLLRQLIPGP SDADYRQRGQLPIYIPNYYRGAWKEFPRTAGRSSQLFNTGTVSWVYRCFIEGLCGLRG DDKGLTVKPQLPSAWNSIKVTRQFRGATFHLDIRRADVDQVTVRQGGQTLPEARITNI RAGQTYQLAVLVPQ QC762_512580 MPEATAIMSTMRGLTEAEANHIIAIEQGCSAISLLGCLFVLVTF SVSDAFRQRAVNRMVFYATFGNMLTNVATLMTRTYVGDENSFGCQLQGFLIQVFMQGD AYWALAMAINVWLTFYHKYDQRMLRRMEIAYFVCCYGVPFIPGFTFIFASNQNGRPYG NAVLWCWLRPEWEIYRIALFYGPVWLSIVVTMFIYLRAGREIYQKRRKMLNFSSSNGT GTVVGNEPFSPVANEFSTAFNFKTTEVTQTTEIIQPPAPIAAAHSRTKSPGAPAGAQV KDPNFSYSVNISADPSANARVSLDDDDLEAAESPTTLTSTMSSTQKAKHARVMSSGGF QSTQISTGNSPNLHARRRNMENNNATWSYAKCSILFFSVLLITWIPSSGNRVYSMVHR GEVSKPLFFASAFVLPLQGFWNAIIYMVTSWAACKSLWAAIMLSLPGCCSGRSRRMSV VEITDGKPHHHTLRRDQGYSGRQSTVGKWVGSKSLQEESTSMEDLTREGRSHAERTSP V QC762_512575 MQAIYANAWQVVVWLGGYHGITAENSACSEADYCLQRHQLEKAF YVCTGLGSWRFVYSWLFNLDRVFAEQAVAGLIDIDKRGWWERLWVIQEMALATGRVLL QCGKSVCHYNLYCAMRLKMIERFDNMTDLQRTSAVAMQTFVEITELFRYPEDLLPTGS LIRLWLVQLISRAAGQERRQQFRKQKLALRLQYILLRTNGHFKCRDVQDRRWWHYGSY TWTAQYWPIFRPQFVIKDQKEVHQAIARSSRDSHDKAQFFMDLAQYLGTRTGRLSILD CANCMADQDFQAPSWPPVWTRPTDDDVYVFAISQDYVPPDKFHFSTDSRTLTLQGRTR GTINVVRITNTSQPDHTQPLQRELEIWLYLPMEFKMTILVISAAVFRNVSATRRTATI ALMKRWFAVGSPEGTIEHQIWTVRLTDWDRNYPMCLVWRLFFKAVWRELRHGHWRRTR VRMVWLAFVLALSFPGIHYAINHLESLGVMFRRAMFSMNNRGQDTTLVYSFDARAGEM GVLKAGEAVPGDQLVFVPGCYHHLVLRKLSDMKKKTQWRLAGLVAMGPKGGLERTACT EAQWEQHERDGALQEYLII QC762_512570 MPVTNLRNPLKRGKDPEPREPEHSDPESPERSPTGQKRPRHDPT SPLCAECQAFDLDNYFDKAPSVLEAWRNGELKAGVKPRSTPVRAKDGSWYFEDAVPIH YFEDRLKPDTKCPLCQFFRQMRVQPDKHEKYKLLALPSSESWLFQIDLLKEWKEMWDK LQDSVFMIVVPDLEWLPPMGHEHTWIEKEVPSVGMICRLRADEAPEELMRPRELRNEV DLGLVRDWLDNCMKKHGNACRSRTSDDVVERGFRVIDCEADPPTVEVQPWGVPYAALS YVWGTSPEDQVEWPRTVLDAVSATKDIGLRYLWVDRLCINQSDGDEKAYLVSKMAAIY EAAEVTIVAAAGNGAGHGLPGIRDTPRRPQPHYTLDSGNALVSTLRDPRRDILESSHW TRGWTYQEGILSNRRIVFTEHQVYWECRNMAAQESIDTKLFHRFSQVEEFADDDGAAN SKVLWKEREDEELVMADFMLGGIFKGDASSGGPFCSAEGSVHTGGDDPYRLDYGFPPH LSATLRAQLRGLNEHIRAYSHRRLTHGTDSLPAFLGITSMYRRFPELYLLHGLPLYLD GSVPACSTTQVTFAMSASGWYHRSSIQPETTFISEPCSRRPHLPSWTWAGWEGPVSWR APPAIEHCSNMTDMIDAISAKQPPHPLWVAEFHVFNSAPLAENNMPARTVRLRECHSG EALDAEQPDAIVLQNPYVLKYSTRHPVSESKKKWDWTARAGRVGSRSVQSDQNVPWDT KQYRIAGRLSFVAMSVEMTEEEWTKKHFDGELVSVLMYATRWNPEEQSGHGGAHFMTL KKVRSFRGRTSIWERVGVLYLVIPKVSLDKCVTGDDLLRQAPVERREMTVIIE QC762_512560 MKVPGILKRGLIPATRLRFTGHMQTIIRNMGDLNNTEAMREHVK PAHDSTYEFKSFAIRPCDEDQDIRKRYRPFLLSDEISESDWVSKLELATAAKMVDTEL LVQNKDRLKILVLYGSLRARSFSKLLAYEASRILFRLGCDVRVYDPAGLPVKDDEQHD HPKVRELRELSKWSDGHVWISPEQHGNLTAVFKNQIDWIPLSTGSVRPTQGRTLAIAQ VNGGSQSFNAVNSLRILGRWMRMFTIPNQSSIPQAWTHFTDANDPVDGGNRLKPSSNR DRLVDCMEELVKYTIVMRPHFDLFGDRFSEREEAKVKK QC762_512557 MPTLSRITLSDSHLLFRPHGLKQSRPSSSISSTHPPLTSSDKMR GYHCQCRALGQWRHCKAQETCVGAHVCLNRCHHCRVANCGPVLLENKYAIPSVPSSSF EPTPGTEEEDYPTDILPPGMSIHRAWGKQDNFVPGTRPLRRAKDTGCGFPQYNWHDEK Q QC762_0077090 MPLCRCQNVDLKPNCRSSLNCAVWHDKELYCEGCRDNRCQFNKS PVSPRGGFDGYRAMSPPFANYSRDMLVGHVNLVKVRAPQDYQIGFQSYIEAMAAIALG KASASEVVERLDQAMREHEAERQRDLTMKDGDKK QC762_513080 MNDGDHDRPSRPNTAHWIGDTESTDNKRPATSASARGSNLKPEN IPPLHPPSALAVPETSYFDSPSGDLRHSDSQAEIAVRQHLQDIESSFDAPLSPIPSTS NGFDDTFVFDSPSKKPAAPLPKVPADDADESQMLPVPSRTASGTSNNADVSELTESGN DEKQEDTSKATRSLEAFSSSPTAAAAARTISRAFPEAVSTNADTSPDRTNPLKDEPYL DHSTESSLVIPPSKEEPAHHNDQETNWQRASVDIGSVNSQAMKSASRPKFLRSRNASQ RSSVSSTLTDPESDNTVGIGDYALQSGGAVPSLGMPRNFNSFLSRSISMGSMASGVDD MHDHIGPSVGQLETLDEVDSSLSPQPQPPPHRRAPRVVDEEDDDDVLRTPTQSKSSAA LAAPTDTVLARHVRDVHVPESLANEYKSKGGLTTPGRSVTFDNVNLGASTTSRHGKSL TLKEQSSTIERLSKENFDLKLKVMFLSDRLDKLSEEGIKEMISENVELKTGLAVLQRD NKVLRRRVKELEKQLKDEDERPGTAKSAGSEDEDAHDKEEELIYLREQMEEYVTEIER LRNENLNREAEKRKMAELVKTLGERAGERMGENLGRQEEADVWKDLLEQETARREQSD EDNRRLRDEVFRLKQEMAQQMGLPTSSSSSFHHSRRHAPFSPAARPPTASFSSQDMDN ATTVSVTLVDDLRRESEQLRHENAELRREVGAQTSMLTSRNREKERLYQEIEDLKMAQ RRGGPAPSTIDSLLERSASRAGVHERSHSRISGGATTVLDDLDRDELEEKLAELRDKN NELKLQNQDLQRELDACMEDFETAVEAKKQAEELVAALQEDIDAAMNDLMALQAERDE ALQEHANLEAEFEALRKEAQEEIDALEGEADQRTGEIERLQLDLNDRTENFDALQEEM RKMSDALVRLEDEQESKIRRISQLEQELGDANRELEELELKLLEANDKANRLSVQQES SQGEIAFLREEQEGDKIRIGDLEAALANVEQSLRDEKDRARELEQRLATERRQREIVA NKEKEEVQQFVNELNREASTAKDEARRLRKSLSSREVEATEWKERLLELENNLREALG DLSGTRSSLLKNIAKMQRDLENTVRDLDSTKASLVEKDRIIKQRDALLESHSHETRKL AEMLDKERAAHRNVKNQFETFQKTHSHVTRTVSSQDSRIMELEAQKAADKKRISQLES SFKEQLTERNNLLLILWTRLSTLCGSDWAHDNSLINGRALPSLEVVSTMLPGFSKNLM AAVKMIETMIGNFQTKIKSVEKDLWKEYQTLEGLLDQRTKKLDRLESIVRNNIATGQL SGHSYSSRDADKLARLEEAYRQLKVECHTLRTAAEVRARQAYAATGDPNGLHDPTSPV GGSPSPSVPTGPKAKSRIPKTGSRGSSLGRTGAPVPSRSSSQFHSHSSRTHLAADEFG MLSPDGGSNPANNSIGTTPHHTDNEAPTSPLHQQDKTPRESLTNQAAGSNTASVAGSQ GGTGQSMENKWMLRLRDLEYKLKAEREGRILDRGEAMKRINASESENMALRENLERER RRQLQLSQQGERERERGERERERGEKGDKGQGR QC762_513075 MGLLGHTPNGKPAFLNPRIPPQRAIRDRLLRQISHRSAPIRMQT DTRHLSRNPGQDLDNGFALPRQPELAPFELDLSEQNGMPSSVGRGSLENRQAEFDWLT TLVETVDSLQQKGDSLDRLVEKLSKMADDGGLRQGTMDTSMLAMLVANPILQMMRDMD REGCEVTDDMIRQSTAEIRKLAGITGKGFNKVLAQLSKMQDTSVDKSGTLKVTAEEKA EVEAERDLARQRNSRACNHLPSIVFWQPPSSANNSLSHLASNRLWNWNPINFAVFLSP SSTDNYDDLSLVDTAMAEAFFSRVTYRVARHRHHHFLGYSEVRLPCEAEGQGPLIVGR DPPSIANWGAWACVNAMLQGVDEYCCCPEEANYCLRYQVWRIPPGSVGSELNVLKLMW FDIDPLRTGWDVVQAMGKSYWIPVNFVQLFAPARYATQNHPQWCDLSAVEGFFVALVI GNSSGKRDECFRQAEYLLGDAELDGTDSDGVISNHCDTKRTTPSTWDQELRRDGDLDN WRCLNFVLALSQDQAEAHRRTARTRRGQRAPDSWSVELCCCPERTPFCLAIRLDNQRD TSGENYKLVRIKWIMRSDRLYEANRLLQSPVWPIMNREAEERLKVVFQTEVPEECDNC KADQDDEETDEDEDNDEEMRETKQKETMSPLFSESDDDDGEEDQDGSPDTLDFTRAYS PRRSLPRVAGRRPWSGEEEGEDQARNKRRRVDITTEISGLKGIAKTLKLPLP QC762_513072 MSEQSTPTKTPKSPPSIISTQIGSDYDDLENDPPTPPSTSFSFA SPSTSRAPSPQRQKMCIPLSLPYCKEGAIPTPGKTYIITALHLPSSPPPHCPTDEEDS SNQILRAMTLCGGQLKLKELRRMNVTTGCWFWECITKEGWLGFRNVASGTYLGQNGKL EVVATAPHCKAWEWFCVRRVPFVDRTGGKEKERDGYVLLIKHWDTLRWVDVSIVPDGT VNKERWCLAGTDRATVWGFVEVGEHDG QC762_513070 MVIFNSLVLTGVLVSSVLYIFASRIKTYLRLRHIPGPAGAALSR SWIFKKTMAGRIPNALAEVAGEYGPLARVGPNWLICSDTKEIRRMWSVHSGWHRDVWY NGFRFDPANDNILTANENKVHHRIRSNVLPGYNAKGITTQEGVIDTQVGKLLGLIEKK YVSSKETGIRPMDMARKFLYFTQDTTSALGFTTPFGYLDVDEDFNNTISTLEGMLPTV TTLGLFPVIISLMNNPLVKSLLPKPHDNNGMGKLLGLIKDRVDARYENKAKGEEDILQ RFVESNLSRQEVEAEVLIMLFGGTDTTATALRMTVFYLSTTPAAHGALQAEIDNAIRS GKVTRPVIADAEALELGYLNAVIKEGLRMWPPISGLQLKCSDKDDVICGYQVPAGTAV GISEFTVMRDKSVFGEDADRFNPSRWVEERDPKRLKEMELTQGLVFASGTRWECLGKK LAYTELRKVLFELFLRYDFAMTDPAKPFEYWNYGATLHEHMNVTITRREA QC762_0077140 MLEPLEGKIKPCLIHGDLWDENSATDEATGKPFVFDPTAFYAHN EHEIGNWRAVRHKLSRKEYVEQYKRCFPPDEPVQEWDDRNLLYSLRFDLRLRFLFRGQ ILERWLIRWLSVMTNMKTLCNKYCPEELGTIVEQVP QC762_0077150 MAVSEIFSSRAPQRSLGATAKRMITAEISGLNPIGSVSPSFVPE AYGWGSLWDETTESTSYFSLASSHHIGLQTTRPASLRRPTRSSPQDIHLPHRRILAST NLPAMAPNPYDVIKWQPSWTTLFRDILGHTISLLSNSTKGITAMTNSSALVTWFSTT QC762_513050 METMDIDQVGVSGNEPNFPSFFINSSTTHDSASEMSLADLLISA HGSTDIEMPDCPPPAPKAQSPSDFLGPKVVPDTFPALGQTYLLRVPTTGCILGHTKRR FFLISSSHNPEESPHISWKWTFEDIAGDETMIRLKSPWLEDNDTRWILLDVKLNPDGK VVVSVDWEMRRDPVLDKIKFKETCLSKGGKRLGDDQVGLVAEVKRGCLAFEKKGEAIG WEFIRVSGP QC762_0077170 MPSVNLSQAMHLIGWTTALSLLAGAIVFGLWFYPTFTRSKPAPS SQTRDSSGPARSTRQDVRLCQVNPDKSETDTDIDIIAIHGLDTKSPDTWTWADPNDPN NTVNWLADPRMLPSQVEAARIFTCDWPADLLQPSDLVQKTDDEIALLLFEGIKRDLLR THDKKKVDRPILFIASCLGGIILAKAIVGADYKFSSYYTLRTATRGIIFLATPFGGTS FEDVAIWADPGLTIWAVIRRREVSNLLGWVKGSTSALEALVRKFTRLCQDNHNPYHVF CFYELGMTNLWRKVFPRLPASFPGWKQLVDMRSATLQIVSEPLPLDRTHGLMNKFGSP DCQDYKKVAGKIEEFVRKIREGTPLAQADALIRNKHYSSERLKIERLSGGLLPMDRCY INLAIVERPGDHATRGGKGDIAQQSSPFSRSARLKVDAPDKNIQVELSALFDPRRRGD VETKPRRILIRGRAGVGKTTLCKKIIHEFTNGTWSQWSKLFHRVLWVPLRHLKLHERR QVAGYNFFHLLSHEYFSLPHDRPDLAKALSNALETSKSSRTLFLLDGLDEVVQDLDSS GDMSRFLKELLSQPNVIITSRPSGKLPAGVHAIDIELETIGFYPDQVNEYLAKAFSEQ AREIQSFLEDRFLIQDLVRIPIQLDALCFTWKAGFRFGTKFNTMTAIYQAIENSLWKK DILRLEKKHDGELLTEALVLGFDSFQVEVFVNDEIEFLELLAFTGLHNDVIDFESRNW KVISSHLKHPFVLEKTLPRISFLRTSDLPSDLPSEHRNQSYHFLHLTYQEYFAARYFA RQWKEKQPLECLPLRGGKSTKSKPATFLEKHKYDTRYDIFWRFVAGLLDADDMALDFF QMIEKEPRDLLGPTHQRLVMHCLSEVERKESNFTGLRARLENQLEQWLLFESNFTENS ELVREMECPGQVLSNVLTQASEGERTVLLNSLSRRTAVPFNVIKVVSPWLTNRTSARQ CAAILHMLGNQHNNLPDEIHQSIAARLEHEDESVRWAAIKALGDRTDLPDQVLQSIAA RLVHEDGGVRGAAIVALRGRASLPDQVLQSIAARLEDKDVGVRWAVIDALRGRTDLPD QVIQSIVARLVHEDGGVRGAAIDALRGRAGLPDQVLQSIAARLEYKDWRLREAAIEAL GGRADLPDQVLQSIAARLEDKDRDVRRAAIEALLYQSALSLDVLIPFIRSFYDALLQK SFREHLYWYASERSFIATNLRYISLTSVQHNVKEVVRKLLLEKGAANFLISLFIACHL NGPGGRVNIYLFVSSSIFEIFISHKIITHLLLTPFYIERQLSSRYHHAKRTIVSPLEG SSGLANYITLLPLRRMLIRTHDPTVDHDLYVDPLSRRLACVASDDNTIKIWDAATGSC TQTLEGHRHSVWSVASSLNSKVIASKSDDANPPHY QC762_0077180 MAAPPPPSSFSSSSLSSPKEIPLPDSIDFLSESQWAVFMALMDT IAPAILPPKSIASSDNNAPDDKSVLRLSQQEYAAAASEIRAAVSPHEVTAETIESYLR ERPSDNPMFEKIMKLVLSGLPPSKKRELKILLSVLSTRPGSLILTSYGTPLPQLGLED RTRVLEGWRESRLWAVRGLFKSMTTLGKLGFLRSSNTFAPLTGFPSVPKEWVATSSFP FEFIRPTAEVETDVVIIGSGCGSGVVTNRLANMFGKRVKVLVLEKGGHFDASYFPMSQ TVGLSAMFEAGGVVESDDGSITVTAGSCFGGGGTVNWSASLQPQDFVRKDWAETYKMP FFEGREFQECLDHVWEKMGVTDKITPNHGNQVLLNGGRQTGCKRCKIVPQNTRGQPHN CGYCTLGCANGEKMGPVNGWFPEAAEKGAVEFMEGFRAERVLFDEKRKSKKVACGVAG VWTPKEGGEPIKVVVKAKKVVVSAGTLWSPVVLMNSGIKNPQVGKNLYLHPVNFVAGV FDEDIRPWEGGSLTTVVGDFEDLDGKGHGVKLEAMSMMPSLALPFLTWRSGSDYKLMA AKYRHINQYISIIRDRDTGYIYRDPYTGDPRISYTPSDFDRAHNFVGVLELCKILHAT GAREIHPCLPGFQPFVRSQGDSKKTDKAFKKWLRKLKKYGNKPPVAPFVSAHQMGTCR MSAKAKDGVVDPRGRVWDTEGLYVADASVFPTASGVNPMVTTMALADWVARGICEDIK DELVVEKVPSRL QC762_0077190 MSSDKATEVPFTQFACIGTGFSGIALGATIQRWYSISPSSIQFF DSQPCVGGTWSINQYPGAACDVPSALYSFSFERNPNWTRFLPPHDELRAYLTKVAEKY NLVPRMKFNTKVTKAEWIPSPTNPRWRLTILDLETNLTSHHECQFLFSGSGQFNSPRP LDVPGIDSFQGPVIHSARWDHSVSLHDKKVVVFGNGCTAAQIVPSILSSTAHLTQIVR SKHWIYPPVDAKVSPFAKKLLASMPGATLLQRFIVYHLAEADWAGFTLTPSAASFRSR RRKMAEKYMKTTAPEKYHPLLVPEFEIGCKRRVFDSGYLECLHSEKITLTNDKAVEIL PQGVKMADGRVVEADVLVLANGFETNTPTTLLPVVGTGGHTLQEHWSETFPGPEAYNC TSLHGFPNFFLLWGPNTATGHTSAVMAIENGVNFALRVIKPCLEGRASVVDVTEQAER EFVERVQEALGKTVFTSETCNSWYTARDRETGRVWNGMTYPWSQARYWWDCMMIKKGD WVYSQVENRQEEEARIVVHRFRLDGLGWAACLGWKEPEFSFGAGIGWVKVCCCCACSE DMGIGCDRTKGAELIVQTILFTHRAVCTILHINHDPPSVGHVSNHRYSCTYFTTSKAL SQQPARP QC762_513020 MASRRENKGFHLDSLARVVRYTALNEFLALPIAGAAHFLTLDSS VKYLTALFTHANRLGVKLNPRDLNLPKIARTALTLGLVGAAYSANEFLTKWTANNWTR NKPGEWKTLDKEIVLITGASSGIGEHTAKMLLAWHKGVKIVIVDFAPMSWEPSAADAS RVFYFQADLSKPEVVRSVSKRIKKKVGHPTVLINNAGLARGFSVLEGSYADVEVTIKT NLQAPFLLIKEFVPHMAKTNHGHIVTICSMSAVVPTPGIVDYSATKAGVQALHEGLAL ELKHRHKADKVRLTNIIPNFIRTPLLSGVPRQSQFGAPLLHVETVAETIVRQIESGYG GVVYLPGIMRYITCLRALPEWVYTYLIRNGTVNLAVGFQGRQIIDENGGLRAVKVQKA EIAEKSDRSEAPEVPEKPEKSEAAERPEKAERPERPERAERSEAGERPERAERAERSE RSERSERAERAERSGRSNRAERRARNERSERSS QC762_513010 MAPASSKPLTLSFLGKLSLLFKLLVVAPPTLLINNLRCHLLALL RGASLKYYTLCAFNKFGLRHLTPLQLQFMKPPTVAYYKSWVRKQSIAAIKRLSTSNDT PKPEDLVLFELKVDIESLHDGQSSLLWLGHRTKAKKVVLFFHGGGYAIPMLPGHINWC HRAYLLASPGDVAVAILQYTLVPHGKYPTQLKQAAAGLDHILKAGVKPENIIFGGDSA GGNLTCSLLSHLLHPHPDAVAVSLSRPIAGAFLVSPWVSTRTDTASYKKNNGIDMLST PTVDSACGHLLPENLTDEDRAWVMPVDLPRERQEAWFKGLDKVVSEVYITAGEQEVFL DQSVQLADVFKKVNNKLDVKVELMKSEAHDWILLEGENQHDGDATKRMRSWVRGLWGF K QC762_513000 MDPEHRNERQQKRRKISLACEPCRERKSRCDGAKPICSTCQRRS LPLHQCIYTVENARTASNEAYIRVLHERIQRLERACSENGIPTPPLDPSEEPAPDPGA GPGRRSVSVQPGPGNGLLTPAIKDHVQCTTATPLPVPHRQILDPRSPDCEGLDSLENA TKITAMGTVSAEHDVNQAFEETQDEFYGSSSAASFMKEAYGSVKPHHHRVSPSLPGAG SVVTTTAAGNLRQTFAAPKADFGPLNFLQPDRFALPPRNVADYLVGRFFDRVYWLYPF FHKPTFMYAYRQLWQPVTGKEKGVPEPGLGLGSEPGAGAGSIVFHSALNTIFAIGCQF SDLSAKDRVSAIETFLERAKKFVGLDLIDMHNVGVVQSLLLMTLLLQSTPFPSRCWNS LGVAGRVAQGLGLHTEAGRRGRSELEREVRRRTWHGCVILDIIVSMTFGRPTMTDVND LPLPSRLELVDDEWPGTLAQVDDEVTTTSRMNFFLDHIRQCHILGEILSSIYLSPKGR GAPSSSSGTTGDESPLYGLDAILELDAKLSRHEAAVCPAMSWTAPSDISGMAEVKKKI IVTQRNVLHASFLYVRLMLHRPILTQLCSNSDSGTTEPTSPVKQGPFGGNRMLYVSFA AECAKICLGSAMDLVELVSRTYQTDTTGGWWWDGLYAFTGGLAVIVAYLCPSLLDSMD QRRLERSWVLCQEILAHFASFSISAHRSLKLLQKVHGDVMARVAEQAGDTDRPVLPTP IATAATPAGQGIVLPREDGFNSAQAHHTSLDFGNGLQWEVPSNADLSMLNVTSLFNWD QPLDFFTGGLGTDNFQL QC762_512990 MASTPNMDSDRPLSVRELTDLGLQYDFLPQLPLTRWYRAAEVVY REATHYLRDGNTPQAFMMFQRYCDLVMYKLRTHPDINLPESRPQYKTLSKRIPAIIEL MESMRVEIDEYYSRWEARMRAQRRSQPPAKSTIRLVDPALSWNHASPGSILDARSNKD LAIEMANKERLRRRNATKMAPSRSSRIISEDELQRRNMEAVRNQLDRSYRTSDTNDRL QSTNYSYPSIKQSAPLSYESAPRRPSPPPARPMPPRPPKQLPEPSRPPSVSPPPRPRK ELDTEAFVTTRSQSPVRPPKEALEPAPEPKRRYTFKPEKYLENGDPIRSIFLPESLRR RFLAIAEPNTRRGLEMCGLLCGANINNALFITHLVIPDQDCTENTCDTRNEADIWEFC DKEELIQIGWIHTHPTQTCFLSSRDMHTQASYQAMLSESIAIVCAPRYEPSWGVFRLT NPPGLPEMLKCRKTDPFHPHDVPGDQLYVNALQPAGHVIEADLNVDVCDLRGKT QC762_512980 MAISLPHQRPSSPSTSKLHQALLTTLSPLTLTHTHLSLPQYHPT LLATAVTTFTKIYSCAITPSLPQLLSAILPEETLPILFDTTGALLSGLDDQAKTILYI QAVTGLVLIFEHLCKHGDITAGVVDRELRLFEQSKQKRSVLERIEEGGLLGECYTVEA VVEFIVGVIDEQEKEEVVNEVEIEQVVTNEKVDWEMGSRPYGNMGLPWGFTIMALN QC762_512970 MADIQRRLQALSEDYAKLQKDLQDTVTSRQKLEAQMQENFGVKQ EFEKLKDGETIYKLIGPVLLKQDRTDAESTVKGRLEFIEKEITRLEGHIKETQAKMEK KKTEIIQVQTSAQAAVGAGPQAVKGRA QC762_512960 MSNTDFLDRAIKQVRTAIDADNAAQYEKAYQLYYASLELFMLAL KWEKNPKSKDMIRAKTAEYMDRAEKLKAHLADAESKKKKPGLVGANGSSTAGTAKGKE AGEDGAPELDEDSKKLRSALAGAILQERPNVSWDDVAGLEQAKEALKEAVLLPIKFPH LFQGKRQPWKGILLYGPPGTGKSYLAKAVATEAKSTFFSISSSDLVSKWMGESERLVK QLFAMARENKPSIIFIDEIDALCGPRGEGESEASRRIKTEMLVQMDGVGKDSKGVLIL GATNIPWQLDAAIRRRFQRRVHISLPDLAARTKMFSIAIGDTKTALKPEDFRELARAS EGYSGSDISIVVQDALMQPVRKIQQATHFKKVMVDGKKRMTPCSPGDPEAVEMTWEGV EGEELLEPMVEKKDFLRAIKSSRPTVSQVDLERNEEWTKEFGSEGA QC762_512950 MARARQLAAKISKLQSAQKKISQLAIDNTPTWTGGIDQLRKVLP PPAHFPRHPTVSEKSIVTMVAVNYLLFESAVGFALFEVVHQADSVGLQLPEVKEAMTS LDKFGKMVQLRSFNPWTSAAHGLEAINLVSEGIMPDHLKNTLELNLPQTSGKKSKIVL GVVDKRLAGEITSVFTGVQCESAETSEVVAALLRGIRVHAGKLLKGLQEGDINRAQLG LGHAYSRAKVKFSVHKNDNHIIQGIATLDALDKGINQGAMRVREWYGWHFPELIRIVS DNGTYAKMVIAVGNKKTLTDESVDEIANVLNQDQDKAEAVIQAAKVSMGQDISETDLA MIKDLASNVAEMADYRRILAESLDKKMGDVAPNLQVILGTPVAARLISHAGSLTNLAK YPASTLQILGAEKALFRALKTKGATPKYGLLYQSSFIGKAGPKVKGRISRYLANKCSI ASRIDNFSENPTRRFGEVMRDQIEQRLEWYAKGTKPMKNIDAMDKAIKAVMDDDEGGM DIDSEQVDHMAPKEDKKDKKDKKEKKDKKEKKDKKRKSVGAEDVDMVDAAEEPSKKKK KRKSVAAE QC762_512940 MDSNEASREAPQGSSAALDGVSGIALPRRSGAIRHAAGSRPILP TLTPGVGKNTFVATPIEETPADDDPSRHSWVSFVSASTARSSAVPSIFSQRFSTFSAS TRQSIRQSLIESPISAISPSYKRQDSIKEENPYFCTFCSDSFATKEEWRLHENDYHDK REVYACSTCSAVFRRAASLWDHESDVHGIKPTDELPQPARYSPLRAAWGCGFCAALFR SRTDYLDHVGNHYDEGSERVQWQHSLVIKALLQQPKVEEAWVALVAEEETAQGAKLRF MWDEGNSGRLLDAEEASTLQDVLEFFGNSAMMEAEEVALMAYDLAQKRVERDVSRDVS SVLPQRYARQDPDEITEVDPISQSESSSASHRELPRSVDDMSLAMSSNLDIPFSSNLA KSSFSSLIADKIARESSAQMPSATVGDSIARPSSVPAVLSKARPAPSIALRNATARGN LRRIDSGLPRAPTPQPDQRRGNTPPRPNLIANRNGIAVMSPTQDPSTTSHLPAHVLVA RASPLSSIRPHTSSSTLSSHAKDNAKWLDDSTSETVSEDSVSDTDSWLEHDGLSAAAK TWKSTFNQTVELGMGALWTRYNHDWNALIVQCVGEAGRSSSSPHYRDTTGRVRKGTSS RQNKNLRPGGRYPIDDEDEDDDDGEGQRPGSSLSKRSSLSTKRFACPFRKHDPHKYSL QEHEVCAVRSWGTISRLKEHLYRRHYKIHCQRCKQMFGDFKELQGHEMSPQGCELVPG PPPCDISTLQEKQLKSRKHNARRKTDEEKWVEIYQLLFPNEEIPSSPCECIHQDPTLA QC762_512930 MSRKLKSSLSVAVKGWSMERYQICCDQHHSLSRGRHGVPRVQDK PSNDFRTYRPTTTTGLQIKCRVISPGFLNAIIYSKDAREPGSSKRPFTTNAKRAHQLD GELDEQAGPTLLPEQQDVVDHAIAGRNIFFTGPAGCGKSTVLREVRKRLEEERMTVCV MAPTGIVALAIRGTTTWSFAGWTPNSTRIPLEDLPHTDSMTLKRLLKVDVIIIDEISM IENNFFERLDFLLRHIQSRDSGRRDEPFGGIQVIITGDFCQLPPIKPFQHCFNCGREL RKPKEGIDSTVDKPLSTRICVPCDLTFKEEDKFAFSSAAWSHCNFVNIHLKAIHRQKD PAFIALLQKCRLGIPFSNHDIMALTRPKPTLSQDNAVKLFPVRADVWETNHEAFEELE TPPIIFKCHDGFAGSWEHSLEYGKRLDDGTLQFLDQHRFDRKLRLKKGMRVLLLASLD SDLRNGSQGTLVGYEPFRSWTKLPTWNTGTIRGKHAEVREKLVREFASMHKSKLKQGG FGWPIVAFDNGVTKTIYPECDIHVVGYESPYSTVSRTQIPLTAGYALTIHKAQGMTLD KVIVDVSKVFVDKQIYVALSRARSLNGLQVIGLTRESVGFDDGEDSEKVRKFLRDSFG EEVVTY QC762_0077300 MLWTSLFLAALAEAAPKASPNPQFGGPGGLTMLRFGCTQLVIDR IDPLVNPGQIPSPHIHQIIGGNAFNATMPTDDIAQHSTCTTCSFADDFSNYWTANLYF KARNGSYKRVPQFSAPLQFNDRFSTQINGGILIYYVSAQPGRITAFKPGFRMLVGDPN VRSRPDQKLRRQNCFRCYSGPNHQGDVGAPCMDNNYDTEAFPTKPCPGGIRSNIHFPT CWDGKNLDTPNHQDHVAYPTSGPADFLSLGGNCPASHPVRIPQLMYEVFWDTSKFANR ADWPADGSQPFVLSTGDPTGLGQHADYVFGWKDDSLQRAMDTSGCFGASCANLRTQSL DNARRCAVKPNAKEDYDSWLPALPGVGA QC762_512915 MGHLSTIGQTIKDVTGKFMMLIVGATAATRASHADGVDCVPYDP NGPPPKKGAVKDVQVAEEHIGSNGKAKIKWYE QC762_0077320 MQLKNLLTTLLITLATAAPAPAPAAEVEPALEARQSVVRVQFEI ERQTTFIQREIRAGGGVFNRNGPLFSALIVSGPSNARCQAFNGNTAVGAAIVPNRQVN YNGAFVTQVRC QC762_512910 MLCNNDPWSINIWADTNTISHPIFWVHASSKMTAILTQMPRLSQ PPFRTTTLHFLKRSLATMGAVDMPGFPFKRASGLDPPAEFAKLRKTDPVSKVKLFDGS PAWLVTKYKDVCQVATDQRLSKERRRPGFPELNANGKLAAKQRPTFVDMDPPEHTKYR GMVEFAFTTEHIDSLKPYITKTVTDLLDKMKSKGCSSGPMDLVQEFALPVPSYMIYTI LGVPFEDLEFLTQQNAIRTNGSSTAREASGAAQELLKYLTQLVEKRLESPKDDLVSRL VTEQVKTGNLSKEDAVQMAFLLLVAGNATMVNMIALGVVTLFQNPDQLAELKADPEKW AGPFVEELCRYHTASAMAMKRTAKEDVEIGGKIIRAGEGIIASNQSANRDEDIFSDPE RFDMHRKWGQECALGFGFGPHRCIGEHLAKTELMTVFATLFDRVPSLKLAKPIDEIEY TPLDRDVGIVKLPVSW QC762_512908 MVVLRAKEFVYQNLPLRGIARFLLVNPSNDPLSPLHCSLHNLPI THPTYDFLIPPVQTKKKTRPIVLNGNEAKLQFEIEHYLRYMRHETKEQMFFLRPLCIN PHQPDEAKSYDLQQNDLVTRANHVRGFMGKPPKRWDLEQVAKTLREMHALAVNTAARP QDKDAFRKQYASILRLFSLPEPSKEAKSVFERCLELLCNSIWRDRWTTLQTIGMVKGI DLYIGRTSIPIDPFYKLAHFIHDARTLQAWDVLSQLSTSNNLAAASRIARNRMGTLRQ LKQWKTKLAPNQPLPRDLEQKLRDHFAREENTPAWKTKVMERQRTLRAKIKQAMKQRD QC762_0077350 MPPQPLPPPFPSTLARRRTTRQLGFSSVQSFSEWEEALVLDHLS AFICDYLALGLTVVPRKGNAFIQFVDLDNAVKRRIQQLEDGDFMEAYNPDKSDWTARD HYKQFIVSIVAEDKWYGENGDETAELYKRGWDGAKLTRKMFRLLEFLIQEWKDGAGAE DVVEGAVRRKMIGR QC762_0077360 MQQAPPKTPPIIRFHKITIFQLLDPTFYRIVQVHKLDEGIPFAR DNSESEGEVIAYKCREVV QC762_0077370 MRLLERNDTGDVSLTGDIPDNQVPPYAILSHTWGDEEVSFEDVT DGTRKNKRGYSKIQFCGDQAGRDGLKFFWIDTCCINKSDCDEFQEALNSMFRWYRNAA KCYVYLTDVSTYQQDADSNPGWELAFRKSRWFTRGWTLQELIAPKVVEFFSEDRKRLG DKNSLAQHIHNTTGIPLRALQANKLSDFSFDVRMSWIKHRSTTREEDRAYCLFGIFNV QMRLLYGEGEERAFERLREEFSKHNRYLSSLHSTDPRLDKKRIEEAKGGLLDDAYRWV FDTPDFRGWHDQSESRLLWIKGDPGKGKTMLLCGIINELEGAIVAEGHGRNLAYFFCQ ATDSRINNAIAVLRSLIYLLAHQQPRLISHIRKYTDNAQLLSDANAWFVLSDILGGML GDPNLKPTYLVIDALDECMGDLPRLLKFIVGMSSTFRCVKWVVSSRNWPNIEESLEAA EKKIRLSLELNEESISSAVSTYIQHKIDELARLKSYDDRTKNAVQHHLTRNANDTFLW VALVCQELTNVSRLRVLTKLNTFPPGLNSLYQRMIDQVRRSDEPDLCKQVLAVLSITY RPITIQELTVFVDIPEGISDELEFITEIVGLCGSFLTLRETTIYFVHQSAKDFLLREA AHGVFSSGIKDVHHVVFLRSLHVMSGTLRRDIYSLGAPGSSIDDAKLTDPDPLAAARY ACIYWVDHLCDWRASDDSKHPDVFQDGGIVDGFLRQHYLHWLEALSLCKSMPQGVLSM ANLERILQHRSITSQLPSLVADMHRFVLHWRWVVENYPLQVYASALVFSPARSITRGL FRHEERKWITSGPIVEDNWNACRQTLEGHRYHVNSVAISPDSKWVATGSRDNTIKIWD TATGSCTQTLKGHRDWVTSVAISPDSKWVASGSLDSTIKIWDTATGSCTQTLKGHRHI VTSVAISPDSKWVASGSRDQTIKIWDTATGSCTQTLKGHGHIVTSVAISPDSKRVASG SYDNTIKIWDPATGSCTQTLKGHGDWVTSVAISPDSKWVASGSRDQTIKIWDTATGSC TQTLKGHRDSVQSVASSLNSTRSCTQTLKGHRDWVTSVAISPDSKWVASGSPDNTIKI WDAATGSCTQTLEGHGHMVTSVAISPDSKWVASGSYDKTIKIWDTATGSCTQTLKGHR DSVQSVAISPDSKWVVSGSGDKTIKIWDAATGSCTQTLKGHRDSVQSVASSLNSTLIT SGSDNANPPCYGIDLDNRWITRGLENWLWLPPEYLPKCLAVAALTVTIGCSSGRVLIM TFTTDS QC762_0077380 MLPVCAVDANRLGANVSKMPDVRGCRPLETATIEPTKFCTTFLN NHSGQSYRQTTDSFLTAKA QC762_0077390 MDTSELGITDTSKKLFRDLLSGEQPVPKETLFDDDIFVDACRNL HNKNEARIIQGISRLIAPSAESLALRKKNYKGLIESVNEGWDNSIPLTGTRPQPDYSV GFKRDAFTDDQLAKLSPFIGDFIADRQNAHSMTLAVRAIVELFRAVKREDEVNREILA FSVSHDHTLVWIYGHYPVIAGKGIKYYHQPIHKFDFTTLDGQEKWMAYRFTKNVYDTW MPKHFENICSAINQLPSDLDLDVPPLSEATGLSQDLGNLMQSGAGFPSAGEQDRQPSI AEQQVWDSKEEESPGR QC762_512890 MTSTWKEQRTFGPMHIAGLICLAPQALTTAPTTSRITPHQQSTS QPASATMSSTHCKACKSIRCSPAPPKITCSCPVTTLHGTVTTVHSTIEPIKAVTVELR DPTTNNVTDTVTTLQPAHAPAELPSTTIVRCWVTFPSTPKSVRSRQRPVFKHMIIFAL SGLIVGIIIGCWGSMACSQSFAQK QC762_512880 MSALTPTDAPPPPPPPPDGLPPGIPVTERAAHLAQTFIGVTSIL MALCLVTFFTRIYQRVFPVFKMGLDDWFIIVGFILAIADWSLLFPLMVPKPGYIPFSR GTEAGKHSWLAIPVWGLAMTCIKISIALTLLRIRGSERKWRVFLYTIIVILAIYGIGN TIFCLAIACQPLQAAWDVLTPGGRCVPVEIMKAVSDLGSGINITTDLLLSLTPITFLR KLNRPLRERVFVCVLMGMGLLASVSSIVKTVIIKDWGDPTAAVDDWWAMGVSICTWTA LEQLLGVLAACVPAMKGVFQRCLGGLGVDITLGGSKRQRSGQGGNYYLRTFGRGRGTG TVRSGGGEGERVRSGTFESLGSSGGNRFSSVRQVGVVKDEEHGTVAVVEYDEEVGIDL PEMRRQASTVKSVDGSVGRGDLERGHSRGSEGEKFPAHAL QC762_512850 MPRQLQDGSEWKETPFELVPQWTRDPSIPAIEVVCREHLSIPPE DPCTVFFHTSGLFNKLYIVEYAQRRVIMRVTLPVYPGLKTRAEVATLRWVRENTMIPV PEALDFDDSNDNEIGFEWILMEFIEGTPAHRRWRTMSMEQKVAFTKQLATFQAELSGF GKPEAMLRGIGTLELREFEKGKEIEGLGKVAPGMLVSHEFFMGDRFQYDIPRGPFHSS RDWLTAELNIVMLDQKAIIDSSEDEDDKEDAGEVITVAQKLLSLIPKVFPPNLDEPET TVLYHHDLHLKNILVSEEGEITAVLDWECVSAMPLWMTTKVPRFLDEPVREEEPQRDT YADETPEQAAAAEERRHDPDYLDSEGKNSLYFIHKMEYEATQLRKVYKATLRQLWPGC PQGEETLMEVNFHHAVSQCDGIWVKMAGRWADRVLAGESILLEDA QC762_512840 MLFARSPRTPTSLPTPTPQTPHVQDNTPLSPENDTTKVPHRWGH SSMMPVDDDCLPEVRQFSDLEVPTHYPLGAIPEAVNQDALPEVKSHIAISANFNQPPS SQSTSRPATASQTQSQPTTTLPPRPGSARRKLWIVLGSAVALLLATIAIALGLGLGLG LSKKNDTPSSSTSSNTTGSPCQSDSTRIFRRTMAAAVFNGSLHIFSRGRDSNIWFRSW GIQPEGNNAPATWTTDWVTLAEGPGMELMPFIGAPTVITSTDGSRMDVFATSTMSGNV NTIRFTKANRTTEWESLGGFGLSSIAICNSPLADNSSAPTYDMWMLGKNSTTVIKNTW DTSKKIGGWDETSIPVLASSGTSPAVICSKHDPEYTVFTFGQGTDELRSTRFSTANNI WSSWNPWGHNFRGDPVAVSVDEGRVIYFFGVGTNSNMYHFTWEEGIESEPRSIGGNWS SIPSAVIIGAGTKDEQIHVVALDQERKLQHRTFGDFGGGRKWQATRWEKLEKKGNSAP LVFSYPDAKGKEQIGLAMLDDDNHLLFATWEASNDTLWVKSISWVQAEGYLINESVCI QC762_512835 MHSLKSSEIMAPSLSAPQPPQPPQPPPPGGQQSLPQLPKRPETA GSRGMKPLESVELTWPDDCVLMGPDAGFESFLQDDLLEGNYPWANVPMSSSQVPILEE QPGGYGVPRRLFFRPQVTINVYSTGDSSISASASGSGSVAKVTGEGPGKSSGSGAASG LGAGVGSGTGVGEGMGAGLGSGTGVGAGVGT QC762_512830 MDQITTSLATITTSHLSIHKIMKYLTLLLAAVGLASATAIPVIE ERDVQTVSIKFKGGPAEYSLTLPADGSEVFTNNVLSISIIESSYYISGFCTFYTDGEK ALQQGISSGGLNQLFVGPPQPIKSVRCQGFCLPVYGDCYRNGQYVGPCCNGFCAANKC RPWVNPYTGN QC762_512820 MGSRILVFGPWNGWLRCLGPSLHGRKSNLILDIILPRRRAARQK RSSSGRSPMLETWRSTLEVAGCGRLEDEGMSSWLSVSVSPLVWDRVASSLTSLRTGQN NTVNSLPQFCPPFAECQMARLGYHACAIDGTNIGMGPFEPIICQAGNYCPPGGKTTFS CPAGHYCQPGAATPTPCAVGSLCPEGSSYERYFIPLGVLIALDIFIIIGIIILRFRSR LSSSAQVHHSSLSKKPESTVVGLARAVTRRKYKRISEGGERSDDMDHEMRAVGSHPPP GRGDVWAGFQEALTMPVRSYRNPEGIMSPQGEDLEKSLPPQIRAFIDSMRKATDASDI GLSFGYSQLAFQPKGTSRPILQDITGSIRSGTLTAVMGGSGAGKSTFVNVLMGKIEYT HGKVEVNGVPGKLARYKKLIGYVPQDDIVLPDLTVRENIMHSARIRLPRTWTSQEIEN HVTAVIDCLELSHVRDSLVGSVGKPVISGGQRKRVSIGMELAAAPMAIFLDEPTSGLD ATSASSIMRTLKAIARLGISVIAIIHQPRMEIFEMLDDLILLANGQQLYEGPESGVQP FFEKFGYIFPKHANYGDVVTDIITGNGRAYKTSGDISKDALIANWVACRKEIQSPVVP TMEVSRPGSPESGSSTAGDDDNERRNTRVSVVRPVSPFTVNLATAKSKLRQSSASVLA VGNFNTSKAPLGRLLKKRGASRLKQFTLCLSRAFLQQYRNLSVFWFEVGLAALAGFLL GLAENAKNGVLFMGLYHRPYEILSTASDFKSAPEMALLTAIAIGLVSAAPGVRVFSEE MLLHRREAEAGHSRLAYFMAKSVSVLPRMTMACMHFTVPLWLLSTPIMGWGLGFAANL WYFYCIFGLASVISMVVKREDAPLFATMIALIVGILSGAAPPLSSVRNWLDDNTTARV SEILHSAIAVKTKDGHLISIIYSVTEV QC762_512810 MVSFRNIIAGTIALAAPAMAALTSTQIADTLQTLTAKSQALQAP AQQITILNGPLIVVGLGPFPQIIQGFTEIITITTAAVPQIVATPRFELDADRKTVADA FREFVRVHQVLLNILIGKAGLFQTVPIIGQPVAAVLRQLESVVDSAAFALIDVVAGTN GVPEQANSLGNTIEIAIKSYEGLQVTKRENVIPAAAIKAAPIGRRAQMIAA QC762_512800 MKPFPEAPGGHTPKTFPFNLLRWRFLPIFLGLSYILYCKLTSQP IFAHPLPQHTGPYAVGAIDIESPVMPVRTIDTARFKATSTPAFDLQTVLFTVYYPTTY AAAKASTVKRHPWIPRPLYLRAQGYAKAAHISNWLVNKIFEIALKTLAGSITIPAAVD APLSDTATRYSQFATSWDKAETQEQVLENAQVGGHPVIIFSHGTVSSRTDYSHYAGEL AARGYVVVMLEHRDGSCPGSMIKRKGLPKEKRLIFDESEVETADGREISVEDFHKAQL SFREAEIEEAVRVMKLINMGRGADVYELNPRGEGVDLVNWAGRLNTDEMIVAGHSYGA TGAMQALRGGPTPMRPFKGAIILDPGKQSGPLNRDINVPMLVVHSNSWSSKTSIFYGR AHFDTVKEIVEENNERGNPTWFMTSLGTSHPSVTDAPLLEPWILSFTTGATIDVYQGL RQYVHVAEDFLAFLGDGKARGLLAERAEFPQYDAGSGLGMWQPGQGDNQQAWEEKGEW WDWRSYWQIHVSPAGNGDKN QC762_0077510 MDHWKPPQLDITAPTAREFPSNGSPGEFCVPATVLLFTTFPIAL LLEERIKPCMPHRVSSFSPSHIMDSLPSRLDSLRPKTVELMRIRDTPGLSLGAMHQGT QVCFASYGYRDVE QC762_512780 MLFQAPQLGALGVTFTVMRASQFACLISVIGLCANFINEIATAE HSPPSELVGALIVAVTAIIYVIITYILYYDNMLSMLTTAGLDSLLLVASIVVASLIGK PLSMLNCAALPSSEWSSGLFSSAPSPIKSIITKTVSYISFVLLDQTTCYQIKAVWGLC ITICLLFAFSTLACLGLWQRIRRETKNKDIEG QC762_512770 MPLLSIFLLPLLLPSSLAQKKGNLSPETHPPFQWAQCTSPTNCT TINSSLVLDANWRWVHDVKYRNCVEQNPDGTQWWNPAVCDLGDESPASTNNCTSKCLL EGAGDYRSSYGITTTNATLMQKLVTRIEFATNYGSRLFLLEKKDRYQTFVLLGNELSF EVDLSTVGCGVNAALGFVAMDADGGVERHEPWNEAGAEYGTGYCDGWCQDRQRFVGGR AATNKQGARGYQWQSDGACCPEFAVWNSNAHSYSMSSHICENDDYEPCPGPWCDPTYY DPDERGVPPKCARKGCEYNPYRMGAKEFYGKGKLVDTTRNVVTRWEEDRQYQFFIQDG KRIDVPAPTWDGLPKQSGLSKEMCDVQANVFMEQDIWAVHNGWPTHQRQVLSRPMVLV TSIDAADWYTWNTWLDSSKIPPYDDRDPGVERGPCPWEDNEPSIARASNGQAKVVWSN IRFGPIGSTVEL QC762_512700 MAEPRLPDVYGPGTFTDRELVPILTDAHRILRVLATQTPGFTDN EVVLSKVRFEGEAEPVIPGPVKSTPVAAALHAMTGILADEILTLRGLPSPTRKVVINT THTTLWLGGVAAVYLDHESIISLMRDKKRFGELVPDWQQRGFDPKLNPLLKLRATGIY PTKIPGQWYNLHGSLNPEPMLRNLGIDPFPEASITTLDEAAAYLRKKTTKMSPTEVEC LNLSAGHCGTKCHTPASWSATSMGKSLAKHPLIDVIPPRSHSVPSPPTPFPALNTTNT LPLSGVKVLELARIIAAPVASSILASLGATVIKINAPHLPDMSVLQLSLTAGKITTCL DLRDPTDRDKLQESLAEADVFIQGFRPSALDKYGLSQHDILSMAVKRNKGVVYVTENC FGPDGLYASRPGWQQVADCASGVAYVMGRGYELADGEPVLPSLPVSDMTCGLVCAVGA MMGLLNRAKEGGSWIVRGSLVRVDTFFLDKEVGLYPRHVVERCKERFNWGVMRGENHV LELLKMTWEGWEGDRVMRGYLREEGEWWERWRESAFGGRGLGILRPVVRFEGEGIREE VQPRWARGPVPFGFYEKGDAMF QC762_512690 MGSAISRCNSNSRSNSHGHGTGPGISEMGQKIFERQPNWLTPPS KWRSHHCSHQPVRYRTPTPYPKDDRKRCDYTLLHEKNMIIETPVADHIEVKKPSQKHP AMVHSRHGQQLPPNSTLTITWESHPRLNRFERAS QC762_0077560 MTSQSPAFSTNELPEGGGEVSVPKAFSHLRFLGSLRVDVLIHTF RGPHWIRFTQIYLISKTPTDNQFLTVKFCHKMVPTSFQYRPLPPPPAKLIRLLSIHPS EDNTAPICLSFTNQPACIDIQDEAITPYEALSYVWGSEADPAPIAMITIDADGSTKHN TMLVTQNLATALRHLRLPSSPRTIWIDAICINQNDWTEKGHQVSFMGDVYEKAAHVVI WLGPEGDDSNHALNILRDIGSQVTVDWNMQSMTPTPNARDPSLADIDTPFPDDGHYTP RDANAVEALLNRSWFERLWVLQEQALANNATFQAGHSTISRLDLRNAVYCTNVKNSEG SSPMAKLCRTDRAWLVVAMCRPRFPINLTEMRTYCEEMKCKDPRDRVYGLLRLLSGFE REGGAAEVVRPDYTASVAEVYGDVVLKYIQATRKGDILAHAGVKDEEGGSKWWPSWIP DWSYEMERNMYGLPCSGGETFLAVVTDSSLEGSFLSMVGVRCAEITVTALPDDTTTPL ATLASFRKLFRDVFAKVTAVQQPILLESMSRAVSAGRFRETFIPPENYYLPVSDVTDM LRKVLTTSEDFREENNEDPNLVLLGKHMTTWVQGRQYFMTSDGRFGLGAKATQPGDIV CLFLGTDTPLILRATEKDRHREFYQLVGDAFMHGVMAGEPFLGPLESYQRQVYAFNES NRGSLSILDERTGEVVIKDPRIERLGLGLEAVDTFTSSGGELRYEISMQQLKEKGVAV QDFCLV QC762_512670 MVLDAYLSSASCCLSQLLTNAVRAFRQLGSMRRETVLIVGANRG IGNKLLKAFVEESWDVTAIVRPKTRTEKDPTVVELEKAGVRLLELDYLDEATISRAAA LYGADRPLDLLINVGGLSPHPKPWQEQTGEMMVEKFRVMAVGPILTIGHFLPSLELGD NTKIVNISSAFGSVSKNSFGTCMAYRMAKTALNQGTVTMAREWEKEGRNLTMVNVEPG FILTRLTGWDGVDDMTTCIAGLMRVFKDITPQDNGTLIKWDGNRIPY QC762_0077580 MRLINTNDGWFEEFICNDIPPYPILSHTWEDGEKKIDMTCRIAK KDGYQYAWVVTCCIDKSSSAELTEAIKSMYQWYQQFSVCYVFLSDLPPPQVAPLEIAL SRCRWFARGWTLQELIAPANVVFFDGEWKDRGDKETLIDHLVSITGINKGILRHTQSL SSMSVAQKMSWAASRTTTRIEDTAYSMLGFSTST QC762_0077590 MDKEAQRSSQPLFRWKRTWQIANPTACWVGQIRRYGTSQDEDLC HGDVIQWLTTLCVMGVVGYRRGELNGRIYRYFPDWVRKSEHCPKSAMPDYCYVDNGVE KPFVPWWSWTVEAKTHTGEVPVETSTLVLRNGK QC762_503190 MPTHRHRPSLTVMPRSRDDTPSSSSSLERGEDPHHGLTLKQELE WKPGKQEYAVMITLAIISLMVALDATILVSVLPTLAIDLGGTATDAFWAGTSYLLSCA VCQPFIAALSDIFGRKEMLIFSVLFFTLGTVLCAPIAKNFTVFFAGRSVQGIGGGGII TMGQVIFADIVPLRQRPKYFSLVLAAWALGSVLGPLIGGLFVEKAFWSWCFYINLPFC ALGLVLIPCYVKLTTQRTSLRSKLARVDWLGGFLFIGGLTSFLVGMSWGGVQFEWSSA QVIAPMVVGVLSVAMSVVWESYGAREPFLRPQLFCSGSALAAYACALFQGFILFCALY YVPFYFTAVRFEKPTQSGLDIFPVTCLLLPGSIVVSLISSRTGHYRWAIWSGWAITAI GCGLLHFFDTDTPTPVWAVILAVFGIGHGILLTSVNVGIQAISRVEDAGRAAAMYAFM RTMGMSIGVAVGGTVFQNLMVKKLDELGMPEEIAHDSEAFVVQMAAMDPTDPVRIGAL EAYVAGFHGVYWTITGASVAAFLISLFMKRHSMDKMLATKFVLEGARTTMVPNPAIMT NAIPIQTNSSSQDKFSSESPRRLPPLFKDSPMPSAFDSESTYTMAKSSRDEEKPDSPP PAEPQEPEVPGEVEQVAVAYFVEASGKIVPVDILPEHQPVSQMGSVFEFAGQHKEEGE SPRYPLWEPQPGEEDEVVEEDASPEELFERALGNGSIHSASTEEELAEQEAVVADLAP EWMQEREEESR QC762_503200 MTSSSPIPGFTPLSPTIHLYQPPSPTLTHHTSPNPPQLIILCTW TSAKPAHIAKYTTAYQSLHPTTPILLITTHITDLIIHSIPHKAKSLLPALTYLLRLPA TTPGPYFSPFSPPTARLPPGSILLHTFSEGGSFAAVSLATTYLHHSKQKLPVGAFIFD STPGSPTPSFASSTAAFARTLPGFCQHNVIRKSVGGVVYLSFRARSGNRDKARRDWLH FTQLGLNNERLWDSSSESVPTTYLFSEKDDLVLWEDVQDHAVRAKRRSLMVRFKETGH CGHVMGERERGIYWAAVRLTWEGVRVDGGLGVKLHGLGRTTVGWNNRKGWLSLDSLCL EEEEEEEEEEEEEEEERIRT QC762_0077620 MSRQVPFVPLGAVLEVHNHHHETPPLGFPITYTTPPHPTEPLTQ FSERLNRRSDWQPGRWPIRLGPTAHLEFRARGVWSGTQFKSSLLQTSSADLAKTCGT QC762_503210 MAPQGIAPAASMQHWSAAKLKRAVAAMLLPREDDECSPQPNINL CEKPGISTAKITWIVVGSVFGALIICTLSVLAFLHRRKKKRDASEDKSDRFQAADYGL DDVPSTKRSRAHDSDSKFSPEGSPSGFGRRSRDPLDAPKEAKLSAAQLNDHLDPFDDL DGTNNQWPKRDSSRGSPLRGSPLRGSPLRGSPLQDKS QC762_503220 MSTNPGTMRGPSRTGQRGGIPFTPNTPTTSSASSAIPRPVETTH AAPSESGASLSAGRQKQAKRDEAIRRKLESDLSKKKHLTSRARHSRKAPPGTVLALKP SPALQIKPATTVSEAAQLMAAKREDCVLVTDDDERIAGIFTAKDLAFRVVGAGLKAAN VTIAEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGVLDITKCFYEAM EKLERAYSSSRRLYDALEGVQSELGTSQPQQIIQYVEALRSKMSGPTLESVLNGIPPT TVSVRTSVKEAAQLMKENHTTAVLVQDQGAITGIFTSKDVVLRVIAPGLDPATCSVVR VMTPHPDFAPMDMTIQAALRKMHDGHYLNLPVMNDGGEIVGMVDVLKLTYATLEQINT MGTGADNEGPAWNKFWLSLDHETESMVSGDGSHHHTHHTRSVMSPDMSRDRINDSVAP GDSASHAGVDSPPHSAVAPITPELPPSEIPFAFKFKAPSGRVHRLQVTAAHGMEEFVA NVAAKLGAEVETIGGAPAVEDGLLSGGFALSYLDDEGDSVSITTDQDLLEAILLARHG HREKVDLFVHHPNQPPVAVAPAPEPVVHPTPPASSVVRERRKAHHEEESEEEEDESEE EAPVRRRTRTRTAPLQQEQVIAGVPNELLLPGAIVTLAVVIIGVFAIGRASSR QC762_503230 MIIRNFGVRHGRQVLSVPPSAPSCLRLFSTQTTTTTTTATPVPG NQEAVEQLAALSATDAAREARLAGRRQQQEIAAIPRNFRKFIKYQKETEKLGSEVERR YLPDEIIRNPPHDASLEDLLAAQCHMGHHVSRWNPANSRYIYGERSDIHIISLEQTAA HLRRAARVVEEVAYHGGLILFVGNRKGQMPIVTRMAELAGACHLFQKWTPGCITNKDV LLQGGQLKIVDERDRELEGFEEHLRDCRPVTPDLVVCLNPLENYALLRECALATVPTV GVIDTDADPSWVTYQIPANDDSLRSVALIAGVLGRAGERGQQRRLADAEQGVVKWQTP KDVFSFIGKVNAKIRREAEEKQENMQKETGGLKPADLMTEDEVIAEMFGQGAVPSKY QC762_503240 MPAILEDPAASTIYRISGDPPYPDPAKPSVPASIVPRHVTLRDR QTEATVIPFASRDEVPESLLRYLSDQFSKEIEGGDTYPMMEPMSFDKFAAYWFQNFAG IMLLGNIESAADVIEGKDWSKECLGTFYIKPNYPGRSSHICNAGFIVTDASRNRGVGR LMGETYLEWAPQLGYKYSVFNLVYETNVASCKIWDALGFKRIGRVKGAGNLKSHPDRL VDAIIYGRDLGDAAGGAGNEELKSRLRSAATHYKLLEGDVLMLKDKEVISDPQRQYEI ARMVHNQAHAGINKTTATIAERYHWSRIKETVSDVIRNCTECKELGKPSSSSSAAAAS QSSQTTAAQPSPALAAVNGVKRPSSVTLPGAPNPKRSSPSPTATAVVVAAPSSSSTTT LQQQPRPPIPDPPHLGPFTVPRQQQQPHPPPQYTDPTTISLLSSASHSHGIDTGSGPG VDAAMGGPGHHDAHHHHHHPHHHVQVTDVYQPIDPQIIQSSLHHHHHHHHHHQQQQHH DDGLVHPGYLLGDVHHPHHHHQEPDETDAFQALINAGAEEEEVEEEEVVGRRMREEVE EAVDRDLEMLIEEPVEEEDEGKVRGGKEEGSLSPKGEVPATPVPTEVGDEGGRVG QC762_503250 MSFGGQTPTIIVLKEGTDTSQGKGQIISNINACLAVQATIKSTL GPYGGDLLMVDANGKQTITNDGATVMKLLDIVHPAARILVDIARSQDAEVGDGTTSVV VLAGEILKEVKEHVENGVSSQIIIKGLRRASTMAVNKIKEIAVNTTEGNRRDTLEKLA ATAMTSKLIKRNTEFFTKMVVDAVLSLDQEDLNEKLIGIKKIPGGSLTDSLFVNGVAF KKTFSYAGFEQQPKSFKKPKIVCLNVELELKAEKDNAEVRVEHVSEYQAIVDAEWQII FKKLEALHETGAKVVLSKLPIGDLATQYFADRDIFCAGRVSADDMERVVQATGATIQS TCSDIHAEHLGTCESFDERQIGGERFNFFEGCPSAKTCTLVLRGGAEQFIAEVERSLH DALMIVKRAIRNKTIVGGGGATEMEISAYLHRFADRDVAHKQQAIIKSFAKAMEVIPR QLCDNAGFDATDILNRLRVEHRRGNTWAGVDFVNEGTTDMMERFVWEPALVKINAIQA ATEAACLILGVDETIRNEESAAPQAPGAALRPGDAQRALGGRGRGRGMPRR QC762_503260 MDPAAPIPNYDNPESIGYRLITVAVVFPVLALCFLVPRLYTASH IIRKWHPDDYLVIIAFLFTIANSTVSIIQTTLGMGNHIWDLPFPKFQSMMKLGMIGGA MTYNLTTLFVKMSILSFYLRFATANHKFRIAVYVVMFFVVGYTIPNAFLFLYICKPMQ YYWDWTIEGGTCINQQAVFDSANILNMATDFIILVMPIWMLWDLKVGIRRKVGVVGIL MAGGFVCGVSTMRMVTAMTGANETDISWHYVKNLIWCIVEMDIGIVCACLPSLRAFFR RFFPNMFVFSSAFEERLTATLTFRRGRELSSQPNALQFRSTDPDNQSNSSQDITDQRA WWRRKATGPDVEKADQKQVTDESSVQVVEKSALGSDSGKT QC762_503270 MVKKRKNNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFAEYTVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRVR YNKDGKKVTPTQGAKTA QC762_0077700 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDSDGKIERLRRECPNESCGAGVFMAAMQDRQYCGRCHLTYVFEKA QC762_503290 MPSFGTPQTSRSRRSPILIATSLTVLLLSAAVLLSKTIFTNPTT SPIQQDSPKMGGGPVTPGYRSVAYFVNWAIYGRKHRPQDLPVQNLTHILYAFANVRPE SGEVYLTDTWADTDIHWEGDSWNDSGTNLYGCLKQLNILKSRNRNLKVLLSIGGWTYS SNFKQPASTPEGRENFARTAVDLLKNMGFDGLDIDWEYPSSQTEASHLVSLLSTLRSH LDAYSSTLPSRPHFELTVASPAGFQNLQNMDLPGMDRYLDFWNLMAYDYAGSWDSTAG HQANLFPSPHNPQSTPFSTDAAVSHYVSQGVHPSKIVLGMPLYGRAFQQTDGPGGPYS GVGEGTWENGVHDYKKLPLEGSEERYDHEAGASYCYHPGTRTMVSYDTVPLARRKAQY VVDRGLGGGMWWESSADKEGPESLIANVVDTFGGPGALQYKENCVTYPDTKYDNLRNG L QC762_0077720 MPRNNNSDDDEVYQPPTNTSGPADTSPRQTRAIREAAMLRAGFV NSNMSEEDYQFMLSLMPLNFHSDPTKFPKLFQITSQDYQSTSPLEDREVYEIRDYLVK YIDFDLRVPTLATRIRRANADYLSDGISRLMSPFGEHYYAFTCLVCHSWYRPDSEECN QYTCYAPFRDQLAEWAKDHIAIRMTPDRGYGAFMKPGRDVEQDEIIGIYYGMICKHDS EHVPNSAYVYEMDDHIGEYPYNIDAGMYGNWTRFINHHCRPNVACSPETIGGIRVLVF KALRPIEERHEIYVNYGRSYFDKSKMQCRCNLYPVEHKAEGHADTATNKPRTCARPVL PLDQKRELPLDSESDSPLSSPPSTPERSVTPDPGRQDPFRPSPLRPGATSRSGPRHRR TRGKLATYSPASLSKVSSGIRKRQRIDRQASRSYVSGVQEALEQQELIEIVGDAGYFS DSTLCSPTDTDEEMEDVLGYSLGNLGNKPKVHAEPYRAGGQALPDVDQVLREAAPVEA EDYQVEKVMDSTLMGDEVKYLVKWEGWPQRRHWTWEPFEHFYSDGAKAAVRTFHAQQP GKPKDSRVEA QC762_0077730 MASSYAENHDLASPPSLMSLMMGYTPPPRVAVLPPPPPVTENRT ASSSITLEPPPPLTVTSESSNSTTSTETSTAMQEEATQVRILKRESIQIEIQQPPTAH FDKHTSDEPGLRGKKAVTIFSPARSHQDVGGEKARLALEEEVGAVKKGRGRKRGCGGC WGFW QC762_503310 MAPSFLHLATGIALLTTTAHADLTTTIDATSNRGTWEGWGTSLA WWAATFGHRDDLADIFFTLRTTRHQSVPLPGLGFTIARYNLGATTTKTTIPPSTSMKT SPSIIPSRLIQGFWLDWTSSLPTSPSWNWSADPNQRSMLLKASSRGATTLELFSNSPI WWMCKNHNPSGSDDGRSDNLQSWNYQNHAVYLATVAKYAAENWGVSFTSVDPFNEPSA NWWNGKTGTQEGCHFDASTQIAVVGYLRQELDNRGLKGVVISASDESHYDEAVETLRK FEGNRTVMGAVVRVNVHGYQYEKGRRDVLFDMVNKAGKKLWQSEYGEADATGERLVSN LLLDMRWLRPMAWVYWQVLDGGGWGLIDADNDRKTIGQVNQKYFVLAQFARHIRPGMR ILDGGSDYAVAAYDEKARKLVIVAVNWGEAQYINFDLGMFGAVGSDGSVVKRWATQIG SGSRYVEYSDTRLSGKKFWSRFEKKLVQTFEVTGVVL QC762_0077750 MAENTQNDVDAEVPRILAVNISCAVGQLADYGKDLWYAIELDLD HDIFTKDVAPSPSFLEIPVVVHRVGTFLV QC762_503320 MSPPPPSSRWPAPYKAAISFTMDNLGEAQDVLNGKWPHPIGTHP AVTNQLPRMLSLLEKHNIKATYFAESWSLSAYPDAIKSLQDAGHEVAWHGYQHEVWKN LSPEAEQENFDKSWEEARKAGIKYEGFRPPGGSINDGTWGLLQEHGVRYVSPLGELGI GKEGVVVLPFEWRGVDAFWYMDKFRGIREDHGEREELENPEEDLKGWLTSKMEEIRQT GGYLSVLFHPFLQTDEKKFAMLEEVLGRIAAEKDVWVAPCKEVAEWVRGHPGSFEGGR QC762_503330 MAANEVYQYSLISALMDGVASNGIPISDVLRHGDHGLGTFKNMV GEMIVLDGEVYQMKADGSVVHVDPETTITPFATVTRFDPTTTVKATLKDGKKDLQALL DELHPEATNHFLAIRLDGTFERIEFRTAGGQCRPREGMVDVCSRQTTHTFEGERGTVI GFRCPGYVMGINVAGDHLHFISEDRERGGHILGFETEGEIEVGVAVMSNFRLELPKGD REFDEAKLVKDEEGIKAVEG QC762_503340 MRIGSLRHAAVWVACLSHHVAAVNHRDPAEGAARMNAYEIFNAI HSAMRQWGSSLNHNGLSTFVATIPAGVTLYHGTWRSTPPPGPEWLAFEIEHAELFAHP RWKFPPRELNTAGSSMPLQRQRGIFFQGENDYEVREDGADEKGYLQIYQTTQPVRLLY LDGTSAANTEMGTLDLQDFVIRGDRNAEAWDEFGRAKSLCDIVTSWGLQGVIRMEAGF EIIKCNFSDSMELVSAIQRPADPSNSDGLGWEGYAEVHPFEWISAVAQRYHGIGGSRV ELDFSSMVSAMFYPVNLTNDKSSKPNLPRLWYATEDELLSIRSRVEEVVHERLDGKHT SINWQEVTDLIITRYADRLWFMAERAQSILEFQGELNHLTNTHVDYGEEDIYHAACSR CERHYIRSATPKTPEDHLILAAIEEVTHAICETLFVVRLSIKNRIRIDKLKYNDKVPA SRMDELLQSSKKAIRELMDQLKWTKWKECTTCDFHEVCFAPLWPVGDKDSYEQPNCRN HSSIQNSWWDNRYWDMPKMPHVPKPPTREDL QC762_503350 MAVSQTLTQVVDLVSEHKVEITVAFVAVYFLSQIRTYFKLAHVP GPWFAGWSDIPHNWSIWNERAYQYYYDISERYGKIARIGPNSVLSSSPEVWIHVNTKP GYRRSDWYFKAVRVEYQRDNIFSQADTEKHDATRKKIAPGYSGRENLELEGSVDSRVV AFLDLIRRNYLSVDGGPVKKMDLAKKIQFFTMDVISLVAFGTTFGMLDRDSDINNFVK SSEDGLLIGNMFMSLNLAWLVQAPVIGKFLGPSPKDKTGFGAMMRETFRYIDERVENA ATDTRRDMLASFYRHGVRGDELRSEVLEQMVAGSDTTAGALRGIMLHVLGTPRVQKKL QEEIDAVHAKRTWGDGIVPHAVAKQMPYMQAVIREGLRIWPPVLNLLPKDVPAGGDTI VVDGKKVFLPGGTCIGVSTLAIHHDKELYGEDADVFRPERWLLETDQQKLDMMTRIND LTFGHGKWQCLGKPVAQMEINKMMFEWFRNFDWAVAKPSKPWRLTNAFGLFLINDFYV RVMKRET QC762_503360 MVARLASDLPKVKEFPASANPDDIVQALIRTGGVVIRNVILQET LDVIEKDVRPFIEADKPWKGDFFPPETRRVYGLAGKSPTFFKSVVANPLYQAVCSKML TAEYKSWLGQKLETSISRPQLNNTIVFSINPGARAQELHRDDMIHHNVLTAITADQYK IGRDSGIGWFVAGKKTTKANGATRFIPGSHLWDQMEPPREELAFFTEMNPGDGFVMLS SCFQGGSASTTRDEERLIYSCFTTKGYLRQEENQYLASSLEKICEYYDADLQKLIGYQ VSSPFLG QC762_503380 MAQTRGVECYKIGPGFVSRCFPRGFEHDTWSRLDFDSTQWASRA WTFQEATLSTLMILFAQSGRYFSCGAGLVWEYGGVLEEPLNSSVHGIVQDTSAADMYR NWNLKIATQFLGRQATYLADSLLALSGTAQIFATALDDEYVAGLWKGDLLAGLFWKMK DSLRSYFAELLQSLDDPWIRPSWSWVGRPAGRSAVGKVRGFGPGRCNLYPARSSAVLR MQYDTLKAQTVPSGMTSMIRVYPLALGWYHLPDDDDDCDGKRLSDTGNSYLEQPGDNK VAFSYHLDFFPNPDVNDPHQSWKQDLTLVLLGSINYESSESEDDLGETPCGLIIHQAK RNSCEYCRVGTFGPSTSEASSGTVFDLDMDFCYNWESRSPKVI QC762_503390 MQTIQLTIITDQTDTILSSNLSDKPPRIATMHSYLLLASLLGLA SAAPTSSTDIPRQWTPQEPGSIPRHSGARGFRLRVNVTNPSLDLTPPVHNLFLSTAHI GPAQNRAVVSYSGPIFYQNGSYSDIANYRAGILTDAGPPESPFPEAIQYQQGSDDTKG SELFINAGTPGAGTAISKLTMPYSTLQILEGAPIVKSFIVCGNTTIPYYGESRKFEVV NWLGATRDSTGTHLRVPEGCVAVNLVPECAYDFVDLPEGAAYDRTFVNDVRCYESVAA IDWSKYAY QC762_503395 MLPLLFFLLPSLTLSSPAVFPSDDSNNPSPSANNNNNHNNHNNP IDLTAPTPEYPLPWSLTHSSSPAEPDIWTDDPTLANPSPQYPLPFASTSNSTFASLAS QARIRIGCTNNPVDASELENSLTCLSNWCSTGNTIPPRGGEFCNVGGSMMYICSYGGD NPCSANELVTAWGSIQRDCGPGRGGWWFSNDWKKTYGIDSAGANVCGNL QC762_503400 MPNTSLRQPRGGYRRGGKQAYHGPKTKTFAASSSTRGEATSMDE KWERTALAHQIDENMGFARYDAGRKREGWLVNVQATSIDDPRIPGGGGRAALDCYFIE EDGQTFKATVDFEPYFLIACRKGHEGEVEEWCKRVPGGGVVKSIKKIEKEDLSMPNHL LGYRRTFLEIKFHNVQDLMAARRDIMPIAEKNKKGMDAMDTYAEVATTNGNFDLFDDD LRRDDQRHKTSFAEASDFIVDIREYDVPYHVRVMIDLDIRVGNWYFVEAKNGVTTVIR NEDRLAPADPVVMAYDIETCKAPLKFPDAAVDQIMMISYMIDGQGFLITNREVVSEDI ADFDYTPRPEYPGPFMIFNEPDEKSVLERFFLHIKEARPTVIATYNGDFFDWPFVEAR ASINGIDLYHEIGWKRDSDDQFKCNYSVHMDCFHWVNRDSYLPQGSRGLKAVTVAKLG YDPDELDPELMTPYAQERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTILPLGPDD TLRKGTGTLCEMLLMVQAYQKGIVLPNKHVQAKESFWEGHLLESETYVGGHVESIEAG VFRADIPVTFAVDTGAVDELLRDLDAALKFSITVEEKKSMDDITNYDEVKEQIVAKLM NLKETPNRLENPLIYHLDVASMYPNIMTTNRLQPDSMISESDCAACDFNRPGKTCDRR LPWAWRGEYLPAKRDEYNMIRHALESERFPGKRPNMPTRSFRELPADEQASLIRKRLQ LYSQKVYHKIHDSTTIVREAIICQRENPFYIDTVRDFRDRRYDYKGKAKVWKGKTDAL RSSGASASEVDHAKKMIVLFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTG ATIIQLARSLVERLGRPLELDTDGIWCMLPATFPENFTFKLKNGKKMTISYPCVMLNH LVHDKFTNHQYQTLVDPKTFKYETHSDNSIFFEVDGPYKAMVLPTSKEEDKNLKKRYA VFNDDGSLAELKGFEVKRRGELKLIKIFQQQIFKFFLDGTTLAECYTAVAKVANRWLD VLDSKGTTLADEELMELISENRSMTKTLEEYGSQKSTSITTAKRLADFLGEAMVKDKG LNCKFIICARPKGAPVTERAVPVAIFSAEEETKRMYLKKWLKEEPADTDPRALLDWEY YRERLGSVIQKLITIPAALQKVRNPVPRIPHPDWLQRRINIKDDKMKQKKLTDLFGPT TKRPLNDITNQLGDLEDIGDLLKPKTVTSAIAASQKVLSSHKRKSPEPEEDPFAALPK KMPDPSEDYPAFLEYQKQKWKLQKQARARRRHLFGERRGNAQNSLQQTFRNQAEVTFR NTWQVLQLKATDMPGIVIAYILIDNKIHTVKINVQRQVFLNLKSKELPDIEIDGCQVE QVNHTLPNGHSSVHLFKLTVPEEIYFAEAEKFSLLFNHPSVEGVYEKQIPLNLRALLQ LGNLCTIDTSQAGVLGKGLEQGFDLDGLKKPTKPRPYLEGARMSYVYLSHISAGDRQI FGLFSTTGDQAHVIIQQKSKDGGQDLPNISKLYSDLLARRISEEGEDSTWQERFQYQE KLSVKITQVTTRRKAFLEIGDIVKKMKKEESGPTMMVIQSSQRNLLVHDIPILGEFPV MPLKYDTADSSLPPLGWQTVVARRLVNHYLSLGSWITHLTALAKYGDVPLCNLERDDP RFLIDVAYARRLQANSVVLWWSPSPRPDHAGYEKDDVVGPLDQVQMPSVNTPGTYASV CIDIEVRNLGINTILTSSLINELEGADSISFNPAGDGGGGEESFQSENAFANAGVQVL REMVKSWWAEACKGSTMADIMVQHLIRWVESPASCLYDRALHYYVQMMSRKALLQLMA DFRRVGSHVVYASANRLLLQTTKSEVGNAYAYSQYILKSIKAKPLFHFIDLEIKEYWD YLVWYDEFNYGGKACQEVLEKDEQDLQMIMHWQIATFLPVRLQPVFRDWVVEFIELMH GIKRPANGSDPTATPRMTQLPFRGDSTQQADDKVPTGANQIILGKNFEKPLKKEILGL IQAQKREMLHPELANDYSFPVLPGSYLPQLLPSSASTGPAHKKSASAKPTANPILELV KALMQVLSLDKNITLEARLLRKELLALFNVREFSKEGQFLNPSESLKITQLSCENCTM TRELDLCRDEDLMPLPDEEPQAKRWACQYCEAEYDRNAIEERLVGEVEGIVVEWTCQD LKCGKCGAARVNEFMEHCTCSGEWRESVKREEVMRRLRVYKRVAGFYGLRMLQDVVGE IWEGL QC762_503410 MQDLEFCITSRSSRPRQSKQPASSNPALPLHRTQPLPPKMATPP NDSSDNPQSDLPWLQKPPTLTPSSPSQKKNPQEEDLSLTAALSTITPSSFLTVHQTPC ARTGLLTGIGLGSAVGILRSILGLPIPRAANWAVGTGALTAILQYEYCQAKRRQEKAK VARVVEVYGQKQAEMRAKEEEDRRRKAEEERRLLEEQKGKSWWKVW QC762_503420 MFTPTTEMAAPSPFSTPKRKREQILGRQIPDFPSPAHQQQQFTF SPDSSQTDDGSTSPRSCVAHRFRGLALGPTPSGGGVVPNNSSGDSASSVRNSFGPPPD FGSAMDTSSSSEMDMDNSERGSRKRARTREVVMGDDNVTADAVTRDSLQDAEQHQDIA DKQRQSSPKSLRFAIDTGVVEQSETIANTHTTAPRAITLPSRTKSAAKKPRSRKTTPQ PQAQLPLPAMTLTNLPILAPKPPAAEATAAGPKPPTTVITDPLRASLTWHDDEITIYD PDDSDDDGTGINGIGFKPTAAVAYARTVKRKQQLAEYRKREEREARAWRNQRRRRGES PAVSLSGSSSDVKKKMERRRVRFLDGSAEVKVTV QC762_503435 MQANMYPILQEQARLIKDQGDTIEALKKHNNLPATGATALSTDA ATLDALTEAVQALHDRVTAIELTVNKAVLFSDQQGQSDISVAANSIRMQDRERENFNK CLDETLRDCPRDHGAIKRSLDGFLAV QC762_503450 MARTKYGPNVSGMMFPQNQHPGTIGATTGLDANNNPYVYISGLH GSGRLPQPPPLTHPGFPAANLINSTGGAGAEPGFNYFFPTEHADVIVLQSAVAPWKLT EGYTRLDYWTVKVPGNITMGELLAGLGVNSGNGGLYVVYQQGNGKWEHQESVTRVDGR LMRRSVREMGWCRMGREGKVRRTYIWVQRV QC762_503460 MLLDQRHLNGSSLVVVTSAGLAFYGLALTIYRIWFHPLAGFPGP KLATATEWYQTYYEVMTYGKLSFKLAELHTRYGPIVRFGPWELSIRDPGFYQNSHAHG SVRRTEVSLRQRTALGFDRSHMFTESHELHRLRQKPLESFFSRRAVEFKEDLIAQKAQ LIVARIGEWIANSTPLQLELAYFAYVTDVVGEILFGGENLSLLEHSEFAPDW QC762_503480 MALTLSTIIKSPQLPTPKARAEHIDPSIRLRRAIRSNDHLLVAR ILKSHPHLLHNPDPDERFGLSNSNLHLAASLGHLQICRLLISLGHERTRTADNNPTND DDNITPSLNDNHQTPLMLASAAGHTEVVHCLCEFHPAGIVRQDIRGRDAIMEASLHGH DTVVQLLLTYAPGGAESALRNADLDGNTALHFASSNGNLLVLRTLLAAGADPRTTNVW LWTAEAYSATVQAEVYLKGLVVEVERRRGLRAQETTPQQESPKRIREGVGVMDASPKK EVVVGKGSPRKGFSLGFMGGGVRLVRDGVGD QC762_0077960 MALAPIRIHTSNSSFEAIYLSTSFPFFKHTCEVSSLMLSQTNSF PFATSFENMSSSPAQFSKPPNELRLKFIEQCGSPERSSSRTASKQHLRSPSPSTMRRT RRRSSTTTAIPEVPQSQPQS QC762_503500 MLGRIIQESGDRACHKLINFHQRMANFQEGVRKAWHLNKCLANL RKTAPTKRFRPPAAQRIPRTKWENTTPRDLSSRLFEKLYSSVCQNQDHQVKLQLNGFD MDSFLAPPIHFNLFLPSCPTNNLWQECQCRAIVPRNVNSLPEDVEMIVDLCEDIRALN ENHHLPTVLAIHFEVVEPGHRYYLWHDPKYQLDTPQHFQDAKPTISLDYLICSGFLTD IPEGGVFGMNDKAVLALSLSRCFLHLWGAYWLKDPWTAGNIHFLARSDEIWNPHHPFI HCSLDNSSPTSSIQATISLVSFAKLLLEIETGTRIDVDPSSVTQDEFEDTIIWKREYN AAVDGCFHIFALVNKQSNEAGGVVDELDMMRKAIYDAIVEPLEQNFNLIPNPSKALYV KKLHLERKRGTYGVATFQPEYHQPTRKRGSTDSTMFLMVRSRVDRAAKFFDSMDRFHA QFIQPSITKSNGLWPVKIAVSDTGIEVDNSSLQGVLDNIKDPRKASGFRDWRRGSIRA VQSFVTSPGDERDVVGHGTHVAWLALKTALNVEVYIAKVSAGKQFDDNTAVVKAIHWA MDQEVDIMVMSFGSAYIDKGVSDAIDRAVTARPHPTLIFAAASNSGLNSRPTFPATHD KVIGVYSLDGYGNDNGGLNPSRKPGGTYFGTLGVGIEMLWNNKKEARSGSSYDAPIAA GIAANCLVWPEHMLKKGYFSQDQYTWLRTIEGMRYMLSKQAMGSNGDRVGILSLAPWV LWRRDLTDVEVCTILKEGMPLFG QC762_503510 MTCREAGPEVQPGSAYSAIENLHLSPNFTTSPSSLRLGYLLPDP LSPDLIPLNRNSRLPINPNDLLPPTTVTSFSSTRKDLLTGRFGVWTPLLTGRFGVWTP LLAGLKLPMGADLGLFFERGSNDRVSEPDDVKGYLTERKYRVPVYIVTGVKIARGASV SLERTREADARVGVSGPEGVAEVKPLLQIGRNRLQGVAFDTASEFVLAFQVRRIKFAR GRVQHELSLKGTSMLGDEREDVQEWKVDSVDHFVGEEDEVEVLEDSDEVQWAVAREFE D QC762_503515 MEITMLSKQSIKAHGSPSHPFVSHHHHRLIHQPSFDNHFSRHPK TTASTSLKMKFFTTLLSMVTLTSAAAVNYDFYTPVDALAKREAAAALSFDPAHDPLSA RGDEDVNIITASLDDGAEKVEIIVDGVSQGYLIVSPDGDVQGFDGNGTLVDLDAVLAA RDVEHVDKRALGWLQVLARLAPIITKFGQRVVNWLRCVGAWSLILDCAPKVRTPGSLI NCATYGKAPWECIAGINCIGKAARNC QC762_503520 MKKLFRKLKDKKPTSNDQGLSETSSLREALPSIAQDSTPPAPIQ TPVAQPSPPTTTAPPIEVLPSSNICQICFHLDATHAPRDGNLNTKDPSWAELEYNLPP DTHAAKLVPKSEDLINSANGGCMHCFIVRTALNAIHPGWENEKTILHIFLAPGVPVVV RLEFGSLITTHMSREEALQTYGFDVPVKFTVTVRDAEKPSVDVEIYRPLSPSPSGGSG APGPGFDLSSLVRHVGFGEEIPQCAGNEDSFNFINQRVNECITSHSCEGNKKLLPQLP SRVIWVQAHTPSRIQLVEPTGIRAKYIALSYCWGSVSPDTYLTDARNLESRKAGINYD ELPPLLQDVVTCVRALGIEYLWVDRLCIVQGDGGDFSTQAPKMGDIYGDATLTIAAAS GESENDRILLERDTKAGPFSLDLKLKGMGTLTLKVRRRTHKLETEYVGGDYGRVSTRA WIWQERLLSSRTVFFTPRALKFECHHHSVWQGYAPGVVGNSWSTHVELASSSHNAWLR LLIEFMKRNITNASDRLPAIESVMKRIAVNTGWTPFWGVFEEKLVESLGWSAIDLKKS SGQASCRINPGHYAPTWSWASVDGEITYIHVLTDQHYAPLHQVDPLMYELQCRDLDRA TGAITMVGSYLIGGIRCTIEPNASYSEESETTREVGKYRYTHNVRVSGQHPDFLFNPD VPLMSVEGDPNQPYSGSAVRAPYGVDDPTEEWTGNCLVLLVARRNKRSLALLLGASLR DIDGAAWERIGLSTSIDVSVWDEEHVKTGPIRVV QC762_0078010 MTGGVAMYALIRADYCIPAHEDYQFEVTVNDPVGDMIDESGPFP IGIGLCTEKVDLNQMIGWESGSLGYHGDDGKMFLESEWGRRYADGYGVNDTIVCKVKV GDGQRKVSFLKNNKPLGTALEGDDVPRGQLYPAISMKSSLKGLSMTARFGNPSDVMGP GLLLTPDIQSPGRMRHASPSPAMHPDSPGVCVEEVREDEVEDGDSDYSW QC762_0078020 MPYSSPLTTDQPAQPILPALANNSVLLPAYLFNYYYLSPREHTS NRQPTMPSLYTAQSKDTLEKVFGDRFPEARIIVFDGLRSDSNHLSQTGWVWRAADDLL QLLKEEVGLLEKSIYRSPILFLAENVGGIVLKQALIEAMRDWRYQDFVWRTTSLFFFG TLHRVDINSSIDKQFMRLVLATEEEIPDPLIFIAESTAAFPSVSAQFSQLLSMFSVVN FIEDDEKVSVVGKFSSTFGHAAESNVAANCSYQELWHFAPGDPKTDRLWGLIQSRMKS EGEYNHRMQM QC762_207720 MDFVDIPLFPKSDDDDSFRTRRDPNQAFDTENPTTMITRGNHGG VVRTRAVLTKVHAGTITKGGPRGTLLVFEFRFQSPNKRRFTNAKLIVEFEDSSGRNDY TLDPVVCKIVPNGSFALNRAESKSDISKKFTGSLGSGLDGIVEASLGFEWEVSREITK EHYTSLTGIPSNEREDEYGEDNSAIWELDEDEELAKKAGIPRYLRTAVLLRHPHNRSF LVKLSVETKVDFGTDIRTFFGMGQTEAVDPVNIDPAKLEQTVEELENMAELKSVDVAF ATPLKTNN QC762_207710 MAQFRLSRFDDSGDEEQPTFSTNTLQGSGGAIKQSTVLEAAKAV DFSKPDQSVAFEKKYREFLNTANNERTSTSGNILHKLADKSEDDWWQDKHEGEAGDGR SFLRWLLKNYEHMLKCEYKKDDSIPLHFALYHENHAFVKIALDGVEPEDSEIAPSSTL GQILQHRNRDDETCLYLAVKHNSEHVNRIIKLCARIGNEAGGVRRSNVFAQQSLADKS TALHHAVMLRQEETLAINFVTDPPLATIVNESMKADMRLELISLQVVNKSPVDEKRLE MVKLLMESEFIQNTASNVRAILARMNYSEDKDKKHNKALNLTPFQTRVEGLVSRIWKA YRAHAMTEFRGLPGPIKDKLKKTAREQALQTALAEVYGTDEILTMMKLFCIDKFRTNR KNLLQALYAPGEERHLEFSLSGLRGQTLTKDYLKRLVKHLKFESILICVSLPSLIFET DPVENGFPISQANSNARSGANYAGERRSEAVVANAKTNEAMMNGKGRRDLVSVFQWLR ENHVRTIKRVVVIDDSDRPHSDDAIEQALMNLDVEIWDWKKPDICSDVIYSVAPNVRE VSLYWSGNAATMLGWYSNEGFANREKFRKLQKILLYYDMGLESKQRVENQIKRFRERI NHSEPAQLPNGKLTNGNLTNGGPTHEPHQPDTENHQNPDGDYGMVERLPAPVQTSPTT ETTTEITNITIIDAEDPGFRSFRSSFKTIGTKSSQNDNKWMQDIQPICKFIRSIKDSN EADDDKTVKIAIIDDGIDACLDRFDGKIVSGASFCSQNGLDDSTVPYFVSTSDQHGTI MAAFILRIFPRARLYVAKLDERSGGVHGKRHITARSAADAIEWAIRCNVDIISMSWTI EKSSKSSEEISGLTSALNNAKQKGILMLCAASDQGNSTHLFCFPAASHHCVRVGASTG TGERCDWVHKEDYDILLPGENVPLNLSLDRLPTEHSGSSVATAIASGLCGALLYLARY ALDKNKWEALKLTESNEMLTMLRFLGSYGPANSKFPLADQLAFLRNKDAQRTRDKAEV DKRLKDFFKQFEEYSVKAKKG QC762_0078050 MQLTKNILALAALLPLTLAAPAPEGQLEAKFESGVSILGTAVVT TYSGDACNGNNEQTAVTNGGYRCFAVSNKRSIGYSGSGCTVTTWSGNNCRGSSFVARS SGCYSVLYASVSIQC QC762_0078060 MPRLGATRACPSLFVTGAAPASGARLFLAQWPGLTYYSMKMMVG WVPGWWYQWQASLLGLRLGSELIEEMKGNITWEVVRDMFPWILTFGLDDVRRLKVRTL HVAGGKGDDVGMMVRTAEALRGRRMEGGEDGSGGVVLREGVHGWDMQFPELFAGGVRA WVVTRGVSRLGTGSSTQYNNLLWPQGPCPLPFG QC762_0078070 MMVQTNPHAVAFLAIMASVIHPAQANEWTGWTRTKLLALATSYL PNGCDPEGTTGLTSCYETYVPGVPYTVKHTNIPPGATPTSSSTYTNRDWDIKMATILL PPDAVPRSEIDGWYWFRSTTTRPPSTSDLWWIDHTLTAPASCPTPFEFTTSHLLSYWG DRLPSEFLDEYMLPKATVFPVYTTTPSYRGAYPSPVREIHVKPTDLPPTRSGGLPRYG DAGFVISDLKSFNQSYITHCHLPGEPRPCPYSYAGKCSKIEPWKIIVAAVIPSIFLLG FVESFFWFRRLMLGKSCLRLGTVCWNLIFIFLVFFTAIEDKRSPEHQADFREQWKKMS LMTKIKLWGQFGFRHRYPVEWLGERKPTGRVTESIEMTRGGGNGGAGRGGQRVEDDDI PPAYPGPPA QC762_0078080 MQLAFLVALICGITLAVEDCTTTSQSTSYWSINDLVLKVYDWAN GGSMGTFGFTSYSSATNKTVECLAQDVDLARLAGNEPWSKCSDPGVEFRFDFEDMSLS LRETWTCSGSPGTTFNANATGLMMLHGCLDSDTEKGVESDCHVMEFDMAGEVTSSAVL QC762_0078090 MEQEIFPMPYHKYWGMWNELWRVAGNRDTIRPYSLRVGAGSLLD GPLTPALRGHLMSNTTAVFESSYQPEHIRQELMPIIFGTDAAGEHHSLFRSLQRATLL RDVNAPLYPTEEDEKSLRLRENITKLTWEYEQAKARSSSPDISRAYAALANRRKQILA LMVEKRRGEDLEEVDRRRALGQSIEDIPIPRGTPPAPHPHDQGGYVGRA QC762_0078100 MPRVLQISLDSDDEDAGLVSAAQLIQRFESNPILEFVPVSKKAQ EAAQRDWQKFEWWYRTIPYQDYSMTTAWMDFCENKDKPKSLIRAFLYEHILQVTTKAP GHW QC762_0078110 MSLEQKVVFTKRVATFQAELTWELSGRGKPESIFRGIGTLDLRK VDQVDQGHDAEDLDKVSPGLLVSHEFFMGDHLYYDIPRGPFRSSHDWLSAVLNIIIVH QTAVLEKTDDEDEKEDAEEILPVAQRLLALVPKVFPAHPDEAETTALLPPRPPSKQHL GE QC762_0078120 MPLWMSTTVPKFLDEPVREEEPQPHMYMDEIPEKCNDENECRNE LYFIHRMEWEATQLRKVYNVTLRELWPEWPLEESYVELDFFQAVSQYDGIWVKKAVRW ADYLEKGQVMRFKDLWGSGLGL QC762_0078130 MALLKLPPETLIQIFDHLGSSYFRSDLSRLTVCKQWSEFAHTAC FRDLYVTQKTLRRLLSSPYVESSLRLVKNSVETLDLNLKGFEDWDSIPLSGHDPQAVN VWNGALGNAVRATWTTELNNDLLYLAAIIQQSQRLRILRIQATSELHPLLRFLERRDY LFLSTIRAFLSVSNLTSLELDLCGTRLIPHQSQERGEVIHVCTSIAALFTTLRRLRLR MRSICADVLRPPLHCTDLKLNEMLINLSLSNESPVTTSAAHATCCGSSTGGFLQLKAD MEKQAQVLVAQMAAPKIVRILTHALPRIEMRAFDVLTGRNVALSEGAQWDDEGEVIED QVSDDESEISDLSSDDDE QC762_503540 MYSHPDAFHLIRLKGRVALLPGPFFWFLPSHPFSPILLFLPQAD NMRDALSRLRPHSNVPVVEPEVDEKAASDKEANVVNTEGVKESVADDSDAISLDAQRG VQDIEALTKVWTKQDIILAYVTIWIIYFVDAMQQNMTNSLLPYVTSAFSAHSLTPTVS IFAYLISGLSKLPLAKILDIWGRPQGFILMVICLTIGLVLMAACQNVETYAAAQVFYW VGYNGVTYSISIFVADTSSLKNRSLMFAFASSPYIITVWVGGPLADAFLYGPGWRWAF GAFAIITPVMCVPLLALFYKNYKKAKALGVMPERNSGRTWLESLKYYAIEFDVFGLLL IIAGLALFLLPFNIYFYQADGWASATCIAMLVVGFVLCVAFAVYEKWWAPKTFIPYEL LTDRTVLGACILAGNLFISFYIWNSFFGSFLQVVSGLDITRASYIQNIYSIGSCFWSL VVGVLIRWTGRFKWLALYFGVPVTILGVALMVVFRQPDSNIGYIAMCQIFIAVSGGTL VICEQMAAMAATTHQYIAVVLAIEAMFANVGGAIGGTVATSIWGTVFPRSLNKFLPEA EKANVSLIFSDLKTQLDYPWGSETRIAIQDSYGDAMRYMIIASAVIQVISIVSVAVWR DIKVKDFKQVKGNVI QC762_503550 MAGVVAAAIAAEQVIMTGVEAAAAVAIAAPTAPLKVSLAQLDKP EGDDGNIARSNHSLTVIDDKAYLFGGIDASGHLCSPTVHAISLPQEKSQADPLTSKST SLADYPAFPTKDISTGELNVPSARKNHAACARGNRYVLIHGGEDASGNPIDEGNVIWE WDSETLAWTKLRGATQLYKNMAPRSGHSLFIDDKQGFLISVGGSNDGTKREVWFYDLN QAVWTTLPDLPSSVELLDARAYAGNTLYVLAKSPSDPNTVELLSLYLHNNATDREKPL PWETHSFTAPSPRPQPRVGGALVPITTGYGREYLVYMFGLPVDESPAFQGDIWTLQLP SRGVTGAKVKDYVREKLPKMSSGELTWAEVELVAMEQMESQGKVHPGPRGRFGFGACS GGRGVVIWGGENAKGEREGDGWILRGAGGYEDYDRRE QC762_503560 MPVKRRGRGHLMTASPPTRSKTTDHHEPSATTCLDVPRHLSHVG SLDILLLPENLSDADEASVATCELEITEARSISSSDDETQHVKTANIFLEGTVTGNSL THTPDIELQVNLTGSENDNGCESIEACSPVQVCEEDIELQDFTGPHSGTGSTDHLTAP PDLPAPAISPLDAQHQSPSASSPDGQSQPERSLPNYKPIALTWPFQVFLLAIVIGMFA FLEYQIHDLPPLRYKALQMGQQEIADSRDALLTTSTVVFSSLNTLSIEAKPLPRSPLV APTPATLVRLEPTVDARDSPEPTPKLRIMVPRPHKTLYPSPQPPVTAFCGWGRPYWNM SEYQYYNLWDTVVLSQWYVALEELIPVFTTTDPSWCPCTVSAGYEGNWPWGWPDWPMW DTHDEGCKSVMNAICSFNYYKVHTWSPKGPSGYQFRTDLALVSSRKERGMYGIPLSHR ALDPMTTPPSSHSAFWGYPLTDSDSNIMFPLEVRTARLEQQDVFGNKVGGDEIASFPV NYRWMANTLLSTEISHGVTPCSTDMMEVWWYQDPLGTCTGSPSSYATVWWTLPFGKPV TSDISETQSHTAIPVYSSLGIDTSNNIQTHSNEPKPSGAITEQEEPSKITLNVMTTTE TVTPSPGPTSGRGPDAKPISRTENTENTENKSTQSASSETEIQGPVTISSTKGEVTST GSVTPARFDSTPLAPHSETTASVSSGYSLSIPQLFFTASSLQGEQGHSSGTNLSPLVF VSNAASEPDNSLSKLPMTILTKYGDILHHDEVSATIVRASSVTFDSALETTPMVSAET SISQIPKQDSRTAFDLDIVSVSSAISAKDPTAHPLSHLLLIQEEHTTKKEINNTNEPI TLNTTSQEASTLTSDASSTSTDTSQPPPIPPNPPIGPIPPEVRGNFFNLRSETDYLMA SLIPVLLATLLGIPVQIAVSSLNSMLPFRALGHEAGTLPEDSLHLPSNSWLAPWIACR FLHRFKDPLPFLNVLLGLLSTILIPLSAETIRLEFTSINCKVFSRVCAFGLRKAGIPM RAVEGVLVAIAVLVIAIGVLLSRWKSRVATEPWSIASMAGLLSDAEVRGLVRSLPGCT EGGYLRDDQIASVLTGRRYRLGFLGDDDSEYGIVVYPAIEDDSPIQPTAKEPPTRTPV SSTPKKRFWHMKPTTKEFLARATTLLFVIGLLILILYYENTILDTPFERFMDSQSFGV RILFTSFGTIVSGCWDYFFSQVSHSCIHHRLSTAPQLARTSILLSPPSNIFTGLWQFA RSRDVLFFNIAFAALLAKFTPILFSSIPFRNTVTWKMHEACTWLAVAVLSYMVIVLVV MVYLRWKQPRCYLPVKTDTMVGCMYYLAESEMLSDFEGMGVLGRKERDRLVSEMGRLY DLGAVKRAGEVVRGEGGRLVVDYFVANSGVERKGAS QC762_503570 MSKQYIIGDTSPFLKRVLIPFWIIRILIMLIQIGISALLLAGLG VYKDDARRIIDEYNTHLTYEAIIATSVITMVIILVCLIFDLVSIIKRARRTLGPKFFF FTNIFQTLFYVVGFIISMIGARPSALYAVINVIILLSFLGLLVYASIIFHQYRKGSLG DGSGGNRGTYVPASNPAAVPFNQTAGVDTAYAPQTTGYGQDYPQEYQKPAFYDQQAAN QAGYAGQGYEPYSGQPQQVIQPPQQGYEMQGRQAV QC762_503580 MDRTFARNVVGTGTKESKTRLGSYQRAGPRCCQSLTGPLMNPSV WLPLVNLTAHHRLQMLAMVGSACLQLLHFYAQFLSTSCSLRLPLPFIFLLFQFPSVGL ISAMSHSPKPPSLAPSRRSIFREEFSSQHTLPHLNLGLPAAEDHHGNSANTTNMAAFP TPREVRRLASDHNFVLGATDPAPRRLGILPFIGKQLSLVVALIAGIISVAVAIAYTVA ASKQLLQCPAWANDCRSLDLWTAENLGAIQGIITAVYLIGLSAFAYVCQALCEAALWP LLHTQTLTISALGGLLAFNHGNIMSLPQAATGIRSLSAAVVFVVSLLAILLPLAAPPL VGYACTPILEAVTISSNISSSASSFLDRPFTQTNPPSPAFIPALSAYNTYANNPASEP LPSFRNWLFDRSILATRGSFTAKAVHLDTNITCHGQQLQQLHRNNAPINAFKTTTNFS SSRHKPSGEVWFTPQPHLTVFLDDVNFHSTNTINTTIILAAINGTISGGQTTNLTLGN IKSVSAISCSVLLSVNDDVLTIGPAPPNPTRPVLSSLSDLNITSTLLWLTASPLLVTQ AMFSNSTLTRLDSNKWTIPGLESLLHFSIAAMATSLFSSSPSQTPTHQQKLVSVIETK KLSTERAFLLLVPPLLYTFFIIFMALWDVVMHKKYQIPVMRGMPVSEVIKSSQTAWMR EQAGADGAKSHLPSQLGGLSVRFGVAGGGEVGFGPARGAVSGFVTGKDKGKGRDGSRV RVGGRVHTGHSSVSWVEEEDRGGRDKGRGYRAEGGEWPRNSDL QC762_503590 MGYFSRVLRKCKKACKSAVSAVAETVAAPVVRHVTKKIVRRMNE ASRAITYSVISIPTHVVSAAQAIAFPIVVVSSPVIRKGTAVIDKIHSWAFRKIAKPLY KNAALPILRHLRPSFFHKVIAPAMYKVAKALDRAIGRPLANGIIHLQPVTRDIFGLAA QNIMARVARDLGQSTEQGKRPSKKDTVEEVLDPSVKPIYPPAEPGRESRRVSFAKPAP EEPSPQDLVQLIVERFGVAPESRMGNILADFILFSGGGNEAASFASLCRRCQGHQFHT GSRPSDASPPDSRTVHELLESIRAGCHMCSLIHESLASPVDGSLLGEKILVETWVAPG QRDSGLGFVGRVKVRSEEKEAYLSFMSYVPVEDENLADLIKAYLSPETDHSVLLDYLS TWQKTCKETHDHCNNRLIEDPKNVRFKFKAPFRLVDIIDEKIEDNAEETLEYVALSYT WGDITPDGKKLQKPTLQSNIHSRKHTQGLAAVLGSETLPAVYRDTVQIARRLGYRYIW VDAWCNIQDDTLDLEAQIANMGYIFQNADLTIGAGTGRAKTSSLFSHQQPPPQPFLIR TTIDGQPHPVIISRQLEPTPSILDTRLWTFQEQLLSRRTVEFGPTHTTWRCTQETASS LPVSVSSQPYPSTPDPNDTHEVTTSTTNLHSWIRTTASLPPGPRPKYDQRFASAWYSA VRNYTTRSFSNREDQLPAIIGVASVIARHTGWHHLAGLWKEDIPYCLAWYRNKTPARE DSLSTTRHEADCAPSWSWAARANGPVSFWPRGDVQHALVKFVRSGWAWPSKRDVQRRV VLAGEVVEGKCGTVVGEEDYDWVGRADGGNVTPVEVDGLRVGYAMLDAPMDLREISIL LLFTLRPEGGLGAWGLGMALTRVIQGGTCWYKREGLVVLTSEVGTGWDYNDFDEDDDE HKIIVA QC762_503595 MKVSTVIAIPVAFAIGASAQLAQCQAACRGGEEAVNRFCRGLRD ARLRAGCWALALAVGSPAGQTACLNWCYWQYGALKRDVLDVLEARNEVSLAGDFALTG LEAEKRAVEVEWTA QC762_503600 MRLLNTETLNLETFYDKIPVYAIISHTWESDEVLFQDIKNGTAE RRDGFAKVKGAVGQAVKDGFKYTLSEAINSMFRWYREAQVCYAYLSDVNHDDDHRAKE SGFGLSRWFTRGWTLQELIAPPVVYFFASDWQEIGSRHGLLELIVDITRIHHGFFISG NLSIFQRCSEDVLSG QC762_503610 MLFRNLRAIQLLVALLALVQSGCCQDTTEILVEKLQWTAPRKEL TAHFLENTNGPWEQLSTLLGTYDLSNITKDQIILPMYPSPWTNISTIWWTRVVDYQKM EEFYRENRWVTGYVASSWDPSIQAGARLPDWDLSMPYGNGNLTEFLHVKTPLIALNHS KLYLEAEIAIEFTHGDMLALAPPGDGEPGSRSIIQQVKDAGRIRSNSFGLYVGRPDWK LPGKMFLGGYDSNRIKGDFLMFDTMDQPEFVHQGYLPRLYLSDVTLGSFEKSRDGFAF DWATRESPNRTIMGEISESSRMTNLSLLQLPRPAAPERRQFFEVEGHIPGAALVVPDP TIPHIYLPPNTCDNAAKDLPIHWDDRLKLWLWDTSDPGYKRLMKAPAYMGFTLQNAFS PGSVKVKSLTIQVPLWLLDIEMDTRLNGIDAKLRYFPCKSAEAWEGYYKLGRAFLQSA FLGVNYDDNLFYMAQTIGPDVFDPKERLISFRPEFQEHAGKHDRDAEWIQYWASYWNR DDDDRG QC762_0078240 MADAGPPSPEAIAAAVAAARTFNITLWTLYAIGVCTTALRTYSR FDQVGFSNFETDDYLVWVAVLLYTLQACLGYQIGNLAQGLANNGMTDEQRMSLLPSDP EWDRRVIGSKVQVMGWTSYSTLMLVLKLAMLFFYLRLTNGLGRRYRMRVHAGFVLIIA GWLASVLAVFVGCMPFHKYWQINPNPGNSCQPAIAGPIVWASFAANVTSDIYLIIIPL PLLWGSRLRLVEKIGSTLVLSAGIFVLVCATLKTIFVITDDVNGAELAGAWGTREAFV AVVTTNLPMVFPLFKSWLRPLFGSTSQRTTDNKYKTPEGFRSIGGGGGGSNSHSQFRR GNGNTSNILTNVTFTESEERIVNEIKMQNMKTDVSGGARSMHTKEADHKGIVVLTEFD VSEDARSVQNSEAPAPAKPKETW QC762_503630 MRWLKSTLVAAASWSVASAQLPEQEDPDFAPTPIEYAVDTLSRA PGCNIADFWRLARQLSPGTEIRYPNTTEFDAATERWSNVGGGVPYVDISVAPETEQDV MKLVRIANNCNVPFLAYNGRHGAITTLGQMNWGISIDLKKLSGVSISGNGQTATVLGG TNSKVLIDHLWAAGKQAVTGTCECVSYMGPALGGGHGWLQGHHGLIADQFVSAKIVTA DGQLRTINSNSDLWWALKGAGHNFGIVTSVTIKIFPIVHTNWAITTLMYTGDKVEALY QAANDYLLQNGTQPVDIINWSYWMNIPPIDPTGPVVEFYIIQEGVTAVDTAYTAPFIA LGPISVTNNTGTYKDVSSWVGISLDGPPCQKTGAANPRFPLYLPEYNPSAMAEVYEAF KEGTNSSSPFANSLFMFEGYSMQGVKAVDTASTAYAFREDNLLTAPLVQYTPGNAALD AQAQAFGNQLRNILHAGSGRSQKHIYLNYAYGDETKEEMYGHESWRSAKLLQLKDKYD SRRRFNFYGPAA QC762_503640 MAAAKMFSKLGNDNFRSMQFSDGRDVHRMKPSYLRGEIPADSQY KGTQARTLHWIALPYFSAEPYSGLEAGAGSASAVPAPTLLQSQFSHVAKSRDLRQAVC GIGNNLQQEVCLHVPQLWCLIFDNALIVTYINLPDKYFPGDYVIKTTGSLDVLAGVSR TKKILVCFGTCVMWSIPLHECQTWFALTSRFSEFWPQKFELLHNKRKITAKDWPAIWD RARHIKNHVKLELYLGYVLGTLIYRSIFRSRVTDLPSARLMAPQRGRLLPDIASKGKG NMQSSTSSAHEDTSTKPGTAGDGGSSSATVETTDGRFTNANGFAIFTCMAGVSTPNSD DSVEDALLEQLVEIRDWLLYMPSFGDAKAYKSCTKDTRASVRRYLEKRAAELSSVESQ GRKDQRAQRDFEDKIDIFNAAEIIFAFFLPLDFDGPTVRRYWGAVRTIIGEKREGQHR YQASMYTIRHQLRMQALDLSQLSEILSAAHGADRAQITVPTQIIDAWIHLLLGLASFP RDSDRSERLIGDARRAAAEGANIIITSLSPKSLVENSVILPLEIFSLISLKLIQSAPN VPDSPSNKEQANTYSDVAQIYRNRLDKIGTDISKRPSDRANEENLKLVGEEIQAILQT LLQQYVIFSLLLENANHEIDNTTTFKEKPIVQAAGHSRSMPVFIQSWSRDREPGYRGH QHRETEVRAWEYGREHSKFDMYDNPFAFDLASEDSLFKVKPTDPGGYRKLLLLECHSK TDLLKQEFHQLGASADTTKFRQDKAIYAFTIVTVIFLPLSAISSIFGMNTADIRDMED SQWIYWATAIPVTLAVILFGLYWMGELGNTFKWVFWSLGSWIFREVAGDREKGREIVD RWFGQTDPVPLGLPSHMQPAYPIGPERRPTYNPERPARSVSSDESVDIRYRRFV QC762_503647 MKFTITAAALSLLGLASAAPAEVVAKQAHGATSAQVTNFSVTCG SSSCSYTARAVILPENVAVTFTHTTTGSTIPTNTGFFTSSDPAVFFRINKALSDYRFV LSDAHVVGSAVNLDYFSPGSQWTASSYSGPSSFTLS QC762_503650 MEGLPPGMDPSQIPFAPNPSGAPPDFEGGESLTPTVLGTGVTFI IIAGLFVVSRVITGLKKSRKLFADDWLCVIGLIVGIAQWSCLYTSMSKGLGKHSWDVP ITALTVEIRLADQLLGSITHFAVKASLVFFFLRLFGTLTWVRMTCYGLLTLTFLAYGS YEVIVLAFCIPRPGEEWGNVVLARCATTAPATIAVNVCAVVADLALFIMPFPIIAGLT LSRPKKKGLLVVFLIGFLVVVTSIVGLVYRVRVSYYTIDPIWDGGNVAITAYMEVFGT VIVACTPALPGLWSNVLSESAFFSSLRSRILGSRSRRTGDSNVSGSMPTARTYPPPTF QNESISKASFRTGSQRELVGDEDGMDEYPLKTIQKTMSVNVSRAENNDGQTGGDGDAR RKKSNGGWEELGEGNCESTVKGGNARC QC762_503660 MLLNTFSTGGETEGFVLSCLSLAIVFTLVNIVTSSRTWLVAHSP ISFLKRRARAWLFLFQGPKIIEEEFNKAGPGKPFHIDVPENRYVVVSSWKHIKEIDSA PDHVLSLQAAAKQLLQPKHTMSSFNWMDKRGAEGIPLIRTLRVMLTNHLPEVLPQIRR SMSALMDNEIDSAPKLEDGKTMTPKLYHIIIKAIAHSNALAFFGEDLAKNGKFMKAAM TFIEQTLLIAEILRLLPQFLSEPIGKFLSNKLNSSSVIFDTLLPVAAERVDEYARAKL GQKVPEHKDCMQWIMESAPRNSPWTAERIVYELIALWFGSVHITSTTVCFAIHDLCLH PEYVEPLRKEIEATGWETFEKSGGKCFPLLDSFMKESARITPVESVSTRRMALEPFKL SDGTAVNPGEWIVTAARGMAMDSSVFSKPTDFQGFRFADPAVVAKIDSRPSFSAGDKS SDFTSISDWQLWGTGRCACPGRFYATAVMKAMLGLLIAKYDMQLTDPGAARYFAWRTF IYPFPGTKISLTARE QC762_503665 MSYPFSLRHIPALILAASSTFGGIWPIFNAEGAMLEFGFPPNVA QAPETKPVMVQGQSRTTIIGLVAFLFYFRGRFAELDTIMTVYGFYAGILDTYIVYKGG NPSWALFRLAASWVFGFCGIAGLTASSLP QC762_503670 MAAPLGPTASPADPGSLLQTPSHHNTAKTSRTVVKEADKFTHSL RIWLVFLVLCLLSFISAIDATIITTSLPTITHSLNHGSPASSNDYVWIANTYLFASTA PQPFFGQISNIFGRRNPMLVSIALFALGSGIAGGASSVAMLIAGRTVQGLGTGGLYVL SDIIICDMIPPRHRGPYLSAVLSTAAIGTTIGPIIGGALARADWRWIFWLNLPVSAVG FVVVLLLLRVRYQSLGWGEVVRRVDWVGNGLFIPSMVSLFFGLIMGGTKGYPWKSEKV VVPIVVGVAGWVAFHVHQSAEGKRWGPVEPSMPMRLFKHRTSAAGFVIIFFGGVVLQA ISYFLPVYFQGVLGVSPLTAGVYFLPFALAIIPLGGMTGFFMSKTGLYIPLHFVGFAF SAIGVGLFSLLSENSSTGTWVGFQILASAGTAVIFTATLPSTLAPLQEKDVGVATGTY SFVRSFGLVWGVTMASIVFNGQFNTRLESNPVLSRELKSFLADGAAYAFVSASEAEGG IRSLPADIRSALIGIYVHALNAVWYVIVGMAGLGFLATFVEKHVPLRKEHETEFGLVS KGESSSDDAAEKGQTPRPASDGEARTKQ QC762_503680 MEQTRKHSASRPIEYLLSGISSTSAILTRFIRSVRASHSDLSAV TRELSDLRLLLELLRDEPSIPLLLQAQMLLLLESCGNTLIRIDSILAQCRNATDWSQT GRAQIGQCRDDIGLFREVLGLALDILSLDPSQQSSASEANTIKENVKGEVERLRAKTL SNEKHDPDTSEVLDLYLDAVTNCVRSYEKKSQAARERFGSEDTVTTGDVTNHDTPGIE QNLEAVRLSQKTPVPATKDRTNDEPAGASSASNARAPMPHEDADKWTSSRTNAFSYYS GQPDSITVKRPEDHEPLPDVPPVPPVPPAQAPPTMPPRPLPESPTLPGRSGTSTPVPP REINLPPPLARSAWSHEDSPSTKSEPLGSGGYLEPESPTFSSERWSEPVQASQGYPET ERSRQISVAPSESPQSGRESLRTSFSFNRIMSGISEVSAVRSDTSSPRRPSPGPSMIS AMSPYHQSPPFSPPPEYRRSPTPRSQWSPGLASPSLVSPGLMPPSINSPSIIAPSIGS ASQLVIQPPAKHSGHQSRIEVTPARHLTDKAKSTRILHIDTSPANMFVATKHDPKTVK IWAIAKSALHSTIKITSYVQPQVRSREYFIRSHAILSENATLIGITTHFGLTLEIWNF AKGGTSAKKVQTIDEAHRWAASKRDAYHTDYAPLVVYRPKGDRIDRFFLARHPSAKRP FWEDSTHSIELLKAELPFVPKFPELAFSSDSPFLVAAAGPRPGDAPRAHATVLIAWLM KPTSDHKLRAQTPNATVTSLEDEDRHKPYRVHIPEYPALQTALPASLTACGSLAVSIW IPANHTDVAVPGGKYRRQPLPAPERFVVVWDLPANSTRIFAIPNVQACVSPNCKYVAY CDANAGQFVIIEVETAEEIWKWPDAVKGKKNKNNHNHLAGFGGQFEDLHKVTVFEFSP DGGMLVVGDDKGNLGVYEVEKGEERFELGSGMEVSLADVGHYPRGVEQQSSRFSDSSL YVPLGWQGQQGGGSGNGSGSSGALEWRGMRD QC762_0078350 MDGRAKTMSAALAMAFTGLETWDMAPETMMDIDSESSLIMRLPV KLLLNIASHLLPHSAMLFALTCKKHWQIPSKEIRKQLGPNQLEGNPSSRYLHARRYLF LYLYRDLEHTHSLLTWNSGFGAPPPWREFWELNEWEKERAFSWRYMPEGNVDIGGFVS NRVEDLARKGAGYETKEVASRRKGQMN QC762_503700 MDNSAPASGQNDTTVTTSRALTHEPPPFLSRITRLSRIYTAKSL AFPSAWLREWKEYFYPPSTRPDIVKSYECRPDLPVRIFFPSSYDLTSPSTLPTLFTVH GSAAAPFVLGTPRDDDEFNRTFADKYHVLVIALNYSKAPASVFPAPVHDVEALLVDAL GDESLPIDRTSPRGVDDDKKKGRVGILGFGAGGNLAMAVTLLEGVKREECCPGVVVSW GVGWILQRAVGRRGRGGGGMCLMGLT QC762_503710 MFWRFGGYNVSTIDTILEKESFQLEELLDESDLIQELKQHNPKL VEYLREQQVLEKLLQYVVAPKLEPVAAPDADEEEEQEDDEIGRPPVFPTTRSRSSSRA TEPSGQEENEKKRNRCAFVAAEILSSDNYSIHEALMESRPLLRNFWEFLKRPTPLDPL QASYFTKVNESLFDKKTEEMLDLLKSFEGAIADILRHVDCPMIMDLLLKIISLERTES GQGVVEWLYTQNVMPTLLSFLGPEHSWATQTSAADFIKAIITVSANASQNEQTCIGPN ELTRQLVSRPCVEQLIQYMLGGGNPLTCGVGIIIEVIRKNNSDYDPEGVDANAPPSCR DPIYLGTLLRLFADHVPDFMSLIMNSTAQKERLSSTFGDKIEPLGFDRFKTCELMAEL LHCSNMGLLNEVGSEQFIAARDAQRQRLREEGRLIPARGVDDTPSSIEDLTMRTSQSS PAEERRKLEVMNISADDDGFEEVSHDTSDDVLELPDAPVPTQAPTFSMLEKDDADFVD EPLSSPRLNFKELDAEKRAESPPAFEDPDLVVAPLSPTKKAAQTGASKDQQSPPKPVT DAKEPQTSGKSKEISTAELPVLSTESSVPKALDAADTLSPHPGDTPAPLFSSATAKGD EAKDKTEQTSEPSPSPPKAEAAEDSPAGTNANETTGEISIIIAPASDSATPAEGASTA PRPVVGDYLKMQFVRQEVVPTILRFFFKYPWNNFLHNVVYDIVQQVFNGPMDRGFNPT LAISLFEAADITNQIINGQLASEESQAQHKTRMGYMGHLTLIAEEVVKFTERHPPELL SEVVLDKVMAQDWISYVEGALAETRERDNAILGGVRPEVAMSNRAAQSSLVGVGLNGL SSIGLGGNNSNTLAEAGLGGGSDLGDGSGAGASPFGISSGTMMSGFGSSSDEDEDEEQ ESEEDVNNEFRAYTDPLNANSSNSLNPPSIPPPPPPPPPLNIMPSRARMQLAARLAKR NAAAAAENGGQEGSSSNTDDGTSDAFTLPSLSASERLRNPFADDGDDEDNSSDEDNLD NAEDEGGASSSAWNRGSWWRGVVGGRSSGRRQGDNENQEKERFGDGRDDTDDSDDAVH EDDIDDEEFGDFAMPEVQSSGAMVSGIDPAREKILVKPMALHPSAAKSSFGSLWPFGG QGFGANKEKEKEQEKKTEGEQGASTSSSSSTANAITEEPVELGKEEDEGVIGEDGQKI NRAVEAKRRTSIEDPDDDDVGGEEIIVHKGPGVH QC762_0078390 MNECLGLVGALEPYVMQDGNAYMTLTTCQFGCRGLTGVGAGPSV FLAGAADGAVSGQSESFNPPSQRVLGWLGGGRAAAGTLGRGTAAGSTSFLISTHSRAS HRINRRYKPHRSPAAGAGDADYNRTLFFPNVGYLVPCTIICIQGNFYTRH QC762_503730 MITSMKITAASLLAGASAVNTSLSLVPASSISQSHVPQFVSYSF EPAFWVEFFGEPDAPRNLTYTLLNHLHERGARPIIRAGGITMDSMIFDPSQKTSVVRT ENSKGGIYRTTIGPAFYRSWDNFPEGTTFVSTLNFGNNSVPIARDLALASYNLQKDKI IHYELGNEPTNYPTSRWQGSTKAYVDQWLSFVNQINSALGGNRTGHSSGKWWASSATT DITPLKVRPADLIPAGINNTGQVSQYSIHSYAFATCDPARERLATIPNILNHTDLLRY ADHEIYPSAKLAMQQKGEWVIGEFNSIACSGKPGVSDSFAQALWTADVELIYAVRNAT SVHLHQGATLVFQSNQQLNTPGDDGTPGFSSYSLLYPINSTKRGEARVLPVFVSQLLV VEALSEGGRIAALKTPRGVAEREFSAYAIFDAAGTRVTKIVALNLKLYYAGQQEQRGE VVLDLGAHRGATVKRMTAPSVDEKDAAKVTWAGQSFKNGVAVGQVAEESLEARGKLSV RDSEAVLLTFE QC762_503740 MMDTKVLSRDDIDNETLEIIAEACQTSTRDIEDIYSCVHQQLDH VSHSQPGRSEWFQIVLSFDEGIELERWCRALQRVVRANAVLRTRLVQCRGLGVLQVVI KEDHATEHLSGDVEEYLRDDQARRMDFGVPLLRSAFIDRSFVLTIHHAIMDYWSLTTL VQQDIVMAFLGEAPPERPQFKEFVAHCLAIDEGAAKAFWASRFDSRRLPVIFPPVPPG HTPYPSQVVEKEIVLTHQLGLRSISPTHVPTFAEAAWALTEATYADSDSISYGFVLSG RSPTLGGLESTLGPMHVEVPMQVNLNIQQRKGMTVEQLVKDRAASLRQLQAHPALQYG LTNISLVNEAAQVSSKFQTLFNIIPALPPKFSAASDAPAPIRMDRVLWQARTLPALIL RCKLESINRDGATQGPGATRILLKTLYDPAVLPERQLHRILNQFEHTLRVLAEVPLTS RLDRLPLFNKHDLGEVMRWNHDGALRNGRSIDAALGALFPGIPSGRCKVWLASLQNPN ELAPIGSVGQLWLEGPELSSASSPYLLSTLQQQVPPWVSSSDSHHPQPTGLFIRTGYL AKYIETGSGEDNELCVVGRQESRVKINNQTVQLEEVECLIMHHDDVQDAAVFTKIAAG RTQLVAMVVPRCTVTSGDTDSDSSSDTEAIFAGEIKEMGGQQLLLDSVRRHAGEGFSS ERHKIPTVWHAIEQFPYLEEGSGISGLHTVDREALRRWLKLRR QC762_503750 MAPFNDPTPIAIVGLSYRAPGVGRKGLYDYLSQAKSAWSTMPPT RFDQQAFHTPGLDKPGCFKVNGAHFLPDDIYQFDAAFFNMRAEEAKNSDPQHRMMLEC ALEAAENAGQSLLDIAGKNIGVFVACGSQEYAHRVAEDLAKTTAWTATGIAACMFANR LSYFFDVHGPSVAIEAACASSCYATHQACQALRNGECEAAFVGASAICFSPNLWITLE KMGALSAEGKSFSYDHKAAGFGRGEGGACLLIKRLDDAVRDGDPIHAVIRGSACNHCG RSEGITMPSRISQERLLVKVHEAAGLSPGETPVVEGHGTGTPAGDPIEAGAFAAILAK DRTEANPLYIGSIKSNFGHLEGASGILGMVKAILMVKHGIILPTAGFEEMNKRIEGKE KMRVIGDKPMAWPENELRRALVTNFGFGGSNSAVLLEQPPVSPALTGHLALRAYTNGH AANGVNGHANGTNGVNGHHHVNGNGINGHSTNGTNGVNGHHHAPNRLFVLSAKTDKSL NAYLSSFVEFLGDENPTPDWSTVRVDDADFTKNLSYTLGQRRTHHPYRVAVVANSVDD LKEKLSSKKPARTKQDRVVAFCFTGQGAQYAQMASGLRHYKVFADALDQAEDLLTSMG ATWSLTEELGKDASVSRVDDAEISQPACTAVQLALVELLRSWGVTPKAVTGHSSGEMA AAYTAGLISFTAAVATAYYRGQAASQLASKGGVGAMLALGVGFEEASKLIRDNVGDAY ATVGAINSPNSVTVSGDMAAIEAIHKAADEQGLFVRRLKVSLAYHSRHMEEVADWYRA AIQPYYEDEFVVVENDDSKPAFVSSVTGRVETVIDSSYWVNNLVRPVRFSDAITKLFS QQQDVKTGQTPNIIVEIGPHAALKNPIKRTVEDLSLKSFSYLPSLVRKVDGSQALLDL ASGLFTSGIPTDLGAVNQTDKTNAQVLTDLPAYAWDKSTSYEIMPRHTHELMFPGEPF HPLLGRKAASSGNANGQERTYRSLFSLDDIPWIRDHNVSGVVIFPMTGYLGCAIEALR RAVAPGTPVEAFVLRDIHVVRSLQVEEEQNVDLLTKVKPTSTSTAGYSPTSWSFEVQI LHSDTNSWATHCYGKIEAETVPLPTESPATKESLLLLHRAEATPLEEHDPGVVYAADG LQGTLYGPSFRNTTRYRKGEKFTVIDQKLRDLGPEVDLLSKYGSPYTADPPTLDGFLQ GGGSFSEIDWKRLALMPNHVNRLRVSNHIALGKESERIETVTRLLEHDAKGGRMLMSV AAFVVDDESGKREPIVEWETVTFRALQSGDDEDELPENGLPVNWRFELLARLDLLPKE EQLRRFAPQRISEEEMEGGRDLHAAACWFVDQALVETAGDDESAIPSHLVKFKKWARR IVDAEGPEIEDPVGLVRRVEAISAQGELLCAIGKQLVPILRNEVQPLEVMLKDGLLTR HYEADVSNAYFSQLVGELVYDLSNLEPNMRILEIGAGTAGTTLPILQELSKDREEGAF LSYTFTDISSGFFENARDGKLKRWSDLNRISYEKLDISRDPVEQGFELGEYDLIVAAN VLHATANMITTMKNVRSLLKPRGKVILLEANRHAPITLPFALLPGWWYAEDDYRDRES GPLLALESWNRLLVDTGFTGVDVLYQDHPGKPEQMLSAFMSSRIGKVGDDDDKIQITV AGPLMDDDELDYAQLVADAITEEFGLVTTIKPFLEVDAEGEDAHVVVIDSPRHSLLKE VDEDTFNTIKSLAIHNKGMLWVVPEQDQAAPVPEVHSIKGILRTVRLESEPKHILMME GIPCTADRADGIDAILKVVRVLADPEVNALEDRDYLFRDGLIHQRRMRPLKELKEQFG IEQGVAIRSVQNIWSGDSGLEMTMESAGSPESIYFRRTDVLQAPLANDEIVVKTEAAG LSYRDLNLVFGSIPWAPPGFDGVGTVVKTGDQVAHLREGDRVFFLSLEGSGLATYKKL PSWHAARVPAGLSSIEAATLPLAYTMAVAALVHTARLRKNESVLIHSAAGAVGQAAIV IAQHIGARIFVTAGTEAKRAFLHETYDIPKEQIFPSRTPQFRDSILCATASKGVDVII NSLTGELLQETWSLMAAFGRFVEINKKDAFQNTNLSMKAFERNATFSTVDLRALHQHR PDDLRDVIGEVVSLLQKGVVVPIHPVTEVPVSQFADGLRKLKTGDTTGKIVVTFGKEE KVLAESALRPSPPARLDPNSTYLVTGGTRGIGLGLADWMVEHAGAKNVVVLGRSGPEG AEVQKVLKKYAESENVRFRAYACDVGSRESLEDVVAAMREEGLPPVKGVVHSALVLND KLMLNATYDDWKYITGPRVQGAWNLHELLPKLDFFIALSSFLGDGGNPGQSIYAGTAS FYDAFSQFRVEQGHHTVSISLPVVLGVGYVADHGIAEKLQITLGGTIRMQDIVTLVAS AISQGRDSPFISPEGKAVAYKLHVHGKPLHDIPWKYSHPILLKDRLHTDLTGGDAENQ NAASAASTASWTTASDPQVGLTEALITKVSAMTMIARDEVEPDAPLSSHGLDSLVSVE LRNWIRRETAVELALTTITQAASLRALATHILATRALVAK QC762_503770 MYPTAFLSSLLLLGGASASELEYRQASTITVDLSRTFQTMDGFG ASEAFQRAVTMKRLAEDQQRKFLDLMFSTTKGAGLTILRNGIGSSVDMRDDWMVSIQP RNPGGPSATPNYVWDGSDNGQVWVSQEAVKTYGLKTIYANAWSAPGYMKTNNNDANGG SLCGVSGASCSSGDWKQAFANYLVQYVKFYQQEGVEITHLGFLNEPDYTTSYASMRSS GTQAQDFIRVLRPTLDRNNMTHIIINCCDTMGWSVMNSMLNQMRSVEGSMGVATGHAY TSGPSSPLSTRHKTWMTEAADNNGAWTSSWYSNGGAGEGLTWANNIYGAIVNGNVSAY LYWVGAQDRPSNTNSKMIRVVNRQVEPSKRLWAFANWSRFVRPGAVRVSTSGAPSNVR TSAFRNVDGTVAVQIINNNSGSARTISVNIATVGAGSSFVAAADGVAAWVTDGSRDCD QIAATLDASGRTMSASVPPRSMVSFVLRPAGSKAIA QC762_503780 MYLPSTRNTLLPLAAFLLPSLVSAHGVILAAQGEADSPPSVGFM VNDAIARNCTSINPCQMDTTIIRDAEIAAGTVNSCGRTKLNGNIDVGTVTENALAEGA VTAVRQGSSVEVTIHQVNADGAGPYTCDLDEGSNSGIMSHELVVSNNIPGQNGLSQAA EQEFTITVTMPDDMKCIGGSTGNVCTVRCRNAAQAGPFGGCFAVQQIDVEPAINTAKD ITTADTLEAAQFQTSANQAAFAAAVDANAKAGSSEQEQNLAAVEAILGLSTVSAEFPT LTPTINTERIVPTGTAGAQPTAALGSTPFPVENGDGNNGNGNGNTGNDNPDAGVNPFP VEDGNGNDNGNGNGNGNGGGSGRGRGRGGRNRNQKRYHTVEELLKRFVLPDDGN QC762_503785 MRNTHRAGTTNALGTSPIKLCPSEPAVLACLSFTSPSRTAHDMF RSSLPFIPMNFSKRYTLPSHALVLNLPPKRPNPLRTSTLITRNHPSPPPSRKMTSSSL LPPGLETLQNQLTHHPTSPWGLPIYRTTHSPLSQTAWPTFLSLLQTNVRSTLREIYHL PFLARSHSQPIFSDSEKYVGLDTHALRSHFDKWVSSEQAVPEGSSRWDRWIGGRMLMP VVKRGWVPAEEEGGDGKKEEGMLWEDGKTGEQGEGVGWMYIYVGEYVGMCDVLGQGEE GWYSVYVRSPLMRDTDPSGEGEVGRLPGWWRRRTRMEG QC762_0078460 MLQNPSCTKPGCPFKGGAEKGVCTGTSGYLAHYEVQDILAKQNK KKRAIQVIHDREAAVKYFSWDNDQWISFDDRETFAQKVKWADSLGLSGSLIWASDLGD YDNNAHKTLTGNEKLEKRGSLKPVNDMEKVIADTSSFLGKGCEMLDKIEEDITAYKGA LELKTTKELVGYDAHGCKAKKGKTCGEPIVCPISAGFKDKCMWRGSGGDCNGQCREGE IKIAGSSWGGSPGESGTNRCSRGGKQLCCQVGLDKINDGCYWTEGWPTRKKVAYATEI HEKCWKHDESLKKRLHNKGGERELSAVK QC762_0078470 MDAQTPAKTFQDVVDLKGIKPSLQIYVSIGGASLDLRTPTAVTD NGTAAQPVFGNIARTAANRQKFARALLKFMNQYGFDGADLDWEYPGAPDRRGKPDDTK NYVELFKTLREAFDKSGRRLGLTFTAPSSYWYLKWFDLPGLMKYADRLNLMSYDLHGV WDGDNPM QC762_0078480 MVESAPSSGCTGHILAAVDMTGVVEGIEVVSGLAGLFNTAITWF DYVLVAKQAAPRLQSLLVKLDAAQLRLTRWGKAAGLTGSQIEDEESLKSSGSFQLDES QEQLAVVTFQAVADLFEQCKKLCHHERKGKSKDDPSATGNEVSPFGTVGLNWNPMHRY LHGKMRDIADGRKNKVSVAQRVKFAIYKKEHLEKFIKDINDLIDELYKIHEPPVEEQE ELGKEELAKFLEVLKELDVASDRDPLIRSAVRNILKQEASRTSFNLAV QC762_0078490 MASSNTLPASNHQHYETKALAQHKDEQCLTHLQATDPRHDKDNI ELRKGGLLRESYCWVLSHDNFHRWRDNRDGQLLWVRGDPGKGKTMLLCGIIDELEKDT ARTDNIAFFFCQATDDRLSNATAVLRGLIYLLVTKQQPELISHVRESCYGLGKEGFQG PTSWVVLSKIFTNILEDPKLRGTYLIIDALDECTGDRDLLLDLIASKSSAYPKVKWLV SSRNWPDIEESLNTATQKINLRLELNEESVSAAVTTYIQSEIDKLAKRKKYNNDTRDA VKRYLDTNAHGTFLWVALVCQELAKISRWEAVEILTTFPPGLDAIYEQMRDKINKSRN AKLLQRILAVISVVYRPITLNELPALVDMPNCSSGNVEDLTEIVGLCGSFLTLRQHTI SFVHQSAKDFLLSNGTHQDSRDVVNWVFPQGKDDVHDSIFSRSLSAMSTILHRDIYGL KLPGFPINGVQTPCPDPLATVRYSCVFWVDHLRESISDKDTPQRNTLVAVQTFLEQKY LCWLEALSLLRAMSEGVIAIRKLEGLLERTHQRQLTTFIRDAHRFALSYRWIIEQAPL QAYTSALVFAPLSSLMKKRFKTEEPSWISVKPVVEADWNACLQTLEGHSDSVTSVAFS ADGQRLASGSRDHSVKIWDPASGQCLQTLQGHSNLVTSVAFSADGQRLASGSWDRTVK IWDPASGQCLQTLQGHRNWVNSVAFSADGQRLASGSRDRTVKIWDPASGQCLQTLQGH SGSVTSVAYSADGQRLASGSHDRTVKIWDPASGQCLQTLQGHSGSVTAVAFSADGQRL ASSSHDRTVKIWDPASGQCLQTIQGHSDEVNSVAFSADGQRLASGSDDRTVKIWDPAS GQCLQTLQGHSDWVTAVAFSADGQRLASGSRDRTVKIWDPASGQCLQTLQGHSDEVNS IAFSADDLRAHGASGQYCDAIVATWKNRDNYTDAQKCSDCELGLGKAQLSSPFGYDAE AAAGFFSLTQSSKKTGYNYATPTSYALNSAGMAPPPQRTRSIGTQYVVKAGDTCRTIA GLAGVGSYQLINENGLDLSCNLLPPAGESICMPEKCETFELVVDQTCDDIMKEYGMTK AQFAGLESLHKPVVQESWRLERLVSLRQVQPDEYCQMISLKFSISVDDFYFLNPNLDK NCTNLWKDTAYCVKPVGNIVTYPGYKTSTASTSFTCPTPQPTASAAPIPIRTLHPKAP GTVNECFLHRNAWESSFMANNPLFAGGNNCTSWASVGDVTIQQLLEWNPSLSATKCEF KPGSSYSFFNCTQFPLNFNITLSTVLTLNPWHGSTPTTCNQNLWTRMNMDGFIQLCVE RAGTGTPSPATTSKASISTPTKPPTSTQRPTTATSSITKPPASTAAPNPPAPTQPGVS PSCKKWHVVVGGDGCWAISNQYSIALEDFYKWNPGVRSDCGALWKDYAVCVGV QC762_503810 MQATFVLSLLAASASALVHVPRQGGVNPAVIPQDFGVKAGDGRD TIQVGSCVGANQKLIPCDCPPAPNDPDFLGKLSQGLSQGFFPDPSVPIPISLGEFNDA SDTSIETQKRRGTAMIQVLQSLNGAKGSGCPGVAAPNLVKLQQTGQIGAGSVGNAGK QC762_503820 MTTPRPIAGTAALEKSDSDHLSTGGPETAKREDVATPFSPEDEA RLTKRVLLKMDTRILPILSLLFLCSFLDRTNVGNAKIIGLEKDLAISNTQYNRGLAVF YATYIASELPSNLLLKKITPRVWLPLLTVVWGIVTMCLGFVRSFGSFFAVRAVLGLAE GGLLPGMVLYLSGLYTRQEMAVRIGVFYTAASLSGAFGGLLARGLSAIGPRGGLEGWR WIFIMEGVITVVAGVIAYFFLPTSVATASYLTQEERDFAQLRLQGKIGAAGGDERFNP VLEREEKFKWSEVRRGILNLQVWLTATAYFAILSGLYSFGLFLPTIINDLKITSNANE SQLWSVIPYAVATPVTVAVAFASDRLKLRGVIMLFTLPIAIIGYAVIGNVPSPNVRFG MTCLMAVGMYSSVPCILVWNSNNSAGHYKRATTSALQLAVANCGGFVATFAYPSVDGP LYHKGHSIILGLLVYAWLAILTNVFWCIKINKDKARGKYDHHAGSGDDRDPMFKMIL QC762_503830 MRNLASVVGRRAVALSSRGSCARQLQSSLRIEATTSTSQPNITI NYAQSRGFSHTRPWRREIPVSEPKRGGSKVWASADEAVADIKSGSVLLSAGFGLCGVA STLIAAIRRRGPESLHSLTAVSNNAGSEGRGGLALLTENGQIDRMIMSYLGANKKLEK QYLTGQIAVELCPQGTIAERIRAAGSGIPAFFTPTGGNTLIQSGSLPTRYSPDGTVVE FSAPRETRIFNGKAYLMETALPGDVAILRAWKVDKAGNCVFRHTTKTFAPLMAKAARL AIVEAENIVEIGELDPSEVNLPGIYIDRIVPATERPQVEIVKTRSPQEFSSSPPKEET PAQAKRNRIARRAAKELKPGFYVNLGVGIPTLAPSFLPPGQEVWIQSENGILGMGDYP LPEEVDPDIINAGKETTTLVPGASTFDSSESFSMIRGGHVDVSILGALQVSAGGDLAN YMIPGKVFKGMGGAMDLVSNPEGTKIVVATEHTAKDGTSKIVAVCGLPVTGKGVVSTI ITDLAVFQVDRKKGTLTLTEIAPGVDVEEVRRKTDAGFAVAEELIVME QC762_503840 MAETKLKDLSSLRPSLKKLINAPFASPATTPAPPQIRNIYQRLS REAKSRKYGERSWITIAAATTFTLNSPSSLSHLHSTSSSLTPTQPSPPSPPPLTPLLL AELIREVGLKCISFNGIPRTINCLNAFRSDLEVNPWSSSLSSVPSRTVTTSNINNTIS RGNALWKSIYTPHHGKLTQKLALAHPDLPGYILQGHYAMLLADPPRHVEGGVPRQARL GRCLTSLVAIACLRAQRGVGPQVLSHVYGLRKAVEQGLHREEFEDEEEREGIERLAGD EGCEWILESVDKVARAIGGGGFAGWEREVDQDQERDKEDGVEDDTRLDGEVSEVGNVA EEMEEELQGGRGSGSGRGRESKL QC762_503850 MSGQKGTKQPFWLGRMQVLHTRAPMLSTLYRFAVRDGIPSLWSG LSASVLRQSTYSTARFGLYTILSRQMQKRSGGAKPSTTSTIACAGVAGGLAGVVGNPT EVVLVRMCADAAKPPAERFLYSDAVTALVRIAREEGVKVFGRGLSANIVRSVLMNVSQ IAPYAVAKKTILTRTRLKDDIRTHALASLFAGTAATTACAPADVLKSRIQSATKGSTV LQVARDGLRQEGPMFLMKGWTPAWLRLTPHTVLTFVIMEKLSELVSMTAATPVPARAT A QC762_503860 MDVDSTDRPDAAGALKTDGVRESPKDHNSRRAAACLVCRRSKIK CEKGRMPNDERCQRCLQLGVQCVRPDFHVGRRKGVKNKRTGLEKALHQVEQAVRRSGT SIQGIEATKVVSELKVLLGSGSEGSMPPGDTIQVGGRKPNPRRDSRQSDTLLPDASSD AGDSSASDQDGMSVPPQGSTPSQGHAVEESLAVDDAENPLQLLARASDLHVSPKSGND SLPAEAASHQRARQTKQLDQPSEVEKFFKLSQFSLDVGSDLDPIDLGLMTVEEADALF TFFHHNLAHTRWGLDPVLYTASFTRSRSAFLFTSICAASALFMAAASALSRRLSNHCQ ALVNRIIRDRYRSVEIVLAFMVNVPWMAPGKHSTDDETCWYVSMATTMALDLSLHKIL VSQQSVNGQGMGSMPMQVPRADCIDPKVALSLDGFSEVDPNSEYGRRLLRRRERCWIA LFVLERGMCLARGRCYTVPITPILKGCDQWHLSNIADTMDGHLVSMAVLRRDLVSLSG VSVEMVEDDLFASIRAVCDGSRDGRTGGGIIATSIQMTVDKFFDEWHAKWGISIGTGP RMSEGFMRSPEPLFADQAAEHRLPPYVQILVTHTRLSIYSSVINHPTAPTEVRHFFHA AGLSSALNVMRAAIQGESQLSSMPNNTAIMISFAACFALRLSGQLPGNSNLAPSVRAL IEETAEVLERIGSATKHRDGMSALYGKYLRCIVKKAALSANETAPRPRTGHHQSEPLT QQHQATAPYARHSDANNHNHARGSFSMTDAIPPNPAVSGFLEPPIWSEPIQFSSMSDD QIVEALSRVNNEFDPALNMYPWDDAAALDWLNWSNLPDFGT QC762_503870 MAASNHFLEDKKIIVVGGGIAGCAFVAALHKLWNPNWRLPEIVV LERNPRDVRHNYSISLHGDSVNGGLVALRQLGLLDETLSHSIFGLRSGQFKMWDVNWK ELMSTQPRPWGNLPTGSMRIQRSDLERILVREAERINAAFHRGVECTGAERLANGRIR VAVEDEAGVKDHQDCDFLVVADGAQSRLRAALRPHDDLKYAGAVQIGGRAEFPHGIPE PIEENWGILLSGKGVCCYFSAVNKDTVVWALSQQRAEPDTRTSVATPDRFAALKEEAL RLGSMFSEPFCTIVESTIPSSAFVTAAMEKEPFRHDDPSLERIVFIGDANHAVSAFAG NGANLALKDGWDLAENICYQSSLHNAVAAYDRLGFARAAEAIKSSHQKIDFAHCTSVK DSLLRAGLATGRWFMRIRGM QC762_503880 MGDKTAARTPAKTPSTAKKQGQAPSTGKQQSILGFFSKTPASSA NAPNSSPSVKPTPTLKKASSSQCLQETTKSNSARRPPDATPVPSSDAPEPTSSQENRD VSTAKVSKSRTTMRDTTTLPSSPSRKVKKTVNYAESSDEEDEEFIASLTSRKSRQRRP REAVIDEDDEDTYEAGANEVEEEDDEMEDFVVSDDSDAPSRSKKRKRPAAKTSAPRKK TTNPSPPRSAAPDLDGDEPMEDVPPSTSTAQKWKYDPDSIDHDEPQETVVKAPPAAKK PSSTPKPKPKAHTQEPEKRYPWLANILDGNKKPPTDPEFDPTSIYIPPAAEKQFSAFE KQYWDIKKNLWDTVVFFKKGKFYELYENDATIGHQLFDLKMTDRVNMRMVGVPESSLD MWVNQFVAKGFKVARVDQMESALGKEMRERDAKAKKADKIIRRELACILTAGTLVDGS MLQDDMATYCAAIKESVVDGKPCFGIAFVDAATGQFLISEFEDDVDLTKFETFVAQTC PRELVLEKSRLSTKALRILKNNTAPTTIWNYLKPGTEFWDAETSRRELECNGYFSNAD NQEEVWPEKLEKVKEKDLLMSALGGLVHYLRFLKLERSLLSQGNFESYNPIHRNGTLI LDGQTLINLEIFSNTANGGVEGTLFNLLNRCITPFGKRLFRQWVCHPLCNIQKINERL DAVDMLSNDKSALAEFSSHMSKMPDLERLISRIHAGSCRPEDFVRVLEGFEQIDYTMN FLGAFGGGNGLVDRLISCMPDLKEPLGYWETAFDRKKARDSKVLIPERGIEEDYDNSE DELNRIKEELAQLLEKQKTALKCRQLKFTDVGKEVYQIEVPKSVKVPSSWRQMSATAA VKRYYFRELESLVRELQETEETHSQIVKEVASRFFKKFDVDYEVWLQAIRIISQLDCL MSLAKSSLALGLPSCRPEFVDDERSVLHFEELRHPCMINRVDDFIPNDIHLGGEQAKI NLLTGANAAGKSTVLRMTCTAVIMAQIGCYVPAVSAKLTPVDRIMSRLGANDNIFAAQ STFFVELSETKKILAEATSRSLVILDELGRGTSSYDGVAVAQAVLHHVASHIGCVGFF ATHYHSLATEFENHPEIRARRMQIHVDGGNRRVTFLYKLEDGVAEGSFGMHCAAMCGI PNKVIEEAEVAAKEWEHTSRLKESLERAKTGCYIPLGVLSDVASLLRVGGEAEVQERG VEVLLRAIEAL QC762_503890 MASLTLALLLALFALLTSADPSVPTQNTQFCNFGHPTGHADFCF GLSVKNHSSPKPNHDFHKDFQISLSIRRSGKLGWTAVGTGPTMAGSVMVVVYGDPGRG RPAVSVRSVDGHHLPGTIDHQGVDGEMEEWEKPDGPATHAARVEVVCEKCDTFGSVQS WGGGGTGGSMPWIWAWNDHQDFEHDGDGFEVGAKLKMHRHREGSGGFGRFWVDMRRAA LEGGRHEWDFEEKEGNRRVGTSDGPIGVGAWFDFVVRVWSLAKIHGVVMGVGFLGLFP LGLVMIRMNSGKGRPFKRHWRVQVLATTVAVVGAMIGGRLSKWHMPKTSHQWLGVGVV VGLVVQSVLGWRHHVDFVRIKRRTWISHGHIWLGRFLVAGGLVNVVLGMLLSGKGAGS VWLVVAVGVLEAAGLGYWLWRTERQRKQAAGGGEDGTEALALMPRSSDGGENYFALDE SEEESSDEGEDGKMSDEESLRKRSVDSATSVKKSLAATKD QC762_503895 MRFQHQVVPLVATAAGWLGRVEGSPIGSQNGTATVALPETSPVG YGILRYASPDLRRSLLGEEGGVPGGLSKRQCAGQLICTAWINVCCPLGTTCCSATTCC LAGYGCYSGYCLKDTVTVWTTRYSTYTDYETSYSTYVSLKYDYTTVTVRSKNGVATST EWTTVTREAAKRRQLIAPTPTSTHDVGHTPSPTKPPRLEDLGAETMESSPNHPGLVRR VLERAGVVEKRASTAWITVWPTWTTTFWYYRTSWYYSYVTSVRYSTITSTQIIFDGAS STTTVRSTTTVFTQKPPPAQTPPPNNPPAADPPPANNTPAPPPADEPAPTKPPETVTL TQSPPDNGGAGGGGGGGDTNNTPPPAPDTTPVSVTVFVTPSDIVLPTDSSATLNLKTS TSAGTVFIIATTETPSPAPPASGTLPQGTVIGIGVGSALGGIALIAALVFFFLRYRRK NPPPSTDDSTVGGNSQTAAISGGGAGGGAGGGAHDSALYGVFGRKEIDSRSPSVTVSS PVAGSPSPGNLTGAGTVSSMSPPPPERQQRGGSYVSELEQNAGRRTPGMGELHETTRG SELYDTGYGGYGWKPPQELPVRRFSPGLQEMPEEPGHYVGMGQDRPVPYRQYDGAAVP DLSVGLTPQMRGVRGEDPYGLGDVPYPSAELLARGYGAGPGGGQGQGRGWEGG QC762_503900 MNTTNARNASRFLRPSPRPPDMRLSAITIATSAIAPSTISTDPP SDSPLISDCQRLANNLSGNGTFKYSSFGRHKTLAQYESCAFGVEADEYWINSITHIGD QDIVEPEYGSGCVSLVVAGLGNLNRII QC762_503910 MTDDNPRPFKKVLIVGAGPSGLLLALLLAKHSIPVKVLESASQL DQQPRAAHYGTPAIPEFIRAGIIDQLRANGLILSTMCWRDPDSHEIIAGFDASKVLSD VDGQDLRTHCYVLQDLDELMLKEVKKYGGEVEFDAEVVGVGQDEDGEVGGRAWVEVKR KGGEVEREEADYVVGCDGANSIVRRSLFGDQFPGFTWDAQIIATNTFYDFEGKFGWHD ANFIVHPENFFMAARITKDNMYRITYGETPGLTREEYIARQPMKFQQMLPGHPKPDEY KIVNISPYKMHQRCAPKFRVGRILLAADAAHLCNPWGGLGITGGFVDVGGLFDCLVGI WENKAGEDILELYSEKRIEKWQTVINPISQENFRRVSDKDPRTRFERDEFMQLLKKGE TDDVLLKDLLLGFMEVRYDFTQHYKVR QC762_503912 MHFSQIIQFTLVLAGLACAAPQSNPLLETVMLSNGETTVAVQVE AMSPASTGPHIGGEILARGQLVSRQDSINCKGSSACSNRQGFKDSCTTAKNKIEDTTY ASGGAKSGTCSGNCGIFVQGKDCIATGAVLRSAYNAIRNNGCQACGSAHWNNGCYITI NYITGC QC762_503915 MKKIKEKNKDYFNSDESEADDSEETESKDQTETEEDSDEEEPDE QDKRKVKKKGGEGSKNGKKCKDTKGRKSEGRKGGSNKNKRGKKAGSDEDEDDE QC762_0078660 MKAFGLCTHVRKPLDAVQSLGNIGEKVWDGLSFFDMFLNCLISH SLAEVAFAGGGSAHSQYHSEASRDESTKGVKDNMLEGADLVFCLGGGGGWLQEPETAL CDMLELNLSAHALQEPESMRT QC762_503920 MASSEHPAVGCKTCAFFSEAILKTIRGEAVGENIIRLAASFDPK CATCKYTLDSFARLAPNSPLQLTCPMRLDCFPQKRFFFRTGCGCWDHSAYHLELLPSS ELAPTSVHYKIIDADTIDISSLKRWPEYCEQHHEDCRPTPTQPPVTDMILIDVDEHRL VQFPGTPPKYTTLSYVWGVLPDILETRISNFSTLQLPSSLASPEILPRLPLTIQDAIK VTRDMGERYLWVDRLCIIQDDEANKAQQIASMASIYANSQFTIIAADGADANTGLHGV GSSRPFPDLPILEFSPECRMRPGPETEEARYFTTWHNRAWTFQERLLSRRSLVFFRGS VIWQCKCSVWVEGAAAEPDGMVVDETWRTEKKRPAHLAFDRHFIFELKTPKRPDFRYF EGLVRQYQRRRMTYQADGLRAFSGILDVLSRTYDGGFLHGMPKMFFDVAMLWEPQAGS KPRLVAPGSRELMFPSWSWASFQGSVANNLSDHTFGNNPSIELTPVVRWYHAPADEPI SFVNLTPHLARMFKQGGVGGLDLKFFDVPTIDTGGLTVEKEKLGWLLHGSVSVASFRV QPEKEETSKLVRVAWEEEVAPFVNYHFVDSSGKIVGRLKVPFTREDPVLEKDTLCECI VISEGKAYYTRSKWLKEIYLPEWGLNEETRELEEFHFYNVLWVERREGIAYRRGCGTI WKEAWDRQNPEVRDIVLG QC762_503930 MAMSKSVADQGRRQSVSWVGGDEWDPWRTTIQDLYQTQNLPLKD VMKVMEEEHGFRATQRMYKTRIKSWGLDKNFKECEVVELFRVRNERDRVGKRSTTYMI RGREVDWDRVQNYVRRKGLNITQLLASSAGTVSPCAREISCFTPPPEDGRPTGTRDVD VNLLRVSSPSSSSPSPSPPNSSNTNNLFPTTFPPISPAATPTTIHQPPQLPHPLDQIN NPLRRPSYPFPAPPPPLPIVTPPPPPPLLPTLYPPQPPPPPPPLPSQYTTGTQLTISQ SFQLFLSRLYKTTMFQDGERAWGTTDFFLRNMRSLEWLSTIRYALAINKSFLVDGLDG SEGSEEIKRFKAVNRAFAVLEPGSKGVIGSRMFYIVNFLGCFHAGPDGGALKELAGRL MEDIVVKCFRGGEGDRGGFGGDLRRVLSGGMGMRWRLTRWMS QC762_503940 MSGKHTGTYVNTDSKHEGANMTFRSTFLPRLSLSRSESPGIPFH NPISPTIRKKPSEYDMDDLSPRPDDSLLPSEGSRHRRQISPSIDHSTSDRASSVGSAS KSKPNAPVLFHGPPPPVATSRILYRDEEEPQSYRPFDTAASIARNVGSVLFDRQDGSS AHTRGRDAVKYNEYEPDAVWRNLHHRERQLQKELQNILDVQSACLSAQVGRAGSTGSP QASDASTTPTSTLHASTTSMSTARQVSFAQTTTTHTGQVIPVRQPKKKRPSIREARTG LARHMTLLADLKAEEDANLTAALSTRKRALAQLRKLCRQRKEITGELEKIEQDEEEPL AQEIRELTEERSNVVSEIKELEERLVGLRNRKRYLDAKVADVESKRDAGLSGYRGALK DVDGNLGGILTRPSVKPLDLEGVIGPGQPVDRGSHLSGLEFLRLRPERRTPEMAKEWW EGEVALLTARKADVDRERLALEEGVEVWRETLQLVDKFELSVAAVMKHWAGKHDNDEA NREWVKAMSDVLGDMKGVIADMEGKLRLVEENGWNLLICAIGAEVEALELAKGLLVKG LRAGGLEVQDGSDDERDVDGEGGSTPHLGRSISGSSGLQRSAIRFKSSSSSSSESAEG KNLVGVHGVEDGHRTEESDNEVPPDLLVSSSTAGARDGLDRTCSRESTLSENEVPPEF LAEHV QC762_503950 MTWFLQYSVRPETILTILAVAISVDQFVTIVATTGVVVLSLCFW LHIIGLSSDFFPTLGQHARLQPDSFSQEHLSQHQIEEEDLQPKAVGEEIKSREELSST PVGGSSVSCSDSRSQSESEALEEQAVEQGYLGKVPAEYNLPEDDVKGSLEVPFWFLNY NLKTTSELANHMPKIIIKEDAPDTAYDEDAVFEIKSAVYNNLWSVLSNPSQTWESANE ENVPFFRKATLLLTAINTMYGARGHRAFFEAVVEQFATDIGADLIALDIEDVLDLGEY WLNGPESRGCVREGKRDRPLLFAHTLLDVPDQRTFRHGTGSATPERRPLIIHIPDVHH FDIPDDNDLQGSPRWFDFADIKEILDVIQLAIINQAGVNGATLLVLSSRYYTTSHRDT DIPLVHALLEAEEDITLVPLKTPSQVKLLEEGLPNCDRHLRINIRSFQRQIRATIGDF TSPLLQPHTAWEFINDCETSTRFCLGHRQHGEIISVGKKVALQVGRHGSVTADHIRQS LIAVASHGEMLKDWDIESSVDDVQSRWAQFSPHIRQKLEQAENGNNDAEKQLLDLVVD PGSVMHGWSNIQLDLDTKDAIAQLIHQATGTISSNLRSGILTNAKVGGALLYGPPGTG KTHLARVLAHEYELVMLSVSSADIESSRVGETEKTIQNLFSLARKLHPSIIFIDEADS LLKRREPHDHTWERARLNQFLAEMDGLKQDMNSPFVILATNLPQALDDAVLRRVPARL FMGLPRARARREILAICLREDVVADDVNVDDLVRRTKGYTGSDLHTLCVQAALISAAE SQASMSVNNMTRLSSIQRVHFEKALRRSAPTSTGHALAAIREFAKASDPQALAQFTAP DNDEVEQASTSAEPSLPRQKTGITTGTLTPPDQSIDNVGDSGSVTEGEATSDFASPEF GVPEAPTSHKTTSALGPLYTPLKSNSRQIRVLSITPQSPKTEDSWIECRLETVDLDDM EVWYHELRTILQANDGEFPFDVTRTPPAWILATAANELAKEQPKDTEMHWAYVLEKFN SIPELKTLKSDVPFERLTRWTEFAPRYEWGDYIAMSYTWGPPEPSHTILVNNHEVQVG GNLYQMLLRLRKSVEVKQSHLKVWIDALCINQNDDKEKETEVQKMDVIYSMALAVRGW IGAPSSATPGSPFDTAYTLVRQWFSQHQGIPKSYISDPTLYPQSLLRSLRTVAFELIR LPYWSRTWITQEIALANSVCFWYGDDYLFVPGEIKRALEPFVDFSSALNQPSDLHFMW DDGELDSSSDLPSIQEQRMLSQHVHRIFVKFDLRELPRVNNTGLLITILTLAQASAAT DSRDKVYGTLALLPLSIRDSVKPSYAEFYAALDAYADFAKACILGLGDLSIWTYLTRL QPRPGGSSLPSWAIDLSSEADDALVQERTTPPSRPGSRFNANAGKQQCPAFSHDGRIM YCEGLIIDSIDTLTMSPSRAREITLQDSYFNPLSSVTNPPAAPSNMPNEDARTALARV LHAEPEYDISNPSCLLNLAWGLKEDRPPDGLNWQRLEANIKDASELAHAHMSLLWWRT LLHANLDFMIKGCPLHSYFSSGAAQEFQPHDYTYQDLSQTSYRRLCMTSNGQVGTVPG LAQAGDKIVVLFACQKPVVVRPKGKHYEFIGTCFVDGLMKGEAVADMESGGKLNPEML SFC QC762_503955 MAQEVAVPLGRFSEPRHRDDDDNDDNDGDDDDDDDGGGGGGGGG GNGEDDGRPVNPRPRKRRRLGCLPTATSRSSLPRQRRCLRNTKTTSSQLYSLCQSRKK ELPPSERMPLARRCSAMSLPPFSPPTSPPRRAAAQPSTPPVQTSKALSAEKAKRPPAS LRKLLPKIGFSWPVRETRNRHSRKLERRLAGLEGRERRALLHAFGAGANELDKKVVER GLVSVFEAGLGVLKGEGMKMRSLEDQSLFWEEVIAHQPEAWFGRVTGKVLHGLVLMTV EARFWDREHGVKIGWMNSARGRSELVRVVDEFTAWLEENEDGQTRKEYFGGIEGAVHV DLLADERTEQGRSILEEFSARYGVEDMDVYVDFDEQLAEELGDLMARLAIDADEEMVD VDWEQDWEGWSEGGIL QC762_503960 MVNTALDHLNLGGKIEWLSQLDTAFQPARNFRRTSIICTIGPKT NSVEAINKLREAGLNVVRMNFSHGSYEYHQSVIDNARAAEKAQKGRQVAIALDTKGPE IRTGNTVNDADLPISAGAILNITTDEKYATACTTENMYVDYKNITKVISPGRIIYVDD GVLAFDVLEIVDDKTIKVQARNNGFISSRKGVNLPNTDVDLPALSEKDKADLLFGVKN NVDMVFASFIRRGQDIKDIREVLGEEGKHIQIIAKIENRQGLNNFAEILEETDGVMVA RGDLGIEIPAAEVFAAQKKIIAMCNIAGKPVICATQMLESMIKNPRPTRAEISDVGNA VTDGADCVMLSGETAKGSYPNEAVREMSEACLKAENTIPYVSHFEELCALVKRPVSIV ESCAMAAVRTSLDLNASAIFVLSTSGVSARLISKYRPVCPIIMITRNASASRYAHLYR GVYPFSFPEAKPDFSKVNWQEDVDRRIKWGLTHAIDLGVLNEGETVVVVQGWKGGMGN TNTLRIVKADVDHLGIGQLE QC762_503970 MVLARLTHYAFDAVLVSTILAGMKRSTGLTFQADQIGDSDQDPF RKFMNRYLGVGEWVMDQSVAIAGSSKWFKRTR QC762_503975 MKSDLSFLVSYDTISKVESFLDKLLPAGKEYERMDLITHDWRAS FKSFRKMKNNENNKQNHNTSQSGHVGHSILRLISLTTAIASRQPGSYDVASKPGITGT WIKTIYRLIAHSDTSAPKFLSILTDNSDADDRLRYLSEVISLLQSLHRYARDRLSKPF DPMELDEEELEDLKRQMMVLQAMSEPEARTALAPVMEKTETDLEWEALQESTTCQESQ RACDWLQRKVIRQLLRGEEELEQLR QC762_503980 MDIIQDDFSSLDLHEPLSPSHSGQPINNDRLARIMEIRRLESPD LNPPRYHDPSTPPSSPPQPSSTSSSPGTPGSPPKPPPSPRQFPQFSLFPGELQNLIWD HAAQLLSSPTSTPGIHFLLQPPLLSPNNPPLSRPAPFQLSTPWLTNDLDLIPTRDSFC LNLANLLLTCHASRAAILRNNASFPFAHNRTILRSLPQKGYPVLCPPKSVDLSLDLHR DLVSLTSANGTCDEVRRMLDFTDGNHFIFSAARKFAVRYGLGWELPTPGPFQHDRRCP TGWMGMRGGGRPGFCSRCVGRLVERFRRLEEVWVLVDLPEKGWKRVDGGWGRKKEFEG WDRRWFAVEGVGVVGEEGNGNGGVVEEGLEVLERVKSNLKDPRYYHMPWVSELKFGLL GWEKST QC762_503990 MYSKAAIVAFMLAVVEARFGQEGAVQGAVQALGAFGNPGAAGTL AGQTPSVLLAGANACAKLQLADQIVQELGTDDAVIAAAKLLVQAEKNFNPFAVSIPSI CSDAGLPATEALRGIIPLVDPDVPGAAEQNARSAQSLNNPFNANGLSVADITAAQGFT DFTAQSLGGANAAPPAGGNNNNNNNNNNNNNNNNNNNNNNNNNNNNNGGNVNCGAPRT LTTVIVAAPTATPAPAAGNGNNNNNNNNGGNNGGNNAALDFGSCVPTMNFLGGRGNRP ATEFTFNAIDPVILSRQGEALNPNIITNRICDELTNICGANQAAKDACLDAKAQIQAL GTRDASTAVAWNTLLGFPDVNVNV QC762_504000 MSHHVLLLGGHGKVAQLLTPLLLKRSWTVTSVIRAQEQVPTIKK LGESQNGKLNVLVRSLEDVKSTDDAKKVIEDAGKEGEKGVDYVVWSAGAGGKGGPERT YAIDRDAAIHFIRAAASTPSITKFLMVSYLASRKAKPAWWSEEEWKAAQHVNNEVLPT YYKAKIAADEELYRVSRESETLVGINLRPGTLTLEPAGKVELGRTKGSGGDVSRETVA VVADELLAREGVRNGWIDLLDGAEGVREAVERVVREGVDAAEGDPVYDE QC762_504010 MKEALVSKGPQVEIVNTPIPSLPSPDHILIRVVVTGTNPKDWKL PDLYEQDARTNPGDDIAGIVHTVGANVFEFKPGDRVAAFHEMRTPHGSFAEYAVAWQH TTFHIPSNISFEEAAALPLAAMTSVVGLYDRLRLPQPWSPEVSRDEVTDIPLLIYGAS SAVGFYGLQFALRSNMHPLICVAGSAKEYVRGFIDESKGDVVVDYRDGPEATVEGIKK ALKGRELKYALDAVSLPESLDNIAEVLSTDGGHVTLVLGHPKKGLKEGQKYSVTMVGD VHNDHKDLGYVYFRYIARGLAEGWFKPQRVEVVPGGLGGVQKALEDLKKGRASGVKYV MRIEETEGLVKGDR QC762_504020 MQFTTALLLALQATFALTSPAPAADLSTAQLEAECGSLHANTYN ETALPSDVDPVQIRHCVEHPSGTATEENTLGKRDCVPGSAAQYGCGRGGYCWRRCGGS GGSWCWQAVNNGWGDWIKCTANSQCAPKSGWGCGQSEGDCNACGCSCTGGLPF QC762_0078810 MSTSTSVQNPNIGANLPIPTENTGTHNPDSGGLIDTATAMSALV DILDGQPITPPSLYIDLEGVNLSRHGTISILQIYVLPLRRAYLIDIHILGEKAFSTLS STMGRTFKDILESETIPKVFFDVRNDSDALFSHFQIRLAGVQDLQLMELATRTFSRRV VCGLARCIEHDASLSASERSSWMATKERGTRLFAPERGGSYQVFNERPLNEEIRRYCV QDVHLLPRLWAHYYGKLTKAWERRVCEASRDRVALSQTPGFNGKGRHMALAPAGWSWL QC762_0078820 MPGSDIRLLALDGGGVRGLSSLLILQQLMTAIDAESPPKPCDYF DMIGGTSTGGLIAIMLGRLQMTVDNCIHAYASLSDSVFEKKSRRVTIKGKLQGRFDAA ELERAVKKILVERGFDENALLKDAPDAPCKVFVCATSKETNDTVCLTSYRSPRGGTDL LNSTKIWQACCATSAATTFFDPIAIGPFDEEFVDGALGANNPVYALWTQARDVWGDQL RGRRLKCVVSIGTGVPALKPVRDDVFGIWATLKELATETEKTAEQFRRDHSNLDDEGR YYRFNVDHGLEDVGLEESKKKKEIAAATRRYVASQRVVKQMKACANNLAGRKYYGRYQ TIFTLRGVPVSSKFVDRPSDTAELERYLLPHSRRSHGRKIFVLYGLGGIGKTQLAADF ARRHQATFSSVFWLDGRSEDRLRQSLAGCVSRIPEGQIPDRSKNRALNTEDDLNLVVM DVLEWLARPDNTDWLLVFDNVDQDHEQGGSTGTYDIRQYLPGDHGLVLITTRLSRLAQ LGNSKRLNKVDQELGTTIFTQWYGQQLVMDETATELFGLLDGLPLALAQAASYLRETG LDITSYVRLYKQQWDDLMRLDSESSLPLVDYEQGSIGTTWTISFKAIEARNGNASNLL RLWAFIDNKDLWFGLLQAAAYGGEQWPGWLCDIACNEVRFLDAARLLLRYSMIEARES VQGSGSGSGSSSYSIHPVVHRWTSHIQDDNEKRLFLQLAVMVVGFSVPDSKTEDYWVL QRRLLPHAERCSWWAGGFRGGESDFEGINTTGATHMLGILYKDQGRLGEAEAMYQRAL EGKEKALGPDHTSTLETVNNLGLLYVDQGRLGEAEAMYQRALEGKEKALGPDHISTLN TVKDLGLLYQDQGRLKEAEAIYQRALESTEKALGPDHISTLNTVNNLGLLYVDQGRLK EAEAMYQRALKGKEKALGPDHTLTLCHEVAKRETMLQGYTQLTRY QC762_504030 MSLVPYHEREGREIVLRHHNAIVVRDPTSQRLEIRGLELTKCPT CHQSLRTSSPERRFEPATSHETYVNPDYFRMLRAGQHRRNHTQPLPPPSSPIRRLVEP FPASVSDEDGSELGRQDAESVRSSPAPHQGGGRIRREAFSPNYFNTFFIEERELGRGG KGVVLLVRHEIDGCFLGEFACKRVPVGDDHAWLEKVLVEVELLAKLSHPNLVSYRHVW LEDYQTSQFAPVVACAFILQQYCNGGDLLHYVIGDMPREATKEQLKAQMRRRSKAQTE RPKMQRRLPPEEIYSLFKDITSGLAYLHAANYIHRDLKPSNCLLHREGAHLVCLISDF GEVQPEHAVRKSTGSTGTISYCAPEVLKKDVTGRYGNFTTKSDIFSLGMILYFMCFGR LPYHFANAVNEELEDIDQLRAEITDWKGFHDERRERPDLPHKLYQLLQKLLAVNPLER PTANEVLGAMKGEMPLDGVSGMRGGRNNSDGMGGHRIQNLEEPGLMRPEGTKQARVNS LTEEDEFAAVSQTTSAVSLLQKRMMRAPRHRGSGGELTLGGRRSEDDLPEGEAAAASI TTPLLMPPPTTALERVRNGAVLAQWRVRRWGGENEVLLRVGVFVGKMVSLSWVCWPYS SSVVVMGLLVGMATVDLVGLSRGRGDREGDGGGVVGGEGGGADDGRGSPVVIRVGPDG SPRVVHPVGGGVRNSGWMVGWRRSWVLLGAHFVVLWVASRAAVLCAAAVPIVRRGDEE WEGWL QC762_504040 MRFMPCAFASFLALRGGQLGLAAATPPRNGSIANSPPEPRLDGK ITPKVMIVNMAIQFAPEAQVWYDNMPLNSHGNLLAVNITIPGLSPRYPHVHCREDLQV CQVTTCEGEINAASSAMALLLSPKFNLTKTYFLLAGIAGANPKYSTIGGVALARYTVQ VALQYEFDAREMPDNFTTGYVAYGTTQPEEYPKILYGTEVFEVSNALRDAAFNYAVRA NLSDNEDSKKYRARYKPEGNIFAKASSGPEVVKCDTVTSDVYYSGTLLSEAFERTTGV WTNGTGRYCMTAQEDNAVLGSLVRMAVYGAVDFSRVVVMRTGSNFDRPPPNVTAYEHL LVLKQNGFEVAIQNLYLAGIEIVNGILKDWGAVFDKGIKPCNYIGDVLGSLGGEPDFG PGSETKGVGFRPPGNSTTRAIV QC762_504050 MKFSLALITVGLFGGALAQQGVQCPGSWYLQPDDCMCMNSREGY LLKTQTLDCCKKLGYKTYNNICAVDRNKRQTFKDCCKDLNQESVIGHCR QC762_504060 MAPPKLNGQLPYQSCRRLFQQQALSRRTFTTSSPSFSDAPKQTS RFAKKTETQVPPVDHTQSKPNVVVNPPVAPTTVPRPSAVRRPGVGTPTMEPKQPVDIN SKEYKRVARKVTSLMVALPFLIVTSYYLWDRLSLGRPVPPPSDDASSAPSSEPKKA QC762_504070 MSTGAVSTGRRKSPLEGVSDRSSQDRTTSPSPSIRSSSKPQIRH RASIACASCRERRIRCVVAEGESECTQCRKTGHTCIIKNDDERRRPISKAYMSSLSNR IALLEEMLKEQGVTPPPAVHPPKTRQDAISRQQQQQQQEQEEARIRERSTSSEPKHGS SVEIQVPTPPGSGEEDTLMSESEQSKTIDLTDMTSSSSASSSSSSSSSSSSSSLIDPL LLQELGTTPDADVRRLLSARGSHSFDPSAGRVRFFGPTANSHVHGKSTCLFDNEGRPD RARRAATLIETLGSSTIDYLTKCFWEHYFYSGSMVVDRAAFETGRLTQDPKFYSPFLH LTLLAIGYRFADRTRDDIKKLSVGSRESTLHREAKGLLEVEIDQGGGVPSVQGMLLLA DLEFGVGRDSAGWMYLGIANRLAFDIGLHVNYSGVDISEAERRLRRQVMAGCIAFDRQ WALILGRPTSIKAQDISIDLLSKGAFNSTHGQMTAEAKTYAAGQAALQQKRFELMELA GKVSDLQNTTHGISDLTAKATEDRTYLYFLALERQFQTWYRQLPDCLAWKPINIKSAP IGFFLLHQQFHTCMILLHRPWAKYGPLVPDSTAAASRYAAPESSLQLQASLGTFPRQD NRASLSRSMCTQHAVRVARIFWQQRQRFDGTKIGLEAIQQAGTAALALMAALAHKSAE LDHQSNLKYLQVVSAAIYDMSHAYQPASRMYSLLKTMLADIRTEMVSSGSFEASALLN RFNQGNPTTNMIFGSNSWNLSNESSRFTPARRTLSMCDGPEEGREAKRRRFSTQTAPD VVFSTMAVFGSSPLGGPASPQLAHPQESSGQGELGEPLREIPDIAPESDFDLDSFHAS FVDFINNGSKGWATATPTITTETTLEATPLPTPACEEAPSTSNTDAVIVQDEPAAQQP ADDAMVDMTIEEWLAEPGVSSGLAAMEAEVQQHCDSRFSPVADAPVPTAEGGEVPPPP LPEMQQSDLTMPVTLELGTADNGGIHTMDWLATAPPPPRPSRRISRTSISSTIRPPLA PPETDLFVNALAIPARQTSLPPPPPPSSTPLPMTPVTLDELVQSVEEAVDSARARARD REREKSVAAAGKGQVSSPEAGRNLSLDYFQL QC762_0078880 MEFSTSLLSYTPKAECPRAPTTTPKRTAKMPQHFGSQGLLLNVF RPPEDLPVSLRSNPRWSGTGLDWDAPSLHKRRFELQ QC762_504075 MKYTMAVIAIIGLTNAIAMPKEASNGVNGLEARSARQPGFITVV KKRSDDPGPSRLPPSNGKGREVDDPVLDQLREQEDAYASEDSSSGKSRGDTVTPPTSE GEGTRSPSLEGMQPWRPEENGEGPGSPTIPAFANSENRGAEEDPYGWEAHADRVREER ERENPFGEFPRYDVNER QC762_504080 MPRRSNLLTVLSLLVLGLLGAVMAERSAGCGKANTIRSQQYTIT INGKQRQYIMKIPDRYDNNFAHKLIFTWHQLGGSAQKIVNGENINQGGALPYYGLNAL ANNTAIFVVPNGLNAGWANQGGEDVTFFDELVKRVEADLCVETTQRFSTGFSYGGAMS YAVACARPTMIRAIAVISGSQLSGCNGGNSPVAFYGQHGTSDSVLNVSGGRQLRDRFV RNNGCTPVNPEPQPNGQNSVKTVYQGCREGYPVTWVIHRGDHNPSQTDAGSSTPFAPR NTWEFFSQFS QC762_0078910 MKSLAATLVGLIAPVQAGLRFPCSTLTIQRLDPVVEPGNIPSAH VHHIVGGNAFNATMEGDVGERATCTTCQMSEDFSNYWTAHLYFKHPTNGSYHRVPVLP VQPLLGGSQGAQGGLTVYYTQFDLTRDNLGKQKITSFPPGFRMTVGTPTEPGKPRVGL RYQCLQGQNRGRELDDFPTGPCSGGIFTTHHFPACWDGKNLDSPDHQSHMYNTVTRDG FLNAGPCPSSHPIRMPQVAFETVWDTTKFNSMWPSGGKNPFVWSFEGTGGGTHADYMF GWKGDSLQRAMDKSECFYDGCGSIQKQQMAVANRCVIKETVVEQTDGWMVKLPGR QC762_504100 MKHDLDFLRLKSAGGGYSPRGPLEAQNVPLRVVLPSAYKLKPVA PKMKLSTTLAVLAAAAAEAHYTFPSIANTPDWQYVRQTSNYQSNGPVTNVNSPDIRCY QLRPGSGAPGTYTVAAGSTLAYNAKASISHPGPMAVYIAKVPAGQTAATWDGSGKVWS KIYQDRPNLGGSMTWPSNGARSINVPIPRCLQNGDYLLRAEHIALHSAGSAGGAQFYI SCAQITVTGGSGTYSPRNQVSFPGAYSATDPGIMINIYYPVPTSYTPPGPAVETC QC762_0078930 MNYLHLIEWKDREAPWRDEITTEVRKFPLLATELSECKHHTCCI VKPTILFCDDYSGGISQRAEVATPARGIDYKGFVEHEFHYLGDLATVGRSKQHRKAGR RWFDIYQEEDHTEIFNFEDSPMLPLEPFPLELVYRHDLEGVDLTAWEEEHNNTLPDLK PIYIDVNHHPLASE QC762_0078940 MVEMMGGNGVFGSGRNFLESHVEDMENKPRLLTECWQKYLYWLR MSLMRVERLPAPGQILTTAKGFTIERDFLDTGAWGRGKSYEDVYQEVIDGNMFPEYWD RALYEGFTYWVQFKRVKAGYRYLIDKWIEEQDKLGLELDPLPKFNDMADLIGNHCFWG GWGID QC762_504107 MATYLGGHGDKPCSSSNTRGTAREIRDQPSHVAQILCLLCRWLS FPLLRKLVAIMSEALAATTTTTTTTPTLDAADEVELARVDGLVERLASAFEAGLDFYN TWLQRQQASNSYHTSTSTHRFHPAAARVRPIKCAVGTSLDMSSYRIQSTYQIGFTLIG PEFAAGDDRTCQTLHTNLSLLQSKIHHLCHTISSSLDPQHQPQPLPLREVYLCSEQVR LSSVSALTQQYRRMAAGRPSLPRNLPVPRPKRMSALLDDLDEADLRFMSEERPMSEGS FVTVGDGGGVERVVTVESEVPVVEVRSNPPSPPLTPKVRGVEGFWSGGCVQGSVKIGG QC762_504110 MADNHYGGDSESEDDNFNPAPADLSDEEQDANDSSPRVSRKNDH RSSSPVADEDHDEDEDEDERPSGRATKRPRIAADDDDDDGGREDDEEEEDDEEGGGHR DGDEDDEEDEEDEEDEDDVQHSHRRKRRKPAGVGNLFDIEAEVDDEDEDEADDRDGEE IEDFIDNAHPDDIADSGGMNDDRRHRDLDRRRELEASLDAEKQAEILRERYGKRAPTR GYSDMAIVPKRLLLPSVNDPGIWAVRCKEGKEREVVFSIIRRVEERLGTKNELPITAA FERAGPNSVMKGYVYIEALRQNDILLSLDGILNVYPRTKMDLVPIKDMPDLLRVIKTP SLTPGAWVRMKRPAKHAGDLAQVLDVTENGLEARVRFIPRLDYGVREDGIGPDGKRKR PGIPGPRPPQRLFSEAEARKKNPRHLQGNPQTNSWTFMGDEFENGFQVKDIKIQLLDV KDVNPTLEEVTRFAGGSEDGTENLDLKALAASLKDSSSNVAYVPGDVIEVYEGEQRGV VGKAVDVRGDIVTLRVTEGNLAGQTIEVPNKGLRKLFKIGDHVKVIGGSRFRDEVGMV VNISQDRVTLLTDQTNNEVTVFSKDLRAASDIGGQGSLGQYSLLDLVQLDATTVGCIV KVDRESVGVLDQNGEVRQVMPSQITNKLPKRRTAVAADRSGSEIRLDDVVREYGGQQR QGKIIHIHRSFIFLHTNTTNENAGVFVTRAGNVNTIAAKGGRINSGGGPDLTAMNPAM KRSPAENNRMAAPKSFGPDRAINQTVTIRRGGYKGLLGIVKDTTDTHARVELHTKSKI ITVPKADLAFKDKVTGKPIDIYSRGGRGGGGFGGSGRGGFGGGGGGGGGRGDWTGGRT PMASGAGGRTPAWGGASSSSRTPAWSSNASGARTPAWQDGSRTANPYDGNRTAYGGAT AYGGSGGRTPAWNSGSKTPAHDGFGHGSKTPAYGGSGSGDTWGSKTPAYGGGLSAPTP AASAGADWGYTPGASGNSYDAPTPGAGLGAPTPGAFSAPTPGAYTAPTPAPISAPTPG VWAGGWGGDTAPTPAATAPTPGASGSGYYGAPTPAPFTAETPAASGGYDDDE QC762_0078980 MCRQVRLHFEPCGHFIKIKVVRCHSRHCGFVPGRENNRAITERK YTDNFRRYPGCPDERCQYYWDGWHASKGGREESEGYEGERRGERERRMGRERERERER ERERERERERERVYY QC762_504120 MKQFVPAAAVVALQVTASLAEELLPVQIRAPSPAHFSDLVANNT LDFGCRPIAHPTSDGKFELQALLTRDQISWLHGEYSSDEAITVQETALDKRQTSGKAP IGTGDRFSGGSVTPSGLGTKASTASIASILNVNEINSALTGLANAYPGAFFKYNLPYT TFQGRTSVAAYTGAGPDTSKYRLYLSAGMHARERGGPDNLLYWVSDLLAANKSGSGLT YGKKTYTNAQVKSVLSAGIVLFPLVNPDGVVYDQSSGSLWRKNRNTRSGSSGASVGVD LNRNFNFLWNFRKFFSSSVSPASTSPSSEAFYGTAPESEQETKNHVSVYDKFPGIRWF MDIHSAAGTILYSWGDDVNQSTDPKMNFLNSTYDGKRGVTGDSVYKEYIPAADATAIK RAADATVAGMKGVGSRSYVSQQAVGLYPTSGASDDYAFSRFWAEPGVSKVYGFTMEFG YSTNFYPTTAEFNNNIRDTNAGFMEWALTAIAVGL QC762_504130 MESTASKQLPPTTKGNMTRPRPLSTFSSASPYVDILTPITPPTI TPSLTLSTTPAPPVDPENGVSTKEMIVDSPPEGGLQAWLTVAGSFCITTAVYGLSNSV GVIQPYWAQHHLSSFPIQDIAWISGANIFLCLFLGVQVGPWFDRFGPRWLLMAGSLVY LAGLVGLGFLPEESDIHVRQGVRAPGVMYGLLMLLWGIVMGSGAALCCTVALSVLAHW FEKKRGLAAGIVFVGSSVGGAAFPLVLRTTLPKLGWAWSMRILALIVTSLLGMGNILI KGRIKGRRGSGAINFGCFRDASFLWTTVGCFSMCLLDFGLGSGVGRLVAGAISDKIGR FNTMILTTIFSIITTFAVWMLVETDRMWLLYLFAALFGFGTGCVISIGPICVGQLTVP NKFGQYYGTSYSVVSFS QC762_504135 MTPADLGTATTTGATTTAPFPFAETTSQRIACSALVTGYYQCGS NESEGCCPTGYACAEEMDRCIIPSTPSTNVDFTGRCFGLLGYGQCDEEAGGKLDADDY LPRWTCLQFPSAGGCCPSSYACLDGSCMLTHKVQKTLTSWVSYGSVEAGTTPSIFVMD YSYPPDIQVSLTRLFNAAPMMTTDPFFEGTTTSPLLEGTSTIFRLPTGIDTEPKGGLG TGIIAGIVVSCAAVGLMIIAGLFFFVRRQRRNRKEDGDSKDSPAAELAEKSIVELAQE SDVYEADTRRVFEADTERHTHEMACNDIKTEAWELEDTSYADMPPKTVQT QC762_504140 MPGVNDLSDIPLTTAVVDKDQLQQAAHLQEPLHSFHHGVIIVDF DPKMRLQDVLLVAVIARVYGNLDPLSEAKLETPAGGFDWDPAEADPVDHGGYTSSWHH KLHSRDEASHRELTFHPEGAPDNFRCHYPQLDPDIWESCNRANSRTCWLRMKRPDSEG RIYGYDIHTNYEVAAPTGVSRYYTIDVATGVIYPDGFPKQALLFNGTYPGPRLEACWG DELVITVKNSLPNMGTQIHWHGIRQLFTNDMDGVAVTQCPIARGHTFQYKFRVLQYGS TWYHSHYSLQYSDGLCGSLVIHGPASANYDVEAEQTLMVSDWVRDSAFSEFHQEKTNE IAKANVKMDTFLLNGKAGMNAVGGPGNSPRDVEAYSVTQFTPGKKHRLRLINSGSGAT FVVSIDDHQFTVIANDLVPIRPYTTDRLVIAVGQRFDVIVDGQRDRTGNYWLRAQPAD GCNAFKKGVFNSTAGVNTTYPLNTRVGIISYPSLHSFALPASLSTTTDFSCLDAQSSM VPVVPWTIAREPLNPLSLSTFYNARQTVPDQTLGQSGNYSHWLLRLDPNIEHQTGKSM HSPFWLDFSNPTLLNLTGAAANPSYNIVYYPYRRDGFIYMIIDSSLLPGTHPSQLGSQ IVPNRGAHPMHWHGTDVVILGQSNERFDPVTSPGTWNYENPPRRDTVVVPGGGGYVAV AFRPDNPGVWLVHCHISWHASSGLALQMVIQGEEGVEGGIYGVLGRRAVDRLVGGCEE WEGDLGRGDLEEMVEKDDSGI QC762_504150 MRYRLLSALIISAVAVVEAAPATATAEYDYIVVGSGPGGGPLAA DLARAGYSTLLIEAGGDEGDNPTYAEIAWFNEAANDEATRWDFWVKHYDDPEEDRKFK HTTWDTGDGTFYVGLDPPEGAKYLGIQYPRAAVLGGCAMHNAAVCTLPADDDWNIIVN KTGDASWSASNMRKYLKKIERNQYLPPGDPNRGYDGWLATSVGPTDWARNSSNPATTI LKKLAALTGQDETRAADLLGTDILTEIPNLDETSSLYNLAQHADSAGKRNSPNNYVRA TLADPAKYPLTLKLHTLVTRVLFDESTVGVSGVTPRAIGVEIMQGQSLYKADPKHNGQ TAPKSQILAAREVIISGGAFNSPQLLKLSGIGPRDELAKFNIPLVKHLPGVGENLGDN YEGSLLAMGQTPVNSGLITAVFRTPNAPDARRNIFTWCGAFSFEGFWPGFPTWHGAEQ YTCALVHMQPKSQAGSVTLRSADPQDVPEINFRFFKNQGDQDLEELVAGANILREAIN SVPEPVAPFVERHPCEGDRRESGDCGEEVQKEYFKSQAYSHHATSTCAIGGEDEEMAV LDSKFRVHGVRGLRVVDASAFPVVPGAFPSCPTMMISVKAAEDIVAERKAEEAAAARM QC762_504160 HIIRCAAQYTAERRLVWPRAERLEQLFDISHNLKVKYEGNSWAG DDILLSPSTTMKPINELTILSLFLTAASAASILFKGGTVITFNKQTQGLRVLREGSVL VENDRITGVYDSTPTRIPPRTEIVDIAGKIITPGFIDTHRHGWQTVFKTMASNTSLLE FFGRYSSFVAPFFWNATDIYDSQLAGLYEALNAGVTTSLDHATHTWSKDAAEAGLQAC IDSGARVFWGFTFANITGLITVEELYPVFRNMAAKTGLRSSPTTLGIAYDGWGPNPNV GEINKIMALARELNVSVITTHSLQGPWGFSNSPEDIHALNYLNISTPIVFSHASFLTP TGADLLRSTNQYVSITPESEMHYGQTHPVAYSIQDQASLGIDTHITFSTDILTQARIW LQQARYERYLDVLEQGKLPASNPMSVEQAFLLATRNGALALRRDDLGGIFVGGKADLV VWDGDSPGMLGWVDPVAAVILHASVGDIEAVLVDGKWVKRGGKLVARGWPEARARFLR TAKRLQGVWRGMPLPEAPAEFNGSPVVHPERVDVVRGPGDGYGNVYI QC762_0079050 MRLLERNDTGDVSLTGDIPDNQVPPYAILSHTWGDEEVSFKDIT DGTHKNKRGYSKIQFCGDQAGRDGLKFFWIDTCCINKSDCDEFQEALNSMFRWYRNAA KCYVYLTDVSTYQQDADSNPGWELAFRKSRWFTRGWTLQELIAPTVVEFFSEDRKRLG DKKSLAQHIHNTTGIPLRALQANKLSDFSFDVRMSWIKHRSTTREEDRAYCLLGIFNV QMRLLYGEGEERAFERLREEISKHDRYLSSLHSTDPRFDKKRIEEAKGGLLSDAYRWV FDTPDFRVWYDQLESRLLWIKGDPGKGKTMLLCGIINELEGAIVADGHCRNLAYFFCQ ATDSRINNAIAVLRGLIYLLAHQQPRLIPHIRKYTDKAKSLSDANAWFVLSDILGGML GDPNLKPTYLVIDALDECMGDLPRLLKFIVDMSSTFPCVKWVVSSRNWPNIEESLEAA EKKIRLSLELNEKSISSAVSTYIQHKMDELARKKRYNDRTKNAVQHHLTRNANDTFLW VALVCQELTHVSRSRVLTKLNMFPPGLDSLYQQMIDQVRRSDEPDLCKQVLAILSITY RPITIQELAVFVDIPEGISDELEFMTEIVGLCGSFLTLRETTIYFVHQSAKDFLLREA AHEVFPSGIKDIHHAVFLRSLHVMSGTLRRDIYSLGAPGSSIDDAKLPDPDPLAALCY ACIYWVDHLCNGQASDDSKHPDIFQDGGIVDGFLRQHYLHWLEALSLCKSMPQGVLSM ANLESILQYRSITSQLPSLIADMRRFVLYWRWVIENYPLQVYASALIFSPARSITRGL FRQEERKYITSGPIVEDNWNACRQTLEGHGGSVTSVAISPDSKWVASGSGDNTIKIWD GATGLCTQTLEGHRHWVTSVAISPDLKWVASGSGDNTIKIWDAATGLCTQTLKGHRDS VTSVAISPDSKWVASGSHDKTIKIWDAATGSCTQTLEGHNDSVTSVAISPDSKWVASG SYNKTIKIWDTATRSCTQTLKGYGNWVTSVAFSSDSKWIASGSHNKTIKIWDTATGLY TQTLKGHRDWVTSIAFSPDSKWVASGSHDKTIKIWDTATGLCTQTLKGHGHSVTSVAF SPDSKWVASGSHDKTIKIWDAATGLSRQTLEGHRDWVTSVAFSPDSKWVASGSHDKTI KIWDAATGLCTQTLEGHGRSVTSVAISPDSKWVASGSGDNTIKIWDAATGLCTQTLKG HRDWVTSVAFSPDSKWVASGSHDKTIKIWDAATGSCTQTLKGHRHSVQSVASSLNSTL IASESDNANPPCYGIDSDNRWITRGLENWLWLPPEYLPECLAVAALTVAIGCSSGRVL ILTFTTDS QC762_504167 MAVSRPVISSSLTQEAGGDLTRAVWTLADDAFGLKLKMRMYIPK CHIKEFYEDDERPHMVRDHDWVKPVAIVGADDGRLLTERDEEISYMIFGALTKGWNDV LVKAHVAVTGGNVQASEPEPAARDLREDDNYDD QC762_504170 MDQREKALFLASPRDMEEAAQHADSTQPQQQHRRGLRSTVRILG ATTCLLVFLLHILLQVPLTTTSTTLQPHHHHASKPPRSITHPTIKKRIPGEHVVLADC RDRKNVVSSQMAYYVGDPGPIPGDVAIVETPPGQTALWINTETSALFYNSNVTFTAWL GPKVGDGQFAGTGDNGYGNFTCWQRFKFDLYRYNNDTICSGVYACNHDAMPAVLPTPG AGSSPGAGAGAAPEAAPSGGLPQGAMIGIIVAVVGSVLFGIAMGVFWWYWRRTRQRQQ QQQQQQGDESPEPKTENNEASLPPPTYASAVLPQSPAAAPKFELAPGTLYEMDGQWYR VEMATDTSRYEMDAQSVKKGDGVASGEVENEEGESPTSSAEGSNLSAMTRGDTVSSVN SPVLTPVNAEGRVVSPPVAGMPVPVLVPIPGPGADDNAESEAYVKTGSG QC762_504173 MYTPFGPKEVVLIMSLCRIHCPAEISACRMQLCQGFDGNMAGYT VFHALAGTSLDCFDIHQLAWQESEWPQAKDMLHLVVKRNNLGLLPSGVAAQQGNMNLC LQVTQLEAETAKRASNAALKAPEQRLEASAHKEFEFMFKMLTLGNSDHLVIPLTHMQA ALIDTAQLGYRNTVRKLLEIGVPVSIRVRRNETALRIFAHAGDVSMIKLLLQRGAEVD AKDQGGSTPLRWPSWAGRFDTARELLLKGAKVNAQDKSHRTALFGAAGGGYADVVRLL LARGADKSLRGGKDSQTPLEKAVKKRQNAVVEQLKGIEVNNFEQVD QC762_504180 MGIVQLLLEKGADPNGADDDGDTSLISCSVFQQVEVTQLLLKYG AQVDVANNDGKKAIHAAATECDPRMIRLLLAHGANPAQKEIDGWKALHMAAQMDKKGT LEVVKVLVDTRRIEIIDQEEKGTTALYLAVQAGRPDVAQVLLEAGADPNITTKPDFWP LRRAVLTSNMELVNLLLSHGADVNLSDSKGRNIVHFACAQSDANILKRVVEASPDALP QQRDDNGGTPLHVAVQNDNEKIALALMELGDASKMQRAE QC762_0079100 MSHPHSRQICTDCTVIFQKAGSVLTSGIIETVSSLPLDQSYRDR IIRHIHQNQCDMCSLISNTIPAINDPLDSKRTAELRFTLLQNNSTSVNLRLWEFCAED QKARSHVGGLSIQDVRNGRSTRILSAPTTWSAETLGQIKHWLETCLSSHAQCANRSSG RLPRRLIDVWSTTEPLPKHFDQLSLENSQNIRAVVSESLPPDTKYLTLSHRWGNPPKL LLTTQTQFLLKEDIVPHLLACDEAAVFRHAIQVTRGLGFRYIWIDALCIEQDNGPEKA VDIMHMDEVYTNSSLNLSASVASVPDGLVFDRDLSSINPCRATVVVEAGQESVTLQAT PEGFHSLRAVGPLYERGWVYQERLLAPRIVHFLSDQVYWECHALDASEVLPEGYQDGL ERQTRGMAAITKGLSADELELRWFRLVEDYTATALTYPNDRLLAVSALAKQFSSVAKR NPNDYLAGMWKDSLLPSLVWLLAEYEEGSEPQRLSDAPRETEVAPSWSWASIMDTVSW PQSSEYTRIVPSVELVDVEVDRTSQNLFDGTNLCRLRLRGHMRKICRYIENGQPWISI SGTVRFPEVLEINEEFFDHPLALSWDTCRKSVALALKDDSDWLPGRTYFLLHIGAEQA AYCGDWEPAAEEGIILERTSEHGTYRRVGHFLVPYLSIQDYESFELAGAFHRGFEGLL DENSGDYHELDPDGRCVIDII QC762_0079110 MLRGRSSQRARSGAPSPPTAPSHHTSQRVFHPSPYEMARPSSDR PPSRSRSRSPSLVKSSSHPTPRRAIRPLLLLVALINLSWSLYQLPTTRVIESRLCFDH YSLSDPSAVSPDGTIPEELCKLDTIQQRLGKLQGVMETIWVGGDFLMTIPLVTLADRY GYGFVLRLNLVPRAFLLGWMLFVGCFRWLPVEWVVLAPAGSWLGGDCVLNSVVYFLVS ELTGDVVLRATFFAYLNATTSIFSSQLGPALASMTMSFRLWLPLVLGLGLLLVSAPLI SLLPIAPPPHPVEPRETHDEERSSLPSPQAPPKSLLSLFSTRLSSILSLLTAPTPNFV LLLVVFFLASLASSDTKLLPLYITNRYHLLLSSIGYLLSVKAVFNFFLLTYIIPALLR KQAGFMSAHESEAGPTRTTIHNAKICLLLSGFGALCVALAPSIGWLVCALGVYALGIA LPMFTFGLLKSEHVVKQVDSETGVVFSVVMLVRTVGTLMGAMVMPLLWVEALKTGDPE DLGLPWGVSGIIYAVASVVLLGMKVAG QC762_504200 MKLIIAGSTGFLATELTRQSLSNPLITSLITLGRKPCPPPDPST LLPNADLSKLKSVILEDFDRDDYPESIKSDLSNADACIWTIAITPAQLKTVPWETTVK VCRDYAVTGIKVISSLTDKRPFRFVYVSGANAERDPAKKPLLLGDYCVLRGEAENRIL EFGKTSGGRVEVAIAKPGIISGPTKETGVLARVFFGVVGIGKVRVGQVAGALLEEVAK GFERETYENEDLVRVGSGTVAGGE QC762_504210 MDLDGSTSTDGGPMALLVAAEDLTGLVLDRDDVKVFVKEETTSP VSSTGRSCQAPSLKWKPKAPPRTSGAHSSNQTIASRHHVTKSGRHGSKAAAAAKAAAL RGPHPSPHPIVPRRVEDWDPWKGLLHELYITQNRILRDIIQLMETNYNLKATPKMYKN QFARWGFFKYAVKRRPRYNSDESPTDDTYGSKSSSASMISLSRNNSYSTNSPTFFGND TSRAAQLGLTAIRRFLHGYIDLDISNLRVEEVAGYIDPCYRYFKVSMDLFDLKENKTG GEVLRLAFLQIERKLEKPTMKSFSDLCFVVPHLLLEYGRLDILKAYFRYLARLTTVKF GNHPVSEIAASFVEMFEEGSENEERLMGYIQLLSQANADVIEDIPGVLGRTREWARNQ SLACQQREDRSRSGSPNSSAASSKGSPPDMNMAVAPSVRDRDKRRHHMLRVEAQSVYW AQKLVMSGDPESEALAEQWLAKEFGHDFKPRVMALLERLKMMRDIGVFPEVFAKMMEC LFIGWLFDYCEFVEEWEEAFEWGRQGLALSANEQYVIWSIHLEGVMREHGSVLEADAL KKKRQGMDWMEQVRTQVDKLTL QC762_504220 MIEKALDDFFSLNPSLSKSSDQTTLVLTPSRPIMCNTFEQSLQR LSNREAVLTAVRHWVQEAQKEQPGDDLEHLLWYAWEAVIDKAGKTPVDQQEQLVQFLA ELRKHELPNLRFGEHKVWKDLPNFGLAAREKYNDVEAFAADSPEDRAKQDGLVGLLAR LTGVVTKDIDPETTKGDIGGDFALFGLWTLREVFEGNVTTSNPESKEYITADGELVQG ADAQVASRGVNQASLYILLAGEYLWRLSQANKDFVGNNGAPGPYFKDCAWKGFSKERW AIWKRGFEKAQEWVVGEEAKARVKAAVEKMAKLE QC762_504230 MVTLKTLLLLTLSAATATAQGTSSIGQVTCGNNNWSRSQIEEAL EQGCRLHEDGEQLGNNKYPHRFNNREGLVFAASGPYQEFPIVRNGVYEGGSPGADRIV FNPNLNGACVYVGTMTHTGASGNGFNMCATRSEGRPGAGDDTTASVTVPGTATRTQTS TSTTSTSTSTASPDSAGAVQRLGVQGVAVGLMAWAFVL QC762_504240 MTSKAPIPFSDPPALMGLPSPYFTPSHLQWAKAIRPFITSNLHS LAVESEQSPTATVPESVFSTFANHHMLIPALPAPLPTAWLKKLGIHTLLGGLKVEDFD SLHGHIYSDEMVRSGLAGPPGSLTTGIAFGLPLILKFGSPILQEKIVPDILLGRKRIC IAITEPEAGSDVAGIVTTAKKSPDGQHYIVNGTKKWITNGIWSDYASMAVRTGPPGSG AAGISLLVVPLKNHPGVNMRRLKVAGQISAGTTFIELDDVQVPVENLIGKENHGMKYI MTNFNHERLAVATGTTRQARVALSAAFEYVMKREAFGKPLVEQPVVRHRLAKAGALLE SLTAWVEHFAYWMTRLPEEEADVKLGGMTALLKAQAGIVFRECADTAVLLFGGNGFTT TGQGMVAEMLYREVPGTRIPGGSEDVLLDLAVRQLLKIYKVQTKMLEDGKAAKL QC762_504250 MLRRPPGQRKYTWATLAVIFLPLLQLADAQQQHSGHDQRQPDRL RSPLEGDHQHVAQNIASTALTAEPLVETPAIHARRKNTVTREPDVLSPSRDTDTNNIY NAHDVRAQAFAPDSSDLSVRAPPPSKDSPNRGAGLSQHIARRLEEWEVEDFVLLATVD GDLYASDRRTGVERWHFKAGSPMIETRHFRTNRSVLDEDFDPIDHYIWVVEPTRDGEL YLWRPNEEGTGLAKMPWTMKKVVEDLSPLSDPDEGIMYTGDKKTTMVTFNAATGAIME EVGSGGVFVNQVDNESCYKPNALTDEDEACHSGTITLGRTEYTVVIHRVGSGPIASLK YSEWGPNTRDRDLIQQNQFSRDQTYITGAPDGRFFGIAYSSKADQLFMSTLDSPIARV FDVLQRGESKPGEKPRRVVLPHPPLPGQQNTGFDDEKVLLNQTGTGSWYAMSKSRYPL ISQAPAAQIGKAEWWKAVDRPNEVQMSKALVGVHQVPVAQPGSLPFKDVASLPLLIDA PPKHEGIESPPNMDVPQSLSPAEPASTDILHAAKEQIDKFGNTTVSELFSPQNMFIVL LFLAVYFKDSIRKWFLNGQTSKTHHPEFEVKVLPSPQIEELPTTPEAAAPQAVPVEPS APKEELETPIVASGGDATPVPVAVPALVEPAALDASTPTSNPVTDGATVAAETPKKKK AHRGRRGGKKHQKGNGSKEQGDNSGSRDDDPPQESVEEAVNKAKQLRPAPTLEPDILT VSGNTDEVSGSIIRMGGLEVNEADQLGTGSNGTVVFSGKWDGRAVAVKRMLVQFHEIA SQETKLLRESDDNYNVIRYFAQQQRASFLYIALELCEASLADVITKPYNHLALARAGE MHMENVLLQIANGISHLHSLRIVHRDLKPQNILVNMGKNGRPRILVSDFGLCKKLEGT QSSFGATTAHAAGTTGWRAPELLIDDDAPPHAHPMALAEPGSSFHSTSNATGPEGTPS STRRVTRAIDIFSLGLVYYYMLTRGKHPYDCGDRFMREVNIRKGTKSLKDLSVLGDRT AEAEHLIDWMLNPDPKERPTAKQVMGHPFFWDPKKRLDFLCDVSDHFEKEPRDPPSAS LVTLEASSKEVIGLGQNFLKKLPQPFVDSLGKQRKYTGDKMLDLLRALRNKKNHYEDM PENVKKMVGSLPEGYMQFWSSRFPMLLLECWHVVWEIGAWEGNRFRGYYEPEVVVP QC762_504260 MADGAAPTGDNQAPSGPRGDGNRGRGRGRGGRGRGRGDHSRGRG RGSGGGRGGGAGHHRSGNTATTDPASQEPKKAPNFKRTEVLDGQADDNAETCFICANP ITHFSVAPCNHTTCHICSLRLRALYKSKDCPHCRTSSPYVIFTDDGTKRFEDYTAKDI TSTDDNIGIKYAGEEIVGDTILLLRFNCPDSECDFAGLGWQDLHQHVRNVHHMKMCDL CTRNKKVFTHEHELFADKQLTEHMRHGDDKPGTADQTGFRGHPLCGFCGARFYDSDKL YEHCRNKHERCFLCDRRDSRQPHYFLDYDALEQHFKKDHFLCNDRECLEKKFVVFESE MDLKAHQLSEHGGSVGSGRDARRVDMSNFDLRQRYEQERGAPRGGRNQERRRAPDPAN EPIPASSAQPLRRDEIAFQRQMAIQSGAANRAPPPGPAPGPSRPPPPAIAAARAAAAA TTAPIDAMENLSITDWSSLTQEQRASLARHNAVVERASNLLGNNENKIATFRQYISNY NRGSMNPTQLIDAFASLFADTSSDTALGTLVREVAELFEDKKKGEALRAAWQNRRART QDREEEYPSLPGLGGMHGATTSTTGWATAAAPTRVTLHNNGAAANSNRVLKLKNSTRR GSVGNASVMSFASASSGGGGRGVAASSAAAFPALPSTSRPAPAQPSWTAGGSSAPPAP KTTTASHVGTGAVKRGPPPQTNSSDAFPALPAAPKPKTTIFGYGRGVVRRDFGVSRDT GFSWGGGGGGGGGAASGSRNAGGAGEEVQQQQDGGQGGQGGKGKKGKKQVLAHWG QC762_504270 MSAPLTDEETTEYSRIIDGILAAADLQTVTRKKIRQGLEAAIEK DLSDQKEAIKKLIEARFDAVSANNADATPPETNGYSPEDGGEDGGEDGEIQVSLQPAK KKVKRESSSEDADRRLAAELQAQENALSRARVTRGAGTTKPKAKPKAKAAKKKSAKRV RSDDDSEVEDGEEKPKRKAGGGFQKPFNLSEALADVCGEPQLSRPQVVKKLWDHIKAN ELQDPNDKRNINCDEKLRAVFRQEKINMFSMNKLLGSQLYPIEEA QC762_504280 MTPPSSPPLSIIIIGAGFAGLTAAIECHRKGLTNLVLFEKSPSL SPLGDIISFGQNSSRIFTNWGNLPDLLSPIIHKADQVHFHDSSGRFVTTQSFKEEHLA WGERINGHRGEIHSLVYSHALSLGIPIRLGVTVTKYFETPSHAGVVTSSGEAHTADLV IAAEGVRSKARTLILGEEDHALPSGYAVFRSWFPTPPSFFSSPLTSHLVSRGDTHNAW IGTDVHFLAASIKNGKEISWVCTHVDTSDISESWQFPGSKPAALSLLQNWDPVVKELV KATPEERLFDYKLVFRDPLPTFVSPEGRTILVGDAAHPFLPTSIQGASQAMEDGVVLA VCLDRIKGDGTKVKEAVRVWEGLRYERVHRVQKTGVTTREQWHKADWDVIWKEPEVLH LKREGWILDFDSERDAEERYERVREGLVKEGRL QC762_504290 MSHFTATITTTELTSYSHEPTTTHGSTNVARGDAETPGFKVKQT VDYGHQINFTIWFLTALSAAFLALRVYSKSLRHRGLWWDDHVLIASWVALALSCAFVS VSVTYGFGRSLALFNFKNLNIYLLYCNLAGTFSILAACWSKTSFAITILRISNGWMKW LVWFIIVTVNLSLGVAIALTWGQCTPIAKIWQPNLEGSCWSKHYQVRYNIFTAIYSGA MDIVLALLPWRIIWKLTMNKKEKFGVLVAMSMGVFAGVTSIIKITQLPSISDASFTES TTQLAILAAAEGAITIVAASIPILRALLKHNGPPPGPAEFYHDIYTGSSNAQGTGRSS TVISSQGHCRNESSWSRASRVSSNKETNVGGSSGRPGSRSGSVIRLSRLSRFSRFSTG MFNLNGYPSGSRGSSIRGSMSRSRSRIRSRNQSTNSFGSVEAGDEFEPPPGKIIQTEE VSVEYEVNDRIGMPSVPLPNRPMPDDLPDLPMPAAVQMTTAEVQGQTLHHYHQRMDSV PSDRQWMGAAGRIV QC762_504300 MHIRFLAAVALTASTASALLRFSCSQLVVERLDPLVNPGMAPSP HLHQIVGGNAFNVTMDPATPPPSQATCTTCTFADDFSNYWTAILYFRARNGSFIRVPQ KPNMGFEAANGGMTVYYTPFFTGRGGPGTVTAFRPGFRMLIGKQEYRTREEASRFRQL TYTCLQNILTRTGETLDMPKRPCPAGIMSNVRFPTCWDGKNLDTPDHMAHVAYPASGT FENNGPCPASHPVKIPQLFFEVIWDTSKFNAKDLWPEDGSQPFVWSQGDETGFGNHGD YVFGWKDNALQIAMDSNCDRCPQLKSQSLATGNKCIGPLHVREKIDGWLDAIPGLTPD LKYRN QC762_504310 MEFRPDLEDLPNDLWRIGGSDKPPVTDKLSSSLKPSHTTEEEEY NRAVLSAVTPPSKESREEPDQPNFSDWGDFPEMALELDFITESEYWDMKFRQRHAKYP TGLPKPGFLSKREYTHEVISFWYFLPDRFFENTPPSSPRSVTTSIVSATETFYIATTE SPIISSPRASPEKHDEKAEPNVDSYQITTTEDSDYGANYRDVIDDSLGAYNDGDTERE WNSCLEFFGVHAEEYRRQLAIKASSATHSTRVPVKKLPGMTVGLFDYQLMGVYNLLNF VLNDVRGGFLADEQGLGKTQEMFGVLLLAHNLRRCKADVTEFWSPSAKGKAVKKGPAN KHNAKNSKDARSCPYDQKWGFKCYCYNELTRNLADCLPDGPNVIIAPARNCGPMVKDA KTKLDGKVIRVRGYGTDIHMTDKDSKLTSAELASLRSGNATAQSDFVIVVSPESIHKL VAEFAKSKTTFTPGIVMLDEFHQYAASSGEVNRVTAWLKHLRTSSINNKRLIPLVYFV SGTPFENSPSDLRSVLEVLERPQIWSKPNHPLSSATVAHLDECIKLFDSYTTSTTQGS PFPQTKINEYYHRLDKLLTQLMVRRLATDTFQSTKLTSLGKLKVNIIDHTLPSCHIPP LQSLASTTIPPTANLKPPTTGPDLLLKLRLCGTFPSIASTTTNFTFSPEEVSTFLTTA SGNPSKTPYFPHLPSFTLLSPKLATISSIITQMVNDKTKIPGESSSCKKLVLFSPLEA ESLLLFLWLTNPKSEGVKPVYVHGNMTSLERQRVIDKFLEVGNAAPNVLVAPTGVCGT GFNLQRAGYLVLTGPTWTRREGRQVFGRVYRVGQRGVVRLWELRGGWNPGERVVLGLG GGLEVGNGFVEGVGKGEGEEDEDEGKGKEVG QC762_0079240 MQSSVIIVKTQETFDRAQPTSYLDETSGRITALIDYDFAWISHP SYEFLRSFEGLGGQFRALRDAKLHGFPASLPSTSESDSGVDWVVAREWEEALEAEGVR TIEGTDKVADVDAILCAILPWRVTNAEILARPTEEVIIECRTDNEEHLDKLLARLGF QC762_0079250 MILESPESARRRRDQWRTRHFQYPAMIGGYIVQLAAFHQLHCLR RHPTTFARMSLNTPTKVVAEVVHKCRSFSKIQQWAWNRRLMHKVDKDTVVKDDPLGWR TYTYTP QC762_504318 MRFDAELGEPSVFKGQPREELDDMWDSPVDQPVILVNNETLQAF DPTSKPTKSANRHYYAPAEVFSSAPLLEYITRKLIWRNHYQHVDTFQDPPEMTWEHVD RCIDLLRQVLMCHADTGLIFYTDHGDAQPEARVSTVHMCRNFSRIVNWVNEHDSSLEI FAEIL QC762_504320 MMIYERPVHDAVLPGPGSPPGMTASKSSKSSSLSSIASDDCSVL ADVSHFEEIGLDDGQIDPRRLSDPKSITNPYDSRSVTKRPMSASTPRLQSSRESSRTR SKRDSASSVKSRPSIAPLQSSSLRAVNGRVNSLGPLPEPQTSPLPMRNLALRPALSLT ARLRSPSPGGLTLAPRDTNLAPKPRRSSWQSNRERKSAMELELECDEDDGDDIPDGLV LDNVPLSPRPPSERTKSQPSSKTPSPERTPKERVRSFGNGTPPVAVAQGCLRSPMWKS ESALSTLSSAASSRVTSPVATRSKSWNSVLNDLNPDAKALTEKLEEHAEDLEHRAQRS STGSMPTPRRLSETDAKPRVKSAFAELPPLRKSNIMIDPLPISKEKEAVLSRTRPSWL PPKDPAEERRHLKEYQKMMAQSQEAERRREDAKRVRSECRDIAANSMMAIWERDILPR WNEAVRERRTRDMWWRGIAPRSRGAVWTRAIGNDLGLTKTSFDAALSRARDAEAKTKS GHASMEDARAVGWFDLIRRDVQDRTWPDLRIFQPGGPLNQSLVDVLKAYSMYRSDIGY VSGCNTIAALLLLNLPTPVDAFIALANVLNRGLPLSFYASDAGAKSSAYNLLLQTLAQ KAPNLCDHLTNLPDHSPDAYLSEIFTSLFTRQLALDEATRLWDVYVFEGDAIMIRAGV AYLLRNEMTLLSSKNMADVQATLNLSSEQKAPRVVGGNGEEERWMRAVRDAGKT QC762_504325 MTFPLLNDLPSRNTTSPSNTCRANIKMFASVNTLAIGLFALTTS LTRAQGGPGFEKFHDFSKTCTNITLDGYGLSADCTPLDPSKPLVKNPVTVLDFCVGFN DITMKLAASIYGKLSRDCDKCILTADPGAILQCECEFWDTNEEKYAIKNVTGDLDTVF TNYDGTMSCVGTETESTPDDWTTTLAPTAFPTTFATSTTEISTQSK QC762_504330 MAAGKSSGVAAEKNASQRKAINGDSAVSSYAPSPADSPRHSASS TSLSSLASTDAPAPKKNYGKLIDTYGNEFEVPDFTIKEIRDAIPKHCFERSNLRSFSY VARDIALLATTFYLWHNFVTPEYVPNKAARVVLWGVYTFLQGLFGTGIWVLAHECGHG AFSASKTVCNVTGWFLHSALLVPYYSWQLSHSKHHKATGNMERDMVFLPRTREQQATR LGKMVHELHELAEETPIVTLIHLVGQQTIGWWNYLLTNVTGHNNHERHREGRGKGKKN GFGGGVNHFDPRSPLYENRDAWAIIMSDIGIACTISILVYLCKQFGTANMFVWYFLPY MWVNHWLVAITFLQHTDPSLPHYTEEEWDFVKGAAATIDREFGFIGRHLLHGIIETHV LHHYVSTIPFYNADEATEAIKPVMGKHYRADVKDGPVGFIKSMWKAARWCQWVEPTEG AEGPAKGVLFFRNRNGLGTAPAKIAAPVAK QC762_504340 MAPSYETLRDENLDEEDFDYDEVDVSDLKEKYEVQLEQGYDTFV VIDGLPEVTEEQKPKLVKFLLKKLNTVGKTSEDAIFMPFGDHGKSLRFAFVEYSSPAE AAAAVRQLDYSPLDKKHTLRVNKLTDIDRYGREGRIDEEYTPPTIEPFQEKEHLRSFM ADPSGRGRDQFVMYRGDTVGVFWNNEKDQPENIVDRPHWTETFVQWSPLGTYLTSVHQ QGVQLWGGASWTRIRRFAHPFVNLVAFSPNENYLVTWSNRPISIPDEGHPQLSIDDDG KNYVIWDIETGKPIRSFAQQDTPAGPDGEAKKPAKFPWPAFKWSADDKYVARLNQGTS ISVYELPRMNLLDKTTVKLDGVVDFDWAPATVKREGVKEYEQLFCFWTPEIGSNPARV GLMSIPSKQVVRSLNLFSVSDAKLHWQSEGAYLCVKVDRHSKSKKSQATTLEIFRIKE KGVPVEVVDTIKDTVINFAWEPKGDRFVTITTTEPVGATAVPPKTSVAFFCPEKAKGN AVGNFKHLRTLEKKNSNAIYWSPKGRFVVVATVHNTQSSDLDFYDLDFEGEKPESDKD LTANLQLMNTADHYGVTDVEWDPSGRYVATWASAWKHAMENGYHIYDFRGEQLREEPI EKFKQWAWRPRPATLLSKEEQKQIRKNLREYSRIFEQEDAERISSADVAVVQERRRLL EDWYNWREVVEEEVAEERAELGLPADPVEELLKAKTAEVAPNGEEQVIEEIMEEVLEE TEEIVN QC762_504350 MASFSPTQIFEEGTTQEKGENARLSAFVGAIAVGDLVKSTLGPK GMDKILQSASTAEIMVTNDGATILKSISLDNAAAKVLVNISKVQDDEVGDGTTSVTVL AAELLREAEKLVDKKIHPQTIIEGYRIASQAALKALEASAVDHSNNPQAFREDLLAIA RTTLSSKVLAQDRDHFSKLAVDAIMRLKGSSDLSHIQIIKKAGGKLCESYLDEGFILD KKIGVNQPKRLENAKILVANTSMDTDKVKIFGARLKVSSTAKLADLEKAEKEKMKAKV EKIKAHGINCFINRQLIYNWPEQLFTDAGIMSIEHADFDGIERLALVTGGEITSTFDH PESVKLGHCDLIEEVIIGEDTLIKFSGVAAGQACTIVLRGATDQLLDEAERSLHDALA VLSQTVKEPRTTLGGGCAEMVMAKAVEGAATRVEGKKQMAVSSFAVALRQLPTILADN AGLDSGELVARLRKAIYDGLTTYGLDLMTPGGGITDMRELGVIESYKLKKAVVSSASE AAELLLRVDDIIRAAPRRRERH QC762_504355 MMMVTPRCFSRATLVLLSVILSLLLFTALRVHNGIPPTVSITAA QSMVENLAGEWFHLDRQHPDKVSPFTPQADNAAEEPKPPELPVEGKSSRNLARPPELE YLRQEKFGLTDTIRYTQQCIKPVYDKTVDRNLVSNITTPFTSDKSTIGVTLSDENLSL PPCTPISLPVSKPYPKTPHPELIFGVASTYERLSSESTLSAFAHWLSHSKSRLILTIT DYHSLPSPSASSSSLLKLYHSRSILVSALPPPPPPPHTKNYTTPQLHFLLLSTLLSFS TPQTTFLSLIDDDTFFPSLHRLSVALGRYDPSVPLYLGARSESKSANRQYGEMAFGGA GLFVSVPLAKQLVPYFERCAVEYGGRDRGGDGLLRDCVYGFTEVRLTEVRGLWQGDLR GDVSGFFEGGWVRRRGGERRGGREGKYLDPWHNPGIPPRDHKRVKREERGGGAAGGGG TRRYLDPWKNPGIPPRDPEQLRREERGGGAAGGRGTGRWRGGEKREDRGGGAAGGKGT GKWDNPPIPPSDVQHLPREERGGGAAGGRGTGKWYHPSPPSRIWISLTGFLDRAANPS NPHLKHLPTPDLLRPRDNPPSPPLLSLHHYKTWFHAPISQMSAITSLCGDCFLQRFKT GCNTTTTTSSSSSTVGLWVNGYSYTEFPPGSFPDLQKVEATWQYASSGDYDEAYGPLR PRLGEGQKKQWLLVDAYWTGEGKRKKQFRQLYIYRAPRGEDKKPVDEVLEVVWDV QC762_504360 METRAGGIVRSRVANACDTCKQRKIRCSGVLPCGYCVRRRDPDS CRYTAPRVRRPRPSTTTTTAGHRGDDVRSSVSHASGSSGVASNHPVVRIGAPVQQQHH HRIVEQHPLEEHEDTEVPREARLLCDAQGKLVFIGDCAPLSFFQTVRRLVTTRVDAHA FAPETGGYSALENASSRPSVSSGYGALPPRVRIEIGPAAVGAYLEVTRGLIDLFEDDA RLERDIMEWAAEDHGDDALAGAVNYLVLAIGCQKIEKGGEGTGQQYFDYARNVALASL GGNLGVASVQVFILITLYSLGACQINAAFLFFGLAARAALSIGVHRTAVNARFGPDIH RQRDRLWKSLRVVDLFLSTSMGRPPATSDVDCTVPYRQPDETGREKFDLLNASVQIFL VIESVVVEVYSRRKISPRLTEGISRELRGWSGQWLERLKEVVEDNEEGDVDAGVVNGA CQVLASYYYAVMLVSRPFLMVELHRRLSDGCPSPTTTSGKSKLADACIDAAILMVEPI QDLIDRGLMTRRAPVIVSWLFASSLVLGVGLIGGFGRIIEKYCRAAITALEYFAQTDT HAVQYSLIAKSLLSTALEYLEKKEIQERARRTESSSQLFGLIPRSPRGSDGGLDGPQS KTNPAAGPGESSSKPDKPHPSQDDAVMQRFGFDFESTFFGMTGTPEFSVFSGFEENAD QTFGALNLFPLLDGDGHIDLASYF QC762_504380 MRCLLLGLGGVKRKIGELGRLEGTPFEVRIGRVGGGRRGENGEM GGGGDEGVDGVDVKEEEEGLEGKESGKTKRSPGGSGKKSKKKKKKRKSLVVVGDGDAG MYRMEEMFPDLPKPSAAVGEEDPCRRDSLPSEMDKAQYCSQMELDGTPGVDFGIHEDD EADGKGDEEVKEFIEEVFARPEFEYRVGEEIGTDENGVMAAAEDYLDREESPGTLSST TPSNTAENDDVTAIKSLLHSLNTKISALASESAGQDMQNKISDVQKDLRGAYDWMVRL SGRLDRDEMRAAVRHEILFNGMKSIVGELGAVRREQEAVMRHLGLEAESPLAVGRKDK NKEGKKALESCLRTYLEGMGRATKREEVVEKGLLAVEYAGRVFGGL QC762_504390 MRWTNASAAAALLLCAVTGASAQSGNKLQVNLDSQDSIKRAAKV VAANLWEYYRGDEPGQTPGILPGPPPAGDYYWWQAGAMFGTLIDYWHYTGDSTYNNET VRSIVHQAGAPTFAFMHPNWTASLGNDDQGFWGMTAMLAAEVNFPNPAPTDPQYLALA QATFNTQAARWENQDCAGGLRWQVPHTNNGYDYKNTIANVVFLNIAARLARYTNNETY AEWAERTWDWTEGVGYITEDYDVYDGANTPQNCTNLNKVQWSPNAAVLLHGAAIMYNY TTGDRQAQWKTRVAGLLNRTVDHFFPEGIMVERPCELKDRVQCNVDQHSFKGYMHRAL ASTAIVAPFTRDSILEVLRSSTKGAVESCLADGTCGFRWDRGEYDGDVSNGPAGQQMS ALAALSTLLLDNSDGPLTNSTGGTSVGDPNAGSSAFELDPMRQITAGDKAGAAIVTIV VVGSFVGSLVWMSGGLFEVS QC762_504400 MSPTDSDIEVMADFKMVPDTLFWEMPGFLFDAETVEEIQEHKKK IWKALICPDYTSVPKGSRNRANPEEITKTRVQNNIALFFRALLFFSDHHPSPSYWKDL SPDTFSGCGETTIKLLEGIFVHARRAYADLKGRRRRSNVAMYADKYISFLDSAGGDVS TSDLSKVELRDSWAELKKKGALFNLAQHRPNDVEDDSTDEEPLTLPGESLEQHKSKKR KKTTSGPVTPRKWTRRSSVSGPSKPSADPAKPSTGPSRTGPSTSSTRPSIRSVRVVSP SSSPPTLRFDSSVGLSSEISTAETVSSPILGSALANNGDTQREPLKKVHQRMRVIDIT IGEHSDILASHTNAIESLRADIGLHKGGKAAGLVSTVAEAVAGVLEKKKQSYITHVAA AKVNAAKGPLEEKLATLDSKILDYVGKVAVAESKRSDMETKLDRVSKKLELMGSTSGH EKLQKKVKRYRDDHKSLARDVTSRLLKLERNVDRSGPAGAAEESEEDEDRQNHARSND SRFSMLEKQIADLKQVNVSLTKRVADLEKGNEARQRRDNYYSRDNYDNRDSHQRRDSY NTSMVGLNRGSRPNGQMNNSQGIRRFAEERDGIVEFEG QC762_504410 MASTGGSTREPWTGETKSKFNGKDRSEFLDPCQEAATKSIRCLH RNQGDRTMCSDYFQAYRDCKKIWIEKRRIESKRGGNA QC762_504420 MAYNRYRYGPPALKSSVDVQLQSAFSDGNWSAVIRLAAKRFATF KDPYYEAIRVSTEAQLQGTAEKCAVLVHVDELVRSKKTPDIGILDLYEWACQDFIGYE IDFAETFGPLRVRWVKANASSPMASSCLQGCLEQWDLVSAQQIAATLDKTYANTTDRR YMFWNITLTFLLSISPQCTEASRKVYSLLTVRQLQKAADITENSKKLEKTDRGLLTEE EVCLYYRVLLSHGTKEEFLARLGSPKLGAISQLKQGHKLLFCECLDALETWGEWDTIY ELCRDALKLGLDGSTTPFFVCDLRIWKRFVAAATEATNADAALDEVQEVLKQFIELKD KATPMYKKNISLALLETTFRLPSTTINPDHEDTALSPKVVQIGLFLDQYYERFAAFDD VKGYVAELGYEEAKTFLEDVLPKIPGENPTKAQQIIIKALECRLRYTLTTCPQTLSPH QTVVEGKDQGKPFQCRVCSNLAKSPCEGCLRKLIIDAADAHKQITSDKGLLAAIPKLD RDPRLDLALVMGNSLLKLSGLRPGLPNFGQSLWQDVQPDILLQAVLLLDTQLKVTPND NGLRLLLVQLYLLFGCASYAYQLWAPLDVKRTIQDALSPLFFDRISSISPGLFQGTRP LMEPLRAYYTQSLRDPSPVRIWDAFSSGSYTSILDMAEYDKKLRTSCTLVMTLVEERR ATRLFGGKLDVDIDDHILATDIVEDTTLVHKTDYGSFPSLESANGPPIQEFIRLGPGP SNERSHLAFLAEQYLDLLTYTPPKDYKPSKAAEAAARDKAYALETLTRINTSLTDLLH KPSTPKLLTPAETNYYTALSLLSALVLTAISLPKSETSVPKIVSQTTQPLKTTLTTLR TGLLSPKSTVPATTQQAISSLCDMPSFASVRDVAFAVKLSASFVLSHHDKETARDKSG KSGLHKEVVAEMKALESAAAKTLGEAKGHVGVLKGVMNESGWLDKLLDVVLGEDREVG ELTEKVSEIVEGRGGAEEWAGKVVDGWREGVKGWGMVKFE QC762_504430 MALISSRTILTSLSLFHLTLSFLFLTNPTAISDQSIVSLLGDSL ALPPSRSFDVPSPALAFLSFLLAFLAISDLATLSYPDEISLVTHWGTQAPLRVSICFS LSIYSFFFSPSSPIFYHHDESAKSRFVNHPNMHQALNNNPGYVPSGWGGDALKNRVFF TFVFVETMAWFWTWVTLGEEQQGILARAARERAKRRGSGSF QC762_504440 MTADHPPNPEPTLDIGRHLKYWKMCLQSPLPHHYLSNEGNRMAL AYFIINSIAILTPHANNNNNNNNNNNNTDNNLITPQDRRKLRKWVLSHQHPGGGFSPA SSLVYPLHGYEQSEPETGSQPAEAAGMANAPGTLFALQLLALLADEDDPEGAFDGVDR AQTLRWLRRLQRKDGSFGEVLRLLPGQGWFIGGGYDMRYCYIAASIRWMLRGDVEEGE PGWVEDIDKERLTSYILSSQTYDGGFAGSSQEEPHAGYAYCAISALSLLDRPLRTTSQ PPPPSPSLSRIRDLPALIHWLTSRQFIYLEHPPPVPEQQEEEEDPVNFLLPPLTSLSL SPSLIAYNGRTNKIADTCYTWWVVAALSNLCQLQLLGDWALARRFLLEKMAHRIGGFS KYPGGPPDVYHSCFGLTVMSLMGEPGLQKLDGGLAVPVVTVGVIEQARGELLRRARGE GKGKGVVELGLRMRGGTTRPGWLRGVN QC762_0079430 MKRISQALLLCSALYPPAAHATPTPHADGPFYRHDLLALHKNLV EIPSLSGTEEDAALFLQEYLGKQNYSVELQPIPAGLNTGSNARCNVLAWPTAKKPSTA DFKLLITSHIDVVPPYIPYKTTPSGPITPDTLISGRGSVDAKASLAAQLIALSTLISS ESISPSDVMLLFVVGEETSGLGMKEFSRRSRSSSKVSLFGSSSDEKQYRFASAIFGEP TENKLACGHKGITNGIVRSRGKAGHSGYPQLGKSANEVLIRSLHTILNTDLGSSERYG NTTVNIGVLEGGVAANVIPKSASARLAVRVASGSQKEGHKDVIAKIEHILKETDGDAL SSEWLGGYGPVKCKCEVDGFETMVASYGTDVPNLEGGHTSYLYGPGSILVAHGDDEGL RVRDLEEAVEGYRKLILHVLGEGEEEEEEEEGGDL QC762_0079420 MQNQLPIPLHRLLQVPHPQPFIIPMRNKDTPRPIEITRSPKMAE AKRYCFSSLLLPKRETLLLLRERRENSFMPRPEVSSPTTKRSITSDGEMDSEEMRVDK AMSCAAREAFASTEPRPEMRVSGVIGPDGVVL QC762_504460 MRWQNPLRCRVWHVNAWPWSSHRFLAMQLTSVTLNFQKLPHQNF CAVAGHLMTVPAACTPERYFLFQAQEQADSLCKNPGELLTIFENGWSLLTTNFVSSKT SKHIYKMATLQAIKYSRGKLLVLDQLRLPHENHYDEVSTAEEAFDCIRSMRVRGAPAI AIVAALAHAVELHNGDCTATEPEEVIAHIEKRLDYLKESRPTAVDLSNAITLLKLATR AANLEGLAHPEAKEAILNTYIQTAEEILAKDLHNNTSIGSHGAAWLQQQYNASSEKPI SVLTHCNTGSLATSGHGTALGIIRTLHSEGLLKHAYCTETRPYNQGSRLTSFELVFEG IPSTLITDSMAGALFNLHRERMNIGAVIVGADRVVRNGDTANKIGTYQLAVLARHHGV KFVVAAPTTSIDLETENGSAIEIEERKREELTQISGAIVNEDGTVDTSKTARVAIADQ RIGVWNPAFDVTPHELIDAIVTERGTVVKGADGKFDFSQVLPERLASVAARQL QC762_504470 MEQPFSSSKVTVEYFDPHDVYKLLAPGLIPRLPLRDLNWQSHAG PLRSINTLHIELLPSGADCSNIFTPLSSPNPKGASSTDVANQPARDDGFQTATIAGRG GSSDQVDSTLRPPAGPGKERRHQIPGLRRTPYLKVLLIRCDDNDTYKSTTKAEIKEWI RVNTPPAQGKSGAENHDAFEWLIIHVVLPNTVAATQPRTTGKVPDSSDVSKTATLKWR GSSTSLLEKLRTDFNGSGKGAVDRIRQIRIGVNDVPYSMLPRVVPAVPTGYRETEQDS EAAWADLIGKFKELILSSFDTRVTQYEEDIKERDAQRSLPGWNFCTFFILKEGLARGF ESVGLVEDALVGYDELSVGLDTIIQEQAAAGSAEAHGGALLPYTPDLKETAQKALSEI AGGTLEFEEEEAVDLQSGEKQKLDYSESIPITSSKKTYRELILANNVSLFDFRCYIFA RQISLLLRLGNAWSTREELVAKLKEQQEMVPRGVAAKTPVPKLNEEQENLLQLAEICK RTLEFVPAISTVMREDIIAAIMSAKKHEEEDGVKPVLDSMLSEVVDNMVSSFAFSVAQ QILAQTSTKALPIPPSTLNDAHDQKTSIPDPKTTMHPARTTSLHGQGTQRPPLSPGFP SGRLLGSIDSPATSSFQKAGLEELAARRAELYALSRNILEECGKKRGWSDGWSSVPTV GEAGIVDMEEIGLDDDDDDDDAEKTKPAARETAEVLHTSVAGVGTTLLRTALDNKDDF YRLYETLTDKALRHYTVAHHLHSVQACMADLAVLKFHLEEYKDAAYYFYRVIPFFGES SWALLELSMLVMYARCLKKLNKLDDYVNQALRQLLCKAAAAERDRLQQKSRFRNTLTS ATQYPEASAITGFLADLISVSASLEKDVRIPLTSLCCDLALDGPPFYDEGQDSFSLFL DFHSLLVDEFEADSVSIRITSKTAGGNREIWLQTEKPVTIRPGPNKVRVQSTTMMAGT FEVDQVRLSSEKVLLHYERDPNQPVDKGIASLKNPQVAVYQRASGLDVRLSGTKDLQL DKKKSLDLELSTGWNAVKTCEIKIRSATGGLRLVMSEAEVIGSTQATKAEGGTFKFGA IPANSSVKVRFPFTVEHDLLDVAVRAEVTYSTERGSFTFFKTSSVPISLAVEVNVQDI FKHNALFSRFAVSSASSSPLRLFKSELLGSEVFDTHFGHSPSQPVLIFPKQPTSLLYK ITRKRGVAIGPKTNKTLYLKLYYSVLQEEIEALFEKTIVADLEDSPMREYAKLIVSKV LAVVQARLSEHELEKAALLGELQTSFLGHVNWESHFTGLGSASNTQQQKSGTSSPASS SSSSSGEDISSATLADFMTAFFTNHPFLPLPHSESIPEPNTIVIPVDVPPVAIVHTAD LRVSSSQPPVVNGTDASDGSPTFVINQLLPTTLHLKWTRMWDTDLSTSSLSQDLEFGY EITAPGDSWLLGGRRKGHFVIPAVDDDDAEEKLSSTAETEAEIPVVLVPLREGYLPWP GVEIREVRAGGENGNGTGENSPVVNVAGGVHCETDYRNLGETVEVVGDRGRVTVSLDV SDGGGDRGGPMVLECEGGGWGVGRVVA QC762_504475 MSALHYSRISGGLRESEMEETYLYHKLEAMRTLNSKVTDLETCT SDGCLSLIAGLALAEGGMGDPTAAEAHINGLCTLIDMKRPEEWQHRFYGMLQRIILMA GSYIAASRDPFLESHIIETDDMTLCYPHPYFTKPTPTLLSTAQVQATSLSPFYLTSTP CLEACKADVEGEVLFNVLERLTSICFTPYDNNNSETTSLLLSDTESYIASLLFQPDPS SSSPSKASTHKDRHHKKSKRKGHPYSQAPPIYYPSSSRAWAAAGYLYTHLILSPLWAQ THQDETIDPDLLWHLLNTLREDITKTEAAMKIGAYSPELWIWEVVIAAYTVRVSLQRQ QQQQQQQPMTTGLASVAEDIFSTTSSEVLSTSQIIIWPGLTYAESSDSSSSSQDGSGA SSPSHDNPERGPGIPSQPHTYIPPVSPPPKDHLHSLKLFFRQKIAVWSQTTRVADWEG ARQMLTRIVWPNQSAGQLTSYSERLDMIMKTIWYEACLRLQ QC762_504480 MMYRQDKRAVELSEAINPMFCWYEKSAICYAYLSDVHQGQFSRS AIMEEATGVPHHILTGIASLHTCSVAQRMSWASRRVTTRPEDMALLLGWGFLT QC762_0079480 MSLMIGVLLVLLLVAATVAGWRLSGRSPPPHRPASPYPHPSFND KREKPGIAKQTTDEDIHNEVKIEPLHDFSWQDTPPLQLRPFKPKYHITMAIQTSSPSE LIIMDKNYLDRVTARKAILASHPKVVKGYIPSGIAPIRELYAYLMATYLPTRYPTMFS LSPNRTTLHNKITSCLSPVSPLDLPPDELLSTLATTIEDDIFLLLPNPATGLHRCVAF LCCHPSGFDPSTKLDQTLAGIHGPVPSYGKIGASMEKFFSKLEVGKPVKRVNWGLQTH KELYTPSGNHIHEHEVDSLTEEDREGIDISQTRLRVELQTLTRLPGTRGIVFSFKTFL YGLDEIRGEGRGEELAVAVEGLRGGNAKGMWVYKGGVRWGERVCGATRCPVIFSLGPR AQLPFAMATKGSDDDPTTDRAESSPTTKEAPPLPAAALSDEKSPGVRRAEALASVLTK ADYVFIFFGVFIIAFAYGLDGMLRYAYQPNATASFSKHSLLATVNVLRSVIAAAAQPT SARIADIFGRVELVCLSVFFYTLGTVLEATSQNVETFATGALIYQIGYTMIILLLEVI VADITTTRARLLFSYIPNASFLVLTWVSGNISSAVLAVTTWRWAIGMWCIIYPVCAMP LIISLLVTGRRARRSHVMDGYVDPIKALPWGKFFAYLFWRLDVIGIILMIAVFALLLV PVTLAGGFKTSWTSAHVLGPLIAGFTAIPLFIGWQLYTPQPLVPFTLMKDRAVWAALG IALMLNWAWYMQGDYLYSVLVVAFDFDVMTATRVSSFYTFFSVLTGTVLGFVVYKVRR LKVFIVVGTCLFMVAFGLLIKYRGDTDMSSRAGVVGAQVVLGIAGGMFPYPAQASLQV ALKHENLAVMTGLYLATYNLGSAFGGAVSGGIWTQVLPNQLAWRMEGFNNETLATSAY GNPFAFAKQYPVGTPERQALIDSYKYAQRLLTITGICLCVPLIAFASTLRNPKLNDEQ TLKEDEPAA QC762_504520 MPDSPTISDTGTTGNEKLSSEPRGATGHHLEIGRSADDHVDLNA NLEARIKNPLEGIPRDQLMLRVEAFCEEKGLAQHVQLFRKGALVAQNPDDYDRIDGTE ALDEAEKKALRDEVEHKWRLPAKLFLTIATCSIGAAVQGWDQTGTNGANIFFPDIYGI GGTSTRDKLLLGLVNAGPYLGSALCGCWLSDPINNLWGRRGVIFFSAHFCLWPVIGSA FCHTWWEQLICRLFMGVGMGVKASTVPIYAAENSPASIRGALVMSWQMWTAFGIMLGT AFNLAVWSAGDINWRLMLGAPFIPAVPLLVLIYFCPESPRWYMKKNRYGKAWDAMIRL RNHPIQVARDIFYIHSQLELEEQLLRNSWYFQRMAELFTIPRVRRATLAAFTVMIAQQ MCGINIIAFYSTTIFKDAGQDDYQALLASFGFGLVNWLFAFPAFWTIDTFGRRSLLLF TFPQMTWTLLAAGLCTLLEQGTARTALVALFVYLFAAFYSPGEGPVPFTYSAEVFPLS HREVGMGFSVATCLFWAAVLGMTFPFLLESLGTVGAFGLYAGFNALALVMIFFWVPET KQKTLEELDYVFAVPTRKFAGYQVRHVLPWWFKRWVLWRREAKLRPLYMMDHHQGRVG GEGRLGSGTNTLPETEGEVKGGGVGGETVKGI QC762_504530 MFPTRSRRKGAPLLPLRFLTTWSLLVSSTTTLALSTIHNSKYQC PSSPPPALTPHQLLSASCLRPIPGSLTETLFSNSSSHITPWTHAPVCELTNGLTGQYC TYTNSHHGHRGFSLVTTPSRAADVASWFLDLPLPKPSDGAEGEKYKVVTIPGKGKGVI ATKEIKQWEEIILDYATLVVDVGFTVEVNALRGYRLLHKAVEQMGDGGNGVMELGKSS EHAQDVVENVLRTNAFSTRVGEGDYMAVYPTVSVSLVFPTGLLNRGKKSKMLTTHPSS AYTRFIQESLQVSIAASKPISPGEEITISYLTLGKTSSERAHLLKKWGFTCSCPLCTS PPSTIAASDARRKEIAKLQDLAIRAFQANKPYQALRLTRQILPLLPKEELFPLESEQL ENMSRIYFVLNDMDKAEKYARLSLEVLARQGYIKWVEGWMVGKMFRRFEEEEGPRGVR Y QC762_504540 MNPQPTAPRTPKSAAINGIIKEKQNRPDLETLKRLYQKLHADPE LPGREEHTAHVVKTHLEALGFRVRARIGGHGVVGVLDNQRGPRGRTVLLKAELDALPI QEKTNLSYASTKRIKDPVDKKRKPVMHASGHDMHITMVLAAAALLQKAKKKWRGCLIV LFQPDSQGRGAKSMMESGLYDKFQFPNPNIVLCQHINNKSAGKLQLLRGNDLGERLSF LITIPGKGGHSTTPEGCINPILIASSLVPELQTMIQTLYNPNSPALVTCVRINAGHAT NEIPDKAELTVEVRAFSGDMMKLLVEAIDLVVGKEFENAGVLKKKKTIQRLDQFVSPL INDPDALHKIGVQFEDYFGREQIQPMNLEMAMGKLGDDLAVLAREGIPHAYWTLRSTS PGIWDTYERDGRLHELPDTHTAEFAPAEEPTLSVGMEALAVAALTYLKTEGEF QC762_504550 MATVMGDVLGESPSRFHSMIAGPAIQHQHPHDIHFQARPVPVSR TTTGCDRDAQQSHHSRPTASPRRQASSSTPRRDWQDSPVPTPVVADKPAKQQRQTPSS RPPMSSGGASRRLPSPPSSSSSSSDPSSGGAGPQYDVSTERVHDAASGPPSVAGSTTS SLSTAATVVPGSKAMPSPASDHGRHRNPSADVDIVDAPPVSIPLSNNAPSPPIETQKT IYVQDLAHIQTLAKVENLNATGSGNLNDPPLQQMKYEISAMPIGDIIEMVAALLTKIT TTNDLQHDALNRNAHHLRQAQAQARGEEAGGDANGSPLSSSVLAFHGKNVPSISILSY LGRIHKYCPTTYEVFLSLLVYFDRMTERVNDMVVKSEEARRMQYVHAQAMKPAADPDT VMRDSNEAEEDSDETDSDLADTDEETGKGITIESNSFNTHSAAGQATYFVVDSYNIHR LIIAGVTCASKFFSDVFYTNSRYAKVGGLPLAELNHLELQFLLLNDFRLAVPVEDLEA YATMLVEFYAREVVSQKPDAE QC762_504560 MEFGTSGCLSEDGIHVDMDRLKKGEVNLGTSIMAITFKDGVILG ADSRTTTGAYIANRVTDKLTRVHDTIWCCRSGSAADTQAVADIVQYQLGLFHMMNGKP PTTQTAAAIFQEMCYANKDRLSAGLIIAGWDERHGGQVYSIPLGGSLHKQPYAIGGSG STYIYGYCDANWKEGMEEADAVNFVKESLKEAIKWDGSSGGVIRMVVLTAKGADRHLY LPDTDYKVRHEN QC762_504570 MARRQHITLLLVGVMFFLTMTYFVSSSGGGGRDPTKILSDETWR SSSSHNSNGAGGALSESILKGGSIAPKLENATAKAELGRASWKLFHTMMARFPEEPTA DDSLALRTYIQLFARLYPCGDCASHFQKLLEKYPPQVSSRNNAAGWACFVHNEVNRRL RKELFDCNNIGDFYDCGCGDDGKGKKKEGDSGKERRRRYEIEVREEVSLEREEGLVRG G QC762_504575 MTFPRFLGTLPILLVVLPATSASPVLIPPRPYLHSVLNWNTTFQ NSSLLLPSSHANATFTCGSRSDTPIYTLGDVDDGGPGVTMRDADYSNTHQNHYYFLYE NARDETPWKYTLLHPGETVFISVCPTFSGRIVRGRLDENLDGTARHNLGTWIEVAWEA QDNTTASNSSTRSWGDVSLLEGCDGGAVMLATDGSGVVTGFSRNILREAPEGALARKA NGSLVLGKTVGSEANEEAMRWELKVLDPLREAFIVEDVKPVIVTENGRWDLTFYAGIY QC762_504580 MPEILIGEYLFLRLKQLGIETVFGVPGDFELALLDLIEPLGLSW VGAPNELIGAYAADGYARLNGLGALVTTFGPGELSALCGIGGSYCESVPVLHIVGYPT IPAQKSGKILHHTLGDGKFDHYQRISSELCCATTVLDDPTTAAAEIDSVVNAMIFHSK PGYIGISEDIAYAKVSSEYLGTKLARCLPPSAPESEAAVTTEIVSQLELAKSPILIVD GGAARASWAEHVDPLIQALKIPFLVTGLGKGVADEMSPYYQGCYAGEGSWPRSVTGLV QEADCILWLGNYPSDFNTGIFTEKLDQCTIIDLQRFFVNIGATKYDARINHVLPKLIS ALSSHYPLAQRTKQNPQVDQQLSLPASNKIEQDWLWSRLTSYLRPGDLVITETGTAQV GTTATRFPSGCHGWTQSVYGSIGYAAGAAAGAAIAAKETGKYKRLVLVTGEGSLQLTV QAFSMLTRYGIVSVVFALNNSGYTIERYFRGWDAKYNDIPMWDYAALFKAFAPDVEPR VKGYKVTTAEELDELLSDGEFCDSVVPQCVDMIMDPKDAPEAMRAVFEEKNAM QC762_504585 MENDNHLTSLTLTRYLPRLSSQIPHPEEPSNIPFDQTKLVQPNP KKFHGKNTIRVGLPGRNSQDLYRIQSHISDYEDVFFDRSWNFPKLKTDAQRLKKANQP YAYGKASSMRSGLLIRPHYIPVLEKMFKTRCSSLYCCDYCEKMKRDFFEEGKKEWTRN NLIEGREIAADKSWRLPFEDERDGRLVFGRRWRQLVEEVEAGQSLAVEGSVGDCEAVQ RVGFGFEDVVRGEGQWEYQIKVKPRKRGRGKGRSSQGGTKDTSPTTSEEWVEGEDLGN SWALVRVAVETGSGSRNSDGDSYALLTPTSESVSDQWEMLSQGA QC762_504590 MSAIMEFLRFIPLLILPTLAKMPPLITLEEHYVSATTPDAMKAL FKEQTQFVPNVMEKLTNLSSLRLSDMDKGDVTIQVVSHAAGLGSYPVNYSRSANDQVY EAVKNAKGRLAGFATAPMSQPAEAAAEFRRAVTELGFVGALVDNHDGKGGYFDGEEYD AFWAVAEEFDVPVYLHPTWPSEDMAPRYQGNFDPIAANSLGSSGWGWHQDTGLHVLRL FASGLFDRRPKLKIIAGHMGEMIPFMLQRIDRLSGRWSTAQRNFTTVYRENIYVTTSG VWSLDPMRCILANTPIDHILYSIDYPFTSNEVGLAWFKELEASGLVDQEQLEAIAYKN AEKLLRIKVDDVKGNCTHGTA QC762_504600 MVCLGSKSRVRVTHVPPLNPKVHPRDPLPPNPCLRPTHLPNART TSKPVGPSTLRFIDDSERDTRASVTNKRNRNNPSPRSIQAMASLSLLARSMRTLSLSS TTALRTVARPKTSTVATRFQTTRSLSSKSHGLFCSCCRPALSKIVSQTTQTAPTSNGA AASKAVGVAVQQTRGMKVHSSVKKRCEHCKVVRRKAGKRHRGYIYIICPANPRHKQRQ G QC762_504610 MRHFLASAGSVFRTYATGSSSSRTRLGPTLSLEHFVQRSRALAL YRHILRATRRIADPSTRADTRRFARQEFERNRGVTDLDHIRYLLSTGKTEWESMERYI DGL QC762_504620 MMKSKRSRAATSPIEVANRKTDEEFIADYLLPDKAKESSWVTAW THPRTGAKYTISLAQPANLKQEDLDACFDLLVETSKKDYENSAGRWHPDKKLNEMRSP ELRYVLVKEEETGELRGFTSLMPTYEEGEPVVYCYEVHLKPDLQGTGLGSLLMSFLTA VAVNLPPITKVMLTCFLSNARGLAFYRKLGFERDDISPVPRILRGKVIEPDYLIMSKR IRPDSESY QC762_504625 MPDLKSSSPSHTQSRVFHAIYINPAILPLRPSHDLCQIHHRINT MSTTPDQTHAAPQDEAKPLPTSTTTSEWETPLIQCFPCGLFWKAIACPCIFYGQTAQR LRDPNLPAEENNADCKDFAVNNVLLSIDIMKHRAEIRKKYSIPGSETKDCLVSCFCCS CAVMQQDGELRGRQEKEGIRVGYKRQAGMVLPGGGERRREGWGEVVQ QC762_504630 MVSFTLSLCGKDVECSESTSVADDDITDPDIAVSLQILLSFILP SVAAIIAFLLAWIKIRIPQQQYNCIDDMSLPWFKRGPSRSGSAWTATSEVSGYQGFIL LVNDQMLLTGFGLIIAIYSQICSISMFSFHVACDLAYLCCTVHLTTLTVLRLPFKEST KAQRNLRVSLMILGLTGILVCKYLQYSTLEYDNASLAACDISWPRTGSDFEYLWDWFC LVLTLSVNYYQSIVTDVAPRLPSGSDARRKPISSWVLAVLEKLHGYPGAQNDIEKSLE EMQEKNQASSLKRFTSLTTTINKRRSGQISAARLLWAVFANVGFDVVIELQNSIIYDL FLCTFWFALAITDLITSLTHGGADITPLLEWKFGQVLPVILLVSYALTALGIKSSSRT RRRQSASVGSSLNTASPENLSRSDSGSLGSEVDLGTGPGLPKRSFTNTGFSSQNEDPR SRPTRRVNTVELERAIVPERAKKKPRQKQRSILDVKDYITQPIDLIDFSRREAQGYIG VVVVAAFLFLVGFLVQMYFFFRETVTALTGLYIFLFFHRVVRGSRAIRRIKMERLRRE HAILHRQQPTQTLGSQSSASGTTGSNEASLREENHHFNWQSDERSGAVNPWMHLNVSG QC762_504640 MSAPPDQATVDAPPKRNGTTTSALLEKYAKQKERIKTKTGPPGG FDPTPLPDAPPGYTVKFTFYRAFHLPIADLHLHSSDPFIHATLLHAAPTRHKEDPVLT RRTRTLRRTTEPEWREEWIVANVPASGFALKCRLYDEDWPDHDDRLGNVTVRVPHVSE DWEGFGPEGKIFEVKKRSGSRRAYFVHGIRSVFCRNVPLTPRLQLGIEVLGKSDPPHA QVYTVGPTHWVKHYSPMIGWVTGVKVNKDADNDATSSIHSKKSRRTKKFDFQANEIQL AGPVPPKLYHRYVEFRPMIGRMFSSKGLRGRILNAVLHKQHHRIYNFDSSTEYGNFEA CSEEASLQFLKMVHFDEGGRIFTYVITLDGLMRFTETGKEFGIDLLSKHSMHSDVATY IACSGEFFIRRLAHPRKSHHRHHSHVSSGESSSTQPPTPPTHPPNENIGDGPPKSPPP PKPQLYQLIIDNDSGTYRPDKSVLPDLQNFLERNLPGMEIKAMHCDEEELKKMKKMQM EIKKKEGPAVRMVLNRSPSNSSFSSDDESRLGDLANLGDDDDDEGGGLGLRSKKERAF DLVQEPQRWREVIGYPKKRKTGDLEKGKGLDGAGDEDEKVGGKVREKEEEEEGVKEGG DGETDGDVKGHGGKTKIDGDVKDLSDSKEQDEKREEKSGDTEGNGSGNGNGDAASSGV ETKA QC762_504645 MPCRHDIAHRSDHLHLPTLDFAQRHLLGPLTVSKASHSLQFFKP PTSTPSSPPLSIPIMSPPWKVTFSSDFYLASGTITVDLPARKVLIIYDLTSKTYYLPR GRKDWSEPLEATAIRETYEEAGCTATLLPVPLSTRCTPPSSTSTHNPQLQKARFDPSG DVLLPNTARLTEPIALMQHPQKKNGALAIVLWYVAIGDSTLPLAKETQMSDEQFEALW VGFEDGPGMMVNHAYGQVLQRGIDLALAASQMDDMDVGMNAAVACQDPPQKDSHLSSP KTSEAGSLGVVEASRTETPPSRPEMPLSPPNSL QC762_504650 MQTAKGKAEALGRKAKLTQSYQELLDEFSNKDLKSVGNYTLGRL IGKGSFGKVYLATHKLTNGSKVVLKSAKKDDANLAREIHHHRQFVHPHIARLYEVIVT ESMVWLVLEYCSGDELYNYLIDHGKLPVEKVQKTFTQLVGAVSYVHQQNCVHRDLKLE NILLDKNENVKLCDFGFTREYEGKANYLQTFCGTICYSAPEMLKGEKYAGEKVDVWSL GVILYALLTGELPFDDDNDQVTRTKILTEEPNYPDFIPADALSLLKLLLSKRPLLRPT LPDILAHPFLAEHAPQQQEILKLERPAPFSTPLEKEVLHRMKSAGVDIEAVMESVISQ RCDALGGWWTLLLEKEVRKVIRRERKRRERAENRNSRRFSQASSRLNALATVEESQFA KLSDTPHRTRGRSQRRSAHYPSLTIPDLPGFADFSKTGNGNLALSPDGEVPPPPIDKD SIRSVSSSRHRRPIPPPKEGVLRSARSRGSTLHLVTTTDALEATTTSQAGDPKKVKKR PSHAILATWKNWTHWLFEHTGMKNASRRGGSQSAPNLLSKQTSAKDTKSKDASPRPQT SKYPVSGSNAAPATASLPKGVVANGYPPRGSSAAQGTSSNPISPTLSTPSMHHPRMPS SSGYKRQSMSPSPLTPRSTIRRSSGPTGLRGRKSTSSSVSSVRSLHHTHHHSHSKASS TSSNGSVSTSVSKTPMQNARSPHHSVKVLPATPTGNTFPSNIRLVRDRSGPPLSVFNE GLPTFNGAMIPQPPGSPNPFSGNGVMFAKRKRNIFKGPMLSLSGSRDTRSSGSGSASH SRSASASGLGRRSGEMAIQEVDEDDEDDNLTERGRGPPSVTDELEEVEEVDSFSPIVK GPGEIVEEKIYEEGEEEAELKAGLPGLQPAATITPSPVL QC762_504660 MMVTTKVVSNMLLSYAAIFLWWCLVPVGGAVALGSSLEQPVTPL LRPRSQRLQPRQTCNTATNRQCWTMSPAFNINTDWEASTPVTGVTRTYTFTLTEVNNW IGGDGGIKAKAMLVNGQFPGPTITGNWGDRINVTVVNNLVSNGTSIHFHGIHQKNTNN NDGVSGVTECPIAPGRSKTYSFIATQYGTAWYHSHFSAQYGNGVLGAIRINGPASSNY DDDLGPLVISDWYYGSAFALAHRVNSPTNPYIPGFPGSPPPSDNILFNGLNRRANGAS GSYRRFTLTAGRKHLLRLINGSVQASFTVSLVGHSFTIVATDMVPITPVTVTSLYIGV GQRYDVIINANQPVANYWFNTTFSSAPCGGATNRPAMIFQYSGAPTANPTSAGTVPPD SRCADSLNYTPIVSKSVPSASFTTGNTLNTRLQISTTGGISRVYWPVNNTPMKVNWNN PTLEYVKNSNTGTMPANTNVISVPTANQWTFWLIVNNSSIPHPVHLHGHDILILGASP ALAAPINPTNRLRPYNPSVDGPALKIANPTRRDTTMLPAWGWLALAYRTNNPGAWLMH CHIAWHASQGFSVQFLEQLTSIPTVMNLNELTGNCNNWDAFYPSGAPFLQDDSGI QC762_504670 MEWTKTQYNTLYETWVPYLEDLYLRYFTRDNKASYTTKENLDKT KVTGISQVDTLQDNIHTTASSQLGQDGLGRPVGDLLSREGVNRLERKGKDGQGGYVPG GNSNAVSGAGNTLVGGVVEGGKTVGSGVVNGGKAAGGWLGFGKKEQK QC762_504680 MNVQSAHIIEPYVTARTAQSTHPYIRTYFLCVPAGGNRELCLVP CALYLVVRGLTDLTEHNSTFDRRDQTPVRSVPSRPLDRTAHQGQAVDIALDSFILSTP RLTARKSHPHPGLSRKLSTATSQGTVCRRQTHTHRGDPEREREKERERERERGQQQAD SQDLSRFSDSETDERSPSKSPSEIITSAFRGIKKVAPTRDDWPRLTRKPSLVGLRRPS ETVVPTIERQPTPGQYSNPPRSARPTHHARNLSLQDSLSKPLPEPPPPQLSPAPPLTP LAHPHTQGSLATILDAETTEEQKDHRPGTMDSTSSAEQQAGGLYMRPQGDNHTQEANM NGNLNNGSRQDGQEERGENLTPRSNGPLSAGASPNSGQARGGTVGGTIGGPAGPSTHL SGLMCNVHRTTGREPHPLVGATTTVLGDKLYVFGGRILSRSRPAPLTADLYELDLIRR HWTKLETTGDIPPPRYFHSMCALGDTKMVCYGGMSPTTSQKNAIPQDQQPEVTVMSDI YIYDIPTKKWTYIPTQDAPQGRYAHCACILPSSATFASHRAPLSALQHNPSSGNPNEG RIGINIDGTGGAEMIVVGGQDAANHYIEQISVFNLRSLKWVSTQPLGKSCGAYRSVVA PLPPSVAAKVGKTHPNGARQDAAAASLEAREAGSSMLIYSNYNFLDVKLELQIRSSDG HLSERPMSGSYTPPGLRFPNGGVIDTHFVVSGTYLTSSKQEYALWALDLRTLTWSRID AGGAVFSQGSWNRGVLWNRRNTFVVLGNRKRSLVDDYNHRRINFSNVCMVELEAFGFY DNPRKTAPMSGFVSASSPYTGPGLSLSRKAGVTAGGRYHSRAAEELGEKALALRELAD MDILCIGGERIPINSRIVARRWGPYFVQLLREGTAMQDGSDAATLRSNSVSAQGGRGP GQSSVFSSSTTTLAPSIAGSSVSSPPMDLAAINTAPTPRTLPPNSRPRCLYLPHTYLT VQALLHFLYTSALPAPSSPLCTPQILCSLLQIARPYRIDGLLEAVIERLHSLLDSRNA AAVFNATAMAAGGGRGIDGTLNPNFFPVSAGVDALLGPDGQPSSSSFAGSDADSATGG LAGRAGGLKISTSVPGARPSSDELSATTSVGSEWSSEMDSERGTGREPWTGDLSSVIG LQKRGLRGLMEGRRMRERTGTNTMPSAPGSSGGGGGGVGGGLGFNAMPGQVGLGVGGR A QC762_504690 MAAERNEPLRLGTIAPNFQAETTKGPIDFHEFIGDNWVILFSHP EDYTPVCTTELGEMARLEPEFSKRGVKLIGLSANTLGSHEGWISDIKDVTGSQVNFPI IADKERKVAYLYDMIDYQDTTNVDEKGIAFTIRSVFFIDPKKTIRCILSYPASTGRNS AEILRVIQSLQTGDKHKVTTPINWVPGDDVIVHPSIKGDEATKLFPNLRAVKPYLRFT PLPEID QC762_504700 MAALPVSLSVAASALTATAAYLNARWQVSYDLHLLKSILPTVAN VAWWTQRDRVNFFYRLEDLATSKSSENRVFLRFEDMTYTYAQAYDTVLRYANFLKDRR GVKKGEMVALDFQNTDTFIFLLLALWAIGAVPALINYNLTGAALVHCVKRANARLMLI DPTVAGNVGEDVKSELSGTMFEVVTPQLESQMLAFDGSRPADELRSGAAGEAMGILIY TSGTTGLPKAAIVSWAKVAVVGGFTSRLVGTGKNDVFYTAMPLYHSTAMLLGFAHTLN VGATFAMSRKFSTSHFWDDVRKHNATIIQYVGETCRYLLSAPTKLDPVTGENLDKKHK VRIAFGNGLRPDVWNAFKERYGIETIAEFYGATEGSFATWNVSRNDFSMGSVGRAGAL YNLLVGRSIAIVEVDHETELPLRDPKTGFCVRTPEGEPGELLFSLPAKNVEARFQGYY GDTGATSKKIMRNVFSKGDAWFRTGDVVRRDGEHRIYFNDRIGDTFRWKSENVSTAEV AHILGLHPGIQESNVYGVEIPGHEGRAGCAAVVFKPSALGHDGVPTSETLKTLADHVR ANLPRYALPLFLRVAKGGSLKSTGTNKQQKVGLRNEGVDPSKTGSDDIFWLKGASYER FGPNDWSSLQGGKVKL QC762_504710 MSAQPLPSNDNDVSIATIGPKQEAPTSSKKLPPPEKPSDVRRRS HVILSFWLIVLLLGLPIWWKTTTIYRADLPLEDMLEWADGKACRPVFPLRISIQATSL QDQEAQNLLRLTQHALDDLNDFAGHHLRLQMGDEKQDSADNGSALTIRLTPGEGTTAT LDPYEPILDITYPQNTIPSPTASSSALATYIASQLRTTFAEEQATISYLLSTNSMPSE HRPLGLSPETAEALAKRTTRSLKYAPTYHLTFSLFTSGPLPSSWDIETAIEEYMKPVL NVLSPIHNFTIDTQVQLYASPGVQNQVLNKEDLSSFINAAEWPLSPSIGGAPTINFIV FVGNQTIASPSQEAAPTSHSWLIPQWGTVFLLSLPGDTTHVAVATLKQPLLTFTSHLL SLTGTPESGSLPLRLSTLARIRSADLLLRASSTLGSLARLAMALPSISIPSSVADGVT KTMSHLRLACDNLGGTEGLAHARIAEAEAERAFFEKSMVGQLYFPDEHKIAVYLPLLG PVAVPLVMGLINEIKAWRKRRRERAEKGAEKKGE QC762_504720 MLAAALAVRRAPEDGVNATKRALRHCLLYVVIARAVLHRPAISL CFRGRLFRIFGRGRPRAEGRSAIDFSCWENLVITASTKLISCSPLFGGATMARISDPA GGQPTEQSPLLSNVPVTSETPPNSEALPISENGSVVKKVGVDEESVRSGEIATGISRT QVARIISVLLIGIFVAHCDGSILLATHSNIASEFNDLGNSTWLITGFAIAGAATQALY GKLSDIYGRKTLVTFAYAIFVFGCFIVGIGQTMGQVILGRVISGAGASGMASLVSILI TDLLPIREVAQWRAYVNLVATFGRSIGGPLGGWLVDVIGWRWSFFGQVPIILGAIILV AIYLPSHTEPTSDSVSTSSAEAEIRKSKFSRIDFKGSFIFAFMILALLTPIELGGVKL PWSSPITISLISFSFVLIAVFLAVEKRQEEPILPLEIFHQRDAVISYLILGLQTAAQL GLMFSVPLYFQITSRSSASSAGAHLVPAVTGNAIGGVLSGILIKRSGRYKALIILAVT FSSLSYLLLMLRWHGNTNGWESLYIFPSGFGTGIAQSAVFISLQAVVDPAHAAPSISF MYLSSTIALTMGLSVSNAVMKAALRRTLFNRLAGLGFGAVEIAKVIAETVSDVDYVDR ATGVVQKAVVESYVDGLWWSHGVSFFFSASAFVLALFIKQRRLEGTV QC762_504730 MRFLGAALAAGLQLPTAALASHGSQKPLGDDRLDSTPATKGGKQ PNIVFILTDDQDLHMNSLDYVPLIKKHLLDEGTLYKRHYCTTAICCPARVSLLTGQQA HNTNVTDVNPPHGGYPKFISQGLNNNYLPIWLQEAGYNTYYTGKLFNAHTVENYNSPY PAGWNGSDFLLDPYTYNYLNSSFQRNQDPPKSYEGFHSVDVLAEKSLGFVDEAVRADG PFFLGIAPVAPHSNVESNTLGDDMGDDWGNLPDIEEFAKFGPPVPAKRHEHLFKDVKI PRTPNFNPDKPTGANWVRLRKKLNQENINYNDHFYRQRLRTLQSVDELVASVVERLEE HGVLDNTYIFYTTDNGYHISQHRLNPGKECGFEEDINIPLIIRGPGVAKGVVSEIVTT HVDLAPTILNIAGLPHRADFDGEAIPLSRNDIEDTVKTRHEHVTVEFWGFAVSEGGKL FDEDEERLTLNNTYKGLRIIGRGYNFYYAVWCNNEHELYDLSTDPYQINNLLHPTTTK PKTLLGVEFHKVIARLDSLLFVLKSCKGRTCVRPWEALHPQGNVANLHDALGKRFDVF YEQQQKKVRFDRCELGYIVDAEGPQFERDGVVYRAGAEGGYKRGGISWSEWT QC762_504740 MTDQTAQFTPRFRTDIYPFIEPSKYRGSLRNKVTIITGAAGAIG RGLAESFAVAGAKLVLTYNRTPPPPELEERCLRFGAADVSFVKCDVAELGGCEALVKE AGFSFPFQGGRGEMANWTLELHGRADILINNAGANGLGPLHDQAPQDFIKEIAVNFHG PYYLMRLLLTYFRQQRSGCVLNIASRAGTVAIPYSTGYCSSKAALINLTACAQKELDI DGLGEEVHMYSLHPGGIKSAMTLKKYSPESVSTLPPQAQSKFVNALDIYDDSPYLNGM VCVALATGVGKEVLRGKYFDVGQDLEDVLAQREALRQNPDLYGLHTSFLGGLKNGGVP KGGYRKEEWGKEFPGS QC762_504750 MILWGKCPYCNSSGAPGEKKVGGSGYEVQESESRGVFRSSHSPF PFSHHTFRFPVREEKIDIVQRSLTSVPSGYTHFLLSLFTHTSTTTMGSIVQAPALTTQ AMPFKVLVVGGSYGGLSAALNLQDLCSGLAPRCGPKPVEGAPVIETPQFNVDITIVDE RDGFYHLIGSPLALADEDYAAKSWVRYEDVPAVSQSQNIRVIHGSVKSVDQTSKTATY LPHGLVSSPENTSTLSYDFLVAAAGLRRVWPVVPQSLRRKQFLFEAGDHIRAATTARH GVVVVGGGAVGIEMAAELKLVQPQLKVTLVHSRDKLLSSEPLPDEVKDKSLELLLEAG VGVRMSTRLDKTEEVVDEQGKKAVRVWFTDGESILADQVSLAVSRSVPSTEFLGLKET GVLDEEGYVKIQSSLAFPAETPNWSDHFAIGDLVKWSGIKRCGGAMHMGFFAGNNIHQ RMIEIASGKEPVFLKLDEIPPMIGLAVGKKAVSYWPATGVSAGEDVSQAFFGDDLGFT ICWNHLGLGNSQKL QC762_504760 MASTQTKARLQLAEYAASSEPILKLPHPYQTAYHVVKGQEGFQL QAREGLKPLREPLHNDAIFFTAPEDLKLGDKPKDSNNTAWGRARRTASVSITWKGEQP PTVGQVWLITYAVFILRPSEEAFRLALFGSGVDKLSSQLKAVGLAIDHPTTAIKDVPP TKELVISRGAFWQGAGSPFGSRPAWVPEEQDPDLSGYPLPPPEYVITSDSSALCWHPR RRAKPIPGSVIYSRYIPHLKETFSMIALDYKNDEHLNLFHTWQNDPRVSQGWNETGTV EQHREYLRKAHEDPHQITILARFDDVCFAYFEVYWGKEDRIGAYYSAGDYDRGRHSLV GDVRYRGPHRVSAWWSSLMHYLFLDEPRTMSVVGEPKYTNSSVLMYDLMHGFGLDKFI DLPHKRSAFVRCSRERFFQLCPLDENDKVMGGTGVGLVPKL QC762_504770 MSAVHNPVEEAVVLPQPQRLSLLKGPTDPPLVDLTLGELLNLQC LHHGNREGILIPWTGARWTYNELNHYSRLLAAALLVMGIGVGDRVGIMAGNCEQYAAV FFAATRIGAILVIMNNTYTPTEALYGLDFSECKVFFTTKKIGRLDQGPLLTQLAARAT GPKVVILRGDSEGYPTYKELLTQGARVDPERLHHAESKVLPHLVCNLQFTSGTTGLPK AAMLTHHNIVNNSRFIGDRMRLTHNDVLCCPPPLFHCFGLVLGLMAVITHGGKIIYPA EVFDAPATLRTIIEEQCTAVHGVPAMFDSLLTLPEAKNLKAADLRLRTGIVAGAPVPR YLMELMVSKLGMKEFTSSYGLTEASPTCFNAFTDDPIDTRLTTVGTLMPHARAKIVDR DGVIVPIGTRGELCIGGYQLQAGYWNNSEKTNDCMMKDEAGVLWLHTGDEAVFDERGY CTITGRFKDIIIRGGENIYPLEIEERLVVHPSISMAVVVGLKDAHYGEVVGAFLQLDP AHTASTKPTVEEVREWCRRKLGKHKAPTHVFWLGHDGVPAAVPLTGSGKVRKFEMAQW GNKLLEGAKAKL QC762_504780 MTDLLVHGPPIIQVNTVEDGKLKASKRPRPRPAPLKLKKKTSPS HSYIPPLTKIVRDASNLVLSWRDGLTESEREDKRRTEERMQILAARMHSATSLRDWNA AAKELDALEGNDEWKLDDASGDYHPQLIRLKLKELDAARIDCDISTMMYLIRTALSRD LGGMGNIDLYRHSYIGTKSLIERYVDSAVKTIEALVEKSAYSIPAGMEPQDLLEGMLY ARQSFGRSALLLSGGATFGMSHIGVLKALYESKLLPRIISGASAGSIVCAVLCTRKDE EIPALVEAFPYGDLGVFEGEKDGLSDHIRRLLTEGCWADISNLTRVMRSWLGDVTFQE AYNRTRRICNICVSSASIYELPRLLNYITAPNVMIWSAVAASCSVPLVFQAASLLVKD PATGAHVPWNPTPQHWIDGSVDNDLPMTRLAEMFNVNHFIVSQVNPHIVPFLSKDDRL YPATTPGKLRQQKESPDSGAWLDTLTTLAKDETLHRLQFMTELGIFPNLFTKLRCILS QKYSGDITILPETAVHDLPLILKNPTPDFMMRNCLIGERATWPKLSRIRDRLAIELAL DQAVHNLRARVVFSKSQVELRRLVGVSEPQGPTRRTLHSRGKSVELTTGGYARSSYTP CDQEPSSGEATPVRAWDRRRRSSGGSIQLLAARHEKLFQNMQDMEDEWTDKESEEDEK LEMSLRGRNRMHRAGTRRPGSKTRATSRPRTRSKPRLKRASQSHGSLRAGRPVHHDYS HSPGILLYDFAKPLSPSHAGDDSAVAMEDFNVDDPHKESQVAITPVGIKIVRSSPPRN NHHSDADVDSDSDPYHSGSPRKDSVL QC762_504790 MLPEPNITFTVPSLHDALDIDCRVYHPESLAPTSDTAQWKKHAA IFAHPYAPLGGSFDDPVVGIVASALLRMGFLVTTFNFRGAHGSAGKTSWTGKAEQADY KSVIGFVTHYVHCLNPYPHITLRRSHSEVRENEVELEGRVAAKIQLQPPTPEPTWMEA TPLSAASTKPVLLMGGYSYGSMITAQLPEIEPVMALFETPENGTPAAEIRLRAEHLAE KQNTTLADLRADFVDRQHAGSPRRTGGLRVGGIEDIRRPHEPRRSLSVELEERIRHGI EELMAKTKKGPKASQLTEIRDGDGPNSTRDAACSTSKHAATDHLPSIIGRTQYRPAYL LVSPLQGIVTNFIAMSVPTPISSLARKTWNRLPAKPGKKSATSPEAPKPIVDNPENKL TQHDTLVVYGDNDVFTPVRKLRTWTARLQAVPNSKFRGVEVYSATHFWAQAKVAQTLR DAVIVFAQSLLAET QC762_504800 MSSDQKLSLDADYARAIAPYASYVPPVPTDAESLRKQNDFMINT VMGLFPCPARSRVAETELSYKSADGTELQLHRFDPPASSSTPAPAGRPCVLYLHGGGF VSGSVTSFRKDIIRYAAETRLTFYAPAYRLSPEAPFPKPLEDAYAALEFLRDNAQEQN IDPKRIGVMGISAGGGLAAGLALEARDKKFVPAIKKLVLIYPMLDDRTRIGKDHPLNE YLTWTNKKNEIGWQAYLGDAGARTVSSPDASVYAAPARAEDLSGLPPTYLDVGGLDLF KDEVNAFGAKLLAGKVDVEFHLYPGVPHAWEWLSHECPVTQKAVNNRVFALRSL QC762_0079850 MKWLALSALIAPSQAALRFGCSTLTIQRLDPLVEPGALPSAHLH QIVGGDAFNASMSGDIGEQGTCTTCTFSEDFSNYWTAVMFFKHPNGTYKRVPIMQNTA LPNGINGGMTVYYTQQDFNSNGNVKITSFPPGFRMVVGNPTTTSLSGSRANVGLKFVC LENKGTRFPELSDFPTKPCRGGIMTVHHFPACWDGKNADSPDHQSHMYNTGKEAFQNA GPCPASHPVRMPQVAYETLWDTTQFNSMWPSGGANPFTLSYTDSKGYGTHADYLFGWK GDSLQRAMDHSCMFNACENGRPLKSQNVAAMNRCTIKKIVNEDTGDNWIKAMPGQTAA S QC762_504820 MGFFDSLSPRAVATSALLLTSFAQDALARPNPDPKAPWVKNTGL GKKKMANHLKRAIMGDRRAVETPFCSETLATEIKAPKPNVWGPLVDVEVASVVEWLFA QADLNLTVTEEAGGWDNTIQLVEAMWPNKTDVLAFVDGDGPAPTKYAHVVLNNRATET PHYADIIVGPLPLDNATAKWEPLEYPYTKQNGGKVRNLDADSDRLYSEWLFKIGASVA DITLDLWNGTAMGLENDTLSIWGIDPLWQDDGEIIRWDTFWNIPTGDFDDMTLFPLGL YFSSEVSGRDPSKWELRGWLYNSVFYATTEEFRAAYWSEDFVKNGPNVDGDWARTDKN GETPEMDKAQGPVIVAPAGARFAVDHKEKYVEWMDWSFYVGFNRDTGPGLFDIRYKGE RLVYELSLQEALAHYAGNDPMNSGTAYLDSFYGFGPYTFELVKGYDCPAYATYMNTSF YVNEETRTHIDSLCLFEYVADYPMQRHTTSDYVSVTKNTYFVIRSIATIGNYDYQQSF SFFMDGSFAVEVRASGYIQSAYFAGNEDYGFKIHDNLSGSMHDHVLNFKADFDVLGTN NSIELMSMVPVSRSYPWSAGKVRNTMALERKFIETEDESRFNWGPNSATQVLIVNENE RNKHGEMRGYRVLPYMGTAHLTVQNSTNLGVAAQWANHDVQITKYKDSEQKAYHAFNT QDVHDPPVNFDKYFDGESVRNEDIVLWLNLGMHHVPHTGDLPNTVQTTAHSGIQFMPS NYFDIDQSRRTVNQVRINYFNGSAEVEEFGQFKAGSSEGTCSTCKLNYTPLEPEHQGY KGDVVIRKFPFDPNNPFYATSGI QC762_504830 MYLRCGSHNCIKRTIPIYAMRPAALGHHLFNLSSLVTPALNCSD TQTQIIPNLKPLSITPLLTCSISPSFTSAMTQITRHHSFPTRAPSSQATDVEDLIQVE PMPIGDDPLPPYSLNNDHPSSRDTALPRSDPKAPQVSVQPPREEVYNGPPRLHILAAA WGGVTVTPTIKSLSRTSPPPPHGVGCQILQLEMRNMHSLLQPDPASGTYKVLSLVYRY DGDEYPTVMNLPETIRPSLITIAKPSAVSQLGGANIGNGGYRATITQPWRSITSSSSS SGPKVEILAVFYGKKRIEHPAVLEELANYFEGRTRQIRMTNTFFRGDTWPYTIKSWTV YFRFVGSRAGVQVVTGWENQALEQPWTRD QC762_504840 MALSVFTLVLLFLFSSQANAQHRRWEQSTFYKATRPPLTIPYYN KTYACFLATAEATTTSTKIPATRVSVIPIDPIWTTLPIASLTGDPFCINEAAPHEGIG NHCVCQNGETLSVIPFATGGNVSDYQPCAYTTVYPDTTTSTLSQTLITCTMSIL QC762_504850 MTQAFKNVVVVGGSYVGVATAKELANLLPSSHRPRFAILPSHEH KCLIPYTTTFSLSPDPSRHEVIQAKALSLSPTTSTLHIDTPFQGSTTVPFTHLIAATG TNLSPPGTIPHNTKPRAITFLQSYQSSLRTALSIIIIGGGAVGVQMACDLKEIYPHKP ITLIHSRHNLMPAYHPSLSDLIKSRFAELGVNLITESRVVIPERGFPLTAQPTDVHLQ DGRTLTADFVITATGQTPNNQWLRSSLGNGVINKKNGFVKVKPTMQIDGGPWENLFAV GDINDCGAHKAAKPGMLQAGVAARNITALVRGEEAEEQLEVAPAGIHLTLGLTKNVIF RNPDTAKGVTEPYINLKDEEDMNIEEVWGRRGPKVTSQRDYHL QC762_504852 MPSKKAFSFRATFELDYFPSLKTPHHSHDRVSMKYSLSGSGLLA AVTSLFGGVTASPDDPLPPSVPRPADDPKVQSFPSWNPVHSGHHINLTRVRHVHRCLQ DWCDDRYLIWELGGKAICKTDHPDGENVVGWLCNLGYYQKACSAAMLNQAAKKMIEGM DDYRAPSPAGHIYYMPERSQSFVFGWDTYCNGSPSCGGHRDPTIVCENQINHYRHRPS PKPAQFVSIANAYGSVRHEGYHVEEDVESEQGQNSNCTEEEEFEKFKKDQAMKDISIA EQIIRKKFWPHTQKGIPLEGASEENIYHNPEHHRNGGR QC762_504857 MKLWVVWFGILCSTLAGAAPSSLQTRLVTRQNPPATQLAGPPKA KQPLKSRCNNECLEKTYVQVGCSHAHDWMCLCVEFDGGQWDIANAVQQCFLDNCLDAE DRMSWRGITNSHCGRDMKSLSKLDVWGILRSNSLLSYSPIILSTTMLASLLVAAGFSA SAVAAPSALYPQTKCMDVASKIQKAIRHGFPHFVTVDENRNCKPQYRLNRGECWDHMS PDNNCKAYCETSHGWYWGHPRVLGIRSWHNPCQRSDSCGISVSLGGPVGEATTVMIKD ESSTGNSTTYKDGISWSDTWTVADTFNFGVTGTAGVGLSNIPLFDGVPIMGKAGVDMT SGYAHSDGQRFEQSRKRSVDWESNVKSSQSYGIMRTRQRSLSYSTTGSWSKDVWANDY CGSWFAVPLLGMSCGRAAMGDLVKNPLNNHTRCNLDKFGSFDVCASYGFKHETVPDES RTRTVFVLRDCKRGFMLPGEWQQHEFAYSTISSMPEYYRDHISRWGIRNLDEKPEDDE WVFQRQMDQKLHKFTKTIGVTDYNFKYCGEGEYCVQLKLTKDRCYDIPRGYVSMPDES PKSAHVVSATVMPGHCCMLFSRHQCLGQAQRLLPGDTKLEEVAYEGLAHSVVCNASEY CRSQTDEGYGSASEDD QC762_504860 MTTNFFHPAKHKKSKEPLCSIPKKGETILSNVPRKASTYLAIFE MGKLTGLLLALLSPLCVLGSPKTVAACGSTGLSLFAEANYEGPCQVFSPETLDNTHQA SCVDISPSIPVNSIYKGSSLSCCFLYEKPCPDPSSPFYPQRPNLVSQRVFVPGISDLC RFGWGENTIKSIVCPDKHTCESLKDDMSTTKLTFFVEKEIENPFTGRVTKKKEPQKRE VSLRGYWLDLQQVKGHKRAI QC762_504870 MAAVDLEKPFDYVVVGGGTAGLVIANRLSEDSDVRVLVIEAGAD RSSDPLVLCPGLVAGLYGKDEYDWNFTSTPQPTLNNRVINQARGKMLGGSSALNFLML LYPSKGNIDAWAALGNEGWDFDSLAPYLRKFATVHTPPQSSKDLCGLTYHSEDLAKGD GPIHVTFSEGYNVTNQAWLKTFAGQGLEVTTDPRDGRALGAFQNQASIDPVTHTRSFA ATGYYNPEVAKRSNLVVLTETLVEKIVFDTTGDEPVATGVEILTKDGEKKQISANLEV ILSAGTLQSPQILELSGIGSKDILEKHNIPVIVENPSVGENVQDHPIVCQSFEVADTT PSGDVLRDPNVLNALVGMYQASGAGPLGQSTISVAYSPLVDGSGIVSPEAKKELLASH EHTLTTPDAQAIRKLVESPDEATFQFLLFPTQVSIPDVPKSMAEYILPVLPENYITVM TILNHPFSRGSVHISSPDVHAAPVWDPKYNSHLLDMELLARGVEFVERLVDKSTPFGK LLKDGGKRQPEGLVATDLEKAKEIVRKRQISVFHVSGSCAMKPREQGGVVDARLRVYG TKRLRVVDASVFPLEPVGNIQSVVYAVAEKAADLIREDRKSL QC762_504880 MFEYVEFPILPIVKTHLIINCVLAVITLVIIALRLFARFLTGAG LWWDDYLILFAVPQGMAMVVIQGLWAPMGVGYPMAETAPNIEHILKMLVSYELIYATS ISTIKLSVLIFYLRVFVNKTMRMATKGVIIFVCLWSVGNILQVFLICRPFAAIYTVAL MPTAQCGDQVGSFIAIGAFNIITDVLILTLPIPTVWTLKTSKGKKIALTAVFLVGLLV SVVAMIRIVTLTQLDLVNLTESMVWADFWSATEPNLGIFCVSLPMLGTLWTRYFSRKS PSKLDPYHSSENGTNGTNGFSKLKNSSNPGTDTIVMEDLYAPNKEVYHKTDVAAATPD GDRAGTPLRANSSEEALTMQVGDHRNYHRQPEGIRVQTKWTISVD QC762_504890 MPRDELPSLLLLPFPPDPSSRSLLNTAYRPSITAALSRLKRPNG ASKLTVAVECPILHGQFLRSKTLSWTEAQALVAGIYTIISVVSAQLGIGTEIDGGPNS VDATVVLIDHNRNKRFTEDFRPVIETNNTTVIDLATFASAYHPWNYIFHVRSEVGLQF YQTYLKLAEGRQTLLQEQLIPVEGGITMNVAPQGNIPRPTPARTPGVPVVCLGGTFDY LHPGHKLLLTAAALLLKVPRKDDANMQPCTYIIGITGDELLKNKKYAEFVQSWETRAR NVILFLSRILELSERGWKGTQQPRRVEERDGDVKAWFRDGTILVHCVRIQDPFGPTIT VENVDALVVSGETRSGGKAVNDKRAEQGWKTLEVFEVDVLDAEDVLEEKEGTKTEENF SAKISSSAIRQQRALARPGTKI QC762_504900 MNQQQQYQQPSRRTDAYSSQHDELHLPSSSSMAHQGQHPLQQQQ QQLPTRQYSSGPGVPPHIKLEQSPNPQQHHHQSAGVVPNVLQPGGLQTRPPVISSNTA PVLPTMQQQQPPDYQPHQTPTKSNSMNLSHNYPRSSPAAPYEGGSGYSAYAPNTPGGA GSSSQYMSPTDSKYSQSSGSQRIPSNAPLGLADIRPRADSSLSDGIPGTTSYEHANTQ SRTSNYMAPWALYAFDWCKWAPQGNSAGKVAIGSYLEDGHNYIQILDAQVSPTPSDVY SPAGSRYTMDFTRIAEATHSYPVTRLLWEPPSSQKQSTDLLATSGDHLRLWSLPSDPQ VQTPGSSITSRNGRDMPITKLTPLALLSNSKTPDHTAPLTSLDWNTVTPSLIITSSID TTCTIWDIPSLTAKTQLIAHDKEVYDVRFCANSVDVFVSCGQDGSVRMFDLRSLEHST IIYEPTGKEERDANGGRISPTLAQQTMSHPPPLLRLATSPHDQHLLATFAQDSNVIRI LDVRQPGQALLELRGHGGALNCVEWSPLRRGTLASGGDDCQVLIWDLLNNNNTSNAAT ISTNGAAPPTGAQAAASTDNVRSPVAAWQCEYEVGNLGWVPHLAGGEYGDWLGVSAGR GVWGVKLG QC762_504910 MGFTDLLSDAGLTVLNNWLLTRSYVTGYSASQADVVVFKALSSA PDAAKYPNAARWYKHIASYEEEFTTLPGDASQPYTVYGPDVAEVTLNPAKAPAAAAEE EEDEDVDLFGSDDEEEDAEAARIREERLAEYRKKKEGKAKPAAKSVVTMDVKPWDDET DMVALEEGVRAIEKDGLVWGASKLVAVGFGIKKLQINLVVEDEKVSLDDLQEQIAELE DYVQSSDIVAMQKL QC762_504920 MECPHSTKPITTTSKMEGVQERQQIAPLVQTNKKYVKMSGFKRD WNGNAKKGDGPKPPAKKVVQNQFTPMFETLRDELDQHHDRRERIIKASRDITALSKKI IFALQRIRKIDEELPKNIQAEIDTRLADISKLLATIAPEIQGINRYRYARSLMCLEEL VEALTFLHYLKTQTLITPEQLTPIMEDLVRKGITPSEDVAMTDASEPAAAAPEHPQEK ETPKVSLTQDDYLYGVFDLTGEMMRFATTSTALTGTMAGSGAGGDEQPRTIVEDMHEL GSFFEMLPVGQGNRFQWEKKLEVTRQSVQKVERLGYDRTIRGSERPKGWIPDLSGGDQ AEEE QC762_504930 MTKPSGTGQPEPPATSSSGVSSLVTTFFDRPLPLYLSAAIFRLV FLLYGLWQDANSPVKYTDIDYLVFTDAARFVSQGQSPYERETYRYTPVLAWLLLPTAH VTGNRILDVAFFSFGKVLFAISDLVAGWLLEKVLAKSMDAPRARKFATIWLLNPMVAT ISTRGSSEGLLGVLVMALLWAVLERRVTLAGLLLGFSVHFKIYPFIYAPAIVWWMDNE RRSPNKTKPTSLSSKPPSLTAKILNFITPPRLQLALISLTTFSTLNIAMYLVLRHPFL QETYLHHVTRLDHRHNFSPYNTQLYLSSSLPLSSSSSFRIEKLAFLPQLLLSTVFIPL VTAKKSLPTSMLAQTFAFVTFNKVCTSQYFLWYMVLLPLYLPQSSFVKNKRFGLAALV LWVVAQGAWLQQGFELEFLGNSTYLLGLWLASLAFFGVNCWILGVIIGDVR QC762_504940 MVVDTAYYDTLGVKPTATELEIKKAYRKLAIVHHPDKNPNDPNA HAKFQEIGEAYQVLSDEDLRKAYDKYGKESARPTEGFVDPAEFFSSIFGGESFVDWIG EISLMKDLTATMDITMSAEEEEAAAAAAAEAEAAAAAKDGEFPGAADALKESLKTSGG ESSSAVPPEKPPVPAVIVEEETPTKKAKEAGYNTYNPSATEEVPPPYTKSSSPGPGSG ASTPKPGGRTQIPIRPALMDRPSDEVSQQTDEGDKKGKKSKAGLSKEQREQLAALDKE RQRIRQERVDTLARKLLDRISVWTETDHGKDVTRAFQEKTRLEVEELKMESFGIDILH AIGATYFSKGTTLLRSQKFFGMGGFISRMKDKGTLVKDTWNTISSAIDAQQTMEEMAR LEQQGGEEWTDEKKIEYERRVTGKILTAAWRGSKFEIQSVLRDVCDAVLNDKKVPHGK RLERAQALVYIGEICLAAKRSPEEEGDYMAFEQLVAEAAMKKEKESKKKGKDKKKDDE DKKTAKPGDKAWEEAAASAANSAPNVPSSS QC762_504950 MATDGSNGAQSNRFLIWGGEGWVAGHLKTLLEKDGKEVRLTTIR MENRESVLAELDRVKPTHVLNAAGCTGRPNVDWCEDNQEATIRSNVIGTLNLTDCCFL RGIHCTVFATGCIYQYDEAHPWDGPGFLETDPANFAGSFYSMTKAHVEEVMKHYNNCL ILRLRMPVSDDLHPRNFVTKIAKYERVVDIPNSNTILSDLLPASILMAEHKELGIYNF TNPGAISHNEVLTLFRDIVRPSFSWKNFSLEEQAKVIKAGRSNCKLDTTKLVNKLKEY GYEIPEIHEAYRKCFERMKAAGVQ QC762_504960 MGAAQQIAYFLLHPNELRSIAQWKVWHEPVHRRDASKETPTEKS CFHFLKLTSRSFSAVIQELNPELLMPICLFYLVLRGLDTIEDDMTLDIKEKEPLLRDF HNIMEQDGWTFDKNGPNEKDRELLVHFDDIIFELKKVKKPYYDIIKDITEKMGNGMAD YALNAEHNNIGVGTIKEYELYCHYVAGLVGEGLTRLFVESNLANPQLLVRMDLTESMG QFLQKVNIIRDVHEDWIDKRRFWPREIWSKYVDNWDDLFAPANREKALQCSSEMVLNA LKHAEECLFYMAGIRDQSVFNFVAIPQSMAIATLDLVFRNPAIFERNVKITKGDACQL MIESTQNLRVVCDVFKKYARRIHKKNDPRDPNFLAISAQCGKIEQFIETIFPTQDPNK VRAGLKQEDPKMDALETFYLIAAALSTFILIGVLMIGIAWYFGARFDQVFKNIDQKIA GTVTSSVAAVTGVAHEEL QC762_504970 MAGRFLVSLSVALSALGVSAAPATTFTKSEGFYIGVPIANPDAQ NLIPHKFIVVYNNTFSDDEVFAHESSIASVIAKRNIGKRSPLTNNILSTTVETFQIDQ WRAMALEADDALINEIYAAKEVSYIEQDAVIKLNVRQLQSRAPTGLARLSHDGARQNG YFFDSSAGEGITAYVVDTGIRTTHEDLQGRARFGASFIRGEDETDLNGHGTHVAGTIG GWKFGVAKKTQLVAVKVLGADGSGSNSGVIAGMDFVARDATRRGLRGKAVMNMSLGGS FSQAVNTAINRIEAAGVVPVVAAGNENQDTALTSPGSAEAAITVGAIDQTNDRRASFS NFGPLVDIFAPGVRVESCGIRSDSDTATLSGTSMASPHVAGLAAYIMALEGITGVQQV ADRLKQLAGQTNSRVVAASNVAGTTDLIANNGFR QC762_0080040 MPCGFQRPHRSQRSITSKTGQTGLQLIVAKHQAGRDPAQSRILM DAAEVDWAETFPQRNCRVRAVGSSCPMAAPGASIKSQPSHILFPPMPCLQDPWFFCFP RFPQAHDSHNNHPRGGRSKKICYAPESFSFNFANRITNNGRQILWHAFAFRIRLELML EPKRPLEPDPAQPAPDVCEVGKSAIPPSIPAHPSFAQVSPDRRMFLAARDGSHSGHGN PIMGPNLACHLSSVLGELQRPLPGSRSRVVLV QC762_504980 MEFPTSPMLIDDGRDVDSLSIDTRLPRAERAQSIIHQAEDEEED ENKATVELASIMTTTSNPVSPGPSSPPLDQVRMQDFIPPTRPSSTPFPQPEPRSTDLR CLRCPSEASMDPDEPSVSDIPSVQTDNENAGLNLSDLPAEIHECILDHLFGYRVSTSS KSSITRWGTALRHPRRKELSELSRVSRTWKVLIQDRLYRHIKLKATIDSLRGSFEYFA AHPHLRSYIKHVEIWFPVFRPKYGPLALGTANTLPTVTPDGLTTASYVLPMDNCSLEE AFYFVANTFPDVCIMTLEGGERRKAPKVRHWIGEDQSCPRTMPRVNSVHTLICKGQWN LIRGQDDFETITSALPNLKEWQGSYSKPKSKSYLTMADIFSMPMKLEKLVLCLEGDYR REMSFPSYFLKVSSKVHFCSKLADAAASPYLEQISYTGRVCQQFFNDLVLKCHDTRLS RLKRIDLTVKNCCRKVAHWNESGSGITDMNFIKAFEQLVLAGIRALGKLQSIEYLRIR YVDLDSPVPPLNPYFLLDKGWCSGVWSDEIVAELNISRPSVQFEELSESFGEVGYNKD GRMTISPDFPRSKSLSLKLGNYAMLSVGIAMP QC762_0080060 MPPPPIPPSHPPADPRESTTTATQPPTLRKKPSAGILTTTTSAL GHRTTRITTTAAAAPTTTSASSPAVPLSRHPFKRRDQNATSTARGMRAGFDPKAPTPT TARQTTPRMPSYERPGPTGTRQPQVPTAARAVNNSGKPPVTPKVATRLAPSTTSTQHQ NPTSVATPLPRRPRPDTILSANGSGPRDKLDITSPVAAFLNTTNVTPRSGSRQNRVES AHSTPNATPNIERHDSFDSRNGLAISPSVVDDVTSRRPVVTFSPASDVSGAGHRQDPD SKFFYAADVPRQTQQAHPRPVVAPQQARNTTFFHASGSPAPDRSSVVSPLVSPAATSN DSLMSKFFYANGAPELQPAPKFGPTRRGPSSVVSTASKIPTNRTGNALRPTSPVGVQP KVKSGHNTPLVSPRSPIASSHHRLSVGSQGRSGLEQTPHSTGAERAHARPKSLTIADA PAIARLMSSHGSIPPTPASEATSPLFAPPSTILPGSPGMSGFASLLQAAEDFAESEEG KSESLNSPAKSSSQEKEPLSDLVANARRERKVQDLQITNASLEAINRTLERQLRKQTA ELRRYKRMSRMSMASLSSRVPSASTAAGGGLAKAGMELNDLNEEEGEIAAGDAAIEEL EEEDEEEEEEEEEEDSMSGSEHSDSGSSGANTERNESRQKRDERRLQIDLSKHQQLLV DSQKMNQSLKRCLGWTEELIKEGKRALAYKITVSDIELGGRVLVPEEIEALEPQQEED GSVMENDIEDYEDELKDDSLYGEEPRLDNLGSTDTENDDLKDDSLYGDDPRLDDDLRD DSLYGDNPRLDDDFRDDSLYGDILRSDDDLRDDSLYGDNPRYDDDLKEDSLYEENPRL DDDLDSSDTEDEKERRKTWRPDAQDRDSGVELPTDSR QC762_0080070 MPRAVDVAFWSLRLNGWRDNGTAGEEAEVVVGAAAAVVVMRVVL WPKADVVVVRIPAEGFFLSVGGCVAVVVDSRGSAGGCEGGIGGGGIAFDSLWRISKAA ISQNSVRKSINRSHEGPISRK QC762_505000 MALLGVTLIIASLILLCMRRPQWFPSLFGGALQPETKEEKHTDD GLQNQHAPPALVVQQPHDTNDDIDDNDEQSTPKASATIVVDNAPVPTLNLSEPEPEPA PKPQIRPPVPLFSAPPPAQSPNNLMMPPPSRPPTLRPTPSSSLSPAPSRLSPAPARGP GCSTLALPPTHSTKPSKPSKAVVLTPGHSPLDWARLSGNPSADLRGLPPGTPYLHVTP SMLKRQTGRKGKDAWTVLSGKVYNLTPYLPFHPGGEPELLRCAGRDGTRLFGEIHPWV NYEGMLSACLVGIFVTEEEAAAAAAGGGGMEDMD QC762_505010 MWLLESDLFEGKKLWLRPGKLYLFGRTVSEAGQLVISDKTISRK HITIKVEPVPEGGGRNITSRSQITIEDLDSKKGTTVNDVQIRGQKKVLTETVNTVKLG MCQKLLRIQWYPVVLSFSFTNKELRADPWTKLRDDLEQLDIKYSAEYEETTTHVVSKK RNTSKGLQALINGKLIVTESFINTIINAATTPADAEEGLSSALEQDFDHHWPNALDHL PPRGEETGERPSTAYAPDERRQEVFEGYTFVFYEKKQFENLLSPITSGRGKAVLNAVT PGQTDVDDFVRFVKGVAGEKGLGSFEDGSEGKGVVVVRYIPKDENYEWYAQFLTEFAQ RLDHRPIDQREFIEAILDCDASMLRRPLEEASQSEPAMSRPEAHTEPGVRMEIDQPST EPTAPQQREVEREPSPQPPLRRVRTRRGVSRFKGFDIEDDNPEPTQEIGPVQPNLPEL SQPAVEASQDGLFVSQYQDPLDRPEEETAPESRPPPRATRKRPLSPLPEHDESALLAN IAPTAAAAKRRRIQAGEPPVPPPPEPEPPVKDKDNDEMVIESPQDKSEKGRGTKGKGK KTAGGDDILELARKQREEAEARAAAERRALTELGDDEIDYAEIRRLQLEMVQECEVRF PEPWKGGAGTSRTREQDIADGRWDPKWNGRKNYKQFRKQGAATGRQASRTVIPLEEVR PKSNGIGDEYWLENDSNSRQKNGATSQRQSQTQQQTSNPTPEKAKAPPRRNILTIDSS DEDEDDVDVMDEDRATPEPTPEPARSRAAKAAERANARKGQSQTQSTQTQSQSSNKRA APPLNASPNSSREAKKPRRGGFMAASRDQSDDDSDDELKFRFGRRK QC762_505020 MERFVPWAHIAAAIFSVILLGISAYVVHVTWGHPTPNFILFSSL WSLLVLGYVAMTPRFAPRLFHGTISLALLWLTTIFWFAGAIAYSVWAGYPYCHGSIWC GSNQAGIAFSWILFVLFTFLAVVETLRFRRGSVRTGPAVV QC762_505030 MGKVHGSLARAGKVKSQTPKVEKQEKAKTPKGRAHKREIYTRRF VNITLTPGGKRKMNANPTA QC762_505040 MADRGTGARGGGFAARGDRGGDRGRGRGRGRGRRGGNKNDEKEW QPVTKLGRLVKAGKIKSMEEIYLHSLPIKEYQIVDYFLPKLKDEVMKIKPVQKQTRAG QRTRFKAIVIIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVVPVRRGYWGANLGAP HSLPTKESGKCGSVTVRLIPAPRGTAIVASPAVKRLLQLAGIEDAYSSSSGSTKTLEN TLKATFAAVSNTYGFLTPNLWKETKLIRSPLEEFADTLRDGKRYTN QC762_505043 MAMFFLARTESFNHYVDTWWDDQQALLICPFSLAATEYGFECYC GDVLVDSWLRGGDSDCNKPRPAVATGNRDCEWAMSLWNADDGKVPRVFGPQEHFVPPT LAPGEIDVLAYVGGLRQTAVLVATPVYEWPAGSHTLSSSSSYASNIDMTALAASVYAI VSAAMKQAQGLVVSEVCRKVYGDLIEKVAKAAIDSTTTTTFSTTTLMTTVICTESTSS LVTSNSPISLITSHL QC762_505060 MPTHPPSQLVRVTRFVLLWELGAASGSTIPDDRCLPPATPDPGR GCWGTIPILHISSPPSPSPVPTSVTPPVHSSHLLLNTIKLRPLSCIVSEESDRTQQPT LPHTMSNSGGSLTEKDMGHATGAAPNTHGPATGHYRGGYDPRNPMANIHAGDEPRLPA FGGEFQPGLWRPVEKRQFANPAPLGLSAFALTTFVLSAINLGTRGVNVPNIVVPLAFG YGGLVQLLAGMWEMVVGNTFGATALSSYGGFWIAWGLLLTPHWNILGDEGPYKTDAIT SSDPMMYESAMGFFLTGWFIFTTILLLCTLRSTVMFFLLFFTLDLCFLMLACSHYSHS NGNTEMYHTLTKAGGGFGMVAAFLAWYNAFAGIADSSNSFFLIPVFHFPWSEKGREAR LARAATRETRDSA QC762_505070 MTRHRILSILLLTILIPITAVLFHNYSAFSPVFRARFSQMATTN KSPVIVVGAGLAGLSASYSAIQSGASVRLLERAPKPGGNSIKASSGINGAPTRFQNVE KYGVDTSFWDDTTRSAGVRLNSASVSPTVKRWREELITVLTNRSASAIDFLTDLGVDL SLVAQLGGHSLPRTHRGAGKTPPGASIVTTLLTKLKEQGPDRFQLVTDSEVTRLLTDS NAPKGTVKVTGVEYKNRQDGSTRLLHGPVVFTTGGFAGDTHGLLAKYRPDLDGLPSTN DPRPGAHTILSDVGAKLVDMDAVQIHPTGFVDPANPASPLKFLAAEMLRGEGGIILHN GKRVINELQTREKISNALMALPAKNEDSLRQWDLQLLLDPGATEAAAGHVGFYLWKGL LQKKKIAELDETTRQTLKEYAAVVRGEKEDELGRKAFGHWRLTEEDVDEGEEEVCVGR VTPITHFTMGGAVFNTKAQILTAELGEEQEGKEIEGLWGAGEITGGLHGDNRLGGSSL LECVVFGRVAGEEAAKYRV QC762_505080 MTLLSLKEDRPTPKAVYNWRVYTCAAVASFAACTIGYDSAFIGG TLALPSFEEEFDFASYSESGRALLKANIVSVYQAGAFFGSLFAYVTSHFGGRRISLFI FSVVFCIGAGIMLAANGDRGMAPIIAGRVLAGIGVGGCSNMVPIYISELSPPAVRGRL VGIYELGWQMGGLVGFWINYGVNTTLAPSHKQWIIPFAVQLIPAGLLLIGAFWLRESP RWLFANGKKEEGLKVLCWMRNLEPTDTYILEEVSYIEADLERFEREVGKGFWKPFLSL KQRKVQWRFFIGGILFLFQNGSGINAINYYSPTVFRSIGIDGVNTGLLTTGIFGVVKT VLTIIWLLWLVDLAGRRRMLFIGATGGSLCMWYIGAYIKLAKPEQSASGELTSAGISA VFFFYLWTAFYTPSWNGTPWVINSEMFDQNTRSLGQASAAANNWFWNFLISRFTPQMF LKMEYGVYFFFASLMILSIIFIFFFVPETKSIPLEAMDRLFEIKPVHRANKLLMAELQ QTSDMGHGAEESVGKDSSSTDEKMRQAGFVQAENV QC762_505090 MSSTTVAGMKRSYAAMVSTCESDSSESRPLSRGWSSDSQNMAYA PGPGALFQVVGDPIPRVVPRSATHSPLGHEIVQPARPYDPDASIIIVGIRGSGKSTLA VMASTAMNRKVVELEHVFHEKTGLSSPAYKRKHGATDCQRRQASILQHVFDKHKRNTI VVSSWMDRSVQAILEDLGRTNPVIYVLRDSEAIRTHLKVNDARKFCELLDASSAFFRR CTRFEFFNASEEQNTAKETMGGSSDEFAAPYLTLKRAERHFLKFLSLILPRGTIPFIE SAFPLACIPAEERRFTYAISLPLSSLIKDNLDIQDLETGADAIEIVLDDLVTDFAAHL RQLGQLPPDRAREISRVVAQVRRDTVIPIFLHVAFPEAALSDETWRSMYSSYVSHALR LAPEYMTVDLRLDSVTLAGILNIKGSCKIVGNVQLSDPHPPSWNDSSWQSYYQKAQNY GCDMVRFTKTAASIDDNFDIHRVHAMVESAPGSRLPLIAYNTGVLGKHSACFNRILSS VKPEGMSKDPGGVDSHSLAIRPFLTAKQATEALYSAFLYDHMRLYVFGANVDYSLSPA MHNAALKACGIPHHYEPHSTSNISSLEKLVSDPHFAGASVGLPFKVEIISLTHSLSRH ARAIGAVNTLIPVRHLSPDGSIGDDVALFNDRNRAGPVKALYGENTDWVGIRACIRRG LSPANAVRSTSSGLVIGAGGMARAAVYAMLQLGVKQIAILNRTVANAEKLVSHFERLL ARNDLPWLSTGSRTHEGTRFHILRSRDDTWPESFRHPTMIVSCIPTHSIGDHPAPDFT VPASWLQSSTGGVVVELAYKTLITPLLEQVRREAHRGWVTMDGLDLLPEQGFAQFELF TGRRAPRRLMRREVFRSYPDDQGRLNFARLLQPRFDNITAQEP QC762_505100 MGPKRNIKETEGLSSIAPTTPDPASLQQAVGHQAVPVSVKRQRV SRACDQCRAAREKCDGVQPQCFPCISQTRSCTYNVSPKKRGVQTGYIRALELTLGWIM ENIAGAEDALGNALAQEGSQAQAVMTGKDATAATRLHKKWGRSRVHKEIERLLSGGVT PVLDLDGRSPSVDANANNTDSSVDTGKNIAPEPPDLPTCNSAAGPHLAITPEHTLPDT QNPRTMLQNYSSGPSSNTPIPTRTQLPPEHWRLLDIYFSYTHCWLPILEKQALFQTSY RYTKEGLLLVSTDPSSAAHAELWSVLALASFQSTTSSQSLAGEAGNTNLSHHQIYNTA RGLIPSECENFQINHARALLLLSLVNMARENRTDASLLLGFAIRILLNLHSSEAPSAG NTTNIEAALMSCFMLETTLSIAYKQLPHLRAEDLARFPSIPESGLDQWEPWSPCEGFG SKSVDFRSSRNPAFCVTTFNQLYAILLVVSQRVLAESRGGFSENQKSSFSAQLQHVIE SETPFRDFILSEACQASSVPTAYIIRILYLWAMLRNSRSCTESLVSMLTETLEHYGNS FHAGTMPSFLLTSLRSMANEDFLAGFGERDRERLVELALRYSASRSTNVSNMPGAHFI PVPIHQSIIGRDHTAKAPSSLASMVSGSSLAPLPASTPSLYGDGRRMSNAYDQQFQHP SATGNLQGGFGSVMAYGVPNVGMGMHHSHASLGFGGPDYDALLDDMAATDCTDSIEVD SQFMINLGYGPGCDTSEVFRNRFDGYE QC762_505110 MTTTTTTTVTITPPLLQQQAPALQLSFDPRIQNLTKHGFLFGKK LAASMSPLLHDVVYQGLGLNWGQLRLDSDDMDLFLNLVQDPKFYGASVTMPNKVAIIP HLDYLTPECRDVGACNTLFLRDDPNTPGRRLLCGANTDVIGVRESFVQNVPNADVYEN CPALVVGGGGAARSAVYALHKYLQATKIYLVNRDSNEVEAVMKECKERGYGDKLVYVE SVEQAERLEGPGAIVACIPDFAPVTESEKTVRKIIEVMLVKDHKGAMLEMCYNPSPFT ALGAIAEKEGWQVILGTEAMIWQGIEQDKYWTGLDASELPVAQVKEAIAARLAELSNA QC762_505120 MPSKLAITSMSLGRCYAGHSFTTKLDMARKYGYQGLELFHEDLA DVAYRLSGETPSPCGPSPAAQLAAAHQILRMCQVKNIEIVCLQPFSQYDGLLDREEHE RRLEQLEFWIELAHELDTDIIQIPANFLPAEEVTEDISLIVSDLQEVADMGLQANPPI RFVYEALCWSTRVDTWERSWEVVQRVSRPNFGVCLDTFNIAGRVYADPTVASGRTPNA EEAIRKSIARLVERVDVSKVFYVQVVDAEKLKKPLVPGHRFYDPEQPARMSWSRNCRL FYGEKDRGAYLPVKEIAWAFFNGLGFEGWVSLELFNRRMSDTGFGVPEELARRGAVSW AKLVRDMKITVDSPTQQQDTQQPIRMLSLSAAL QC762_505130 MSRRILLINGPNLNLLGKREPHIYGSTTLEDIETQARQQAHELG VEIDTFQSNHEGAIVDRIQETAGWGPNVRETDTPGKRVSAIIINAGALTHTSVAVRDA LAAVAIPFVELHVSNVHARETFRAHSYLSDKAVAVICGMGAYGYSAAIEFAAQHLKIE GE QC762_505140 MTPVMLTEQELDEVYTFAVQLGKDAGKMLLEAAQLRFTGTQTAD LEVEEKDSSVDIVTETDEDVEAFIKSSIEAKYPHHKFIGEETYSKGASRSYLVSETTP SWCVDPLDGTVNYTHLFPMFCVSIGFIVAGRPTIGVVYAPFLNQLFTSCRGRGAWLNE TQRLPLIRNPIPPMPADAPSGCVFSCEWGKDRKDRPDGNLYRKVESFVNMAAEVGGRG GKGGMVHGIRSLGSATMDLAYTAMGAFDIWWEGGCWEWDVAAGVAILQEAGGLVTSAN PPKDIETAEIQEVKLGSRLYLAIRPAGPSKTETGREGQERTVREVWRRVKALEYARPG A QC762_505150 MLENLCTLPLSADLFTQVLHPSEPLLTVGLASGKVETFRLPNDD DEEDESGRRSSTSSGRGMIKSIWSTRRHKGSCRHLAYSHDGSAMYSAGTDSVVKHFSP ETGNVISKIGLPPRNSATSTTDCPAILHVLSPQTLLLGTDSGGLYIFDLRENGSLNPK PVRKHVPHADYISSITLLPASAESSSGFPKQWVSTGGTTLAVTDLRHGIVATSEDQED ELLCSTIIPTGLGPKKMRSNAVVAVGTGNGILTLWDRGAWDDQQERINVAGGRTKKDG ESLDAIVRVPDELGWGKKVIVGVGDGSLSIVDLKRREVQFVLKHDEVEGVSALTFDYQ NRLISGGGRTVKVWAESGDDDLDEEEEEEAEATGFKRPARSDSDSDDDSEDERPQKSS NKKQKKGKGNQARSVAFPGLD QC762_505160 MNVGKMKTSWYIFLCCLSFAMALNLTAKRPLRCIMYYTGQHPVT PPIDQLKHVTHVAVAFMSPGVFNEPGRMDWPLWTTVEDVRKKFPKEAKVLIAIGGWGD TIGFSVAALSDETRKTFAENVASMVRVTEADGVDIDWEYPGGNGEDYKQVPNTSKSWE IQAYPLLLAELRAALGPNVIISAAVPGLERDMLAFTRETVPRIMRHLDFLNVMTYDLM NRRDTVTKHHTGIDLSLKALDAYIAAGAAPQELNLGFAFYVKYFRTLHEKCLDDPIGC PTVLLEDPSTGADLGQAGAFSWHDAVPEDVANSFTRAIGKGRYDGKQGGYYYWDEEED IWWTFDTAEAIKRKLPVLVDKKRLGGVFAWGLGEDAPVYERLAAVNEELDKRLEIKDE L QC762_505170 MQLCLCTVITKLETFGGGKLMASLDGPSISARPDFSFLYSIALS MAAATKSNQLAMNLLDASPKPCLKTSFRDTTQLPGANHTKYIGRLYSPTACGTGLANQ ETRYLKGPTRSGVRSLMRFLEKFPSPAILVGHGSGATISWLAADQAPHLVAAIVAIEP TGPPFTNGWVNDGGKQIFKPRFPDHQSLPFPCTRPYGLSDIPMGFVPPPLFPSSFEEL LQDAAYIDEIPKHERFQPIPFVRSVDPLTRTECYLQDEANGPVRKLPNLKDIPQCMVT AGSSFHTAYDYATVAFLRQAGVPVSHLKLPEDFGLYGNGHLLMLEHNSLGIANWLIKW IIQTLDPPTNES QC762_505180 MRFLRNDVVAAVLLLAPFSLAAPTEYSKKLPQFATIINRRQLNA NNATYDFIIAGGGIAGLTLADRLTEDPNVKVLVIEAGPIDPGLEGIQVPGSFSPWYYF WPNLLTVPQTALNNRVIGTVSGQVLGGGSAINAMVYVRGDADDYDAWGFMQRRGNSSF YGNSSVSSSMSWNTMLPYFLKSENFTAPDAAYALEANITWNPAVRGTSGPLKYTYPPY YFPGAANWWNAAQSVGLPPVDDPLSGIKNGIFPIPSVLDADTMTRNYAKINHHDRVKQ ARPNYHVLAGNIVGKVLFDPSCKKAIGVEYLPTSGGAATNVFASKEVILAAGGINTPK ILQLSGIGPKKLLDKFGIKVVSNLPGVGQNLQDQPTLTVPYTFTNNLTPNSGSLMANA TYNAEQRALYDTERKGAYTIISSLSTNIGQLSLKQATSDYQAIIAAARAANPADSLPA DVDATVLAGYRVQREAILNQFKGDVGVGNLHWGTSDNALVYHLKPLSRGTVEIVTTDP LVNPAIDHRTATDPIDFAVYTALFRKNRELFAAPDMQVLGPAEGAPFAAATTNEEIIE VMRDQINPSNAHQCCTAAMLPKSLGGVVNSEQKVYGVSRLRVADISFWPMQTAGSPLG TMYAAGERLADMVKAEYGLA QC762_505190 MASLFILRPLRVSLPRSGSQQLRQPAAKAAGVRRSFSSYLVTPQ ELAEALKKAPPSPISSEPRVIPLCASWFLPNDPEGWTGIDVFREKRIPKARFFDLDKV IDKHSEYPHMLPTPKGFAAAMSELGIRHEDTVVVYDSKELGIFSAPRVGWTLKTFGHP RVHILNNFRLWVEQGLPTESGNVWTVECGTYPIPEMDEAKVAHFEDVREVALDYNKEG AEGVQILDARSYGRWSGRDPEPRPGLSSGHMPGSINIPFDAVLDPQTKAFLPVDKLKQ VFKEKGVDPAKPIISSCGTGVTACVLETALNEAQYGSPETRKVYDGSWTEWAQRVKPS DSLIRTVEQHSE QC762_505200 MKVKIKRWNAVATWRWDLPEDDLCGICQNPFDNTCPACKYPGDD CILLSGKCGHNFHMHCILEWMKQDSAKGQCPMCRQRFEWADQTNQTMRDAAQALASV QC762_505210 MTSPAFERPSSAAAGYHASSIPPSPRPSVTGRASRSSLRRDRDS HDSGAYPRPASAALSHGTSHDEPMYRPPSSSSPPQPQPAPQPTFSPPFVLLTSTSHAS SRQTIHHPAVRYIFADDDPEILSAELTQYHYTKQDGSEDKTGSGHRAVIIDMDQREGD IGFEVAWASSLSPDWAVTSASMAKMDGGGGGLVLKVEGMSLEPPISLAASSTTGKMQA SEVGDMHSSGASGEGQQRRPQPKRSPSSSDEYAALLQDFEKRMAVLRKVAEVGAERQR IMREHDHGDMAPEVSAGHQFTPTVEGSDRLQD QC762_505220 MSYAGYNPNNNPYNQGGGGGGYGQGGYGGGNSPSGYSNPFDDRN AANVEMNSLPTSSSRPTQSSILQKCSEISNDVRSLEALLDKFSNLQVKLSGATNQGAI RDEIDTLTANIMDRFRALKDRVRDVKTDDHQLGGNNRQVGLVERQVQAAIQKFQSLEG ENRKRIRDQTERQIRIVKGDISDAEMRRMVDSEPAVFSQALMQSNRSGQASSVLAAVR QRHQEMLEVEKRLNELVELMEEMQELLVKQEAVVMQIDQHAEQAAEDMVKANDELVVA VTTARKTRKKKWICLGICVAIIVIIVVAVVAYVMITRQPAPAPATPAAAPAPAPTPAP TPAAEQKRSIFERNVFDDLHMNDARAVKLAGDPSGQLSQISRRRLNRVPDQPMHKRFV VEWDVGSDGS QC762_505230 MATRDASHAGSWYDDDEKELSSQLDGFLSRVPDQLDDHGLPVPG ARVIIAPHAGYSYSGPCAAWAYKALDLRAAKRVFILGPSHTYYLRGCALTTFSKYATP FGDLVVDRNTVNELRETGKFTDIPARRDVDEHSLEMHVPFLWKRLQQTFGDDSTKYPP IVPILVGDGSAEEEKAFGKLLSSYLKDPTTAWIVSSDFCHWGSRFSYRPHFSDGAIRD MDAPRSKGVRHEVLNVTPPDWSKLGISSEEPEIHDVIKVLDQLAMDAVESGEHSEFYK VVQDSHNTVCGRHPIGVIMAALEAVDGKGKFKFVQYQRSNLVKKSFDFSVSYASAYAV V QC762_505240 MVIKRKRSDSHLSSFSSALASPPRASSFNFDAISAMDTARRGFF SPRLPTSSHMPGRTRKRFRDNRPPEAIIHQRTLNLLFSAQQQQHTQQQAPSPPQVQVT EPTPTLVPSEVHPEQHQRSLHSFWKLPTRTVASPSSSQASLTSSPSPDAMPNSSTAVA STTCDDCGVGLLESDACGDQDGIMMEIDGGGEMGENTCGACGKTVCFSCSVSNLGEHR RCLACAGRRDWGAANGSGRTQGVGVY QC762_505250 MTSGESAQQAKEPRSPELGPFKDQIARPQDPDSENTNADLASLK YSLLGPSLTKAGQDKVDQSKVAEVIYNSSKGSKFFNREEERDKALTVKIDQILAKKRQ LEKLDLSRELRAADALLAQLEASRDLTQHIVHIDCDAFYAAVEQLDRPELADLPFAVG GGVLTTCNYVARRFGCRSGMAGFVAKNLCPQLILLPLNFDKYNAKAAEVREILADYDP RFESASIDEAYLNITQYSIDHGMEAADVVSQMRREIHEKTHITVSAGIAANARLAKIC SNMNKPNGQYVLPRDRVVIMEFMRDLSCRQVNGIGRVLERELGAVGISTCGDVYTQRQ FIEKLFGEKTYNFLLRCYLGLGRTSIQPAEEYERKSVGTERTFRDMDNPTQLREQLRR IAEELEKDMRRAECKGRTLCIKVKLHTYEVLTRQIAPPKAVYLADDLYDYALPMLVKL EQEVPNMKLRLLGLRCTHLLSTKKPDTMAFFGFRPRRVGSVETGESTSRMNPKKAAGT GEEWEEWPSEARNDVLLAERAGSSSGTDSPFWRHGKEVLPNPKKEKQPAEVMQEELWD CPICNRPQTPDERQFNEHIDLCLSRQTIRDAIQQVAASTPPPGKPSIPEAKKSKDKKR GRQSTAADPRQKKLRFT QC762_505260 MSRRRRELAQNHPRAVVLISRCRSWLPPLNFITIHYAYFISVCL VSSLVFWGSSSPAWSISYTDSLFLVVSAMTEAGLNTVNLSQLTTWQQSLLFLLIIFGS SIWVSIWTVMTRKHAFEQRFRDVIQSERRRRTSHGGSALSLGRLPNFRSSRRSLSSHT AQHQPAAYRLSSIDNQHVSLPQTNRLEADATTNFDRACINGEASENTNPTHIAFVDTN LPDMQVHDGIKATSTAAQHVPLDNQPVYRSVLAHGRPEEGTKCHSGMRSFLTHRSSNR NAQFFDLTNEEREQLGGCEYRALKVLALLVPVYFVLWQSLGCIALGAWINNNQAEPPL RNGINPWWLGIFNGASAFNNSGMSLLDANMIPFQNSYFVLVTMGLMILAGNTAYPVFL RLIVWSLLRLLSLVTREEEYNPLKDTLKFILQYPRRIYTNLFPARATWWLLFMLVLLN SIDWVAFEVLNIGNPPIESIPPGSRVLDGLFQAIAVRSGGFYVVPIPSVYTGLQVLYV IMMYISAYPVVVTMRHSNVYEERSLGIYVEDDAPDSDPEQSPLTHHGPPPSRVSPQGG LSALHRAFSWTVTWHGVGARPPSLRRPESRISFISQQIHGQLAHDLWWLSLAILVIVT INTSNFMADPINFSVFNVIFEVVSAYGCVGISVGVPHDSYSFCGGWHPVSKLLLCVVM LRGRHRGLPVALDRAVRLPGEELEQEEEEDHQIRMSMGGNRRVSSEA QC762_505270 MQGLLLSLSLLASAAVGVLASDDLKIDVTLPVECDRVTKKGDKI NVHYKGTLKSNGEKFDSSYDRQSPFSFKLGAGMVIKGWDEGLVDMCIGEKRTLTIGPS YGYGDRNVGPIPAGSTLVFETELVGIEGVPKPESIVTKLATDAPEATASAKVVEKVAS VAKQAAEVVETIIADTDDTQEHNEL QC762_0080370 MMASHFRSELPVIFGVGHVALVGREPLVCFATLPALQIRQAHWP RKQGVIMDDNEAECTKNKALLLTFN QC762_505280 MVCGLLPSLSQTRFFCIYILSPKPCCPVLFLTRQALENPSLCNL RPNSTIFFTRSLFHLLFRVTFAMPSYNGSKHSTIVHQNPSRSSSTYYSNNSSGSRPSS HSTHSHTTTYTMDYSTSSGPYGHDQAQYRSPYAKDYNVDVSRHGSTVVINHHRPNPST DEPRASESRGAFTVYGNKHKDDRRKDNSRN QC762_505290 MTDQDANVVSQAPVDETPISPSRPNPARKNSLEHHLAHRPDRQE LINKNILPASTAAPAIQAQQKELEKHMRADSLNEKIAHRPAPETVLEKHIIHEDPRSP EDKYAEAIEDEYAKREGGA QC762_505300 MWGTRGDCFSPNFFPCTPTYTSSSIHPVHIVTMGQTQSDQRRPP PSREEFTKELAAKFADKCFTSLEIYSFKEVFKSLADQEQNVRHLKDDTIARFLEIPDV LGVSPVIFQMISYIGAFPFLRDAPAVLGLEEMVMVITIMTDRYQRVLAKGADDRRKLL FKSLAVYDRKLSEHVNRDKSPVIDGSGAPANSSHASGFAVDIAGDDEDDLGCEDEDDL VLAALDSLDYANVGKLGDGPPPNHALIPAGNFKKIIMLLLLVAPLGAQESLSSHANRV VGKELDELGVVADCIVAAFLDVEHSPGIRFSRFNSIIPSSMPFVFSGFTPLFEHFLFS KTLDFHKRVGGSTVVPDVVQPLLQDKGSLLNLSIMSQLSFFIPGESLFRKLRLLYAGG EDGFSMGSFETKVFNWRAPTILLVHGICLPDETHRAGGAETAFLSTLPPRRYPSGNRA IGERVTFGVYLSQPWRHTHRECFGDSDSILFQLQPVHDVFRGSPSNKDYASFTKPSAS TPIGGVSFGCPPPQPTQAYRRSSTISMGPVSLVMDSSFEFGCFTHNYTSRGSSAFQGS ACRKFDFQDRFEISDLEVWGCGGDEEAKHQAERWAWEAREAEARRRINLGTGDIEADR ALLEMAGLIGGNRSGGSMI QC762_505310 MSNGPLSNRPLPSSFDSNDDFYNENGFQKVLRRLKEEPLVPIGC LLTVAAFTNAYRAMRRGDHAKVQKMFRARVAAQAFTVVAMVAGGMYYQADRHKQKELW KLRQQKDAEEKHQKWIRELEARDAEEKALQERLDKRRKRAAERAGGTGTESVAAQARA ALRVSKAGKPETGEATSTEANQADGGVLGSLGGWFGGSKKAPEDTTPALESKPEDPKN QC762_505320 MASTNSNAPRHEGSVAEQTAGHVNGDYVVNGVPQLPTAFRNGNG GLGAGGLPRNMSLNSFALTEYSAKPTPPAEDKKDEVKKVVPDEYLLPNGNPDLIILRL QYLRLIIISYPRVREVCKETPLVHAVGLSNRLERKVLLKREDEQPVFSFKLRGAYNKM AHLDATESWKGVVCCSAGNHAQGVAYSARRLKIPATIVMPKGTPSIKHLNVSRMGGHV VLHGADFDEAKEECARRAKQDGLINIPPFDDPYVIAGQGTIGMEILSQTNLQKLDAIF CCVGGGGLIAGVGVYVKRIAPHVKIIGVETYDADAMTQSLDKGERVLLKDVGLFADGA AVKITGEETFRICQEVVDEMVRVTTDEACAAIKDMFEDTRSIIEPAGALAIAGLKKWV AANPTPDPTRSVVAITSGANMNFDRLGFVAARATYGEGREALLAARIPESPGAFAELI NAVMPHSVTEFSYRYASPTEANVLLGISLTAVGSERAQQLQSVISRIKQSGTMDITDL SNDELAKSHIRYMVGGRSSVPNERLYTFRFPERPGALERFLQTLRVKYNISLFQYRNH GGDIGQVLTGIVCPNEEIGELETFLKKIGYPWEDCTDAPVFKTFLRSES QC762_505330 MTMASTMPSSLFLPLTNVWRALVLRSDVNDIVLELLGRFSLERM YADASDRDTYQQLVITLLSQLKSIFDIQRLTLSVDNVHTAWYLGFLVSWEVALRSVEF VLQTINESRETIWENRLLRERYLAGFLLSSLRVLTLHPKSPAIQRSRDRRERFSRIHG LLEQVFDSFPGPNSFPLAACKEITTQLHLDPDSLGLPPALASGLPNLASQLSPFPPGL QPAAIADIVPAGYSGDWLVKYLALRDVSQFVVAASIQYAASKETRELRLEHSRNAVLS ALDALLLPDTLDLSHQDTAGICVEECELDALDALFARLVARQAIHHVSDREMVHNMSQ VIRNIALQDTIPFMPNQRPGLYSVNCPECHIIGASQLNPTDINTPTEHGHVARQLPPK TRCIHCGEAITIAREISTVRQTWELLEPLRLDADTVSLERHLPTQFLLRPPKPQTSGF TSLEYGNTLGIGAGKLGGHEAYGSSHQPKMVLSSPVSIDPIGSLYTGLLSPQSLNHSQ SPLPLHNRSKLSDHHDKLDPALLTDRPTFSHDSPIFKQLQHNTDEASSINTPRSVPVV TSAEKGKSKWRLKFTTSKKPPAGVIADSSSLSSTTLEAQKARGNHSDSPYHPETSRER EIVEEYQCYSFAKLYPCSILDLAAHTCPESTCILAAIARTHLAYVIGTRDQKLTLRIV NLVQPTVSVIEYRIPSTLWAKALAIDREENYDVFALKKRTVAFFQDGFTLLASTRSSK TGLMQIFSWRFPFHEFQELAACRYLIPLHESEDNGLSAAIFRPGSDGEETLVCITTWT QFGTPILIQPQDGHRSEIKVDGSGKFTKLGTRIQCAAFSPSGRELVIINDRGYVFQVS NLNSSPIDTRRVANSKELTAKSELFAMSFVTIADEENVLVAWVDSARATGWIKKIPMT GRVSNNTPLWRGEK QC762_0080440 MAKPLYMATRTRALPGTPKNFVERAYDWNHPYSFFNLVYNAKDE NLKVEHSIEGSLGTLLGVWTTFTSVMFSYIGMDIVAARAAESRALSDVESMKMAARKI NLRVITLYSLAMLTASFVVPMDHPFINGHSTSVGARSIFIIVVVEAGMPKVAHFFNAV FVFPAFTCGINSMYIATRVLHTLALRGQTGPEFITRRLRR QC762_0080450 MAGAIMMVAYMGREGSVGARLDELANNCTVSCLVVYTSICATYL YFFRTLEDAKIYANTSESQAASYDLACVVLILFNGVGAFIEPFNIRKFIAAYISLPVF ILLILCYNIRKHGFRFRDWWTDKSGDLSQTVQASSNTRKGRLEFPDSGITRHNWAVFV HWVWVWLK QC762_505370 MVYRGLRLAARAAPKFALYNNNTALRQLPLQFQHVRTYADKIVK VPQMAESISEGTLKQWNKSVGDFVEQDEEIATIETDKIDVAVNAPEAGVIKEFLANEE DTVVVGQDLVRIELGGAPSGDKPAAEPKESAPEKKAEPEKAPEPKQEESKPAASAPAP APPKQETPEPKSKPAPAAAPEKPATLGNREEKRVKMNRMRLRIAERLKQSQNTAASLT TFNEVDMSALMEFRNKYKEEVLKKTGVKLGFMSAFSRAAVLAMRDIPAVNASIEGPNG GDTIVYRDYVDISVAVATEKGLVTPVVRNAEAMDLVGIERSIAELGKKARDGKLTIED MAGGTFTISNGGVFGSLMGTPIINLPQSAVLGLHATKERPVVVNGKIEIRPMMYLALT YDHRLLDGREAVQFLVKVKEYIEDPRKMLL QC762_505380 MSSRMAALSLYRRSLKLALDWSVHRDVWRGQALYIRSLFEKNRA VTDPRLQRALLKETEKLLENWKHPDPYIPPTAPGGSKYERNLPVPNLDPPPPLKF QC762_505390 MTGGGKSGGKASSGKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQ RVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGH VTIAQGGVLPNIHQNLLPKKTGTKPGKNASQEL QC762_505410 MPPKAADKKPANKAPATASKAPEKKDAGKKTAASGEKKKRTKAR KETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTISS REIQTSVRLILPGELAKHAVSEGTKAVTKYSSSTK QC762_505420 MPPKRGKRPYAGPKAAHLRSTPGVQGHVSSFSSTTFFQSVAHSN DFSLKDEVRNTQSHHLDSAWTSGTVKLRQKPVSFVSAGYSEPLKLLEDIEQDKVPPGE ATESKADDMDITTVDVNLNVSAEAVIEKTTLVELDSAAKGKMVLSNSTSQDNPSSETP QEQAQDLFFFDVSGDKAIRDKHRAVHPPPLVPIRKPSLAESDSSEEVILFRGRAGNAK TVPQSNFVVRNGVAINTTTAITPTGHKTKPTAAEETSLRDDPEVIPVAREKRAGRQRS RSKASKIPKTDEDEEDAILADYIANMSANPEDDFISNQLRSFNNRRDLGGDNFALNLG SGDENDMPVVEDLSGDEQQAESSGSGLSDADEDDGDENDEPDEDDDMDADMDDEELAR LLAKQEELGLGSDELVLIPESFGVSKRGAKKGGQKRATSSSFAKSANASSVADAFDDL DLADWTVPVPRKRRSKQPPNFNISDSEIEAKLKLDWSRDRERKKERKLARESLRGQGL LDKNASPDDLRVKYPMGLRLEDFKTELVAFLISSDERLEFPPLDKHGRMVLHQLALKF NVKSQSTGKGTTRRPVLYRSKRTITFKPHQITEATRQVDGAARRVGRKYFPRADVAGP RGDTPRDGFRGSAHVSVKALVLREGEVVGASAPELGQENKGRAMLEKMGWSKGMALGA LENKGILEPVAQVVKKSKAGLGRT QC762_505430 MKSMRSGSNNWQSAVSETSPKIATAMEAAISAGAEVSRVGRRIL QRIWDPEPTNDRSSNEPVWCLGCSYLLDTKEYGTPPTLTTSTPPADATLTAIVPEPGA GVESEPRRATEKAGVPVNTSNAKAVPPIPVAASGQHQLQVPETPPLSVASSFDSALAY EEPGQDGGWPPAFLDDFESRIWMTYRTGFEVIPRSTDPKAAAALSFTMRFKTSFGDQT GFSSDTGWGCMIRSGQSLLANAMLISRAGRAWRRPTNPDIEREIVCLFADDPRAPYSI QNFVNHGAAACGKYPGEWFGPSATARCIQALAKKHDSSLRVYLTRDLPEVYEDNFMST ANPDGNHFHPTLILVSTRLGIDKINPIYHEALISTLQLPQAIGIAGGRPSSSHYFIGA QGQWLFYLDPHHPRPALPYRENPNDYTIEELDSCHTRRLRHLHVEDMDPSMLIGFLIK DEDDWDLWKSSVKHVQGKAIINVSPHDPEHGMGFGRAGAIDEVETLSDEDDTDTVLDL QC762_505440 MGADQSTPRGASQAATTVTQQKTCYYEVLGVDRQVPDEEIRRAY KKKALELHPDRNYHDTENATRKFAELQTAYEILSDPQERAWYDSHRDAILRGDDEVAG GAPGGQDPGNHTSANAVFALMSRFNSSVPMDDSPRGFFGILNVFFEQLAAEEAAACEW DGTTPTHYPPFGKAEDDYNTVGKSFYNVWSSFSTRKSFQWKDVHHLAHAPDRRIRRLM EKENKKLRDEGIREFNDAVLSFVAFVKKRDPRYVPNTQSEAERQQVLRNSAAAQAARS RAAHQEKMAEYVVPDWAQPKEHQDYEGEFSMSEEESEVEEIECVVCNKTFRSEKQFEA HEKSKKHIKAVQQLKRQMRKENMHFDLNPQDSPGASTPQSPQPEQDAQVIQRERDMTN TAPLVSEDGRTGEEKQGPVEQDDDQEQSTQSSSSPEDDEYAPRSTVEERIVNGAGATK KVSQPQADDSGDLADSTAASVANLTLSEPAPGKKVGKAKLKREKKAARQAESQIQDSL QCMVCKEAFPSKNKLFDHIKELNHAAPVSATPGKSGKQKRKK QC762_505450 MKFGHAFKEALEAETYPRHWVDKAVPYRQLKKILGKVREELINN GYDPDTLQKLVAERNAEYRLESDDSHLLRPKLVVRPATTSAQPLDQKTEVDSLPESPV SLSPTSSASTEPGPSALTHDSAPPCSHRQQQTSDGEWVDVPLDADARFFSVLQQDVNE LDTLQDKERVAMIENIHVIGNEIAQVARPRKPVIDFSRSDLYRWREILDLYLSAEVFF STNEVSGGARSSDRARKQLVWFQEEVGKRQLPQKFKIKSSAVAFQHFLSLNATLLQNL QFQELNQTAITKIIKKFDKRTSLGVKKTFPKVMNSAHFISETISKDICAQLSRDVISL VPQVVDYTCIVCLSICWLPIRLDCDHLFCIRCMIKMQNRQKRFCPLCRADVIQRANET HIDMELVRYLERWFPKETKEKQRNNEDERRKELLGDLYVEGAQPPCIVM QC762_505460 MPAFNLGESIPPHTEHAVSVSLPTWRANVGYEEGEDWVVGSMTT GYPRFFIHRSIQAFAKDILEKIGRKGLVAFLFPTRQVAARCVSFVKLRALPAIVSSLE VLHLVLDPANPQSKALRGLSPSISAVICSPEGFSFLKQYWQHTGDGVSSRRAEFCHSL FKDGLLRVDESPKNTAAPMSPKPCRGPKRYQRRGSLDAGKPSTTSQTSTTVPDREETS RFLEERFGRNLDVSFVEPAKSAIKRRIAGALRSDRELTASPVPEKEMESNTRGVVNLR EDDIYLFPAGMNAIFNAHRALLGARGGLKSVNFGFPYVDTLKILEKFGPGCVFYGNAS EADLDDLEARLKAGERFLGLFCEFPGNPLLTCPNLARIREMADKYDFAVVVDETIGTF ANINVLPFADIVVSSLTKIFSGDCNVMGGSAIFNPNSRYYSALKDFARTGYEDTYWPE DVMFMERNSRDFASRIERINANAEAICDVFRENKLIKAVFYPRDNESSANYEACKVPG GGYGGLISVVFHRKEQAVAFYDAVDTAKGPSLGTNFTLTSPYVLLAHYQELEWASQFG VDPDLIRISVGLEDTAEIVKVFEAALHVAEHGSQ QC762_505470 MPQLPFLEVEDLSSSLSFYSAIVEPLGLRHISTERGHFPSVTFG KSERDPVFQLRQVVASRDRPLRRSRIAVTAPSPGAADEAFEFAFRANPDLRDTSYLRH PAEAYPAASGASAHRATTHSGGTRVVISDLDYNIMEIVYQPPLHYPPHYSGSTVRRTR STDEEAGRILTWNFDVAGSSRPAPAGASSAYSGPPRADPRRSLSYHDYEEEVEVGDYD DEDDHHGHDHDVRRPPPPAAGLKRGVTTGTFNYEPTASARENSTGLSAGAVVGTLLGV AGVAAGAALTYNMVKGDRTRASAHDDYDAPPFSRRSTFPDKYDSYLDRKGRYLDTERP ADKGRYSDEYGSGLDYRRQGPDYVARYTQVTSPRSRDVDGAYDDARGRHSIPRSRASV RPRSEAANSREPYLLGEPEYRGYVSSKSSKHPPIVQRGYTYDGPERDSYVSSRSQRSS NTLRASPADAYLPSSHPVSHSRSGSRVTTTTYKVSDSPRGYSREEIYGSARHIPFSDS RASPYTSARDPLLASGRAPPYLSAREATYPSDNRAGAYYSARHAPLPRSSGGSSRARY GEDDDDDDADSIAPSDSISCVGSRRSR QC762_505480 MPMLSPDPDCSRFRTHQTPGRCHLERSKITRICGSAPFLFSIKS PHNLSFTLHCAHSQHSVSDFHRQFKPFLVRQCFVDIYLPPLPRSPFSSPSLKINPSLF GLYTTDMSSSPEYRAGKRPRTQSLPPPALPQLVAEQHVPIPPTDKNTKRLIVVLSNAS LETYKASHGGAGRMGMQREDKYSLLNSDEHIGVMRKMNRDISDARPDITHQCLLTLLD SPVNKAGKLQIYIQTAKGVLIEVSPSVRIPRTFKRFAGLMVQLLHRLSIKGANSQEKL LKVIQNPITDHLPPNCRKVTLSFEAPLVRVRDYVDTLGDDESICVFVGAMAKGADNFA DAYVDEKISISNYSLSASVACSKFCHAAEDCWDIL QC762_505490 MAFKRFFWVSSLISLALAQAQNNNQGGAALDPEVIQQGSFVDGK SSLGAEDVQAASATSQNNFINFCKGQTLTNGFQITTGSCNGIDRMISTVITNPANGAT IPSNQDFEIVVQVTNLNAGAFTNAAVTYYSAPQQLDNGNIVGHTHVTVQDTGADLNPQ QPMDPQQFAFFKGINDAGNGQGRLSAAVTGGLPAGNYRVCTLTAAANHQPVIMPVAQR GSQDDCVRFTVTGDGNTPNEAANNGAKGQAAAALVADAIALGPGAPNPGGNNAGGNGQ GNGQGNGQGNGQGNGQGSGQGNGQGNGQGNGQGNGQGNGQGNGQGNGQGNGQGNGQGN GQGNGQGNGQGNGQGNGQGNGQGQEGPELDPAAAPTPPAAARLARLARRRRFIA QC762_0080580 MEPVRGRLLADSGAHFTSSLSPAALGSIVHLISSDWPQGQDVHE STGVASSFLADIPISRQRNPSQSKRPQGTLSKPSSFPERGPPPSTLKTTTSPQRVDTC AAKL QC762_505500 MSTNRSPAGVVSPPPLSQDRGYGPSNHFPQQQHGMEQGYPTAAA DAETLSNTIANSHVEHDNHLDGPVAPRPTKFTEEWDVSQRGSSIIDGQRYSKTSNHVN NSAMQRSSSYAGSVAGITNDGATSLSRGNTLKKKASIRRSGSLKRSNSRRSMKAGSVR SLALQPTTDQDELHSAFYCPVPTSGSPTEVLANRFQAWRKILKDLITYFREIQAHYEH RAKSLIKLGNVLNNITTPPGFIASGGLDDALQILRGHNKQAIMEANKAREIEEDVILA LTGLRSDLHQKIKEIKNLSGDFKNSVDKEMEATRKAVNHLQDVLGQTELDTALTTGKQ DPYLLRLNVDRQLEKQIDEENYLHQAYLNLENSGKELESIVVGEIQKSYNAYASILKR EADSAYGTIEELRLGPITLAKDAEWLSFVHRDERFVDPDLPMRSAEFIHYPGRDHYAC QEIRAGLLERKSKYLKSYTAGWYVLSPTHLHEFKSADKTQAPVMSLYLPEQKLGSHST EGGSSNKFVLKGRQTGSMHRGHTWVFRAESHDTMMAWYEDIKTLTERTPEERSNLVRG NSRSISRSSQRSSLSSDGVDDDEDPPFMATATSVNQQPRPDSLPRRPSGGRFPSDLQV NAQRGLQVPLSPLSISSEPNRYDENDRDVIVAANTIPGSELGPQYHTHQHFDTSSTTR RNEDLRSPPIPQTGTTTGAYEEPNGRVVGSANNQVYTNGGSDSYQKDGMVWAEPVPIS PSTLRDQAQTLSSRADADDRLYATQNISVTDGQDGYKSEPRGNWYGQANGGIAQNDPQ VRPGAERTDSAPTISHLHIPGEYPKSSTSGF QC762_505510 MGTRKPSCSWDDQAAVDATQWAVDAMTVATESFRLQFQGQFGEA NGRSRRNSSSLDDVLSERRASRQRGQVHVTGRRPVSERPNNLDANYKGPLSSNPPSMS FTSEPHDLPRPPDPTATRPVQQHIGIHISKNSGRNRASSQPYPAFHRPLTPRQSSQKE PERHHPLPSLPLHPDLRADEENRDSKQFSVQQYDAVNPADSQSTMVPLEDAETDTTYL SSSFSSSTAIAKPKASHFSPRRKSRAASRTMVSSSSPYQSSASSTPTRPRSPPSAATQ SPSTRPDVLVSPFHEILFVLLICLAQILMLAGLAQAMVPASIISQSFGDSTPGTMAWY SAAYGLTSATFVLPSGRVGDLFGHKKVFVTGWLWFGLWSLIAGFSGHAERSAGEGTVF FCVARGLQGIGPALLVPSGQALLGRTYQPGMRKNMVLCLFGASAPLGFVMGAIFSSLF AVRGNWPWAFWLLAIMCFVLAVVSLFILPTSRGQSCLKGGEGLWSQFDGWGMMLGVSG LVLLNFAFNQAPNVSWKTPYTYFLLIIGLILIAAFVSHEWKAPYPLIPIAAMKPATNF VLGCTGAGWGCFSIWIYYTFNVVQNLKGWSPLLASVSFIPAPICGLAASILVGFLMSR VKPHWIMLISMCAFFIGSLLLATAPVHQSYWFSTFFGILIMPFGMDMGNPAATILLSN SVSKEHQGIAASLVVTTVNYSISLALGIAGTIEVHVNETGDELLKGYRAAQYFGTGLG FLGVLLALGFLLQSYHQKPPVASYPLQTR QC762_505520 MSFRGGSRGRGGGGGFGGRGGGRGGFQQRDMGPPAQVLEMGKFI HSCEGEMVVESTNAKIPHFNAPIYLENKTPVGKVDEVLGPINQVYFTIKPTEGIQATS FKVGDKFYIAGEKLLPLEKFLPKPKPPPGASKVKKPSRGGASRGGRGGPGGRGGRGGF GGRGGGGFGGGRGGGGFGGGRGGGRGGSGFGGRGGGGRGGGGFSRGGRGGFSR QC762_505530 MVRLSSTLAFAAGFLSTPSMVSGLSTSDIPADTPISALLASAQS HLSKGETNDALVYYDAAIARDPSNYLTLFKRATTYLSLGRRSQATDDFEKVLSIKPTF EGAHLQLGKLRASAADWDGAKLHYKKAKKTEEVAAVEAAKAAAKAAEAAAKAGNWEEC VKQADDAILTANRAIHLRELRKDCAFERGAVERGIADLQHILQMQPGNTKPHVQISAI TFYALGNLEDGATAIRKCLHSDPDNKVCKRLLREEKATIKVMEKVKKALDKGQQMTAV RQLVPTSDSEGLIKEVKDQIHSLREDGSIPKAAPNELLSRLVEMACQAYYETNSKKAK EYCEESLTLNENSFFGLLYKAKHLLDKEEYEASINTLQKAAEARPDKEDLVNPLMQKA QVALKRSKTKDYYKVLGVAHDADERQIKSAYRKLSKVHHPDKAAKQGLTKEEAEKKMA SINEAYEVLSDPELRARFDRGDDPNSHEQQQQYHHGHPFGGGHPFMYQQGGSGGGGGQ QFHFKFGPGGGSGFPFGM QC762_505540 MAQPNVVPEEDYDYEALPPNFSLLQNMAAGAFAGIAEHCAMYPI DAVKVSRAPPLSTWYRDFDL QC762_505550 MPTTQGRSFYEELRGHDSEGYDGGSRAGLLDEENLNHNFQDYDL DHVEGLTVDDSRATLAGLRKTPASKVPPGHQNDRSMWLAHDDDADNDVPPSLLVEPRG AHPAGKPKRKQSRQAAYTMPGSSNARAQWETTQAHQPLHNDEPFTQSHRGNGAPGSLF SGSASLDAKKMAEWRWANVQNLDKFIKEVYDYYRGCGIKAIITERVLHLGLVRGSQKL SQIIVPQCTRKMSGWWNLGLWLFAFYFIWKAIQYILDLHRLFHVRDFYTHLLNIPDHD MQTITWQEVVARVMALRNQNSKTATTLTPLQRHFIGSQSKERLDASDIANRIMRRENY LIALFNKDILDLTIPLPFLRKRQYFSRTLEWTLMFSVLDMVFDEKGQVNQKFLRADRR GEISEKLRSRFQFAGIMILVLSPFVSLYLVIYYFLMYYHEIQKNPSVLSSRSYTPLAE WKFREFNELPHLFQKRLDMSKAFATHYMDQFPKVKTEMVAKSVAFVSGALATVLAIAS VFDPELFLGFEITPDRTVLFYTAIFGSIWAVAHGMQSQDDAVFDPEYAMRNVIEYTHY EPDHWKDRLHSYDIKLEFAELYKPKIVIFLEEILGILTTPFVLFFSLPKCSDQIIDFF REFTLHIDGLGYVCTFAEFDFKKAMANAKKPSDVGDVRDEYYSAKHGKMEASYYGFIG NYGNFALNPKGAPGSHLPPGMRNQFHPPPAWPGLNSPPLGADMQTSRMGRSEFRSMSR APGQGLRPGPSMVAPSPMASILLDPHHLPPSHLVNPGRASHPHRVQQNRRPGESNIIE ESLEDEERGREGVNRHDDEEVYGHGDGMDESAWQTSPARTLSRDNSAIEGIGTAEAGV VDMIYQFNQAQFTRNGV QC762_505560 MAIQLPPCNSILHTSYLNELLKIDAVLSIVPRQTSLSPSHHSGL LRMASSGSASIASLAAKTPNPLSSKVTSVLSSSYADTEFREALALLDERGVLNTPDTR RQLRLDLQKEVIDSNGEIIDEFSKVSEQLRRIGATIGRLNESFNEMKREIGTAHQATS SSLEEASRLMIQKQQVEQKQTLLKAFKGNFILSDDEAAALTLMSEPVNDLYFATLAKA KKITKDCELLLGFENQTLGLEVMELASKNLNLGFQKLYKWVQKEFKTLNLENPQIGSS IRRALRVLAERPTLFQNCLDYFAEAREHILSDSFYTALTGSSASGVENTSIKPIELAA HDTLRYVGDMLAWVHSAAVSEREALEGLFIGEAEEIKKGIQAGRENEIWRLADEEGDG ASDFDAVKTLNDLVDRDVSGAVRILRQRVEQVIQTNEETILAYKLGNLLNFYKSMFSK LLGPGSSLVDSLGLLEAEALRQFRSLARDHVAALQGDFVQPPTELRPPEFLFEALEQL SAIMKTYETSLASSAEKEADFETIMVEAFDPFMSGCGTMAQTLQPPSDSVFLVNCFLT ALNSLAPFEFTPTRTTQLQAKFETERSHLVESQYAFFRKESGLDALITALSILGNGAE DVEKVPLLEAAQAPALTQAGQLLDDFLPSALMDAMENLKHLQDSKLVQQITEEAAERF CVDFEQVEEKLMLADELAEQKQGDADELQSLRALFPRTSGEIRVLLS QC762_505570 MFGSRTASSAMSILQKTYDESYLTCSTAVYYESQGNEDEAMRCW RQALEQLYDPHANRILPNFNPRSETEKALVESLRQLELQCKERIDLLEALHLSRLSAP QHDSLSSSSRPSKSSSDSHDGGISGQQDGGWIGNGTIPAVNYTDLSRPNTLRRLSSQN RTSSPEQAVVSSRGPQHGSMPPPLPARKPLPSTASIPSPERKPSRTSSPERHTMRTTL RTGTRDKTPKATLRRQPPKSSEVPVPSKAATLAWGTLGQRESLDQPSGSSPISRPAPN TPTVPEQSRRSLELSKRLWDSNSRRLVTPRTRSPNKDTDSAPATDPRRSGEYPFPRAS SISISAASTALNALALKASSDRRSPEREHITRLRTAPPETPRSRAQRDILNNDSNMTE GLPDIPLTRKTSLDSATVSRRSTGASLSAARIKSTPTRSGNKRKTPQLGNNSDRARPR RSSSPVLSESSSSSFETPARRPSGQHKRSIVERQREASLIVDSIIQPSESSEDDEPTK EVKSWKKRKAELLKKLPPGVDEHAAKQILNEIVIQGDEVHWSDIAGLEIAKNALRETV VYPFLRPDLFMGLREPARGMLLFGPPGTGKTMLARAVATESKSTFFSISASSLTSKYL GESEKLVRALFSLAKVLAPSIIFVDEIDSLLSQRSGSGEHEATRRIKTEFLIQWSDLQ RAAAGREVGERDKERGDANRVLVLAATNLPWAIDEAARRRFVRRQYIPLPEAETRAVQ LKTLLKQQTHTLSDEDINTLVAMTNGFSGSDITALAKDAAMGPLRSLGDALLHMTEND IRPIGLSDFIASLATIRPSVSKAGLKEYEDWAREFGERGG QC762_505580 MASSSIAKNLAQLNSMREIHGVVVTAGLIDKTVKVRVGGLKWNN FLKKHFDDPKTYLVHDPANSLRQGDVVAISPGWRTSKSKRHVVKHIIAPGAGLPISAR PPIPSAEELVRQRVVKKEAKEARKSLKDVAEKTERSLQFVKAEAAEVEKELKTLTLIL ESRTKKKYGWLSKQTQ QC762_505590 MADVQGIWTAENEQQFWAALNQILSAPCNSYEFLDNALRSWLDL VSKARDEYLDDEDEIANCSEQLIHSPLFSANKDYVRTQIIYSLLQEDEYAPLHVIANF LLSDGRAEEETFRQMIKEGCFVRLLELIKGCGGKDSRLHRLLLQLMYEMSRIERLRDE DLMQIDDGFVTYLFQLIEALADDANDPYHYSVIRVLLVLNEQYMVAATSAATEPSSIS PTTNRVIKLLGVHGDSYRTFGENIILLLNRETETSQQLLILKLLYLLFTTSATYEYFY TNDLHVLLDVIIRNLLDLPSEMDILRHTYLRVLAPLLAHTQLSKPPHYKRGQILSLID ILRGTGNAHFMPPEPTTIRLLDRVASTPWLAEEEPESPSLSPIGSLSLSQTGSAVSVI AKVSEKPGVKTPSRKSDMAAQAKGKSEHGGSPPRPPPPRTLRAQKSLPEVPRHKHGVP VVHPPVPVPHLHVNGAGQKKMPPKLPPPRRRAKIIAAVGAEVRTPSETPSPIGPAPVS QC762_505600 MVSTLRRRVFGNGNGNDTPTEPSPAQTPRNQSPVRPGEKVKVIH KRKETRKRKTTGIFFLGSLFGIIAAGFFAKNNDLIGFEFPELGDLSMDNLFEILPAGF VKDMRELVNGERDFLESYDAFSVGLKVRSEGLSSHHPMVLIPGVISTGLESWGTSNES LPYFRKRLWGSWSMMRALVMDKENWKRHIMLDHTTGLDPPGIKLRAAQGFDATDFFIT GYWIWNKIIENLASLGYDPTNSFTAAYDWRLAYPNLEKRDQYFSRLKAHIEMAVKLDN KKVVLTSHSMGSQVVFYFFHWVASEQGGRGGDDWVERHVDSWINVSGCMLGAVKDVAA ILSGEMRDTAQLNAFAVYGLEKFLSKEERAEIFRAMPGMSSMLPMGGNAIWGDFDGAP DDQPGQTVSFGSFLNFRTNQNWTIPKTNFTVDDAMQYLYDTTDDWYRDSVKRSYSQGV AHTMKEVTDNELDPRKWINPLETRLPLAPSLKVYCFYGVGKPTERAYYYRSPELGALT NLNMTIDTALIQGDVDHGVVMGEGDGTVNLMSTGYMCNKGWNMKRYNPAGAKVTVVEM PHEPERFNPRGGPNTADHVDILGRSNLNELILRIAAGRGGEIQDYVVSNIREYAERAK IYEEE QC762_505610 MEQSSRVEQLPRELKYIQYEHRLEIQYLPAIRALISKDLSEPYS IYVYRYFLYQWGHLCYLAIDPEDSSLVGVIICKLEAHASHSPPTLRGYIAMLAVSSAY RGHGVATTLVKMAIDSMKSRNADEVVLETEETNIPAMRLYERLGFLRSKKLHRYYLNG NSAYRLVLLLRPVDPDSAADLALDDADIYR QC762_505620 MDDSDDSDFYGSPKTVSRLKSRVAAYNTPSYWSSQEARTKLITS RITPSESTSQSPPASGRLHNAYANIPHAAYQLTESIPQFLARLPPATTNCRFGLEWIF IANPYLPPRPPSDHDRFMRAGHERLDLFKSFIDKTTAAYPNSPLVAKRHIAVARKETI EDLKQLAVECRETSGKWMLFPDVAFVNDTWEKVARAVANHQLGTAAKVATRMPAGYDD GHAEKKERLICVYTEDFMDKDDVARVLRRMKELELVKQGPGARQIYYKAGASGRLTVS RA QC762_505630 MEEDSGKLINSVWARELDLPPSCVEFCPAHPSYFLVGTYNLQKD EVDAHTPEQDAGDDDNKDDAKSQAAKPKKAQSRNGSILVFQLQDDNNIVPIQTEPQPS ALLDLHFNPIEGFWDICATVSSTATLAIFKLSPGPEDDKPLKHLNTMDISSLSGGDIS ASDGSEILFLSVCWHPSRADMLAVTTNTGHVYLVHLPAWDKGWKLLPEPATTHTLEAW TVNLSPYFGPTDSPEEVSFRIFSGGDDSKLRFGTVIWSPSDDHLTETISAVEARGHDA GVTAILPLFVLEDGSELLVTGSYDENIRLFSLAPYGRPKNLVEMGLGGGVWRLKLINL DKTPSPTYNWRARILASCMHAGSRVVDVLQTVDGEHHVRVLGRFEEHKSMNYGSDFHP QRKDSLTVVSTSFYDRLLCLWQLDIA QC762_0080730 MAAISPNGAPGGEAILARIHEALKVVHSPYSANQARQEAQSFLE EVKTLGDAPSHGYNLAFDRSQAPIVRHYGLSLLEHAVKHKWSEYSIEHQAYLRNWVLQ LSESVSREDPSYLRNKTAQLWVEIAKRCWAVEWMDMDELLVRLWRVPESPVHKQFVLQ ILETLSEEIFNGDDSVVALREGALSKACVEIFTPAAVLTEAFPNRQVGPNVRFEEEGW LSRITQFVTDCLNSGAVEQNEDAAACVVKALKVLASVVAWAVPKAVNAVGSRPVLCRC LATPNVSVQKAALEALHALYNRTMFTDEDFIDLVVPMYGEDVVDLFKRLFEWSTVDAQ DIDDDKYTQYVRWPTTWTGSLRPSLLK QC762_0080740 MPFIPDLLELCSSRLIRYENLPEDTDDPTYVLLLEDTDTLPERH AFLGNYRRYSCQVIESIVNLRLSDAFSHILRKAEAAFRTLYDGQSPLNGKLQLVS QC762_505650 MVYLGRPSKGCQMCRTRRIKCDETKPTCNQCAKARRQCPGYRDE FDLLLRNENQAAARRALKANTAAASSSSSSSKKATASNKNAQPHPRQQALQLSRSQTA ALTNSLSIPIEDLATCHFLSNFVLVPPQEQHSARGYNHFLLPLLQQYSNGTNREEITH LQHAFNACALASLGERYGAPSPGRGRGRLTTGKEKETLLRKGAEEYTLALMATNAALR DPVRCKEDGTLAAVLLLGMFENITARQDTGSAWGSHVEGAVQLVKARGKKQLKSKLGV QLFVVVRSQLIIHNFITATPLPMGVSWWLNEDANSDPTANACERLNLLTAELRSEAYG FFSSPLSFSSSPASIAAVEEFLARARALDAEAVEWFATLPRAWQIESIPYSSSGNENI FPGVVHVYPDMWTAAIFNLARATRMVLMSIIVRCAAFLVRPGDYRTTAEYAFAARTAS SVVSEVIASVPYHLGHLPPRKDKRGNPIENSLNGRGLGGYFLTWPLACVVTHDHVTDA QREYVRGRLRYIGDEHGIKYARVLFGVNLRLPSMLIFKDGKLAAAAAASKIPGANSVG GSAGAVMRAAGLTSRLRSV QC762_505660 MAWGKTKAPPPPPPSAARQLIPLFIVLAVLGVMAWVGYQIYVSV MKIKDQAEKQMGDKNMVFTKDGLRVSVKNVKDESYLDATQSWFVKAWDLSGNAVDTSK RKRNFLTKQKSPKIGSD QC762_505670 MSGASNDGYGQYPPQQPGDHQQQPPYPDQAYDNAAPVAPGHAAD HGRKKKRQYAASAFDVGVGGNVVAGGQPVPGAAPYGAPAAVPAYGGYPAQPEVQPAAY GAQPAQPYGQPAAVGGYQAPDPYYPSAGAVPAPGGVAGLTAGFQGMNLGAGAPGGIPQ QQPQQLPPQARAGPLNQLYPTDLLNQPFNVSELDLPPPPIILPPNASVTPSPDANCLP KYVRSTLNAVPTTHSLLKKSKLPFSLVIQPYAALHDLDDPVPVVQDQVISRCRRCRSY INPFVTFLDHGHRWRCNMCNLTNDVPQAFDWDAAAQKSVDRWQRHELNHAVVEFVAPQ EYMVRPPQPLVYLFLFDVSYASVSSGLLATAARTIEASLDRIPNADRRTRLGFMAVDS SLYYFSVPKDTDENGETSMLVVSDLDEPFLPVPGELLVPLTESRQSIENFLTKLPKMF EHNQDNGSCMGSALRAGDKLISPLGGKLVVLSASLPNVGHGKLTMREDKKLLGTSKEG SLLQTAATFYKSFAVECSKNQVSIDMFLFSSQYQDVASLSNLPRYTGGQTWFYPGWNA GRAEDAIKFASEFRDYLSSEIGLEAVLRVRATTGLRMSTFYGNFFTRSSDLCAFPAFP RDQCYVVEVAIDENLTKDVVCMQTAVLHTTCNGERRIRVMTLALPTTTNLADVYASAD QAAITTYYTHKAVERALGSGLDSARDLLQKTITDLLQTFKKELAGGSMGGGLQFPSNL RGLPALFLGLMKHVGLRKSAQIPSDLRSAALCLLSTLPVPLLMQYIYPRLYSLHDMPD NAGIPDPETSQIVLPPPLNLSSEKFVPYGLYLIDDGQTQFLWVGREAVPQLLVDVFDV ADRTQLQVGKATLKELDNDFNERVRAVIQKSRDHKSKGVGSIIVPHLYIVREDGEPSL KLWAQTLLVEDRADQGLSYVQWMGSLREKVVQ QC762_505675 MDGRAQRRVIGRPYVGSVVYLRWWSGGSSVLCLDVTVPLQTVGI VKKEAMVKLHAGFSILHRRLFGFQKKRRAAGDFYAKVLSKAGPALPLQPGVLKPTLTA SSESKSGSEQAWPPSKREKQPRPPPLFLGPLNKSTETGQTDPEIHGRVLGLPCRGEPL WSTLQDVINTSLSLVLVLAIISISFIRLFLLQQDL QC762_505680 MSNAQARGGARKISFNVSEQYDIQDVVGEGAYGVVCSAIHKPSG QKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRSYESFNEVYLIQE LMETDMHRVIRTQELSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDLK VCDFGLARSAASQEDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEML SGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKVPFRTLFP NTSDMALDLLEKLLAFNPVKRITVEEALKHPYLEPYHDPEDEPTAPPIPEEFFDFDKH KDNLSKEQLKQLIYQEIMR QC762_505690 MSFYTSSSSQKTHNLNTRQSPDPDSSPASSPGAITPTTSHTETA GSSYFSNLLWGGLFRRFTSEPSPSLSTENSPPTLRHAHTYQPDGEDDGMNLGKSMDGI YTPPHFHHRVPSPMGLPQLEPLQLLGFSARTRTESRLLTPAIAEEVRNLVPTRLSIMD EWNLVYSLDQDGASLATLYDKCDGYRGKRVGFVLAVRDTEGGIFGAYLSDVPHIAPNY FGTGECFLWRASVQAPLPPPPSLIDSADTPDVGRSTTIRAEQNVASGQVNAHSIRFKA FPYSGVNEYYMLCGQQFLSVGAGDGRFGLWLDSGLEKGVSSTCQTFGNEPLSDEGEKF GVLGVELWVIGA QC762_505700 MSPKIPVYLLKTKSAAGDHYEEKFSTPDGNGSEYDPEFVPVLEH QFDDQGMAKVRGILKNKQIGRTEGKQYGGMIFTSQRAVEAFTKLVEEGRGENNWPFLQ DIPVYSVGPATTRALKAVPQVPALQVFGEHTGNGETLAPYILDHYRAWYKDRESVPAL LFLVGDKRRDTIPKVLTGAGWQLDEVVIYGTGEMKSFRDDFSQRLQTTADRPRRWVVV FSPSGCDSMLSALDLLDESSGKAKPKEPNRSTYIATIGPTTRDHLIHSFGYEPEVSAE QPSPEGVWNAITGYRTLE QC762_505710 MAKDTQDQDEQATTAAAANTSQPLPKGVVLGKDGKPCRSCTAGA DFAAWTSRAKTMTALSTGKPVPAVKKRADCPPDVVALGRSSWTLLHSIAATYPEKPTP SEQSDVISFMKLFSKLYPCWVCAEDFQEYIERKQIKAGSRDEFGNWLCEAHNGVNKKL GKKTFDCSRWLERWRDGWKDGSCD QC762_505720 MAIDIRTQGLKKPVPVAQYLFARLYEIGIRSVHGLPGDFNLVAL DYIPKAKLKWVGSVNELNAAYAADGYARALGISALVTTFGVGELSAMNGVAGAYSEHV PVVHIVGCPSTISQRNGMLLHHTLGNGDFNVFANMGSQIACNTARLNNPAEIAEQIDF ALRECWIHSRPVYIMLPTDMVEKQIEGARLDTPIDLSDPPNEPEREDYVVDVVLRYLH AAKNPIILVDACAIRHRCLEEVRNLVDKAKLPVFVTPMGKGAVNESSPTYGGVYAGTG SQPAVQELVESADLVLSIGALKSDFNTTGFSYRTSQLNTIDFHSDHCKVRYSEYPGVA MKGVLRKLIERVDTTKLSSEKLAPKVVNEVSENRDSTETITQAWFWPRVGEYFKEKDL VVTETGTSNFGIWESKFPPDVVGITQILWGSIGWSVGAAQGVAQAVKDMGEDRRTILF VGDGSFQLTVQEVSTMIKHKLRVTIFLIYNEGFTIERCIHGMEAEYNDIRRWNYTEIP TVFGATDKEVRKHIIKTKSELEKLLADKDFNDAKGLQLVELWMPKHDAPRALKLTAEQ SAKNNARME QC762_505730 MTGSGKRKDAPTGGGGAQQAKKKKAGGNAGKWKTPHHMAKAANN QESSLQAGEPGIWVTCARHQESKAAREIGVLFAEYADKMYGIKGVHDAEKKEGEEDED EDDIEAAIKKEVEALNANRKGTDGGHNMTPLKMNVDCLMFVKTKPPIDPVAFVRRIVE DAKSAKETGQMKCRYVNRLTPVSVTGKATEQGLEGVAREALAPFFDLTGKKTDVDQFT EAAAKPAAETEAGSEKASNENSQPAEKGFTFAIRPSVRNNSSLKRDIVINTIAGLINN ERHKVNLTSPDKVILVDVYQKVCGVSVVDGDWEEFKRYNLTELYGQGQGSKEKKEKK QC762_505740 MSGTCLFGKHPSRRVTMPVCSIRGISHNQTDSDTNMCRLPSEQG VRAMLGSAVPEDVTVARIQPLQSLRPQRIYQVHLSDNTSLRLVLPPISMWRPLRSEQA SVATEVTAIDWLHQVLTRQSSSATPSSSRSARRGSEETSKQQNEDSKTLLRLLPSLVQ HGQDTSTALRELFAFYEPSQGTAIALLEPKITVDPNSPERQQVDFEIGTFYRRFLIQP GLVSPTGRFGPLAAVIPLLQSSQPQRAQPGAGGLFGTGGAASWSVAFHSMLEGVLRDG EDMAVVLGYSTIRRHFRRLGYLLDDVTVPKFVVVDAAQDSNLVVERIPDGGDRGIRIA GLQSWSNCVFGDPLFATVFSDPADLPPPPPSDSFLRGLNSTASATSPPPPATSASTMT ATSNYLSTLNPQLIEALPSAPVRLLFYRIYHILTRIAAEFYRPRPDSTTRELEARRKL NVVLAKLAEIPDDFLCPLETHYSSLPATPNSSTSTKSLSKREYSVARPTGEMSPAKRM RELEMPIPKK QC762_505750 MANAPELRPGDEGFDGFRMRLPEDCVEYMLFIIGEKSDNDLPSL EAVKRAADKKLTELAKDYIWQREPFKLETNIQKGSGLSYLHGTTHYGDNVEDEWLIVY LLREFTKSFPNLWVRVSDSDGEFLLIEAAKALPKWLSPENDTNRVWIHQGKLLIIPLD NTQPNKNLTLTSAISTITSRPSSLLHSPFIEAESFYRLEKYPSAISSTTHHALVTIPR KLAYILHSRPQSIAPAIEAFYLRDPVSLKPLLFPSITTPLLFPPKDLITISIRFTKVL YAQLKSQHFPPPPLAWKSLFHAAEFEAATNPTADSAQKKLASLELGMKLTAGFELLCA GDKAETHPNRVVREVALLLGDLAEDGEENILPSDEQIEKEWKDTEREDDDSWMDIDYT QLEKELAGSGSGGVKAEKIKGGKGGFGDAGTQADLQKIVERFEAFLNNEDAGVDGVVL GDEDEDDDEMDVDDDDDESDEDEDWEDEDKEVGFDEEQFQRMMREMMGLPGDDGKSSG APPASATTQDKGKQKAVVVEEVDSENDEDDEGDEAEEIQKMMQQFESELKGLGALSLD PKATATERRIKDVGSSSKEQEISENEEEEVDIDYNLAKNLLESFKSQAGMAGPAGNLL GLMGVTLPRDEEGDSDEEEDTTTTAPITGKGKEKA QC762_505760 MPSRTINNLGAESSRKRHHRGEDDESEEPVRPCSHLNGESRKRA RLSDVRDETRANNPDDEAEYQGAASPDTPPRTQYELMRDNGFEHLRTEAADDQKATQR LRMRPNRLGENVVAENGILEQVICINFMCHTRLNCELGPLLNFVVGENGSGKSAVLTA ITLCLGGKASSTNRGGSLKSFVKEGEDKAILTVKIKNQGPDAYQHDIYGDSITVERWF NKTGGSGFNLKTATGSIHSKKKEEVDQIVEYYALQVDNPLNVLSQDNARQFLNASSKA QKYKFFIEGVQLQQLDNDYKLTAEYVSQIDTKIPDQEQKIELLKVQRANAERLLETLE GERSLRDKINTMRLKLAWSRVDTCEKRLAQKEANLAEISTKLISAEEKFGLKSRALEQ ADQEVETTKAKLERAKEEEPELQAKVEEAKAHKEAMVADLMKVQAEEREAHQNWKAMT DQVKDFERKIAQEERSLQEAHGGLQTLKMKERDEARARVQELEQKIDDNKQLVPRIKS QMSDSARALNPIKERVTKKQEEIHNLKKEIEKTQAQIGVPLAAYHKNMPRLLKAIDGD KRFQQKPIGPLGTVVHLHKPEWGAILESYFGNNLNGFVVFSKADMNVLADLMRRCDID SNRNPIFVGQRNALNLAGKEPDADFDTILRVLKIDNQAVRDTLVINHAIEQVLLIHKR TTAQSVMMDGMAPPRNVKRCITFHDSKNEGLSIEMKPSGISTSPVRIGTQPKRLKTES ASQMAFLKESLSKLESELQALRVEYKRVQDENSHHKTALAKAEKEGAVLAQQLRETEL ELAEIEVALDEWDGSDARLQSLREQLAQALEQKAHHGQQVTGLIVAKDQKNVLAEEAY KALKAQKLQLKDCEASVNKAEEKAKSAEAHRHIVLREKLDSEDAYKQLKAEQKEAEES IGREKDRIAEREAEAIQVCPERVHLLDGETEKSVNTQYFALRARYDKMTSRRQLNEQE VIEQAEKVRKKYDEAVNGLISMVESSERLKHSLSLRLDKWRKFQRYISAQSRVNFIYL LSERGYRGRLLLDHKRRLLDVHVEPDHTEKRASGRSTKTLSGGEKSFSSICMLLAIWE AMGSPLRCLDEFDVFMDNVNRAVSQNMLVAAARRSVNRQYIFITPNAIDPKIIAEKDV KLIRLVDPRQQRLTSMQTIS QC762_505770 MYVVGNILGKKHQTMASPAAVNCSGAARRILLLPTTTTRPATRS FPGRLSAVTSRTITTLPSTPRTKSKSTPKTQPPTTPPPQPLQTRRTYHSTTHPPPPSP FTQAETTLLTTALTSHIPPHGFTLPSLTLAARDLSLLDISPSFLGPSPVARLIHFHLY TTRTTLPSLASQHSDLLSGLSVSEKIELLTWLRLKQNEPIIQHWQQALAVMAQPSQVP VAVRELAMLADEIYYLAGDKSVDLSWYTKRAALSGIYAAAELFMTTDKSEGFQETRRF LRRRLQEAEELGGAVRNVGEWVGFTASAGVNVLRSKGVRI QC762_0080890 MTGQGASAGGSGQGYNNNGAPHRSFEDRAVHRENVMNNIRESSQ QDRRVYVGNLSYDVKWHHLKDFMRQAGEVLYADVLLLPNGMSKVGFHK QC762_0080900 MDRIVRPNLASVLLEEVPAVVSVALLALAVVLRTEEDLTPAWEV ELQEAGPQVLPFNIGWQDLKDLFRQSARTGGVIRADVHLGPDGRPKGSGIVVFESPDD ARNAIQQFNGYDWQGRVIEVREDRFANAGMGAGGYGGRGGFGGRGGFGGGFGGRGGFG SRGGFGGGGYGRGGYGGGPGGGPGGPSFGGPPGGDASVPPNPFTDNATAGTEKNEIIY VRNLPWSTSNDDLVELFSTIGKVEQAEIQYEPSGRSRGSGVVRFDNADTAETAINKFQ GYQYGGRPLGLSYVKYLNQGGGDAMDTDHGGLTQDQIM QC762_505790 MSKHLSQDEFFTALTALFTTQKQSQKGTILLTQKRYSYDESAKE TLSEQEHEETLTTTTLPQAPILIRASNSKPKEARNEGKKVKLSTIVQPDDLDSFYARY ADVCKAGMSGLKPRDRTKRKAKEKARRKMKTQA QC762_505800 MAPRGQGPIKRPALPPHLLKELGASDNHKRRDNRTTVTSRKEQR KAERQGKRTGRSDAIRNRQAPAPQRNQPSPSKSQPPKKSPAAPAKAEPKSALKKNKTP EPDSDEEDEDDFGGFDDEDDELSDGILNEDSGEDMGDDGEDHDDEDSQEDDEDEDEEE DEEDEEDEDTPPPTNKKLSKTLKDKFAEDDAEIAALEKKLGMKGKKKLPQSFIDDGLG ELLGELDGNLEDGVSDKRKRKAEADEWLAQKRRKAEAAAAASTQKKRAQPDSDESEDG DSDEDMDDMDEDMDGFGCKGDGLDDEEDEEGSDEGEEDGFDDFGSEDEDMEEQLAKKV RENPYVAPTTGQTAKYVPPSLRKATGSESELEARVRRQTQGLVNRITEANLLTILGEI EKLYRDNARQHVTSALVDLLLIQVCDPTSLPDTLLILSAGFASAAYKVFGTDFGAQLI QEVVERYDKYYEEAQEAALGGPDVPKQTSNLITFVSQLYNFQLIGPGLIFDYIRTLLN NLSELNAELLLRIVRMCGTTLRTDDPMALKDIVTLIPGAVAKHGEKNLTVRTKFMIET ITDLKNNKLKAGAGASAIVSEHTAKMKKTLGQLKARKLKATEPLRIGLQDIRDADKTG KWWLVGASWAGKSKDKKDAKEKPATEEREDDDSDDESIVLDDFEEGPDLLELAREQGM NTEVRRSIFVTILSALDVQDAYIRILKLRLNKERQREIATVITKCVGAEKHYNPYYTL IARKVCENMREVKWSFQTCLWKMFGRMGETGFVEEDEELEEEELEEEFSTQRLLNTAK MVGSLIASGHMSLIAIRRLNLAYLQKRTRNWMEIMLNTLLLECQTGEKPGEEVITKVF AAVNTAPEFAIQLQHFLKKVTRKTDLTASKKETKLVRERCKMAEAALQAVVAAEELL QC762_505810 MLSQRLLLGRTAVRSALKPSGSPIALASRWSRTYASQSEEKDLV IIGGGVAGYVAAIKAGQEGMKVACIEKRGTLGGTCLNVGCIPSKSLLNNSHLYHQILH DTKHRGIEVGDVKLNLQQLMKAKETSVTGLTKGVEFLLKKNGVEYIKGTGSFQDEHTV KVELNDGGETSITGKNILIATGSEVTPFPGLTIDEQTVISSTGAIALEKVPEKLVVIG GGIIGLEMASVWSRLGSKVTVVEYLDQIGGPGMDTEVAKGIQKILKKQGITFKTGTKV LSGEKTGDEVKVQTEAAKGGKEETLDADVVLVAIGRRPYTGGLGLENIGLELDERGRV IIDAEYRTKIPHIRCVGDATFGPMLAHKAEEEAVAVVEYIKKGYGHVNYGCIPSVMYT FPEVAWVGQSEQDLKKADIPYRVGTFPFSANSRAKTNLDTEGFVKILADPETDRLLGI HIIGPNAGEMIAEGTLALEYGASSEDIARTCHAHPTLSEAFKEAAMATHAKAIHF QC762_505820 MAKLTKERTYPLMSRREIEAQIADGRHMVIVDGHVLKVDAFMQF HPGGDKAMKHMVGRDATDEVNGLHSPEARAMMNKYRVGRIEGRWNNFLPPIQGGKFRK RLEDGAMEVEEEDDSGRLSAPAVTITADTPSSELESRPPSPVFDVDGSALRKRQVKES MGSSAASITSASSLEESHGDGSLPLDGMAHLDILTRKEIKLDLDKYPAVDQDTQEVIV EKYRKLHDRIKTDGLYDCNYYAYAIECSRYITFFGLMLLFLKWKWYIPSAFFLGVFWH QLVFSAHDAGHMGITHNFQVDTIIGIIIADFLGGLSLGWWKRSHNVHHIVTNSPEHDP DIEHMPFFAISHRFLASLRSTYYERIMPYDAAAKFFISMQHNLYYVIMLFGRFNLYRL SMEYLILGQGPKKGVAAWHRWFEFAGQVFFWYWFGYELLYKSVDGGWNRFWFVMVSHM VTCPLHVQITLSHFAMSTADLGVDESFPQKMLRTTMDVDCPTWLDFFHGGLQFQAIHH LFPRIPRHNLRKTQRLVQEFCDEVGIPYALFGFVDGNKEVIGRLGEVARQAAILKKCQ GVMIGEGRVERHHHHHHH QC762_505830 MGKRKMDEAAAERIRAARGDKDPFARRAATTVRQSKQGGESSSG KKDGSSSKDGKSEGSGSKGSSSSNWRA QC762_505840 MDPTIQRALNDKLYDKRKVGALDLERVIREVVAKQDNARIEAIL DQLCNDYAYAVHQPHARNGGLIGLAAAAIALGSELARYLEVIVPPVLACFTDQDARVR YYACEAMYNIAKVAKGEILIYFNHIFDALCKLGADSELSVKNGAELLDRLIKDIVSES AATYVSVLEQPPPYQEDDKDVLDDTNELPTAFSLKKFIPLLRDRIYAINPFTRTFLVG WIILLDSIPDLELVTFLPEFLGGLLRFLSDPNRDVHVATQGCLDKFLNEIKRIARIKK GIAESKKSKGEGKRKREDSVESGSIRPTLEEGDEVDSETAADDDELDSEDDWVPGQDV QINHKAILEILTATLDSPLGKPPFDNGRQKTANLTPNPEEDGLLESLRWIVEFLDICP EEVLPFTPKILAHLLPAMASGVESIRQAAARVNTSLMDYVVSLSDDAELATIPHQLSR MPHGDRQDGTTSARASLSSSRELEIRSPTPATGKPLPRTPTPGTSGALQPQADLDYAA AVNSLTLLFLNDHEATRVAALTWLIMLHRKAPRKVLAFNDGTFPALLKTLSDPAEAVV TKDLQLLSQISRNSEDDYFTNFMVNLLQLFSTDRKLLETRGNLIIRQLCTSLSAERIY RTLADCIEKEEDVEFASIMVQNLNNNLITAPELAELRKRLRNLETKDGQTFFVALFRS WCYNAVATFSLCLLAQAYEQAYNLLQIFAELEMTVNILIQIDKLVQLLESPVFTYLRL QLLEPEKYPHLYKCLYGLLMLLPQSSAFAALKNRLNSVSSIGYLHIAPRPNATTPSVP SFDRPNRLKGREEGIIRWAELLEKFRTVQERARRLQRLGADTDDSLFGVGDLRIGGGD GTGDMKPATGREGVGTGPSRNAGGGGRDSPAGGTNNANSAPSKPEPPPKTRTGLGRQL GRFGVAGRGKRNP QC762_505850 MITHSPLTPHLTLTYTMAHLLNNTAIFSPSVARVAASAAKDWSY IDTWLARKFPSNRPPPPFERNADTLKALLALAAANEAADEERALLLRFESETLAQLQK HLPKDDLLTTSRESILTSLEDSLTREGSTALTSLAQLSLQLNSSPSPNPVSLASELLS LQSQLAELEQTLARIDVLTSYISSESEALSKLSSEIDARPRPSSSHSEEENNNNNLDD NSNTATKKSEGYHPHPSLAKSNLAAQRRIKTLAARVQELSAHASPNPSADRDQSVSIQ EIHAQEQAYLGLLQQKKDLDAQLAGFAGLPHDIDAAREELENLRIELTRVTERRDSVF EGLVERETPKKGRSGTIGRR QC762_505860 MVQPGIGGLDTPRTNIGDATYLGRQPDFDLSQELSDFQSPSKDA NLLQQLRNGGRPTLKTPRGGRGPLRDRQNLPGFGGNEFTPLLKSATRNSARRYGAGKE NGLGRVSTLNFLNRIDEDMTPLPAGETSMYLGSRNTSSIEHTPLPEVDSSSVASTPLV MRRRDNSGKGPLEDGNQLSLREQENVIDRIEKENFGLKLKIHFLEEALRKAGPGFSEA ALKENTELKVDKVTMQRELQRYKKHLTSAEKDLETYRQQIVEVQEKAKKKYATEDQSA ELERLRQALEDKETEVDKLQRRIEEEQKEQDKLGNLQDEITDLEHDLRRKDDVITQQE DEIEDLKDKVTEFEEKLKETQRRMLEMEEKAKDSDRLHEAKDTIEDLEHNVRRLEQQV DDMKDKLQDAVAEKERAENDLEELQEEMANKSVVTKGLSRQVEEKVSRLQAEVDKARQ ECAVVAEEREVQQREMETLRAKLKEAREERDSAERLRLAIEGQLNEEQGSQRKEFDEL RMQLKAARQERDDAERIRLSLEAKLDQAQADLNMRADEKNLLQTRHDALTNESVSLLG EVQSLQKAVEELEESLEREQQHALNMERNIQSQYKDEIDRLNDEISDLQAECREKDNL YDNDSEKWETERHQLQAEKQRAEERAAGLQKTIDKLRDTEGALSSKESKLQEALQSET ERHKKDELLLKVQIEQLRSDLNARQSMLEELRHELSAVKDELRQSQLDCQAQQEKIEA LEDEVEVLQATIDEESERARVELEQHQDECDQLRHEINLLQIKADSAQASSAATRESA KQTNDNVARLKFQLADATEKVSQLTKERRTLQERSTTLDAELRSVRAALEETRAERDE LEAQINGLKGQHGADTFKIVQERLDLRVTRAKLEAELRRLKEENKALAERKQEVERSL ESEIEKAAAEEDRLGDEIRQLQAKLRQSTDSQELASLRRTIREMERRVQDYETQLAAP QLPAQELDGNSELSFLQKELSAARKKEIEQLKSEASQKDTIKLLKRQISELERKAHEA EIKRFASSPSSQGGSAQKSEISELRHQLSTAAQSVHDLKKALREAERKAEASARELET QLEEIEDEKLLLEQALDDAQLAAEESAAGHEEALKKHKAKMERYKSERDQLAAAIREQ QHLNGNDPNHSEMSLEERRDLHKMLRESQLTADKLDRELREHREALDELVDVEISLRK KLERARNERAAYRTSAEKLQKDFKKLQAEKDKAVAEAMAATEERALVRVTKGSVDTDA IIRAAEAAERRHEKEIRGMVMQMEWLKACWDREAKLRKDAAFAKKYLLLEVQIRDACN KADLAIINRIRAELNPSNRNALSQLSSVRRSSGQSHLPNGDSNAMVLYKQPKPPATRL KRALTAVRFIVRMQMGAKDWQKHEKVRQRLADCVEEMQREERIRKMRDQWRKKVKKTT SNPTGKAVLG QC762_505870 MSSSPEPQQQQKSQKQIDTEFTSYYLQRATQEFGEALDAVRSAD DFKPDSSIAVLISALQQGTGMFSEEDKRAVICSEGAARSSK QC762_505880 MAPSLFNFKELRRRSRQSFRTERSTDTSSDASNGTTPTSGSSTP PSIGQQSDSALNLQLADKFLSPSSAGLSRPSAQPYPNGGSNRYSVSGMAGLGSPVSNG GKGPSLPVSQYSPRITNVPDNSWAYQKILLVYGTVGDPSQQSVDGIVTLSRLDDNFPP VSWPVCNSHFKALVYMMPGANRLRFDFSSPKLANSGSSNPIHASYLTVHMIPPVAAPP LQLAILLAKDSPGTFDAVPARIEREGNGLDTAVRKLRMAAYLWQAFTAEQMWRNKFGR RTFRFEEEWTTGTVNQRDRENGTMRSEARVHVIQTDKTLAELRDPNRAQQNPNASDSG GLFGIAADAVRDYFKPLPGQKLYVSVLLLDAHWDTASKTIVGHAALGGNVGDLHLGVF GSHCLQSYPTSFEEVVPAFTDCTPTDTNHVGNDCNDAGSSWEAANIGIGAHMHETGHL FGLPHQESGVMLRDYVTLNRTFVSREAYSTRTRSKGGPVTQEDECTWHRLDCLRFRSH PCFRLPNDMVLNSDDSVQAWPVDGLTVMAATGVSFIEIFGEGDDVCHSWIEYPAENGS IQRSVTLSEQDLRSRLPEGKRKGTIKLAIKSHGGGSLDIDDYSKFCSTKSCLKLPSAL PGIPKNAYRGKKLGASQLEGSQPHEFIFTSALRQDRVLSRIIFYHGLAVDGLEFVYDD DSRQLFGKRGGKEGGDTFDMDIRRGEYITGFFVRSGFWVDAIQVLTSLGRRSPLFGNA HGGDAHTLIPPRGYNICGVTGSCGSWVDGFSVIVTR QC762_505890 MQPGNMMSSPLKIHPEEVAGKVLKRAEISKMARRLQNRLALAQF KTKHGLEDLTLDKIEPRFEDRIRRNRYPDGDILSDSSSSASDLPYPSRTLMSSPLKAP IFSDAIMSSNGSTGHRKRTYVASFDHTMSSPSKRFRQSPTAHRSFSHPLWKDSEHQLT QSSPMKPKRQQHFTTSAGPDLSFYAGSKQISDVYVSTNYAANSDDDDDDLPIQSFQAR NSIRISPPRTPPMRSRSLMKKPRDRQSAADNDNAVNNKTGQEGADLLLYLAASPSPAQ PRTNNRLMEPPSTPPPKKNNLALPSSMMTTPGGGNPFPATPGMNAFNFEDFVHMTPSP AQKPWKTPLGMGGRTPASVARRRLTFDDTTA QC762_505900 MSKQGGKGAAGKGKKPAKAGGDDKREDALQAVIIADYFQDRFRP FTLDKPRCLLPLVNIPVIEYTLEFLASNGVQEVFIYCGTHSEDIEQYIHESTRWSPNS AISPFSSLEFIRVSDATSVGDFLRDLDKRSLISGDFILVHGDLVANIQLDGILAKHRA RREANCDACMTVVLRSVGEEPHRAAKARGITPVFVIDDTDGRCLQYDEIHPLMKDRRL LLDPSVFKHGSFELRSDLIDCGIDICTPDVLALWSESFDYELPRKNFLHGVLKDWELN GKLIYTEIFENGYAARASNLQMYDCISKDILERWVLPFAPDSNLMHDQSYQKVKNNSF VESGVLVERGSKVLQSAIGKDTSIKAGSVISGSVVGRRCQVGKNVKIKDSYIFDDSTI EDGAVITHSILAGGVKIGANAQIPEGSLISYNVEIDRDVRLPTKPPARISAKTDNGQP VENDASLVGPGGKGAVYSVTADDSDSDSDDEGDGDPAQLQNNLIYSLEGLNISTLSVS TLASEDDYDSDEDDEHAGYLHAGDGQRERLSSFTSDDASKPDAFHGDAVNGLVDALRG DDNADFDSAKLEFMGLRLANNASDSSMRRAIAVAFTKRAAEMLTPEHGGLEPAKAAER VLKDKNGAVKFIKDVGVGGDDVKQQTEFALALQKGLVSVRGLEPSRAGTLLAALLQQL YTLDVLEEDGILAWWADRRAAEGDTMTTVKERCKVLVDWLEEADEEDSDEDEDDEDSD QC762_505910 MPPPDSDSGAPAAAQPGNNSSDFLSVLQVRKLYKMLEDPSYNSV VRWSPEGDSFVVLENEKFTKTILPKHFKHSNFASFVRQLNKYDFHKVRHNEESGEAPY GRDAWEFKHPEFRADRKDQLDNIRRKAPAPRKPPPTEDAFPASQQIVVLSESLNATNV QIQTLQEQYLELDRTNRLLVAEVLSLQKMLRAQSQASNELISHLNNMEDRRRNSRHSA QSSHSSHSGTNYHQGTLGLLPDGTDEPAPELRRAREILNGVSPDSQADRELERLSMAY HQNGSPAESAGSSVMFTHAGPQTSMNVVHDPFSDPRHLVYPVGQTQGIDPFHADHIHN IPYSRPLSNPNVMTEAPSQISPPPGKEQNGSLWRGKKPQILLVEDDKTCARIGSKFLT NMDCSVETARDGLEAVEKINTDADRFDLVFMDIIMPNLDGVSATAMIRMVTPQIPIIA MTSNIRQEDIQTYFQFGMNDVLAKPFTRDGMVRILKKHLTRMLKDPQSAGVLNDPNDP TSAGNGGGGQQTAGGPGPPTGGYANANMAASMQAAINQAAQVQVKYEQTPIPSPSTTA SWHSPGTTMQQVQQQQQHASPRLDQGGYMNAVGSGQGVGGMVLTPGGSQRGPGPGQQQ QQQYAGYMQAGPGPVTRLPEMGGMGGGAGDDRPEKRQRLYGPGQGGYVG QC762_505920 MASNNLPAGAFFTSIEGRRCTAIPKVAVASPDVNTPAPVQTTPP PAIIEVQPTPTPEPAPAETTAVVVVGAGDNRADPAANDGNEVEQEIVAPSTTSSPPAV INSPIITPPSPAPESVQVVQAPAEISPPPVALPPPVSSLSPLPIVLQPDAGANLQSFT VIAEPAPSQQFMVEPSATRNSQTDNRVIASSTNALPGSSETDSSDSLSPPPGVFPDGG PAATPVSPTIGGVSPQGTAGGGAFPDTDMTAGSSGASNSNAVQSTLAVAGGVIGGVVA LSILAFFFWWWRRRMLRKRRSTLLTPLDATSFDRNEKGGPYIIQRGSIGPTPVSEKVK AALGINFNKFRAHVRNKTGGGSSAHSVNLDRGTSQFIDANDIAHSRNGSAGVNTRGGE ASAGDRFVDFFSRMKLDIGFKNRDPASDNLAAMGNYNATAEKNAMANNLPGQNQQQPD FLTLLGMDESELDHEAQRRRGSLSRNNRRSTSSTDHFLSGLNLFSSSTSQNNNNHNNN NNTNPFSDANAVTLPPRSSAQPPPLNPFSDANAINKPTTYISDIRRSRGQSFTTTGGP PRQQSTLYRDSLRDSFTTTVTTTTIPGRNKFRSDPFDLERPEFLGMGNIPPPILIEGK KASIISSTAGTVGTGSVSGSVVIRKPQSAVVVKRGQRAESFSSKYSSGVSSLGGFEEG GGWSDPGPDVGPAVVARGGSPTTGTGGWRDEEEGGMEGIRRVGTGGSGRSVGKAM QC762_0081060 MLNHLYLSNANLSLADEHLAKGQRGRCLKTVTGPGSAPFQRFWN HTQIKSLTGDPLRVLSDVLSSSSQWQLAQNPYCRPSNHCQPSCCPC QC762_505930 MFGSLHTLIPAAPVLSNIKPEDIPPPTPINFPQCYPQVQNDDQQ QTDSAPEGRARKPVTKLLQGIVRPTDLSISHLEALGVHVIPDSAPQELVPDPSFIPDF AVWDALSGEEAHLKNESTRKRLNNGALSPGCQTYLDRKRELSIDNDAAYRTVRRLPAP KGQPQARLGNAYEFYRHLELFTPYWDDTSKPTPPGPKPAETTSSETASGTESDAKEKE DDANTPKEAQYLRTFSGDKMPPDYRGGMVTAFLKLVAYDFGCNVTSPRVEPRLLITTN QSSPRSRSSYFTSGCTFLFRSPTTREAARAGVVEGPLAAVSARHTTAFPPTPSTDRES ILDLSRELIAALITAQHRAREGKTEKRIGENAWWATKPRWGGGPGGPIGREIEAQSAK STNDVILGDKDAPPPPVVPPSPAIPSSPAPPPSSAPSITSSLARRPYSSLSPSSSRSS SSSGSSSKRLKTKSGKDGKQNPIYDAYRRVQPPNSTWDKKAKYTAIGRVKAAGYDDIF VISGLFHHISVVRVRVPNRLLEVLEGDLNEIDKRGGRSWGKIEVRRSQWFDFFKVEER IEAVQLLWGLMAWLMREEETGQGGGDVVMSG QC762_505940 MSGFPGAGYAGGYAPPPQQQYAGYYPPQNYGYQQAPPPQGQYGY QQPPPGQQYGYQQPPPQGYHQPPPQQQPPQQQPQYGRPNMPTVNSNSYVHGNHGAPPP PPQAPQHFGYGAPQGYAFQYSQCTGKRKALLIGINYFSQRGQLRGCINDVRNMSSYLV ERFGYKREDMVILTDDQQNPMSQPTKQNILRAMHWLVKDARPNDSLFFHYSGHGGQTK DLDGDEEDGYDEVIYPVDFRQVGHITDDEMHRIMVRPLQAGVRLTAIFDSCHSGTALD LPYIYSTQGILKEPNLAKEAGQGLLGVISAYSQGDLSGVASNIMGFFKKASNGEDAHA RTLATKTSRADVVMLSGSKDDQTSADATIASQATGAMSWAFINSLKKNPQQSYVQLLN SIRDELQTRYTQKPQLSCSHPLDTNLLFVM QC762_0081080 MNPARLALCPIEDLDCPQPAIINPTPVLTCPPARLTGLCCVVLW LSARWPDSFSHSSSSKLSLLSSPLNQRFPSSQLRLLNDAFRNSSVPCPSPESESRAAV HRYTPTPRPLPLDQGLLAARR QC762_505950 MSLPWSLLLQHVSRKKTLVRQVMGTSAFSAFNNGSFGTVSQAKG SSPAVSPQHTVTAMGRWSILNKQLPAVVKIQAIHIYDFDNTLFKTPLPNPKIWNNPTI GTLSNPDAFINGGWWHDSRILAATGEGIAKEEPRAWEGWWNEKIVELVQLSTQQKDAF CVLLTGRSESGFSELIKRIIKSKGLEFDMVCLKPAVGPDNQHFISTLDFKEKFLRALM ETYRHAEEIRIYEDRIKHVKSFQTFLANFNEQQNTSPTRGPINGEVIHVADMAAYLDP VVEVAEVRSIIKDHNASLTKRRRGSRGERWVIKRQVFWTGYLISSADTRRLLDLMPIP NLPDSEIKLHANNIMICPRPCPEDLLRKVGGWGKRMSWQVSGTACFEDNVWAVSLKPV PADASYHTGNPVPLVVLALRRGARHADAAKIQHWKPVSPEQAFVFETKVAEKVLLRID GTSYREGSYDGGYFRGAKRKHTAHEDDFRSRQGNHHHHPPTGPGGGGRNFNSNNNNQN NFPQVNRGGNRGGGGGFGRGGGRGGGGNFRGQRGGAPKGARGGRGGGDRGVHHTHHYK SLDDVGGRDSQGGFAAMYEDTQQPIPKGPSNPSNPGFYHANNQQNNKSNNNGGYQGGG GGGGYQAGGGDGVGNFY QC762_505960 MGVFAKRSMAKTRRRRRDLDQIASDIASPRHLELYKETKDVEDL PGLGQHYCIPCAKWFDTETNLTSHKKGKPHRRQLKQLKDGAFTHKEANAASGLGVDNG PVKPKMDMEIDIA QC762_505970 MMATRQLLGAARSRAVGSASLGLRRTMATVSDSALDKKVKQNNW EEGNFINYKKMSENLAIVRSRLNRPLAYAEKILYSHLDDPHGQEIERGVSYLRLRPDR VACQDATAQMAILQFMSAGMPSVANPTTVHCDHLIEAQQGGEKDLARAVGINKEVYDF LASACGMFSLGFWKPGSGIIHQILLENYAFPGGLLIGTDSHTPNAGGLGMAAIGVGGA DAVDVMANLPWELKAPKVIGVKLTGSLSGWTSPKDIILKVAGILTVKGGTGAIVEYFG PGVDSLSATGMGTICNMGAEIGATTSLFPFNDRMYDYLKATKRTEIGDFARSYAKELR QDDGAEYDQLIEINLSELEPHINGPFTPDLATPISKFAEAAKANNWPDEVKVGLIGSC TNSSYEDMSRAASIARDALNHGIKSKALFTVTPGSEQIRATIARDGQLQTFEEFGGVV LANACGPCIGQWDRQDVKKGEANSIISSYNRNFTGRNDGNPATHSFVTSPDLVVAMSI AGSLSFNPLTDTLKDKDGKDFKLSPPTGNGLPERGYDPGQDTYQAPPKDRANVTVQVS PTSDRLQLLSPFNAWDGKDATDMPILIKAQGKTTTDHISMAGPWLKYRGHLDNISNNM LIGAINAANGEANKIKNFTTGEWDAVPAVARDYKAKGIRWVVIGDWNYGEGSSREHAA LEPRHLGGLAIITRSFARIHETNLKKQGMLPLTFSDPADYDRINPDDKVDILCTELAV GKPITLRVKPANGEAFEIPLSHTFNEAQIEWFKNGSALNTMAKKAKN QC762_505980 MPPNITALNTTRARTYVFRLPLFTRVVIIAIVGFWLAGLQSIVD IQQWGALIPDEMGLATLYRMNTFPFIHLNIFHAVMNILALTPLMERFEAEYGTLNCLA LFFGPLTTIPAFLYVGLEKFVFGNNVAVMGASMWVFLLLGVEAVKTYKVNPNFVIGTY SIPTWTTPIGVLFAMAVLVPSSSFWGHAAGLAVGYGAGLGYVKFLAPPEKILRWIEGK LNLLGRLPHYVSIDQKTYGRFGVLPSNNTPAAASPGVALGLVGSTQRLGP QC762_505990 MPRLLSSALALRRDPRILKIPPYLSLLCILVGAVWLFLLPLNEY SRRTYISENALLPGQVHTYFGGSDQNVLRAYKQEVNSLVGKSNVEINDKLESIVRGLG LKTARQNFTYHAAGRTFEGENLYAILQAPRGDATEAIVLVAAWENVKHEVNKNGVPLV LTLARYFKRWSLWSKDIIILLSPDSIAAPQAWVDAYHDAHDSSRVASLPIKSGALQGA IAIDYVQENRFKSVHIVYDGVNGQLPNLDLINSVVNIAGGQMGMGVALQEMWNHNDKY PDRLRTMLRGMLKQGLGLASGPHSSFIPYHVDAVTLQPFGEGWQDEMAMGRVVEGTFR SLNNLLEHLHQSFFFYLLMHRERFVSIGTYLPSAMLVAANFTIMAIFLWMKSGQPEIP AASTTESSDKDDAPTPTTERDLFLPLAIVALSGSLSAIPLYIFNHVPESALTPLFYLF LLINTLFPITLSLTITTLFSPNLQQYHLVKSFSLLLLGMFLSSLATLNFSLAMLIGLL SAPLSFVKPSSSPVSRIVGFVLLNLTSPPAVLLASAAIWGLNVGDILREAAVAWHVTG TYSAVVVWCVWWPAWLAGCVCVLGRPGRDQEEEKKVKKA QC762_506000 MNVDTISDFLAEQRDNTIDELQGHVLEFETLWERKLWHELTNKL IEFFNHPGSAPQRLQFYKVFVLKFADRINQLKLVDLALKAATQCLDNNERLAFMQSVA TRVDKENSQDAHVFALIAVARVKLDLEDLPGARKDLDKAESILDKFDSVETIVHSSFY HAQADYYQAKQDFGAYYKNALLYLACIDVKNLPYEERRHRAHDLAIAALVSASIYNFG ELLLHPILDALKEREEDKWLRDLVFAFNKGDLVQYDLLANQIQAHPLLAAHSNKLREK IYLAALTEAVFRRPPHDRAMSFDTIASETKVKPHEIEHLIMKALSLGLLRGTIDQVDE VAHINWVQPKVLDMTQIENMRSRLKEWDSSVEQLGNWIEKVGQDVWAA QC762_506010 MPIDETKLPYFRIPRRPSRQLRGPSASSDLNVHSPVNMASMPTA VRTAAPKIARSAFHHQRRALSDVHITRTGKPLIRTQGGRSSLGEHTATVFGATGQLGR YIVNRLARQGCTVVVPYREEMAKRHLKVTGDLGRVVFVEYDLRNTQSIEESVRHSDVV YNLVGRNYPTKNFSYEDVHIEGAERIAEAVAKYDVDRFIHVSSYNADPNHVSEFYSTK GRAEHVVRSIFPETTIVRPAPMFGFEDNLLLKLASVVNLFTSNNMQEKFWPVHVIDVG EALEKMLWDDNTAAQTFELYGPKQYAMAEIAKLVDREIFKKRRHINVPKAILKPAAAI INKALWWDIMSADEIEREFHDQVIDETAKTFKDLDIEPTDISKWTYHYLQGFRSSTFY DLPPATEKEKKEERKYLHVLDE QC762_506020 MAGQDSDDEIVLSSSALDALKEFYAERDARQEQFAKLQAKAEEQ HDLQQQKLSMEAFGEDWNESQFWYSDETANFLAKQLLLNATPDMTIGVVSAPSVFVAL KNILNAASPEEPKPKLILLEHDNRFAVFPEFSFYDFAQPTKLPAHLKSSCDRIICDPP FLSEDCQTKAAITVNWLFKPTAADDKKLIVCTGERMKPLVTRLYKSVGLRTTDYDPVH ARGLSNEFYCYANFESLGWKWREEK QC762_506030 MSSARPATPASPKNYKVKAAQQGQPMYGCEHLQKLFNQDQVTTN TSIHHYKMILRTIFDQTPVVSQTVKVVDTQQVVTTLTPTYLCLQCPSTVTEEDRIKHG NKKSHRFYVDSRSAALYCQMCDDMVYDPTFEELRLKKLGTSTFSNTRKRKQDELFSPD PVKDSPAYISQNTTTATCKANGLRGIYNAGATCYQNVVLQSFLHNPILRNYYLSDGHS SCDTPHCLSCAMDDMFQDFYAVENTNGYTAANILSGFWISERKAFENLVTTKEQDAHE FFQFLAEELHERNGDGKKPEIGSEHSCNCIIHQTFYGKLQTQTTCQNCQGVTNQVQSF LDLSLPLENLTQKKGGKKLLGGKGTMTLQECLDEEYVKLDKCEYRCNGCNSTQQARRQ TSIKRLPNVLSIQLKRFEYKQGRHERAAKIDTPVQFPLQLNMLPYTTVGRSGDTKDSY ELARQCTYDLLSVVVHVGEIDTGHYVSYCRVGDQWFAFNDHKVEVAQKSDVLNAKAYL LFYIVRSLT QC762_506040 MRLLPLFLRRQSHNLHHLHHHHDKTHIPEPNPLFKMAQLPPLPE VTPLAPSITRILAGNPSKFTLQGTNTYLVGTGPNRILIDTGEGKPTWITALKSCLSSS SGATISKCIITHWHHDHVGGIPDLLSAFPSIKIYKHRPDYHNPTNIPFLDISDGQEFE VEEGGGGARLKAVHTPGHTEDHMVLQYFPPSSSQQEQPGLFTGDNVLGHGTAVFEDLS AYLTSLAKMKSLVDGKAYPGHGPVIDQGREKIEEYIRHRQQREDQVVQQLRAYPDVAV AGGQEGSEKGWTLMGLVRVIYKDVPEALHVPAAGGVVQILKKLEREGRVRVLEERGGS EEDRWVLVGRQGVTGSGRGSAL QC762_506050 MMAPLRSPDILMPALTGWVILSLARGALAHGGHGGMEVTEADKP LPEDQYPPTYFAHPDHKAAIYGHIVLMVLGWMFVLPAAVMLSLARSRYTVYAQFSFLA LNTGGMLLGIVYNASTPDLYPNNAHHKIGWIVTIAAVSQVAIGLLARVAGMIKRGELG NVGERQGFIPVSTEAIQAHESGFPGPYRRFSHDSGQSTELGSETLRSHSISSPPTSPT IPSHLAHKEYQHDDGFEDEDLEHNVPSLKKTGKALSMVAKVAGKISDRFWKILMFAYN FVDRTILLLSFLALCTGLITYARFFEGNGIFSGLAHWIKGGVFVWLGWWTLARWAGSF GDLGWAWNVCPKKAGQKWCPSAEFVESALIFFYGSTNVFLEHLGNWGGEWSSQDLEHV SITVLFIGGGLCGMLIESIRIRNLLNFHVVETAQEDHIDRDQLREPETYAFSLNPIPA LVIILTGLMMSSHTQENMISTMVHKQWGDLITAASLSRGLSYVVMYLKPPKTIYPSRP PTELLTAFGLTAGGFMFMASSSDTVDGMIHYQLNPMFMYTVTMGFVALMMAWVILLVA LKGWAEKREAAGRLTGRAFA QC762_506060 MAQLSDFPDEIIRHVLHFVSAEDNLRNFQLASRRLHNIANEPLL WRTYCLRCFHFWDARHNLEKKLKARASSVGWKDLWLERRRTNFRVERWLDGIVRTTRG QARRVAAICELGLDVKDYLLDQRYIDDSDEYVLAKKYWAQATLDSLHRGLAVEVWFSY QGRLNSREHLDAALGAFDMFVLHDQDHDLNYIARTLDQYAIQYITEHPHSKRFNTRDK AINLMRWLRLKNYIGAEFPISEYRNLQYCLIGHVLSEREHASLPLVAAAIFVCVAQRL GINAACLNFPGHAYVSVEPPAGEDLDGELVWSNKRPTALHRMYIDPFTSDHEVPIEYL RAKLVEHGWESDDDSASFQPSPVPIIVQRMAKNLKASWAEVYTLPENDPKIISTGRLR TGVPLRNLNTAKYAAMWADLMTKDPATFRWSDSLSRLLAECSQHWSEDLWILEKYLSP VYEAYDSFLKRQPMAMDDRVGWQHVPDIIRMMNNLDNRGSTACHRRYTEDIQRSVHYK IGQVFRHKRYGYVGVINGWRSKNVMLPDPHTVSHEDARVEGGRFDMYSEMGYGRRPTD KIFYTCVRPAYCRLRIAQENVEIIMDPAEIPEELFIAAGRFFKRFDRESCRFVSNIKE YYPDD QC762_0081220 MEKVEKVTEALKKATIGGDEKKKAKKEKKAAPAADASAGPLEVN PPPSFIQERIDLFDRLYKEQQEEIASRPREDITITMPDGTIKVGKSYETTPAGIAKGI SNSLFKRTVVARIDGETLWDLERPLEKSCKLELLDFNDDQGKFVFWHSSAHILGEACE RRFGCSLCIGPPVDNGFYYEMALPDGAAVQSTDWAPLESIVSKVVKEKQPFQRLEMSK EDLLKMFAYNKYKQHIIKDKIEDGTKTTVYRNGPLIDLCRGPHVPDTGRIEAFAIMKN SSSYFLGDANNDSLQRIYGVSFPDKKQMAAHKKFLEEAAKRDHRLIGKQQELFYFEEC SPGSAMWLPHGMRIHNAIMDYIKEEYWARGYDEVMTPNMFNVSLWEQSGHLAHYKEDM FLLDVDKEQFGLKPMNCPAHAMMFRHRERSHKELPLRLADFGVLHRNEASGALSGLTR VRRFQQDDAHIFCREDQIKEEVADLFDFMRKFYGILGLTFKLKLSTRPEKFLGEIETW DRAESRLKEALDEFAASDKDGGVSWELNPGDGAFYGPKIDIAVLDCLNRPWQCATIQL DFQQPQNFSLEYQTGEAVQKGETKAAVEEPAPPAPEAEAEKDKDGKEKKKPLLIKKAL SPGCARPVMIHRAMAGSIERFTAILAEHFAGKWPFWMSPRQVIVIPVGMGFLDYAKEV AALLKKEKFYADVDSSGNTLQKKIRNGQLAQYNFVFVVGDDEMRNRKVNIRYRDDTST QARDVPFDLDEAIQKLRQLKSDRGMYNPFPHVAEAKKEEVNA QC762_0081230 MRVEKVEVIPSNLFLACRYTMNLGFLVLLKAGVWGSTGVGGGGG GGVVFVAEIRARNTAWKLVGSTTD QC762_506080 MSKRTSVAASGSAASSSNDATVSKSPALKDNKQDLLLSSDVGHF SLVRALHLADLITELNGLCGILSVFSSLRYCIATGHLASALNTEAHSTLYWALGFLPL GLFFDFFDGKVARWRKKSSMMGQELDSLADLISFGVAPASAAFAMGLRTPVDHLLLGF FVLCGLTRLARFNVTAAAIPKDATGKAKYFEGTPIPTTLATDAVMAYWISKGWIHEAL PLGTLFTGTLLEFHPVALMFAIHGCLMCSKTIHIPKP QC762_506090 MGPRKPPNKHPFYAPEDSSSLKHVTNAEDTKDFAPRRSGRATKK PSHPGDTEQEQAPAKTRKRKANNPALDEPEPEAEQDEGQQSGPDSDPDSEPEPELPEH VTKDIIAASLEPWKENELEEWDGWAEVVSDPKLFTDILRKLGVEDAEIREPLDLETLA ATFESSVHGLVFLQSYRSMRQVWLPRQPDDKSDLWFSRQTATNACGTIALLNIVMNAK DLALGEKLSEFKEQSKDLSPSFRGNKVATSTFIRAAHNMHNSRLDLLNAVLELEQDAM RNKRARAAKRAKGKAASANRRRSSGASSAAYHFVAFVPIGNGIWLFDGLDTEPGYICD IENPDNWLIDIQSTLEEYMRGRETECNLMALCGNTQTDSDNRAASRQNDFGLAIHEWI KRLSESGALDELVEN QC762_506100 MTSVGAFPQTPVAPRVGRARPTPFNDNLPPTLQHQPRRPPANPN PLPVAPQAGGAPPTANPPVIPLNILDAPTQRLYAVAVYAALLAWKLYDWAGVVEEDTE SLWLFLKWVAIDCAFLFGLPELRIPWLELSQPFVISAFFIHAFIDWSLMFNLGFGWQG WIIGIVKMFYDRELAISEHNVKLSSIIHNHSLIMGKQIINILPEGSAVLNPERHPLCL SSDQKTALIPMFFNATIPVEVELLRTDLETGHQESIKLGRVHLRDIERKAKKNSDLDD MQSVVHFEYPAKKPGAYQLGKVLDEYKLEVQRPGSPTFVVPCPKAWVGPTTTPGRCLA DLSDLTLQVEGTPPLKITYSRAINGKDVSFHFQSLQPEGFTSPLGAIRPTSLVQDDDE DISWARPQRVPVSVNESMYASGKWQYSVDEVQDGFGNVVKYISPSEDPEGKAKPKELV QDFLVKERPILKLDGCDLRNPLRAARGDSKELPVKFGIGGTPDSTAHSLSWLFSPIDT LTDTGDHGDVVSVGTFNAKNARDKPRISAPGLYTLKSVSSGGCEGEVREPSSCLLLNP LEPKLSLRSEEIPDTCAGNSIGLQVDLHLIGTPPFILRYDIIENGKTRHADPVKIPGL RHQMELIPSVSGHHKYIFTHISDDVYKGVKLSGPEFTLEQDVKPQASAILQQTIGKKA CLGDHVTADVMLLGDAPFTLEWEIIHDGKRKAHKATNIEGNEYQISTPPLTQGGEYTL ALSSVQDKRGCRNFLKQELKISVRRQSPRAAFGQIEHKRKITGVEGSPLSLPLRLTGE GPWKVSYIKLNDDAATRQERTVRNDNGFLSVRERGTYQLVDVWDNQCHGVIDPKTSTF EVDWFSRPDMSVLLSHGVQRTETGYRLEDVCEGDVSGFEVGMKGTPPFSIEYEIRHKP PQGSASTAKKKFDVALRKESIQADTSKAGTYTYKFTALEDHLYNSDRNFKPVLVEQKV NSKPTAAFAKPGQNFKYCKSEQGAEEGIPVVLSGVPPFSLEVEIKHQSAALPEIYRTP VINSNTYEIQIPRHQLRLGTQNIRIRDVKDGSGCHSATANIGGPSIQVQLFEAPTIYP LETRTDYCVGERISYTLSGSPPFDVWYTFDGVERKAKSTTTNFRRVAESPGEFVITTI SDKSSECKAPVNVAKTIHPMPAVKISRGKNARVDIHEGGEVEILFEFWGTPPFEFTYT RSTNAKKGQKSVVLETRHDISHEHSKVIRASQEGTYEVVAIKDKFCAFSTMGGDEKGD RKGQKKLGY QC762_506110 MESGEYVPGSVYFYAPNKGAAIFFAIAFAFSGFYHIYQCIHYKS WRLTGLYVFCAVLFAGGFVVRAWGAFDYTNLVKYIVSVCLIYGAPPLLELANYNILGK ILYYVPYHSPIHPGRVITTFAFISAVIEALNGNGVSLTANQSLTPWRQHIGRALLKAS LLIQVFVITLFILLAAIFHRRCYKSGMRNAKLYNPLYTLYISTALLFARTIFRVVEYW SIAEHDYWKPGFDPKSLSPAIRYESFYYVFEAMLMLINHVLLNVRHPRMWLPKNTKTY LSRADGATEIDGPGYKDGRPFWVTLVDPFDVHGLLGGRKKDGDFWDGDGEQRTGKDGC QC762_506120 MANTRDTTMANPSASTSQARSEWRGPEIYLHLEFRVAWSRKPQP IKNHQVTYRSRASRERAPAFPRQVHAPNTNYLAAIRDFDQRQAVRRSLLAEGQQRAHH QLQEYYREDTRGRPQHQIPVIHEPEQESDQRRSRAESVSSHERGSSAPPPIGDNPWDV SVPRGQQTKALPPAPSQFRLGEGSDPWSTWSLPPGFDPTISLQDEPEDSAQRPQEWVA SNPTEVSTFSPEPAQATTHARDHVESGSVMTSPFSPEHFPDQPDTGRVRELEALSAAM MTVDNGFENQWWYQGRRAQVAEDANETRSLHSPRPGTPEHVQLHRWSTEPIGSPETMS ATVSGQTPSLAQTGFVSPITEAASPALGFGTLQRTLSTRSEELWFSERA QC762_506130 MNNNNFYTTQLAQEEAQRRGQQQNAGRNLGMISTTGLSGVSDSL DEIVRQNNNELQRRRRSIPQSFQGGAMLTTDADRRLSMMDFGSPDHYQDFQFGNMNSP QMAGFGAMPTSMPGSSGPYSQPGLMAMSDQNDFASLSPDIMGNMMAFSSLNMEAMGTD PASLNLFNSPGLGHQYPPTTMDSVTPDFSMDMGMGTTPLTLTSDDMSGVEDAFGNRPQ QRPHNLTLDSQMNQFQPSMPPPLTREISGTTAYRSPASGTSHTLSGMGAAQPSAVATV STPQTPATTVGPALPDSLHASKEKSIYSKSGFDMLRALWYVATRKNAQLQIGAVDMSC AFVVCDVELQDCPIIYVSDNFQNLTGYVRHEIVGQNCRFLQSPTGKVEAGTKREFVEN HAVFKLKNAIAEGREIQQSLINYRKGGKPFLNLLTMIPIPWDDPNTIRYFIGFQIDLV ECPDAISGQESGGAMHVNYVHSDIGQYIWTPPSSTQWEPENGQTLAIDDVSSLLQQFN PKGAVSDWHRQSWDKMLLENADDVVHVLSLKGLFLYLSPSCKKILEYDTNDLMGNSLA SICHPSDIVPVTRELKEAQANVPVNIVFRIRRKNSGYTWFESHGTLFSEQGKGRKCII LVGRKRPIFALSKRNLDQHGGIGDSEIWSKVSTSGMFLYVASNVRSLLDLERKDLEGT SMQDLMRKESRVEFGRTIEKSRRGKIASCKHEIQNKRGQVLQAHTTFYPGDAEEGQKP TFLLAQTKLLKASSRAIAPAGKTGASVASVSDDGQQMTGIVMGHTKTISTEGALFSQP AGQLIGGTQDIALASDDNIFDELKTTRCTSWQYELRQMEKVNRLLAEELAQLLSNRKK RKRRKGGGNMVRDCANCHTRNTPEWRRGPSGQRDLCNSCGLRWAKQRDAQTGRVSPRN SNRGGDAQSKKSNSPSHASPLQREVSSNSTKPATTDTPPTEPGSKSTTATPTNVLTPS DNGSSGFPTPTAGGNGSSKTGATSSASPDIKSENGGGGPPQSQPMLEGGSGLGGSGME MTSIREEREMSA QC762_506140 MSSVLPADVSAQLGQLLQQLQSSDNIVRSQAEEVLQNQWTSQRP EYLLMGLAEQISSSPDVSVRTFAAVIFRRIASKTRKTPSSENVDLFISLGAVSCQAIR NELLKTLLAETDKNVRNKISDAVAEIARQYYDSNDSWPDLLQVLFQLSQAPDAGKRET AFRVFTTTPGIIERQHEEQVAGVFAQAFKDESVSVRLAAMEAFASFFRNLSRKNQAKY FGLLPEILNILPPIKQAQDSDDLSKGLVALIDLAESSPKMFKPNFSGLVQFSIAVIQD KELSDLCRQNALELMATFADYAPSMCRKDPKYTEDMITQCLSLMTDIGEDDDDAADWL GADDLEDQESDNNHVAGEHCMDRLANKMGGMVVLQPTFAWLPRMMQSPAWRDRHAALM AISAISEGCRDQMIGELEQVLKLVVPALKDPHPRVRWAGCNALGQMSTDFAPKMQQEF YDVVLTAIVPVLDSPEARVKSHAAAALVNFCEEAEKSVLEPYLDGLLTKLYELLQNEK RYVQEQALSTIATIADAAEQAFARYYDTLMPMLVSVLQRENDKEYRLLRAKAMECATL IALAVGQQRLGNDATMLVQLLGSIQDNVTEADDPQAQYLMHCWGRMCRVMGKNFLPCL PKVMPPLLEMASAKADIQLLDDEDQVEKFQQEDGWELVPLRGKTIGIRTSSMEDKHMA IELLVVYAQVLEDEFAPYADQIMEKIALPGLAFFFHDPVRYVSAKLVPQLLSCVQKAY GPASDQLRLLWDKTIDKLLEVLSAEPAVDTLAEMYQCFYESVEVIGGPCLSPERMGKF IDSVTSTLDDYKDRVAQREEEHRAGGTDDAEDDAEELLMAIEDDQTLLSDMNKAFHCV FKHHGESFLPYFERLADTYQGFLKSDDPTQRQWGLCIMDDVLEYCGARSGNYAPMISE ALVRGCQDPSPAIRQAAAYGIGVAARHGGEQWATFLAGTLQYLFQLMQVPDARNEDNV YATENACAAIAKILHYNASAVPNANQIIDQWINYLPICNDEEAAPYGYLYLADLISKQ HASIAAPGRAAQIFVYVAQALEGETLSGQNAARVVAATKLLLEGTGTDPSPLLSQFSP EAQQTIRAHFG QC762_506150 MDIPGAQESAANSSNSSLGATLSTSQTERNKSGHIAAHRQSFAE DQRRPPPSPRSHRHPSLTQQAVQELMNHPPINRHANPQYAGRNWQEIAVGELAVADDV KWTDLDESVQDATLTLLKNHPTNAVLVRETPTSKRAISTFDYSDLNAYLLVVVGLAKP EEERIELYDHIAKSAQAQTPVALREIQPILKKSELVALPAEATLDAAVEVFGSGIHRL LITNSAGEVIGILSQLRLLEFFWKEAVNFPVIDRLYGSVLRDLQIGSTQIIAVNADGP LADALLLMHNEGLTSVAVVDQGLNVLGNISTADLRLLTSTNNLPLLKRSCMHFISVIL NERGVEHGRDSFPVFYVNPYSTLAHTVAKLVATRSHRMWVVETASPSPSAPATPLLQP VQLGVTAATAPPPTSVTGVGSSSSPGPQPTVLVSSQTPSAPQSPLPGQSFSSVPSASL PGAHVSGRLSGVVSLTDVLNLFAKSSGLRPSDPSEQRARRRRSSSASVRPSLDAGRGS VDFRR QC762_506160 MRRMILNIQTVDTFLFLFPVSFLTSLVAMGKSAIRTVCKVDFDK PASEQPYLHNRWHPDIPPAATIKDGETVKIECLDWTGGQIKNDDSADDIKNVDLTRVH YLSGPFAIENAEPGDALLVEIMDVQPFEDQPWGFTGIFDKSNGVCCPFLPFSPHLMLT TKRKGGFLDELYPSAAKAIWDFEGIYCTSRHIPHVKFAGLIHPGILGCAPSQEVLDTW NKREAELIAANKLDRDVALPPQPLNVHAGSADPNTKEKVGLQGARTIPGRPEHGGNCD IKNLSRGSKVYLPVHVPGANFSVGDLHFSQGDGEISFCGAIEMAGIITINFSVIKSGV SQLSLTSPIYIPGPVEPHFGPGRYIYFEGFSVDQHGKQHYLDVAVAYRQTTLRCIEYL RRFGYSDYQIYLLLSCAPIQGHVAGIVDIPNACTTLGLPMDIFDFDISPSAIPVKKLD MGRCAFETGKTEGEVVTTAGKNSEVSFGGGLNYKE QC762_506170 MASNQKPLIDQLDKDKLKQKLDVSHFDFNAILRGAQLTMVGAHR AMQNPGLFTSEHYKQAAIAVGAGIAIRLLIVIPLVLLRILFWVLSFFVNIPWDESFFT FIEEHVLQFPLFFMSLVRYITPTLDDLFMDSLQWVDMTYIQKHKHDEDPSKLRAMYYP SLRLYKKSDGSTNSTSTAENVSMFLFRFARRGAISLGVFLLSYLPYVGRFVLPAASFY TFNKAVGLGPAGIIFGTGILLPRRYLVIFLQSYFASRGLVRELLEPYFARIKFTKEQK KQWFRSREGLLFGFGIGFYTLLKTPLLGVLIYGIAEASTAYLITKITDPPPAPAEAEE FAAGQVEWKNKQKFLSLSLDKLDTLHDKPPAYSETDPHPEARPITEQ QC762_506180 MDSSRTRGASFTAPRRVFTAPVHQPAVAPPRPSSSSSASQPTGG LVDTLYDHPNVKIVSFSAGSQFLAIGSKGTGPDIEPGSLSWSSQLERTIAVGPFRIYR APGSVAFLSCGSALQPILKKSQVWCVDEESSKFVLQIRRPQYWRIEVPVKDPEDQRRA EVLREVFDQILQFEKTPCPFARSFTIDLPESAPVKLKPWTLARRSSACLPVRSSTPVE IAHIHRGPPRGSICLGDLSLSEQGKYLDSTLEENPREHKAKSQAHEGSPVGEPSGNAL RPSPLKIKKTEEKGSVTPPQLTVVTPPPSNPKKTRTPREVSPKSASFQSPENPQDSFR SPDSWASNSLPLSPPLSSPGSPRSSLPFRTSLQNSCLDTPTAPVAAPSNNLTTAAKAS QSWSISTSESGRTENSEEATLSSSISELECSPKSKAPAPRQQSIPSIIHSVPEEAIED EPEYESFPTAFTTPTPTISISPSPSSTTITTPTQQQRRPGIRRATTSSSLAPDRRALS PLPPAADLFTPRSTLTSSPPSNRQMRSLPMMVVQKTCEILMSPPSHLISLMLKIAARI LAGEWKGLIFGWGEKGERLEVEWDWSDDDISPQQQQRKKTMGTRARGWSTLTVNNSNG NNANERVDDWWLKRKKSRDRMAGTFPESDDDEEEGVDPLEVKNRRVKALSGGEDEDAM SSGVD QC762_506190 MMIQIALRAPNGQSRIQVEDESTLSDLVALIKSKTELERFSLKY GYPLKNLDISLSSQSSSIKDLKLHGETIVVAPIDTTPAPAPEPAKPAKPAFVPKGIEP DETSLEWASRGGYIVLRVMPDDNSCMFTAVGGAFSIDNPSSRLRAQITEYILTHPEKY TAAILGSPPQKYCSHLMEKDTWGGAIELSILSDIYDIEISSIDVKSLRVDRFGEGKET RIIILYSGIHYDRIAFALDLSYPVDCDVTKWDTQDEEVLTKARQLAAQLQGMHYYTDT TDFVIKCEVCQWIGKGMKEAGVHQKETGHKEFGEMTIQ QC762_506200 MPTLRERPSRGDISPAKKSSGNSSQFSPSTSKSARSFLRESVPR DAIEVRETIEAKGDQEEDVDMADADAPTRPSIKGGPDADIEMVDAGAASIDAKPDVDA DGDVDADGEPDADGEPDEQAQEDQEESDEEKDMLQLIRETSEYLCGYTIKVDGEDHEI ASGFQRLVNKRSLPDYFEVIKEPMAFSTIRGKLNKRTYTSFKEFVHDVTRICHNAQVY NRPSAPIFSDAGRLLEVFKEKLAELVKDGDITAEEAVLPDLGPLPEFEDSPHPEDDEE EEQDDEEEEEDEEEEDDDSDDEGRRRRSRRGGRRARRGADNDDDPHKKRGRPPKVLTP LEARTQSLLKGLRRFKSDDGQLRILSFERLPDKADMPDYYASIRNPIALDTIKKKHKR KKYTTIDQVLQDIELMFGNALQFNEEGSQVHEDATELLKQARLLTEEEKAKPDDEFRD EDGKLPLSHIEHKGEVWRVGDWVHIRNANDLQKPIVAQLYRLWSDASGQKWVNACWYY RPEQTVHRFDKHFYENEVVKTGQYRDHPIEDVEDRCFVMFITRYPRGRPRGLPRDKAV YLCEARYNEEKFKFNKIKTWTSCLPDEVRDRDYEMDLFEQPRVLRKVPSPIKHLLQAD AKETDDLPKPTWRSMNAPPLIGAVHRRPREPNESPPPAPNPLLHTAVAPVPLAIGPPR VAMKVEHSVAGAPPYQHHAAVAPAPIHSPASHYRMQHFVPQQPPPSVPSPHPAPLHLQ PQPQQPMPMHVPPHPGMGQQMQPSPHYPPHGYPQQYGPQQPPAPPPQPLHYQQHPPPP IAPAFEQHQHHPQHHRQVHPAPPVMTPSRPPMAPAPSIAPLAHPNGPPANVYNPPRAP EAYTLAEHADAAIPKEVREQFQRDENGRVFFFTAPPLKRANNGVSQEYAHLGHSVEHL ANIKQIRAERARKRKERDEALAREHEANKKRSPSEEASAQKSIEQEQQARAQLMEKVI LDWCAELNKGTEALEKDLGEGWKEMMQQSREENKGLTEEEIKQKNLRWFYEDLEKTGK ITSEKRKEMEKMFLNKKHLEA QC762_506210 MCQGGSRSPRRFDRSTTINPIRVVISQTTRSESNEMASSADMAS TSYFGRPVAKHRLATDFDLLVKWSRVLGARPENPSCILSQLSKEDQAALFSPSFEQFR IVDDASEGPKIEIVQNKLYERLGLAPMDPDLAAMMVSDNYKRSEKSLCDVACHLRDAE EESGILYDFCLQKAKNAGWTDYEAHQYAKMYRSRHFVHIVRRRQQEIRDEKRKLTIPG YTPIERDLIPVIEDPLEAASTTGLRSYFAGLPEATSTLASVRLAENGPPASAYAGQTH DLQQTPVSAPTSNVGYMQAARHEYHPSFSPHVTTSVVDQLRLEDIQLYQTPSPVGEHS QANATPFTPSPAPMTTKASYSGYVSGASDTSMEAQASDNSGQFSDASSAVTEMPVYAA DDDDYDDADGGALLPRDLAESLGIPSQIPYSSHVTQQPVFQTMPTVPLGALLGAGLSG YWLPVYAASDVAENGNQNSPAFQFPPLNAPANTECNSPDLVLTTTTQFGDTQLTTLLT PAKGPRNSLSENVKVTQYPESRQQLWNGMTCYDVPSSALGFLKKHQILPTYWTTKLGK ERFLRHKFSDLKHADAKVMRDKTLGKVARNPVYIVVDLSNIIIGFYDKMKEKRGIPLG RRVIAPAFSFNNFDTLLARDRSVGKRILAGSLGSATKSLPSHVKQAGAIGYDVNLLHR VSKPVSPRKMRADLQSDTSNDDDDLFTGPMKLGEQGVDEVLHLKLLQLDFDHKPGTIC LGTGDAASAEYSDGFLKNIERLLERGWRVELYGWAHNISFAWRDEFETKWADFFKIIE LDEFCEELFDMTVESIPDFKPAF QC762_506220 MSADFWAGYLSGAASIIIGNPLDVLKVRLQASSSSSFSSSSPST TITTTNPRILTTPRNLLLGTAAPILTYGALNALLFVSYNRSESYLNSLFPSPSQPGQQ GGKGSNLTTTFLAGCISGLATFLVSCPTEIIKVRSQTSSTSSWNVTTQILRQNRVKGL YQAGGVTVLRDSIGYGFYFWGYELSSGWYNSFFGTTTEETTGRALLCGGVAGVLTWAS IFPLDVVKTRMQTRDMAGEQQGLLGSGGKGKGAWQTGREIYAREGIKPFFRGLGVCSL RAFVVNAVQFAVYEGAMARLTGRDEKVGVLQEGFAG QC762_506230 MYQDYDPTDTARREGGRRKPELTIDTSPSSPRIKRQRDPPQTPS PRETSTPSQDESASSAGGRALRLPKGTGRDTADIETFLESLTPVDIPYEMTLSNQDQQ HHQPELPSSSRSKKQPDPRDSHDLSLPARQVTRDSLVANMLLSLDQMSMGQIRGGVGG TTSFGGSRPGYDDPLFSSFGDDNSRTMTLNSRTGRTGHGYSYSSDLEGADDASRMSSR GRRSNSSSGFQSNLGRINSMRETGTPGAIHSRGGKGSKSSSANSIDQGYAQVLGSQRW ARGFGRSSSFDGAAEAAAPRPAPGPWHIEFSNTFFNKNNDEYDAAPMPTVPAGPRRLT TVPSLPVMPPPEPKTTEPKAQSRGSSVERRRSIRSSKSATITRKPDPMYTTVRDAPPV PALDIDSAPAPHIGYEKSKEAGLVPPQATMQPKEKQGFFRRMFGSTKTALTPSISTPP VTPAASSLGLQPKSSAPPSRESHHSTTHTLQKKTSSFFRRRKQSITDAEPPPVPIVPV APVVHNVPAVQLPMDQLQVFPGIGKAEPSPITSLRRAMDPFLAGSPTSTAAQTPVDEP MPSIEAPESPVQAKNPAPPEEENRGRNRGFSPDYDPSPKAVIRKVDPEPSDPNNTATT PNRPPATPLASPPKSFLREDSDDEDSPVRHRKAPQSETRNLKPGAEDDRNRSPSPTVS KSKSVPNLNMARKDLRLSIRHNTDNPPTHRDSSNTLGLPLEMPSVPSFKIESAEPSPK GESIPHSAKSIDEPNEFVVGDPTEDDRQKAQKIYDGSEEFIQKDRAAAYMGEEGPVRQ RVLRAYMDLFDFENQSIVSGLRLVCNRLLLRAETQQVDRILVAFTKRWCDCNPNHGFK IPDVIHTICYSIILLNTDLHIADIEHRMSKPQFVKNTMTTIRQALQESAPEAFNKRAS ILPGKGPQWEAEGRTSEDQNPRYSSFRASFKPPPRPGSALGTFADSADGCGPLVKAPF DGPLKAWEQQVEIVLKETYSSIRDDRLPLFGADASSPIVQTPNSLSVMSMLKRSPSVL SKAPSEGVASTRGRIQDTMKPNSRWNSKSRSRPRGFGNGFSSSRTSFDDGTSVWSPTD SSATWSKVSLGRTHTSMSMDSFGSAYPRGDYQQSIGFASALSQAIIREDNADDDGEVH PDELLDDESLELAGPPWIKEGIVSHKHFKDGNNKKPKNHNWTEVFAVIQKGQLSLFSF SPNKSMRQKNRRGPGGTLPKGAVVGGGNWQDHATNLGTFSLRHTLATAFPPGGYSHSR RHVWILNLPTGAVHFFHVGTPEISAEFVSTANYWSARLSTVPLIGGISNIEYGWSDAV INNALVAAINESTTNLPNRPPTSGSSARGHSRSGSAAAANTGTGIVPTLTRSSMQSGR SIRSSSFDFGGSLNRPGSGSSGVLGNHAGLVGSLPMRHSAKLPGDRIHIADWTPPQQS TRPSNLSEKDQLGYLQGYIKSIEAELQSHNALRSPMLLAFTPRSTNAVRAMANWERKS EYLLHEIVKFRTYAENLEMATVRRGEIYKERDIAKRAARGEEVDLDGDGEEEKKKEEG IEVAV QC762_506240 MTQIAELAPILEGVSLQLPPSTPPNTEYDFRQILTTTPTHRATS TLFRRYTLLLSYLLASFPIPSESEDNIPSLTTLCTKSHPHHTAELELQVIQIEKRFDQ LSTLITASISLINGSTTTTHEITWSPPPPCNSFPDAVKNSLRAQPVEVFNAQGEKLRV MIEFMNDLQEGDFKARYLKLWKEIDILQAIIEDLLTVGEGTIEIARGEVGFAPDVLCW GLTDTEPSLGRCAAEEKGRRLKREVAAGMSEGRRNDDIVRVHTLFPDKNGERRYHRFT GWLVDQKTVMTVGAAVYNHKEGFAQAVEIDVPGWGRYRGTHCGVHWAFFMVGDKSFDM GVIRLDREVDGEGPLKHRNPPYRGEKITVTVRGLLPDRSGHENDEVREGTTQVVCDME ENQRLMDHNVAVAPCSSGSPVMDQGGHVIGMHQGTGYNLDDQLVNKALTFDPSSNRPG AFKVAMDFLQDPLSCMDKVANLRVKPMRTEQMRNGLCRIQFRGWTKWYKGDI QC762_506250 MSYTITVQVYQTKTNAFFHLAESTVFRNGTWDEVKGAQVLTIGA SGTSGSLRFVADTGENFIVTLGVHNYKPWGDIVTKLDPASQTGVVITPEYYETEWGGT QKKERVDARWKTLSSYEVTRDGRKYSFNFTVTSGNNLKVNVVIG QC762_506259 MHNPSYDPMLSRPLTPDESFHFLKLNPNLALFIPVPECTCCLFF QFYQCGCPDNQSHNGIGLFGELLRFRNPTQFACLYRCPIHFLPQAAQTILHNRGFRHR NDPLPVAQAPVELPFPCYKHQEECSYRISKKAAMNIRPTLGHSNKITKLKRKQKAKRE VDWAKKHRKALRAFVYEHTPLQEFNKPKVIHPADQAFIGTWDKIVRPPVHVRLESEDW FLDEQKTDLDNDDAANGSKVADIFYPEIGPLGRGKYEAGATVLDEMMITAISRNQWRR RGYPWELVHRDRNMGEMVWSMD QC762_506260 MPCQSPLPLSKAASSHLLNGQATEVGHDRFPNIHHRKLGFKATA TFIHSDHLGPQYSTQGPQPDFGRFRASYKLDYHCCTMSGASCFCAICGGPFGDIVFSP PHPDDQPGSDDENDDWNGSTTQSDVAWSGPEDDSDDDDDQSQNQDSIETDGNSHTSEH AAIEVSDDMEEHEATHPEDEEDFSESGESNQDEADIGFDDMAPSEGSIQSEDEEALEF NEFRFDDIHWSEEPGTGYDRKILRPRHAHWILTVYALGCNVEAPGPSKCYLSGRGVAD WHLGTVTFTPGPIRLRDPNYPRTRGRRRSRLDVVTYIDSTFRDSINWVYPVHMPCLKI LCQVLTGQPDPYGSSKLDKDALFFAMNKLSDWAGGALNITYFKDRPLIPEYWESLPGN EYIHANPLSTDCPHRDQVLALVSNAIKTSQDSKYLLDDLTDKVKSDRFNILPYDLIHI ISCLLPDRDLFSLCCASYIVHKNLEENENFWRYRLAKISMPWFDEALEVLSSPGNEDV LQAKYWKGLLRTLQKLLVSKKLGKQGPLMGVYNRRRIWACCQRIAREYFEKVRELRER GVEAVIKDIEK QC762_506270 MPNVIITGATSGIGLAITHYFASSSSSTLTKIAMLDINSSVGPS LVTSLSTQYPDTEFFFHTCDVSSWEAQASVFSSLYDGEFGGRVDVVVANAGVSERGFT TLIVEQDGDKSHPPKKPDMSCLEVNLSGVVYSIKLAIYYMDQKPSEEGSRGLILCTAS NAGLYPLPTAPLYAASKFGVVGLVRSVAGLVEKENIKICALAPAVLETNIAPRKLYDG MVITPMETLIKAVDRFVKGGKEVNGQVAEVHGGDITVREAHAFVDADTERNFVRFRGL GWA QC762_506280 MPQFTATFVPGGYDDYYMPPEVVAPAPQRVMPEVPQNMQNDIQR MELEARESRRESNSTSLNPRGGDAKAFKPFQTSAAGSTTMDVPSFSPFPKVKGENIPP SDEEKEGILWQARNLVLHSNNVSMQVTWARDTLIWVEVAQEAAQREWKREGKGKERPA TPKTEHDLRIDAVSIIDYLAQQDHPEANFMKGKWLEFGKFGFRENKREAYSLYKKAAE NGYGRAEYRMGMLYENSNDIANAIKHYTLGVKLKDSASNYRLGMMHLMGQHGHQKDYL QGLEMIQHAADTADEDAPQGAYVYGMLIARELPDITLPESILPCDLTVARQYIEKAAY LSFAKAQLKMGQAYELSQLGCDFNPAYSLHYYGLAARQGQPEAALGVSRWFLFGYEGA FAKNEQLAFKYAQEAAVSGLATGEFAMGYYHEIGIHVPKDVREARKWYELAAEHDNKD AKDRLESLSQSKTLTKADHETTTLTRIKSQHGSQRGKRPDRFARQNEVLPTLGETVTP PPGAAHFLYSNSNAFALRNYHQQRLTGIPDRVNFPDPTPRPPAFGVNVDPNNLALRPK SAAPYPLEDNPPPLNARPKSAAPYPEDDMRGPHLNPAAGRLPVGPHADRPGSAFGIRP LSPNGMHSAPPDRGRPMSGQPPNGGWGPQGAPGNYRRPSPGPSAQYPPQQQDGRYGPP GPGIPPAGMPPIGDPTRQRLQKPNPNAPPPLAQGPPPGQYPPAGGKYPPQGSPGLQPG RDYGPPGGGRPNQRPVSDAYGPQGGYDRYGTGPPAAAAGRVPLPNPNLRPDAHRVESM PASGRPVPNNGRPVSSHAHDGGRASAPPGGAAAARPSPGPGTPGSTASGPRPAAGRPP VKTDHPDGKTMGQGPATFEEMGIPQGKNDGDCVVM QC762_506290 MADRQLTTLLTQLRTPSLPFPQSTTLLSKAKLLLLQLSALTPSP TVSPANLTLAREVYELGALHSLRAKNPDSFTRYVSQLQPFYELPPSAFSSPSQNQNKV TALWLLFLLTQGRYTEFHSDLEGLSTRGSVAEVENDKFLGYPIKLERWLMEGAYDRVW KAMKKGEVPSEEFGVLCEVLTPQIRREIASSSERAYPSLPFLSAQSLLFLDSEGAVVD FAHSRGWVIKDRTIYFPTAAELNADEEGEQEQEKEVGQMVIENTLGYARQLETIV QC762_506300 MQSASGMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGVDFHKTQPLFVSGGDDYKIKVWSYQTRRCLFTLNGHLDYI RTVFFHNELPWIVSASDDQTIRIWNWQNRSLLCTMTGHNHYVMCAQFHPKDADLVVSA SLDQTVRVWDISGLRKKHSAPASIYESQMNQANQQQADMFGNTDAVVKFVLEGHDRGV NWVSFHPSMPLIVSAGDDRLIKLWRMSETKAWEVDTCRGHFQNASGCLFHPHQDLILS AGEDKTIRVWDLNKRTAVHTFKRENDRFWVIAAHPEINLFAAGHDNGVMVFKLERERP ASAVYQNQLFYITKEKHVKSYDLQKNVESPTLLSLKKLGSPWVPPRTLSYNPAERSVL VTSPADGGSYELINLPRDGTGAIEPTESKRGSGNSAIFVARNRFAVLNTAAQTIDIKD LQNNVTRSFKPPLGTSDIYFGGTGNLLIITPTAVHLYDVQQKKTTAELAVNGVKYVVW SNDGLYAALLSKHNVTIVTKTLEQVSTLHETIRIKSATWDDAGVLLYSTLNHVKYTLL NGDNGIVRTLDQTVYLVRVKGRNVYCLDRTAKPRILQIDPTEYRFKLALVKRNYEEML HIIQNSSLVGQSIISYLQKKGYPEIALQFVQDPTTRFELAIECGNLEVAVEMAKQLDR PKLWTRLSAEALAHGNHSIVEMCYQKLKQFDKLSFLYLTTGDEAKLTRMAKIAEHRGD FGSRFQNALYLGEVEDRIQMFKEIDLYPLAYATAKAHGLEEECQSILEAAGLTEDELN FATFGEPLTPPKPVVPTYKANWPTKATSQSFFEKALLGQMEGLSLEDESAAVNGVDAE AEEDGAKRDLLGDADEEEEDAGGWDMGDDVVPEIEEGLADVNIAEAGGAGSSEADLWA RNSPLAVDHAAGGSFESAMQLLNRQVGAVNFAPLKSRFLEVYQASKTYLPASAGLPPL VNYVRRTVEEADLRKVLPVIPRDLEYLAEHDLHRGYKCMKTNKLEEGVTIFKNILHSL LVNAVGSEDEVAEAKKVITAAAEYTLAMSIELERRSLGTPEAILANPELLKRNLELAA YFTIPKIEVAHRQLALSNAMTQSMRSKNYSSALSFANRIISNGDAGGALAKLLEAARR NKATCERNPHDAVEIEFDQFAEFEICAASYTPIYSGTSYEECAFDGSKYHTKYKGTVC KVCEVCEVGKHGSGLKLFA QC762_506310 MLRLSQLPLGLAAILATCLSPAHVGASPSVNVALKAAFPAPPYL VELLETAASDNATAYFALLDRVAKGDFVDAKTDKTLYEKFVEVLQDDGHMDAEALSTF KLALSMRTAAPRIEAHYQYYSTAVEPSLAEDQVGCVQWYLLDGKQYCTPSLDKAHADV KQSSQERTLPFDHKFGAGAKDIILYADITSPSFGKFHETAKEIAQKGEGSYRIRYKRS AAHPEETLSVNGYGVALTLKRTDYIVIDDRDAGAAKAQDEAQKPIGASDVVLDDEEEI TDIKPLEKSELTPLAMKAASFIMKSDSPFETLLKLTQDFPKYSTLLGAHNVSEEFEEE HRLNRQVLAPEGVNVLWMNGVQLIDRQIQPFGLVDLLTRERKLIHGVLDLGLTGEQAV SLLGHSEIAQAKSADDEPRRFDWRDKIEDGEVIVWLNNIEKDKRYQEFSPSIWTILQS FGGLPQVRKNMFNLVAPVDLTKPEDVIVIVEQLLVFMKRLIPVRFGFVPLTPTGEAID QAKVVYYLLDTYGLSATVAYLESSLESKKMSKADEGVFKQAIKDRKPKEDATVLAFKD IFTSEHHEKQIHLAKHWVERLRADTEVPPVFFNGFPIPREENWLRAMNQKLGAELQEI QQGVYFGQIGDETNIEAQFAEKAIARRNTFIYPEDARDITILNVNKVYTENAYLFDKV PVVEADKDSTKEDWAALTVITDLSTPDGQKLAYFALKFRKDSPGVRIDIVHNPKDTSQ SASAPTLHIKKQEDSLATVNTLLDLETVLDNVSAEADRELDAALASFLSSVNLKAGNS ALILNGRLVGPIPSAEDFKPEDLETFLETERAQRIVPVHKAIEDLGLGDKISGPLDAA KLTSVTALSGISDLPQGIFDSAPSVRISQFSEFKKEHTSFEVGDASKATIFFTAIINP ASEGGQKWAAILKVLSELEGVHLRVFLNPTENVQELPIKRFYRHVLNSAPTFDQDGKV ASLSANFAGVPRDTLFVAGMDVPPAWLVTSKVSVDDLDNLRIKDIKAKRGTEHVEVIY ELENILIEGHSREMPSGAPPKGAQLVLGTESNPHIADTIIMANLGFFQFKANPGVYNL KLKEGRSSDIFTMVSVGAQGWAPAPGDENAEVTLMDFQGTTLYPRLTRNPGMEGEDVL EPTGPEPAPSGSAMDYLNKGLKFAEGILGKSKPATKSLSETEHAEINIFSVASGHLYE RMLNIMMVSVMRHTNHTVKFWFIEQFLSPSFKDFIPVLAEQYGFKYEMVTYKWPHWLR QQKEKQREIWGYKILFLDVLFPLSLDKVIFVDADQIVRTDLYDLVQLDLEGKPYGFTP MCDSRTEMEGFRFWKTGYWANYLRGLPYHISALYVVDLKKFREIAAGDRLRQQYHSLS ADPNSLANLDQDLPNHMQFQIPIFSLPQEWLWCETWCSDETLGEARTIDLCNNPQTKE PKLERARRQVPEWTEYDEEIAELARRNREGKEKKVEGANTKSRRFEEETKGHVVDEL QC762_506320 MATRCLKSTLLPIRAKLSNTGTFLAAYIKTVSSQSLRLLIPNIP AANKENVGAPRSKFPTPQSLDRLRTPFKCPGSATRTVATDRPARKKRRIDYKGTDGGV DPDADKPYTNADRLALANRDANKFPVFQPKDKTTVFRKAFSVPLLNKDSAAYNPNRPP PTLGLRQGAVFVAKPLHDPSGEFAIVLYDPTVDDKPKDAPKPEEKVEEEKIVEPLEHK SLAEILGIKKKVVGEHPRVPVVIDPKLAKILRPHQIEGVKFMYKCVTGMVDEKAHGCI MADEMGLGKTLQCITLLWTLLKQSPDAGKTTIQKAIVACPASLVRNWANELVKWLGPD ATTPFAIDGKASKEELTRQLRQWAIATGRAVTRPIIIVSYETLRLNCEELRNTEIGLI LCDEGHRLKNNDNKLFTALNGLNVKRRVILSGTPIQNDLSEYFSLISFANPDLLGTHL EFRKRFEIPILRGRDSMASEAERKRGDECLAELATIVNKFVIRRTNDLLSKYLPIKYE HVVFCNLAPFQLDLYNYFLTSPDIQALLRGKGSQPLKAIGILKNLCNHPDLLKLPDDL PGSEQHYPDDYVPRDSRGRDRDIKPWYSGKMQVLDRMLARIRADTNDKIVLISNYTQT LDLFEKLCRSRAYPCLRLDGKMLVNKRQKLVDKFNDPNGDEFVFLLSSKAGGCGLNLI GANRLVLFDPDWNPAADQQALARVWRDGQKKDCFVYRFIATGTIEEKIFQRQSHKQSL SSCVVDSAEDVERHFSLDSLRELFQYRPDTKSDTHDTFKCKRCKSDGKQFIKAPAMLY GDTSTWNHFVNEGLKGIQDLLLRQEMGEREVSAVFQYISH QC762_511667 MQGTKIFASSLGIRKNALVAKDEELATKVNEIEKKSQDLNNKIQ EIGIIKHELTTKVEELAVVGTTVTTLTTELAKVKDDHQQELGRMHNKITSMEKQFQQE SSTKDQQLAAAEDTIASQERQIAVLTHEMDNDIKQANDTI QC762_511668 MCYAHDLNPTPQDMSSTPSSSTSFGYPTSPPSLLVNTPSSLQQP SNLTTPYRPPSAYPGVIPTPPVDIPLCSIFLFLLLLPIPLNLTLHHLSLYRHRHPGIS TRPLIPILLSVFCLLRILALSLRIAWSVHPSNLRLEIAAVVLSMAGIILLYIANLIIT RRWVRDYVIFGYRTLVKGFFRFWAAVVIICLVMAVVVSVNCYFTHDGVILRECRNVLL VAVAVLTFVAFIPVLTVGVVMVGDLEDHEVIDGEYSRFRSRSGLLAVTALLLTLEAGF RLGVMFDPRPWGEERWYHSRAAYYCLGYVLEVVVVWLLTGGRVWGGFRTGEVYKGHWP GGERPRVERWAEWVNTEGEVYGERG QC762_511669 MSLSLNIPKMTSNSTASNNPNIRYEGSSQTKKSEADYIPPKRFY VALPQIALDYAYFKDNVTTNSILRRWNLCLRASLSRHRLGHYLTHDGVPPPNNDPSSK AYKDWRNDCFDIIELILASVQGMVLDMSNKGWYPLSEDPRHHYLKVFETFGLNGPEDL QC762_511670 MIHLKPALAALLALSTQCVAIDLFVKSSGGNKTTDIMYGLMHED INNSGDGGIYAELISNRAFQGSEKFPSNLDNWSPVGGATLTLQKLAKPLSSALPYSVN VANPKEGKGKGKDTKGKKVGLANAGFWGMDVKRQKYTGSFHVTGEYKGDFEVSLRSAI TGETFGKKVVKGGSKKGKWTEKEFELVPFKDAPNSNNTFVVQWDAEGAKDGSLDLNLI SLFPPTFKGRKNGLRIDLAQTMVELKPTFLRFPGGNMLEGNTLDTWWKWYETIGPLKD RPGMAGVWEYQQTLGLGLVEYMEWADDMNLEPIVGVFAGLALDGSFVPESEMGWVIQQ ALDEIEFLTGDAKTTKWGAVRAKLGHPKPWKVKWVEIGNEDWLAGRPAGFESYINYRF PMMMKAFNEKYPDIKIIASPSIFDNMTIPAGAAGDHHPYLTPDEFVERFAKFDNLSKD NVTLIGEAASTHPNGGIAWEGDLMPLPWWGGSVAEAIFLISTERNGDKIIGATYAPGL RSLDRWQWSMTWVQHAADPALTTRSTSWYVWRILAHHIIRETLPVDAPSGNPNFDPLF YVAGKSETGTGIFKAAVYNSTESIPVSLKFDGLNEGAVANLTVLTGPEDPYGYNDPFT GINVVKEKTTFIKAGKGGKFTFTLPGLSVAVFETADAVKGGKEKGKGKGKGN QC762_511680 MCDNPASFFREIQHNWRRYGISRVQVLKILSAAEGILEAWPSSR TSPWLIVPWIDILGVASSEYAHDFLLDRLRTLDAAGQVSVEYNTYLLTAVLSDDLFGI DGKFFEIVNEEDSALFHNPKCDLKEVVIGSLEMTLEVLRTREINPNLRTFSYNGYTIN GDTPAAFHVSPTEAAARLLLAANVTHCIQGLIAPVINKLLDLGADLSKRTRFTICPVY QHEMKGIFEFSSHGWSSIACAEIVDAIHGGGLFVETDTATLLALARRMFYHRDDLSDS EKDYVRRLRKTKFRVTRIIYISRDYNCFDTTDSTYTDSLAHSLKRIVMIEDAKFYLME IDKLMESMQNNLERRKRRDLVKKFIAEDIAWSWSDERTRRPQKYVDRREPLEDVSRQS KTLHNQLEFLEDDSFSDDDSSLF QC762_511700 MKIQEDQIKSVGLTLLYEPDGPIGPAVDIILIHGMGGHPVRSWK CFDEGQTPTPITPIHPSSTQGKRLRKPPPTAQLRRTNSEPLLAKEEGFVSRSRTLLRK ASFKSSSRLRLADFADQLNEAPRNDVFWPLDSLPQSCPNARIFTWGYHTLVVDKKPLR LQGEIFAHASEFLFELATARAAFGAQARPLVFVAHSTGGIILKEILRLADIERDGPLK DVLFSTSAVVFLASPHRATEHASLGDAVTSMGSVTLSVDPDDPVLPQLCGGSSAEIEL GRQSFIRQWNEFNFRVKTFQESVIPSFADADERAAATVRRLASFIGDPREKATTIGAL HNNVAKFWSMEDVGYQALIACLAEFVRDEEERRHVLNSEETDCLAALVPPPIFHAETP PAASYPGTCLWLYDLYDFQTWHNRSGPNKNKVFWIRGESGCGKNILLTSLRRRLGRQW GPAGASIIGITAHQTNIPASVCRSLLGQLFLQDPRLRTALLELYKQPRADPEAFDDAQ VLSFFSDFYIGQPIKTPTRRTFILVEIPNEAGSMYVRDVISRLSRLAHNSNFSICVTS AYHPETELHNTISIPMHIRNTDDILRFVSLNLMAEWEERNRTVMMIGRKAGGVFLWAE IVVNIVNAAILEGATQELIECTLQEVPNDLHGLYEWMLSTLNEKERAEALILFQWVIL ASEPMRLNDLFIAIRLTDPNQFEHYKRLRPLMALDVGAPFSMRDLRQLRNSVIRSDTP CQFHRWVRTRSIGLLEVKSDGNDSLGLQRVQARHCSVRSFFLSGRGFTCLTSGNSTIP ANLTTTDFLSISHYSLLRACLTYLNMRDFESLTQSPKPSPNVKSALFLSLNIPLRPLS TTANQRQLITSSYPFLQYAVSNLIFHLLSPQHFRYFLPQNELFLALSANKFRLWKRWT SLLGTHDADEIITLHTKAGTKTKGLMSPVYGARFRLERVLRKLGGLSAAALRVKEEEQ RLGKGRKEGRRSGWVGRGIMSPVTPVLPAPGLGRGVGRKKEWKPVEEQRFKLPGKLML DSPGVGEGLGGVPVGLAV QC762_511710 MAWARILWQVYLRVEDHIVARILQSPGFHHGVRRIHRRVEHFRY GRNPHESLRQGEASADPREGYEQARSFFKYFIDELKNQAKGRPTDVAPPPAPHKK QC762_511720 MASWYLSLFIGILAFTIFKLRNVGRRPKGLPPGPPTLPLIGNLH QIPPKNAYVQFKKWAEEYGPVYSLITGTKVMIVLNTDVAIKDLLDKRSNIYSSRPEMY ISSLASGGLRMLLMPYGDTWRRVRKLFHGLLHLKASNSYVPYQDLESTSMMIALLEEP DKVLHHIRRYTNSLTTQIVYGFRTPKIDDPKLLRLYHVIEEWSSITGAGAAAVLDVFP IFRSLPPFIRPLYRHALDLKERTFDLYKGHWLEAKEKVQNGTAKPCFCVGVANAQESL KFDDDFAAMVAGTALEASSDTTASTLAGFVLAMILYPEAQNKAQRVVDEVCGDRFPSI EDMENPKAQYIRACVKENLRWMPTAILGAPHAVIRDDEYMGYRIPKGAGVVYNAWAVH MDAERHPNPRVFDPDRYIHDFASSTESAQQADATKRDHFSFGAGRRICEGMHVVDRSM FLVIARLMWAFKFEKAIDEVTGQEITPDQDDLVGGFLMQPRPFRLKITPRSEKKAETV REKWGECLGLLDEGGQWRSVPEGMPFTVSEGDGKGE QC762_0081590 MIHFDGIAAGIHPPKPDYNKHVSEVYTEMAKFSIESDKTLIILF LCTHLRTHLTTWETYLPSWTPDFSCNIEALRYPALSRVTRSVPSRSEHLPVVSNAGVL QVHGKLVGIIHRTYSLQKWWTNLDRLIRFVGPINEPCLVELYSCLTGGQDLGEESFDW FQEAISRASVKGDGLYLDAEPGNNKINKTEVIEAITHTTTLSASRSAPHRQLYMATGL GTNSRFRLGLGSPDCREGDLVCLVAGGHAPLILRFIHDGYYEYVGDSYVPGYMEEDME HALEGDIGIEWTRFDIL QC762_511730 MPPPHLEYQSIDHSIAQIRVLTIHPGSSDDPILCSLTRHSLQHE NDYEALSYTWGDPTRNYLISIGAASLPVTKSAHDALRHLRLKDRDRRVWIDAICINQD DNTERNHQVEYMGQIFKGASRVLAWLGPAGPGTDEAFELIRAVSARSPMKPALDEVIC PEKAPLIGCGNQWEDWETWAAASPLAMGEELEDVLNPKSSILGRQTLKAYTKAFEMFS GIDYLRQQSYELQHHYSGHGITNLNEVLTVSINTECSDL QC762_511740 MHGKPTHPLKVVIPPSILPEAVFHPDHEQIDRHVMTYLVSTWEW PSEKHKQAFISWKLSEVVLFMFPTGEAERVKLACELLLLGFLMDDYFDKNTLSTNAST VSSLHSLLTSPEMTVPVTTIDSMHAGLFAKFLTYPNSAPILEAYLAMLDCHCVPSRGP SSLSSLKEYLVFRETDVGMPICVELLYWTDPALAGITADEKRMLRPLEKLANYHVSIL NDVFSFEREWQAAEMNGEEGGALVNGVAVLAGEVGIGVEAARGLCVRLVRAWEGEFVR LKGEMLVEGRLWRAVEGIERRMSGAEAFSWRTGRYL QC762_511743 MTPHDTPNNPDLRNIDPLLYRHMQPTTHNPTNVPQPTHPPNDYS NPPPVRGPTSSLPGHHVSVSFTPGHRHVPPEQPCPPPVYLGHHQPTASRPAYALTQQQ YQQFTSSTQPPTQQTSAVFPIAPRLPPQTITRKSNNPPGRIIHTLLPPKTHIIRPDTP IRPFILSLPLGAPFHKRYKALLRCTRKHGSALMETFTAGPYFSYRDPSNNQVHFLIND IMVPDGRWSDELTYEVQVMESGLGVLDKEKKTWGNHWRETEEEREGVVKYGGKSERGV KLYWYGEGVEVEGWEEEGEEEVGRVLEGAYGWVS QC762_511750 MSDKVQNKTLLFKKVPTNAPIPGEHLAVEPVPFDLKPPKGGLTV AVLSASYDPYLRGKMRDPSIKSYSPPFLVGEPITNDTVSKVIRSDTPDFAEGDLIVAY LPLAEYAHLSADQLKNGTIRRRVDIKNSKIGKDLGLFLGPLGMPGLTAWSSYHEIGQP KKGETIFISSAAGAVGQVVGQVAKREGLRVIGSVGADEKLDFILNELGFDGGFNYKTE NPFDALKRLAPDGVDIYFENVGGEQLEAAIEAMNQHGRIIACGMISQYSVPDEQKYGV KNLFKVVSKRITMRGFIVYDKGFADKYGEEHQRKMQEWLAEGSYKAKLSVTEGIDNAA EGLVGMLEGKNFGKAVLKIRDLEE QC762_511760 MSSYSWQGWAPGDADVYDDTSDESYHYWNSDSVTKSYPMAKSLQ SQDEVPEPPSHPSPTPRPGFAQLTTSTGKTPIPPIPPIPTTSGNTSIGPVNDPSSTYS GPPQHDGSGDIIITDEMDINDIEAAIDASSEMALAQAGVTTGSNPVQGGNSANVDPDE DVNMNGDPDSLFDGTTAGLFEGTTDLISDLIGDDTLGPADASTPKRFPVQQTQVQQVD TTHVQQSDTALQALAEPDDDWCQLAIMLPPRTFRLPKTGVDEKYLDSTLWLDQQKAGF VYMHTLKPQAPTGRALLVCSRQTGELIVNKNIHAHPLMIDWRWNENYRRWWHSNPDEA KRDYAGTIPPEIKFARLDDPLVKRRLPEEGVYPNLFGFGISNGREGVEYDYAFRRPLY VDEYSLYFKHFNGYNLRSILAYATQGPKTAQLWIDEYFIWHVMEQLTSAVIYMQTGIT RSELKAGERRKKENWKPFVHRNILPEHVYLHFEEAWERGQSEFDVNKRDEDPAENDNY VNESLRRAFPRVVLGSWSQASELHSSEKVYKYNRGERSQIMARLGNKDVDDHTGLRPE LWEDIYLLGAVLRRLVTVWDAREREEEWEESWIDYNVDAGEYTIENVRTPGANKPHYS EDLYDILELFQVRSKRIQIQDRASWADERYWTPRRSQTKSFVDVDILIDVVFPIARLK VQNEKAKFRANEETGHISIPDTLMHGWYALTNRIDLIPYTPEGQDMEEVKGTKVASRE LQLVHGTKYLVWYQFHAPVTSELNPGITDWLAVPWAKQAMEQPAKLWGEYWNQHYSDE IRAANMDTPERGDRHRVGDNHMDDSLVTVDESLTGERRIALEKHKRKGGFKPDWRVQR PVFEAPRGNAAESDADEYEGYEARKDARAQEWDELIEAGNPRDPFLALTLEQHLKRVL RWMRRAKRVLWHLYPVALQYDDSAEVRNEEEKVAKDYLEIMRKEVELQATGREVEETP EQRAIKQKYHALLERLDPKGEYKVGFGHKFAAPREPTPPPPRPPPPPPERQPTRSQGT QGDPSPLPPSPTTKYKRDDEARRINNDILATQHRLLRGRLKKVVDQYTEAQHKRDRLK TDDHESEQYQRFVEASEEVKKLEEKMNLLKKADDNLPKPEQLDQQKERLQKEIKMFRR RAAEFKRAAKEQMTLRNEKTDDAADMMQEAATLRLEALEEADPSRAGQLEDRADELDE EAEALIKEMLPLKTKQSELADRVRLLELKIKGHTEVIAKIDKTLKKQQEPAGGPSYFA QGYEEAMADEGGAGPNRNDGANDSDDGDDDGDGGGGGGPGKTFDGVNDSDEDDNDSGG DNNNDNKPDTATDPEPTPQRRTTRSGRVVRPVSLYSPTNNYNATQQDSQTPREKPPPN SPPGNTTIPRIPTPPPQTGQKRPRSDPDTAVIRETPPKNPRVGVAGKVETVEVPQSPD KRPPWVNPRIEIPWTQQKTVPALQYSSEGGDEVIPATQQPEGSSEKKKRKTRSTKVPS PIVTKEKKGKARKVSTPTKPKSSPGKVPEAPKKKKKTPGKRELEQQVTPTPKRRAVGR PRRYE QC762_511770 MISHCQIHQSCHHLLDVANCQSSFSFTMGDCIPDTHDVSAAGDR DIETYHISSALHARAAHHESFQQLWETKWKGPCAMGVYPFMFGSITDFQPVVDAIIAK GLKEPYNWDEYASMFFPQAFKLAFTARQAEQNGEKEKACELYLRSSALYRIARFPAPR SPKQHEAWNLGKHVFYKGSSLLPIPILPISIPHPNHLPSEPPLIPASLLLPPSSHPLP LLIILTGLDGYRTELSVWQTPLSRFSIATLVLEIPGTGDSPSLPSDPLSPDRLMSSLF SYISTALPTVNSSNVIIWGFSTGGYYSLRAAHTHPSHLLGSVSLGGGCHHMFDETWLR NVNHLEYPFDLAHTLAHKFGYGDDLDQFIKEGKSKFSLLDSRILDQESCKTLVVNGDL DEIFPVEDLYLAVKDKNGDVRKNTEFKVVEGRKHMGEPESFGVILEWIHGLWGLEAGV DEFKKGVLERLPFKPKY QC762_0081660 MIFHGRHFGSYPPSFRSTVCTAVLTPVLSHGTVWRDAACSDPDT APQQLISQSHLSTLPLPFLPQLQHHLHSSTTDLATQFQFQLHTLLQQLPRNTTVMAQQ TIRDEALTKEEVDLQDKKDASDNSTPVKDVKGKGIAKEAGPDDKQVENDEPYDPSRDA FILKYMERHLKRKALKTCNFTLEHLKSTKAETDTLKASNDRTDIIVPETVAFMDRERT RCDVEIAKLESRKVRLEQELAVVQNGFEKLSMLRRKSH QC762_511780 MPPHENPPPNGRKPPTSTYYNAFTLSYYDIHVLGHNMTRIWRCP TKSVQLPLFQKYFSPPEHTEARCWEHLDVGAGTGFFVAEALKSCLGNRQSMRITLMDN NLSTLKKARRRIEGVVGRLGELATVETVLHDVLDGDIPKSLGEGRYDVVTMFNLFHCL RTTAPEGKKGVFGMAARLLRGDGVLVGCTILPGREYQARGLAGVRVRYTLWLYNRVYK VFGNEGDTRAQLEEGLKAAFEEVEVEVVGSMMIFVARGPKRLGVEG QC762_511790 MSRPPIKRVAVIGAGPAGAIAIDALAQEKTFDIIRVFERREEAG GCWIGDKARPPTLTNFPSLANRTADTPLPIPPKLPAQTPKSDRPRFTESSVYPYLETN VDHLPMSFSQEPIPADKTDKSIALHGPKTPFRHWTVMQRYIKSLIERNNYEDLVSYNT TVELAEKVGTEWKLVLRKEGKEKDYWWTEYFDAVVVASGHYWVPYIPAIDGLDEFEKG RPGSVIHSKHFRGRGYFKNKRVVVVGASVSAADIAYDLAHSKTADLPVHTITIGHAAN GYFGGGAFEHPRIQQHPSIKSVCPTTRTVHLADGKSIPNVDSIIFGTGYTWTMPFLPN VEIRNNRVPELYQHVVYQRDPTLLFVGAVGAGLTFKIFEWQAVLAARVLAGRANLPSV EVMKEWEEKRIKDRGDGVKFTLVFPDFEEYFEDVRRLAGEPENGVGRRLPKFQREWFR AFMDGHELRKDMWRRLNKEAREEGEQREKGAVKAKL QC762_511800 MAQPSVEKDTKKSGNDVDSGTSSSVEEGWTEWDEAKARRKVDFS VLPLLFLGLLVFQLDRMNIASALTGGFAENIGVSLDTINAGNQMMFAGIVLLEIPSNL ALQKLGPRKWIAGQVLAFGTVASLQIFIHNKAGFLASRLILGFCESGYIPGAIYTLST WYAKRELAKRVAILFFGMFGANAISPLLATGILKLDGAGGLHGWQWLFLLEGLFTIVV SFILIFFLPGSPDMPRPLLSPGLIRFDSNDRDILQKRLERDDNEKKGGTQGMEIPLQL VWKTATHYRRWPHFISTFCLFSTWSSLTTYTPSILKSLGWDTIAANALAAVGASLSLV FVFIFAYISDKTNLRGGTVILAQVCFLITLIVAREVHPHVGQWSRWALWTAVNALAVG YHPVHNTWLQLNCRSPGERSISIAMWVMSAISGLMVGTQYYQANDRPFYSNGLRIQII MVSVGIVFAILQVGIYKVHNKRVAEGKHEADEDGQEPQIYVP QC762_511810 MKLPTILLLPLLPTTLSTPLPNTTTPHSEINAPCNYDLGDCAPP LTCIPLFPTCTRWTTLKDPSWPGCPGTCQLIDLSTQKIYTKCAGWGLYDNCDERVEYC TDDPRNSGCGPSCDGPGICQPNDDWCGGEDKRECREWLACFIHPPVSVQGEKNPYGVC LPLRFGSEYYEKTGLEESWTEEWDGWQGDP QC762_511813 MIKRLGEHCNSFSFLFLFHYRTIQPTTEKMSPYIICCPIYGWRL YDIGAGWRNEFLCITPKKGKIYLTRLGVYDDPSSGGFIAPRNTAARYDDDGYTRPQKD QFATHRLAVSPAAVPLDRVFYSLDSAKDSLFPWEISGHDDEAEDAPWAADPREVNVQT ILDVTYFISESWVQASRGFLGATHPLSPKHHFFPQPNMSPPSKEARVILALEALQNNE ELKLEAIAKLYIVDDDVYNFDETGFMMGIIFAGMVVMTSDGLSTAKLAQPGNREWATV IHGVNALGWVIPPSSS QC762_511820 MSTDWTNDPKAAEKTLQDSLEAELKTHPDRFLRTAKADGKVTFN GDKSPFYAIQTYVWCGMLYPDNDADFQKKLPQSRFKPLEAVLPKIYEDTKDALVAVSS SCHKFSSGPMEGVFSYSSLVKKYAVNAKTSLGADDGLGAQLKTLTSDKYKNKDSIDDD FEAAKELAKERLEELKSDAKEKEEQSDKLRKDLQDFLNETEANKKQVTAVNDKYLSPV TDSGGKHYKNAMVYLNHELEDLQKKLKDAKDEADKQSKELKEEGDPKGWKFWVNVLSG PTGTWNLYQKLKDFKDALDKWSTQNKETEDVLKCQTTVTALEAQIDDLITRMTKAVEG IRLIQDTFRHLGESLDPVIKAIDKIEKGTSSPLQAIRKSNISHGIQDAVTKYDEIIKE AEDFAKAAQIKVVDA QC762_511830 MASFPDFQIQIKSPGGEPFPSISSKTLGSDVEKATLSKLRGLCR IPKKYAFSTNGKNALSDSTSLNDYIHLTPDNLASLSPEAKATEKVKGAPAAETEEKPK PKGVPFVTVHLVSTVVASKPQENVPQSTVISDLKNLLGSAGKGIDGGKLGNTAELLGK LDSLQQNYATAAISRDYTEPADLTETQWENILFNNRILHGYTHMPGTGLLVKAPKREA FSIRRPLQAPSPDLGEVAKAPVPEEKALDKTSDKKAVKEYLNTVPGIPSFYIDDDSKV TVTETRTAFQRSMAREGFSSTAIEANASGSPFGKSISASAALSDENSYSKKNLTEETD FTLEVAYKFPRVAIDLSPRYLQLSDECEEAIKNIKSDYDKVQFINDYGATFPPKLQTS DAFPTKVVLGGFLRSSRQVKVKTNEQLDAAKEETKKAAGLSFASPQVSFGVNYAKNTS NTTTTTQGQTIGNAALTWEARGGDTVLCSNPSAWASTVKDYRYWRITEQSQTVSLVRL IDGIDPNMAHKVEFPNQKDDKLSDNGDLPESELIDKATNLLMGPPSSVPMQIVLKAYR EDTDKKGYHAWLKKEHSAEYDDLEIKDPGAGWDSLTDSCKTYFIIYVYKAKDKKVFT QC762_511840 MGRGLRKSANQSAVALSGSTEDAELLQDSRMELDFPASGTEAAV DGQPFAILSVSITRLRHCGAQPQSGTNTFVSTPIRSAAP QC762_511843 MASEQRLQKKRKAWKERKKAHHKATKAAESTPGSWKSLGVNLNP KPGEARPVRAMNMPVLDPNFTDKKSRSVLLHTEAKLDQIQAQLEKHNKKWTANVMNVH RQLEVEHTQDGINKKVDLVANVRNRLLHEINAIKDTIEGMKTTRANDFKHNVVEEMEE NGQDGDPPGSEEEELVIFVGE QC762_511850 MIPDTGNKYRGKGISHAVPKPTASSFTTPDPAEMTSAQTSTSTP IPDPSPDPPADHLDSIIEISDDDEAEIHPPASPTKNRAPHTNTSLHDLRGRSRPRDPS KKRSSFADPPPFSMGPLLSTPPRVPAYIRAEQAKKHLVSVLLEDATDELWRLSNTVST ARSTARQGEHEKVDKLLYDLSSQASRLKLKLKEVVETHNRR QC762_511852 MSVDTEDKCAESTRPFAAESLPADHPDHNDASSDSAEDVLELVS NTLDMGDKPSPELIKRCQSYMGYNVDRMCDAVLDPQNTIGMETFAAGAGLSLMGQKME EIQTSHELLHVRQ QC762_511860 MRSSTLLTLLTAAAAVSASPLGRQEITTTTTTVISNTDSSTTTP SFPIHSSCNSTLSHQLTRAFDETVALASVARDHLLEHGQSSPFVKKYFGPNSTTIAPL GVFSRVASAARGKMLFRCDDPDRNCATQEGWAGHWRGSNATQETVICDLSFAPGKRRW LDQVCGLGYTVKEGATNLFWATDLLHRTFHVPQVSEDAVHHYADGWDEVLGMAEGGDE RSGFDSDTLIYFAVDVYAYEVAEPGRGCGGYEMGN QC762_0081790 MATIFNLPRVMMVTTYHILANPDIKEKLRSELDDLLGPDMDSKQ ETPAWINLNKQSISRLVSRKDRLFFGALRGSARRNLDAPIVYKDWVIPPATPVGMSAW MLNTDPEVYPDPLAFRPERWLPGNHKPEMDRNFASLGRGSRTCLGIHLVYVFMRHPLV AMFGPGDRPELTLYETEESDVATTVSGLSGLAKRGARGLRVVVEWMSAC QC762_0081800 MLEQLSDLMEAAPPLPVVVLACLGVYLSGVSLYRLYLSPLSRFP GPKLAALTGWYEFYYDIIKDGQFYLKVQKLHEKYGPIIRINPWELHASDPVLFSQIYS SGVKHRIEKYAWSQQGLRLIDSSHILTESHELHKLRRKPLATFFSSRNTDNMEPIIWQ ISRRIRSRLQKLHRSGSVVKMEDLFTVVSADLVAAFSFDDAADLTETDELQLPGSM QC762_511875 MPLSKTIPLDEYLEAHKENLNRESEPRPIAQPTGSYAMPLRPLF DMDEEMTEEEARFFNRRYQTFVDNANTFIANQCQTPEDAIQFLVRRIARQDAAVDRLE RKFTKSVAAAVEQEIDIFLGNKPTLERIRGINRRLNQMQLELKTIKDEVKAHPEFSGS PEDVKARKAAMAAAAGVANQSKKRKKVAGTAVPVGNGAGQGPDGLDMIYESLNNIVSH MRDLRSNSSLVTASDEEQCLPQLGQLQLTTPTKAEDIKKEDDARAKLDGAGDDAEMAD DEASQMTATSPLAVPASAANTPTRRLGSGTAYCLPVRTVE QC762_511880 MSWARGRMHTVVFLITATIMVLAVPTASLEGKVALVTGAGRGIG RGVALELGKRGASVVVNYVSSAGPASEVVKEIESYKNGAKAIAIQADVSKVSEINRLF SEAKKHFGKIDIVMSNSGTESWDKTEEVTEEKYDHVFNLNARAQFFVGQAAYKHLEQN GRLILMTSIAAGLLGVKDHALYNASKMAVIGMVKSFATDFGVKGITVNGVAPGGIKSD MFTENAWHYIPGGSPDWGKEKIEGLMAAHCPLGRCAVPEDVARVVAFLSSEDGGWVNG QILTISGGSSQ QC762_511883 MAVADFRLVKVLGWGGLGVASMYDAVGKDNKMLRVVCKIDIFPH YPCIPREVKAHLMTAGAKHVMQQVILQAEGGISDAAINRLGNITRQTAAGVDMTAPTS IDSRGRKRKRDPKDGDGDDDFEVIEAVPIGEFDELDLNEIKHDMKKELDANQRVLFIQ FMNRGRWDDHICRAAMTGRPFPTLVLWQVFDCWMAYPEAFMHLDVDPSKVQVPEVSET ARGLRPLEPYDNRDTMVHYDIDPLNNLGLIHIYNGNTSAWDYWNSRGTGKQAVYTPEQ FSEEWDYINGNPRTIKSETAGKSNWWTNLYQIALVIWQMVSLCHAELPPSPEKITIKM LDGTETTAYGFGGYILNDKKFKHIDRDLRELINQCMLHVPAKRPTMEQLENHLRSKTN IQGVDPQNQEQVEGQRYCEWLFRGTPAPKPAQPVEHKLPAVLRGWTVKNVVEQRFGWR RPRRQREGRR QC762_0081840 MRGCRSTCNISFPIFSPQQLQNQHVYRTVLVIGANGGIGFQLAT RFLKEGYKVFGTYRPQTKDDVSVAEVRWGRPQPTALLFLILTLRQLEGTGVQSIELDY DNEESINAAAKGFAGEKLDILINCGAIYNT QC762_511890 MLSNPPQSGGGMHPRQRQHRRQISTPTAFEAVKIAPLPNFSQQQ QQQRQPIAHRRGLSLDTRRQQQFVPTTPTSSSARQEYLAARHEYSNQMAAMPPVSSSS PAVPTTPQQILRENQQRQSLSRPGTSGTDHSDTSSNHSFQSFHDSSDAFLVSPNVTPN NQRFVDALASPAPMVEMSPLPYEAYMNSMGMMKNQAAFGSNSGIDVGGGSFDFYAQGD SALSTPTFLTFPDSSPASTGQGGWISEGETGSAQSRRNSRRISNGISDKVAKFENMMN NGGDLQHRPVTPSNHHQFVAGGEINQWAAGVDNESFPPTPTEIPAEQEQQQQIPSRFR DDYDESMEETLKPVRGNKHNNRNSGIFQELRQQAEQQAAAAAAAGGVSQTPPPPRGGV MGGYGGGMQQGLTPEFMNMRSMNAEFVKIEHNFDGFHFGMAGGGTMAPQMGGSVGTAP AGGMINPGGGGQLDGDGQKATLRHMPLTAASLSRHSSPHPSSLHQHRRTESIASLASA ASIASINIEETKTDTGVTLDDIAQYIQGPDPSDGKWVCLYEECNKRFGRKENIKSHVQ THLNDRQYQCPSCHKCFVRQHDLKRHAKIHTGIKPYPCECGNSFARHDALTRHRQRGM CIGAFDGIVRKVVKRGRPRKDRGDGGETERREKKERVRKGKKEDGGGEEMSSASSQSG YSESCSSVGSPRGYEEDFPDILDVAMTGGGNGGSGTATMDPGSLSLGPPGGGGGGGLS NARMPPVHPMYKGTTSSMEEQVRSPSAMSNYSHASSRLSGRRGTVGEEFVPPRRHGSP AKSTASYHTPPELSSSSSPPPTGTSGRFFEDGDSQQQPVSTGVCMPGFVSLDNDMLIG FGGEGGHPHGLVVPVQLDHGGHHGVPGSMGMQHQGLMSTLGGKFDPEGEYEQMGMFGG MNGMGVPGGDVFFSGN QC762_511900 MFTPTTHQPMAGSFASVDITPAISLTGDLPQGLPADILCVVGPD LAHHLLFTLASEKGLPQRKSIDVTACAEGEQGVHQIARNILLFTLALDSKITAEQAWN IYYDAWLTPSNLTALQTQAEKLFDLASSLDAWYAGPYSQLRFCDSGTLEFVKDVWSKY TTSEATENFDTILERSQKHKEETYGEEGQAVVTSSARSCAPLSVGGLVEALTELTEEN WQATVKKQDEKKPNPVFAAALAASDENQRRVLEYPTDPLIGFHLASANAPLTPLSPYR IENADKKDIKERIVETARMQWGDWLEAFREAVGEKRVTVRFAAADFFSLCWTLKRNKD CGELEACWHRREVRFDILVLDEKEYAEGEAPNEFDVVDTGVLGDEKGVLNVLVAGLVL LKRVPSSTIYTECYAKDYEAILEGYTSAFSVLLGVSPGEYWTNSTSVAVVDEVLATFS ERYADDERPRGIQSRLAWKWNSLQVKGQRDQLTMEARDLIMFFEGVFGRIFKAYELSQ DNSKRRRPHHHFHFNTAAAILKVLCERLGLDFRRVLDPYETLPADFRARTLEPHIKGW GICGVPEQSGNPAYTGDFLSGEPALKLNKKLDSVAITFTVPAEKWKPVAQCSRDEGIP LPLKVTIPEAEVEYTNYQLAFGSITKFNSPDQDGVPGKVDEDKEEWSGSSDIVVSLYV PMEKLAGFQQSKQSVALCTADPFYNRLAYKTVLGNPQCEIHRVPIDSVLVTHVLPGQW LLENETVSVESATKKLGESEVPSSMTPWFTAKLDENAVDAKADVVLQIIGHIDITSDE GRTLLSNKSVPIKMEQVSPFTIEVVFGARKLVLPMIFTVPVSKEKCALRVARKSHYVE VVSSLVKPLDVPQLDTHILPSTAIQPSGIPATLNIPHLNLDNLPILSIDEDEDEDTRI KFLTTLTSLVFSGRERRLREEVNAQDDNYTGLSPSPRLNFKESLFTMFMLSSGLQGGQ TGLFAISDPKNGGIHMLLFVNAIRLDCPHATVVLDAAILPFTKALVDSKELEGFLLLI RTLECCTITVDDEELKMWKKALPAFVERCRTWEHNPKTCEYVTSSGEKGQKTVPLSLK EGEQVICSCGQGKLPSDFIPLPEWDETAARYSTRVAISPVYASQLVEDIIDPALARGL AGGGEKRVAGCRACGKVEDEEEGLALKKCGRCLKVRYCSGECQKRDWRKHRMECEEAE EHHRK QC762_511905 MIVIDREEGSDGQNMLTGRGCYDDPGDGGFIAPSNPDGSYDDEG YDRYVEEDDYFSTSRNSKVGKRGYVTHDACWPLLEEALSSGPVPLDRVFQILEFDDDE FFPWEMTSGKKLRDEEFPRSHVDPSLVDARQKRIAGKASSKPQDAEQCTSSPWNKTIA PDDCRWVKVAGGQKPLYQQSIAVPETISKVSVSIVKFGSLWLHFRTSTWRLHLVSIAA VPKDNVNTSNDDGSNNDISNPDRPLKDLGLWYPRVPPDSLKLNAVFHRAKQYLPGFNP VFWTHFGGPKGAYLKHLTRISFYDYGRFIFQYDRDDIPEQCSQFGQKEKLEPRYSTSL HFDIDGPGGERIIAVKIRRKYSKNIPMRIEEMDEAEDEEIEETEDEGLDEVDRVRAVG IFQNNYQP QC762_511908 MGDAVDLDLNILDRFPAGLRPLLDRTVATIPERHRRNAYLVFAI RPVMERLIIACSVVALSFIHEYCKNPQFASTLTPLKWQLEIMVDANTVINSDDERVDK AKTQLRTWCRGLVEVLIVHPGASARSTWGLGTGISYPSRMLLHQTYFSHRTVSEYFQD AVVQDVLLKGGVTRDTTQDAISQMLLAEFCHCGPLSRICHRGWMKQLLLLRQTFFGRS AVCVLGTPAKCHDPQASGIAVHPCRTEGKAAPGAESQQGPRFVSNRGEIIDIGTIAMS EVPGMPWWSDKVKLWGPFFTAIRCGKYEYPSWKLQTDHNFNPDLLSIAATVYLAFGAS HVSPSRYGKETGLDPTDQQHCDFLEQVFTKYRKHLFNNTTFVPRPTYTNPWKRKFRDE RSTNTVTPEECLTIWEHYIMWQFGGTISDHVSTLSDKDSILISKEGARKLSVAVGTSH SSGCFLSRRKEAALE QC762_511910 MKSIAIFAAALLAVAKAFPEKQMAARQTTPKIPVAVQKPIEDAR LNAQTNQGCFKSAGNNMTFVTVIQYNSIGECALKTCVPKGFTAAATTGGNQCWCGYKY PPEDDLVDNKKCDVGCTGFGEEACGGKNYFSVYNTGLTLDVDFAEDSGPTGEKHKTST TSTTAAPTQVVTLPPSVVTQTQAPVEEEEKKKGGNVAGIVAGVVVGVIAAVGLATGAY LYLRRKRNKEIEEEHRRNAAVSAFIGQPPGSSRGSGISGADSRMDPVMAQRRMSDGSI ADNEDYSRKILRVTNA QC762_511920 MAPRLTPLRLLPRLAQRAFYSTEAPGPLLRITDIPAPSTGHIRI LELNRPSARNAISRALLDSLRSEIEDIHEQYDPATGEELPTPQWKKRFGGVAGEDEKG PTRALVIASAVDASFCAGADLKERRGFTQEETAAFLSNLRNTLTSLSNLPIPTISAIS SLALGGGLELALSTHFRVMTSNAVVGLPETRLGIIPGAGGTYRLPALIGINRARDLIL TGRRVSAPEAYFLGLADRLVEVQPEKEWEGLQEKERDGKVLDLARRTALSEAVRLATE ISEGGPIAIRAGLKAVGEPMEAVENDMYLRVVNTEDKYEALRAFGEKRKPVFKGR QC762_511930 MSFLTEATTRRLATLSTQAIRSTTVTTTAPRAAFSSSVTLQKTV ADTTKDTLKTVDRTVSDKLVDAIDIGSTVASKVKEVAEDVTGQKNTGAAADLKGQAAG MAKNVSGQAQGKANELAGKAQKMGGQAQGKAQELSGQAQGKASEVAGKAKGAAYEAEG KAKGAAGEL QC762_511940 MQSTPRTRRTPQNTQYTYTLGRRIHHVKTYPVQSPQGATILLYG HENGVTVVWRGGRRLKPQTENKSKEKSNGSTSNAIMIIDSDEEDVPPPFVDKPEFEDS PTSTATSPLAEIIQTLDLAFGTAVLKIAVLPMPNTTSAEAAWNGADILKQKIIFAVTC ATSEVYLITLPLTPPSNESKARPELRESLLAANAGKGVWGETLTLLGDQSRPCEGVAM TLAKSTAASRSRSVESTTTQSQTRQSPRVIVAAHSREASGTLRFWNVPLDAKPGVVSR LESFQTEYLPSPLSSISFNPTQLTQLLTVASTHAVRIYDYSTPALPLEDASEGPFPIQ GSWLLSLYPPFTRSATMSTSRKPIVAAEWIAHGRAVLTLLADGQWGIWDIEDASPTAT SASSGGLFSKASAGLRGSAITNFSVTGHLEGTSPLKNPATQKSPAATGSSREFVPMTP HTRREALASANGGPEKLVCVRGGITVTHLSPAKGTATGDETALLWLGGSDPLVSVVPN VAKFWDSQLRKAAGGGNLWSGTQLTRMARLTDLGAGLLGERCTGAAAIPKPVTANNSS ASENGTPPVEASLPVEVLIQGESRVVFVHESEDAPSFTSRLLGARKKDGGRTDLEPAK AILAYPRPEKPNSVAFNLSIAHRPAADNAALLRSVRRPAAAGGLFSQDQPRGGDSLFP SVEAPAIPPQGASQAIGLRFINDLNFAADQPDDEQEAINRNIEEEMMDIMEIDRELEQ MEDERERSTKRVFFEEG QC762_511950 MQPVTCSPARGWLGFPPSEQGGPICSSPLRKYLKRESAVKKFPP QHFSITTLNIIATITTTKMTTTTTTIPTLTAHTHAKSQYTTTSSRLAARLSIHNYNTH PQSWFQFAQTFIPKSGSILEVGAGTGALWSPPAISSPSQLSSVTSLTLTDFSPAMVAT LNSNSDIAALKSILPNVKIQQCDAASLPFPDSEFDTVVANHMLYHVDNPIAALQEFKR VLKDGGRLVVALNGLDHLRELFEIGGMVGRGSTIAGLAKITAENALEQIEGAGFEGVE SERFPGEFRLPGVEPVLEYLDSVGETDMEEGKRETVKRVVGERLDEGEGFRVEKNMVV FVGRKP QC762_511960 MDSEKQPPIAVQETEKPVFQANDLEKQPPTEDPIETSKSDTTQD DSIFPRDATEDEIATLPKITDKIPFAAWAVIVAGAGERFTYFGLIAPWQNYMQYPQGK EPVPGALGLGQATAVNISNAFFLFSFLTPMLFALISDIWLGRYKTLLLGLVCYLAGCV VLIGTSIPSALEQGAGVGGLATALILTGLGAGSVKATYVPFLGDQYMQVKPQVIRQKN ANLVVVDGPRTLQFIYNAYYWFTNIASLSSIPVTFIEWHHEFWSAYLLASVTLCISIA LFLLWSKKLVKVKPQGNVLPKAVRVLVCASKNGFELEHTKPSYQKTHHGKEVPWTDSF VEEMRRGMIACRVIFFLVIFYLCIAQMYNNLVSQAGQMLLSGVPNDMIQAFSGVACII FGPIMQGLYEFLARRKIPFGPIARITTSFIFCGASMAYAAGVQKLIYSTGPCYDHPYN CPESEGGRQPNNVSVWVQLPVYILLAIAEILGFVTAFEYAYSKAPREMKTVVQALTQL TAGVASLLGMAISPASKDPNMVIVYSCLAGAMGVSAVAFLWRFRRYDKIDASLNQF QC762_511970 MRGLLTAAGLAALAVPAQALIGYGITMYDPSCGFGCYDSISGFM LECSVMDHGPVGAHSHGAGGPTSPECRAGDDYFLTTLAYCMNTTCDASTPRWKLEKFW SEQATGSKTVAAKWSYTEALAQIKEPPTDQYGEDDHHLTRTVLLDPETVKANTLTREY FEQAETIHSQYGLILLIVGFGTPVVISMFTRLPYMSTLSDKLKPYLVYPSLIGTYHVR PLPYLLGNAATVGQSLYVIMFAALNIAMAAAGYKSVQPNAWFANEWQEVMGYFSARTG VLAFALTPLVILLSGRNNILLWATNWSHSTFMVLHRWVARIYAAQVIIHSVAELVLYI DMESYEAEFKTEYWAWGIVATVFACAMLVFSSLFFRRWSYELFLVGHIIMAVFVIVGS WYHVEFLFQRRWGYEFWLYAACAVWFFDRMIRVFRVLKNGPRRAVVTEVSEDIVRVDV KGIRWTAAPGLHTYAYFPALSPWRPWENHPFSILPTALLQSKRDASLSGAASGSDTRS TADVEKSGGVTSTSTPQRDDQALGGAPGTGITLYVRKSKGLTKLLANHNSLLTLLDGP YPDNPTNGVLKSDRLLLIAGGVGITGVLPYIARHTNVKICWSVKASAEGLVRDLEGPL SRVAEKDVRIGSRLDVAALLAEEERTGWSRVGVVVCGPGGLCDDTRALVAAKARTGPT VWELEVDAFSW QC762_511980 MTSANPWGYASITLSPERTVHATISLYTNLTANQKPTNNTIPEI WALDLTFTRSAAAQPSTPIQINLQQGTSPWKTLLQQTLSPPTPLLTIHFLFTSTPGYI TRSDLIPLRFECLPGLLSAHTFLTPLQHVTPITAQSLTPSNLPQILSSSLGALVLSND YSPAVLNKETNNRLGLPFLLPSPPTRKRIAWVQGREDIDCIERALNAAQALGISLVII DEKGHWLQDPTSPWAHLREDFIEADVAPNEDFPQRIVDAVRGYPKPINGIVTISDVRL AGVAKACEILGLPTESPEAYEIAADKGRTRLLETVGADESFVLRHKDDLEGVLAQNVE LKFPMVVKPVIGWSSDCVTKVKNVDELRVAVKKASDRHADSPKPSTAVVVEPYVAGPE VDANFVMLNGEIVFADINDDFPSPADSANAGLRENFQETQNVLPSALPKHELEALQDQ LRATLVRQGFKSGVFHCEARVRNSSVNYQDVGNGILDLVPANNQNSTDGATDPEVYLH EINARPPGYLESVAVQLAHGVDYYALRMLLALGNAEEARFRALSHPFRNGPQFHLSVM IIQQTRRGIMRSADAAKEFLEKHPDVRENVVDYYTRKKGGDVLEGPDAASLWWIAFFS VVSRTSRRDLLERVAFIEKNFDYEFDPLDD QC762_511990 MAYRNPNPLNVFSGPDSLSHYFDPEQNPPLPLVELPTALNPFRR DGVRIYAKMLTALPAQNVKSLPALEMLKTSPKALTAKRIVEASSGSTVLSMGVIGRAL WGHEGVEAWVTNKKTRESLRVLRFFGVGISLYGGLAQQEPSDPKGIMARLRRKAREEE EVCYLGQYDNDANWQSHYNHTAPQLALQLPSLSVLCSTIGTGGCITGTGRYLKSHLSS DIKVVGVCNVFGDPTPGPRHFPGFESSPFPWRETIDEFVSVKSEDSFRMSMRLSRYGI ICGPSSGEALHGLLEWLRENGTNGLKRDENGEVNCVFLCADLPYQYMELYYQKLGEEE FPGIRNQCLLEVDQDPYDERWFLTPEQTVDMLVGEGGEKYDGEMLCMVPSSCACTTTR TSRTYRQQQQPIEGIFGDCSPGAVSDVSESASTIFSTASPSSSVYSVATTTSVESQHS SMGKVIDVRPRAEFVKSHLRNAVNIPLSVTKDDFYGDPQAVYGRWKEMNAAFKETGVL NHQEEDDDRQTLVVCLDGDSGKMAASMLRGASKKVGKKREVFCVDGGWGVLEAWLRRR GYGDDVWDGVD QC762_512000 MEQLTVLILGAGWTSTFLIPLLTTSSTPFAATTTTGRTVSGVPT IRFKFDPANTPEEELRSAIAALPAAKYVLITFPLTGNGPSKTLIEMYNSTHQSHSSSA SQKARFIQLGSTGIWGAGRTTAAADKERVERELAEKRGGDPWVNRHSPINEANPRVIA EKELLELGGCVLNLSGLWGGERKPVNWIGRVAATKEAIKGKTSLHMIHGEDIARAVVK IVTNEEDKWENGGGKGERWMLTDGFVYDWWALLAGWAEGEDGEVREQGRWVRELMEEE GVRALPRGMEMLGRCYDSREFWRVWGLVPLRAGVLNE QC762_512010 MPISWASSNKEGSRAIKLVGWTQNSDEVPGGLPVSGTIQNPGGP QLPLMQLAQELQHFLRVHISEPAEPVRDMDEALSRLAADSTDATANAFIGLHHFIAWE EDPERLGGPPEAKRCFQTAVSNTLNCRVQSNRIITNVLFMADPSVADYWYLLGRAHMT MNDYRGSYESLYQAVPRKPRAPEIWVTVGILYHNIEQYRDSLDAISRSVNRNPSLWLN WHNLGVLVRKFLKCVHKLFC QC762_0082010 MLEAIGRNTLLLLHIANQMKRVVQAIEAIPRHVDVDVVRRDDVL GDTWGLPLQACRSWNSFGNMLQHVIFAGRPGLQRVINGQFVITLAASGMRIDDYN QC762_0082020 MMVDNFWTDIRGSADGNRNDDLAKGAGGDYRYFSWSNNMDATHF VTDVALWRTGDAQHSPPDGWNSMTGDINKGRGGDYLYLVWRKKQYCGPKGF QC762_0082030 MRFEVQSGNSKIKKSGGVRIIGGADGIKMIPFVNIEHVIILPEP KAKRQKNHRVLIVPNAATGLSPIKRKYLKIISFSLPNKNADKDLIGTIGEAVDASKDT YLSVFRKVFRKVFNQKLKPFGKSVIDATDFQKAGIWEGKTTVRFRLNYQPHQRTIRGI PTIPTIGRDLSGHIRARSAFSGHSYFLREGLVFLHDSNGRHGVEDLHLFIPFNSLSKS LLLLAYENGEPVGVRYLIEDLSEPYFAATADAKKDEQNSLMIGFDGLPVELLDELKKW SEGSRIKTTRMNLGSLSTTMPETHQHQASRRRV QC762_0082040 MPPRKQIGVPSTAPTLRQFGTDLADAVPAQAAIEEELAGEVQDA AAGQDASEERKASAVDSLDWSTLTRESQFYYGNEEENISVGTGFHLLGTNKHISSQ QC762_512037 MGNFSERMQGVRSRLHLTREKPASSCEPRPPERMNRKTLLKEYT RLQRHCDSLKGEKKKMESDMISMERRHKEELDESKLSQKIVKLEATIVKLRQENASLN ALRTAYFLRSLALERRLNDLQAKVDNAGMDRDRCQERINYMEYLKKGRERLEKVE QC762_0082060 MHPLTTSDLAFLLCTSSSTSPPRAPLILRTTYGLVVVTQSDGSL DISISEQKPPRGKGMVVGGGCSVLASPVILPVPRISPPQNEHYLLSPDFTTSTYLWSP SSASLDEWTPADEALLESLYSGEVDEEGGWFDGYLDWVGRAEDQLHGLGSSRLWRGPE ESNDSFVSVDSPTEPGGGIDGQPFKDEGERTLWLVEGMLLASWLALQEGVEGVGYRVG RYEDAVEGEQDEGMYLLERGGTESLGEVVAAFLRNVRF QC762_512040 MGQNLSLEEPFADTAGAVFAKEDQMNSIILGIAWAGSVYGIGMI WCAVTLLSRWSGRESDRDPNLFSVLAAFLLSTGWPMIMLYFAMSSR QC762_512050 MCSKQTGLWWSVAPGWLNFDLPSLLPLLLSAALNFLQRSSPQLC LPRSPQPPTFPDTCPVPSKMTDTDQPHSHLEESQDIVMEQDEPRAFPEVPRTLPEVPQ VPQDSQTLNEFRKSADEVARLALLVQQEQENDQLLCTASSVSLIGDFVTAWRIHNRLF PGHGSPSSYFHGKSKLSLDTSKENLPAGRDIFRGGYHSKNLDQTYVCTRRFY QC762_512060 MLLTVLSFKLATTAVATTVQSLSCQSVIAQDAQVKTTSGLITGH LFPDASCALEFLGIPYAKPPLGDLRFAPPQKLLTPDLSRNASSFGYDCPLSPSKPSNY PGLTPQAQRIINFFASAVGTPQNEDCLTLNIWSPLPPQPQPLKPVLIFFYGGRFTIGN TNTPFYHGGRLASAEDIIVITVNYRLNIFGFPGSSHLPFQNPGFHDQRAAVEWVRDNI LVFGGDPSKITLAGQSSGAVSVDNWAYAYHGDPIVRGLIAHSGTALSFPSNAKSVQQS NFEAVASLVNCSLPNPITCMRAVPWTTLLSAASSIKPAKSSSRLRGIPPFWPAPDNIT FFAADELTGLPLARIPVLLGSTDNEAGYYRVPAYAQNITPTVEEVRSFHLESFTCPVL YQAGQRRGRGVRAWVYRYGADWENTRLYEGSGAYHGVDMNMVFGNGEVVSGIEMEKEQ RELMGFVQGAWGGFVRDPGGGLEGVGWEGFGRGRMAVIGRGNKAMIEFLDVEGYERDC EGVVVGALGVMGG QC762_512065 MAPMSFKDSIARVAGWHLRITLAWRYGGQDIGHLFQRMKWALEE HEERFDKHFPPPDLDTEEGRKAEEVLEEFLATWELDIEKMETPEFLTSLMHIFNEDLE PKYQLKDFNSDFISPGGVWKTMETLGPIIDRGMPAESPKNEPEPPKLPERPPPLLVTC NGCGGKKLFGTGRLALKKHRGTGRLRRQRQRKE QC762_512070 MLEGLVAGLLNRFLGMYVKNFDPTQLKVGIWSGDVKLRNLELRR EALDQLKLPINVIEGHLGELTLVIPWSNLRGAPVKIFIEDVFLLASPKEEAEYNEEEE ERRKQRIKMEKLDSAELLKERSQEGLSQEEQKRTQSFTESLVTKIVDNLQITVKNIHV RYEDAISAPGHPFALGITLEEFSAISTDGQWTPTFIQDSNHTTHKLATLESLAVYWNT DTKLMGPGRELSTPDTEVTPHDEMLANFRSMIVSGENDQSGTHQFILKPVSGQAKIEL DKTGSRQVPKFKGNLLFDEIGLVLDDQQYRDALMMVDLFHYFIRHQEYKKYQPKGVRP KEDPRAWLQFAGNAVLSKIHERNRRWSWDYFKERRDDRRRYIELFKKKKQSQQLSAQE NDDLNRLEWKLDYEDLRFWRSLARNQLKRENAEALRNRPPAQPQQQQGWLAWAWGSKP QHQEKQDDSENIQITEEQRKELYEVIDWDEKTALAAEVDVPRDTVKMQLEASLSMGSF TLKQSPHGDTRDLISLHFDVFKAKGIKRPDSFLADLSLGGLRVNDGTTPNSVYKEIVR VKDAPESDPDKRLSIAELEKNGNEAFFQFQVEQNPLDGQGDVAVTAKLKPLEIVWNPN VVVGVADFFRPPDRHMESINALMETAGATVEGLREQTRAGLEFALEEHKTVNAKLDLQ APLIIIPESITNKKSTCLILDAGHISLTSELVDRDTMKEVQSKQNQSYTDEDFKRLES LMYDRFLVKLTSTQVLIGPSIKETKQQLVEKDERQKLHIVDKINVNFVVETSIIPKAP NLTKLRVSGHLPVLHVSASDAKYKTLMRIIEVAIPKFDGDQTQTSLETRPKRPRLASN ASSRSQRWADRAPSTQLLQFPSAQQAIILNDDDLDDDNDKFEDAKDTSAKDQLKLQQR IFEFKFTVDQLKGSLYRSDPDKKRPDQLLVELVAEHFGVEYYLRPYDMAAEVALGSVT VDDFVDNPSAEFKSIVSSGDVEDRQQARNLVHVKFVRVKKESPEFMSVYEGIETNVDV AVSTINLVVTRKTLLTLLDFILVTFTNNNASNNASTQKAITDDDSEVDVEVVPPEEEL QQEAGAIRVKVDLKSIRMILNNDGIRLATLSFNHADVGVFLLGRTMRVNTKLGDLTLV DDVNQGVSEDSSLRQLVTIQGKELANFRYETFDPLKPELYPGYDSSIFLRAGSVKVNF LEEPFRKIVDFLVKFGKMQAIYNAARQAAANQANQLQQTSSRIKFDVVINTPIVVFPR VVMPERPKRDVITAYLGEIYAQNKFAPLDDSENADIAMKLSAGIRNIRLTSNFHYTGD RSEELELIDHVDLEFKVTYAEHKEGVKRPDFDIEGTMTDFNLRITPYQLNSLLELSRS VPAAFAGGAEQSDEEAERDVDDATLERARTMTGFNSESSNEKLIDMGPELGTHGQAWT KLDLVFTVNTIGLELIRAPEDAPVGDMDAASLSKFSLDSSVIKTRMDSNGSLEAELVI QAFTIFDTRHRETNKFRRIMTSNNKDVQQFMASITMSGGEERNVIAMIAIDSPRVIFA LDYLFALQKFITVGTQVVEPPAIPDKSPIESPEEMSDADSMQVSLGRPSQSSSRGISP SKPAEASKAPEPKKLTFAYRVNVVDAQVILIANPLSASSEALVLGTKQVLLSQQHAFT FQISQCGMFLCRMDRFDDSRLRIIDDFSVQMSMDSSQPQTTKIHVDVEPLILRVSLRD ILLVMQTITKASELSGGTPANSNTAKASDQKAKQLKAPPGLKQRSASGKGGSTLAART RASKKSVGGVSTSGRSTKSGQLVPHDIVKVPPRHEELTATIDGVRLVLIGDLHELPIL DMSIKKFTSTAANWSSNLKAEASIEMYTNIYNFAKSAWEPLIEPWQVGLGVARDQASG VTSVDVASNRTFDVTVTTASIALLSKSFAFFSQDQDVLSKPRGAEAPYRIRNYTGFNV AVHAKRQSSEEPTSLRLEDGQEAPWSFEDWEKMRENVLAENGVSSVGVQLEGSGFDMV KNIRLTREGEFLYALKPKADQVLHKLLVHVELGTDNVKYVTLRSPLLVENETDIPVEI GVYDAHEGHLLKIEKIAPGESRPAPVGAVYLKSLLVRPDPGFGYGWSNDTLWWRDLLK RPTKTMVCKGDHAEPFYFQMSARFDKANPMTRNYPYMRLKLSAPVTLENLLPYDFKYR IYDKNTKKDWSNFLRKGGVSPVHVVELSHLLLLSVDMQDTVFKPSEFAIINAGATDDF KKETHLVCKDDAGMALNLRLHYYRIPDSGGAFKVTVYSPYVVLNKTGMDVSVRSKGFM QQAKAAAGQALIDIGDGSHRKTKPMMFSFNSDDHRNRALLKVGDSEWSKPQSFDAIGS TSEVVLNSPTRNAEIHLGVTVESGQGKYKMIKTVTLAPRYVIQNKLGEDINIREPSSS GLISLKSGAFRPLHFLNRGHVKQLCMCHPGVDNQWTAPFNISDLGTTHIKIAKAGQRQ RLVRVDILMEDATIFLNLSMEQKAWPFSMRNESDTEFTFYQVNPNIDEDGTEDRSGWR PVRYRLPPRSIMPYAWDFPAAKHKEVCISAYNKERYVKLAEIGNLMPMKFIGTNGQSK IIDINVTADGPTQTLILSNFKQSKSLYRQKSNAGSTTSREGFEAKELDTGTTFRAQLR LSGIGVSLINSQMKELAYITFRDVALRYSDSPLYQTISLAVKWIQIDNQLYGGIFPMI LYPSVVPKRAQEVDAHPSLHAMVTRVKDDSYGVEYIKYATILLQEMTVELDEDFIYAV LEYSKIPGASWSDTVEEDKLCDDNIDIPQPKQQQSGKDIYFEVLNIQPMQLDLSFVRT ERVNAEDKTSSRNPVMFFFNVMTMAIGNINDAPIRFNALMLENVRVSIPILIQNISNH YSQEALYQVHKILGSADFLGNPVGLFNNISSGFADIFYEPYQGLIMSDKPEDFGLGVA RGAGSFFKKSVFGVSDSLSKVTGSFAKGLAAATMDKQFQDRRRITRARNRPKHAIYGV TAGANSLFTSVASGVGGLARKPLEGAEQEGALGFFKGIGKGVVGLATKPAIGVLDFAS NISEGVRNTTTVFDGSELDRVRLPRYIPADGIVRPYSQREALGQSWLKQVDNGKYFDE QYIAHLELPTEDMVVMVTYSRILLIRSRRLQTEWDVPLKDIQTIAKERTGLSLTLRGG TNGPFIPIGEESGRTFIYRMVAVAVEEFNRRFRGLE QC762_512080 MPFPFLHVPRARFPSFLKYTIRSTDTINHSTLNNSKSHDCLVSP KMLRGFRPAVGRAQALSTTLRAPPIRPSPASKSLLKHSSTSSRAQVTTQPRLLPYLIR TQYSTKPPVQPTQIDKQHEQEIAQHKLEARPDEVSTTSTVRKSIETAQSKPDDVDFGK ELRDDLHTVKDTFALGSVPREPYLLGLAGTLPYLGTSLATVYLSWNLNAKFPTDSNFL NSFMFTNEAASQWLHFLEPIQVGYGVALISFLGAIHWGLEFAEKNFSRERTRLRYAIG VAAPLVAWPTTFFPIEWALITQFLAFTGLYFADARAMVRGWAPSWYQTYRFVLTAIVG GSLLISLVARTKIGESHARLSGGELKDLLRAEDPKNEYHNWEKEEEKERAKLRKEKEE KEKKEKEEAERKKKEEKKSKGKKGDKKEGDKKEKKDDKKDEKQEDKKKDQKEEDEKTK KSDDNPEEPSKDRAESKDGGAQDKKKEQIGSQDGGNRKNSEKHDS QC762_0082130 MSTQKLAGGFGYSGPTIGFILLFQAIIAAIAQIRVVLCAYPIVY TFTPLLPGLSVTSSTLALVLVVLELWFKVVLSSIGYICSTIL QC762_0082140 MAKERCLDLTHTSNLIGSVLGGMLAEPGKNYLSMFPPDSIWTTY PFFLPNLVVASLQLFALVFTFLFLEETHPHLQEMSDLGLTICRTIAGRLSQRGHEYEY EMVYSNQEGVDSAEIPDIVQERNITNPADGNTTDNDDDKALAPKRAVNLQIILQVLSV SLLALHKVSSDAIMPVYLAAP QC762_0082150 MSRWGQTAKIITELPTGEQKDYFLKTISLGETGAKMIHGEFESL KALNGVLSDFAPEPYAWGQFNSKPDTCFLLTQFREVGEQPPNPVRFTARLAELHKQSA SPTGKFGFHIQTFHAKLPQITDCWEELWAVLYRNQLAQMVRLDDEKHGVWPEFRKLCT LVLDKVIPRLLEPLQSDGRSIKPCLIHGDLWDENTATDMDTGEPFVFDAGSFYGHNEY EIGNWRAARHRLSDKMYVRNYKRNFPVSEPGTLVVSTSVLNCN QC762_0082160 MESQPTKQNIAYCLRTIAFQLAKTHQPFAVRLLHLHKETRFTAA EQKFGLIWDTIFENIVFKMDFGQTLHWIFDGLDEADAPKLLVRSLLEIKSKTRIKLLL FSRPKKDLTNILMARFGAVPMVSVSVEQTQEDIEQYVASVASEIFPDAGGPIHEYVIQ QITKRAEGSFLWTRPALETLRDNWHTQADIDMALNNVPKGMHSLINA QC762_0082170 MASVIRCAGNYFLALITHNSTVVVWHKETCEEIYRLHHGEWVTI LVIDKPGRLAATAGRYTFQVWELITGEQLYKLPKISQSRTMSVDFSQSGSKLLISYDD CTMSLYDLESGSEETLFAEPNITLWDEQQSQMFPSPKSRMCIRKDDKDLEVDGDEVFN SPEVVRWSHDGSTVYILYQDATILVWDLIEDGQFERGDTGAREMVLNSDGTLLVTSGN GGSLRVWSLPTFHLIYELNSDEFVCDLSFSADSQRIYDLRGSGCNVWAPDILIRPDAL DHKETASSVDASFVSDVMSEPVLAQDQQVQRGHVTALICDDHDEFFCVGRDDGLVNIH DMTDGKVVRKAYKHSATVDIVVLEWSASRRFIASADDSGKVIVKKLKIKDDGKWAVFP MFDLRVGDAVNQLLLNQTETHLLVSTESSDRVWDLKTKSKVCRRRWDCKAGLKWLNHP EDSARLIWLSNEQIRIHTWAGLASEDEEDAMVSTTEESIGEKEEI QC762_0082180 MDDRREDLTSRVLPTITLDAGTLPALQPPNIYHPRADPNPTVEL FRVHGVAIIAGRAGDLATTVIQALAEHGVSTFAIFDVVRPEDVKVDVTNANAVKTSVY DVSEGLGIISLLVNFVEVVCCEHAMEHSLDSWRRTTDVYTTGSFIIAQAAAQEMAENK WGGSIILVASISGSRVNFPQTQAAYNASKAAVIMMKNYLAVEWPQHGIRVNNISPGYM NTILNEIKGLEKARKAWVSRNPMGRIGEREELCGAMVLPASRAGSYITGADIVVDGGQ SL QC762_512120 MKPGPALDVPGPHSLPNRFAVRNAGRGLKITGSNYKAPLHYLSK HSSTRDTLRLSLHTTAHKKDNSPALVSVHKHQNRKSGWTTTTQIGNVKWTSTPAQGAE NYQIILHRTNAHNETIDMPQTSQDIYQFRMRINTRDEVFEWIKADALTQEIRTICRRE NPIVSTGMTREKSPRMGAGGGYYLVRVTGRGVQPKGKKGEETPLGYDKQGREIVASWA YARNFGWGKPVFFFQWWGGGATGELGQDFTRVAAATGATFYHEEALKAAERQRQRQRA RNRRHGGFHH QC762_512130 MLISMPSPRQLITILSAALASLLILSLIIPSSRDTVRDLVREKL PGVDNKPSSNNEEKRPKYKPAPTYTPPPVKENFPLLATSTPPPIPKWNVPPPDLHKEY DLPVAPPLLIGFTRTWPLLQQAVVSYITAGWPPSQIYVVENTGVQQSNVRGQLSLQNP FYLNHAALKKLGVNVVTTPTLLSFAQMQNFFLSLTYTHKWPYYFWSHMDVVAYAYEDG KPGLSGKYNEKNYKSLYELALVALKEAREKDDRWGIRFFAYDHLALVNPAAFEDVGGW DTHIPYYMTDCDMHSKLIMRNWTQKDAKAGIITDVASALADLSVLYRLEGGPEPSFVD PNPPPPKKEEKEKRGKSRIKRVGYGEDGGPLPADRDKREEDPNWRKWRNLVITADKQF QHKHGDRERNTWQLGQQGGKGEPFYYPAVGLAKSIELMTETGREVFRQKWGHRGCDLV SGAKLKYDDAWMVEKDW QC762_512140 MSSRKKLEKSSAILFAVAAAILRLSCPVHQPHHQIFSTELKNVL RYRIAPTEARVPSNKLQPQPPDALRDAYLSFRPFHLVITGHHRYLYISYRDNSPAGVH HKTRTFPDGQLNSTTPFLPRATRQGFSLLAKRRPAVPRVLPTLSASLDSTYTPRPDDA QTTRLVSQPPPNSLSNHLNLFALFLCLGQPPLSTLCCSPVAPPCNHPLTASLSSGLLA KHFDDLCHCKVSQTAAQQQGTCVGSPLPSLPFALLGRPPRLSHHHKDADPFHQSLTKH IKQQRETEPLLCVPRGFIYCPVFSTVPPHFNTTRAMSSPQGSPNDMNAQSYMLVPNLH VPDANTSEMDLNSHSWMMATVIEDDDLMFGGKPLSAWYEEDRRRFSSGQDEEEEPRGR QRERTESSTHHSHQHHPQQPQQHRHHGKNTKDPKQQ QC762_512150 MAWRKEDSKPGQCWHSLFGNPIVVKGYPMSQRPKCNTGIEIPLQ MAAAFIAAPRLHRFLGHYYLKGFSAILIAVEVVRNVVLWHHWYNPTGKRISYLDAATA EGKIEISLEDLRSRRHVGWCSEALCMAGDKSAKYQIGSSRLPCPSREFSLEKVSFSVG QIVTGGCQFSIGKKDVPPHITKQGYIAKLRRIDQKYVVMWDEEDKRAWLVKGTGALLH LERH QC762_0082250 MYRHPRHRLVAGWAVPNNGLITKRLTSSYPMKGLRFGFGGFRLI SIGRTKKCGDNSDESAVGMNTSDISESMAARQLKKAGLWYPDVPPDDIQLNGNKFHPL KLYLLGFKPIFWTHSWRARGQVSLASHQHLFA QC762_0082260 MRPISDPSLLLRTQARDTTMKATISPMTTCFPSIVISLLRTDVD LLSTMSAGRC QC762_512160 MGTFRDRLRCLRMRSRQDEICELMKNNSDPEARYRRDLRLLAKL NARRDATNPDPDHPVKIEDKQVDPKTQDTTDEKPPASKPDNPLPKPAPEEEIKPPSPT EQPNAYTLSTTEAQTIIDWHRESQALYETLKREKDNLQSQHKKLQEAHDNLAREVSSL NMDLTRAKFMCGWYERLKFTLEGKVADSQNEIDKIWAELEKAVERNTTYQEEVAKLKA EVDKHRLSGGDGFGDVFDKEREALYRIE QC762_512170 MLTKTFFAVGALLTQLIHGAAEPPVSSYSVVEVEWSLPVDPNKP NGAREFITGTIEEAIRQMDAAHPGWSQTFTSNMQTVDPHVLMARGSSPESQSVNCHLD SGKGAANCVDIRDGARYLGSIDSPAPNNSPHSCGRVSCAYNSAIWWCNDNDSVKEIAW KNIASSAWFLQDNCLYYEGRTEKVFAQEFMKDKWDVCVTGDRC QC762_512180 MKQPVYVQGTVPTLAMTAAQSQATPQTITGNTSPRHSGLADNFA TPDANTSASDAAENKNTNGTADTGSKGYGRLAALLARQDEYAIFRRFKYLNCLSLLYQ QAEIIFLQDHLEKLAAMDRTHSCRMRQFFDRDWITLAHPGDPEAGQQWATMQLIQLKL AKYNKALLTQASLAKLNPPNFQDLTFLREWIGRADNGNYPIHGPDQHAWDPEFETDLM AISPRVPLDRLSRWVNDIIFPWYHKFFGAKIKDPENAAKNLGTGIYIYSESHLQIVIE TFVTVVAALLPVLSIVVLYFLGDNNKFKFVALVIFSAIFALALAIMTKAKRVEVFAAT AAFAAVNVVFLSQDPTGEELVELMKQYLTGEKGEN QC762_0082300 MALSRRPYLHADHVRQLELDRLNWLHVGAALRGGYVSIEDEDHA TELREADEKARMEEWKKGPFVKRMWVQDEQRESFRLITVGLDSMARSASGEPVSFVPA STISEYNLGPIIKIPETVFNTASAIMSCTEAVDITWMGSDKVERQDRWVVLPVGSGIR MPLLGERFMTCFGQLLLSVEPTGTIAVASDEDRPQKKGGKPMMGGKGASSKKPVKRDN IMAHNPDSAPASQNVAGRQAAQIAREQRVYEDFVSSSQHTNQPTANRDHQTLAYYDNF RSYRDARSLDMHVTEREHWAAYWQLHTPRFDHRLLIPTERELRDQAGLPKPYSKGPPR K QC762_512190 MLLSWLSFTAAVASAAHIPPRQSQQPNHVLEDFVNRLRNLIPDS LGGLTPVLGTSTEYEYVVVGAGTAGTTLAVRLAQSGARVALVEAGSYYDLTNPIISST PGLDVLLIGSDPLNSNPLVDWNFVAKNQPGTNFRDIHFARGKCVGGSSALNFMIYQRP TVQSMQLWADSVNDSSYTFSNTLPYFQKSVQFTPPNMNKRFANSTPGYNAAAFPATSN NPLHVSFANYAQSFSTWLKAGFATIGIGETPDFNSGSLMGAQYCTSTIRPVDQTRSSS ASSFLKTALVNNLFNLKVYTATRAEKILFNSNKKATGVRVKTGLVSYTLSASKEVIIS AGAFHSPQLLMVSGIGPADQLQAHNIPILSNLPGVGQNMWDHPTFGPSYPVDLITLTK EARDPIYLAEQLVQYTTNQSGTLTNPVADFLAWEKIPPPLRQTQFSPSTQAKLAQFPP DWPEVEYISGAGFVGDFSNFLLVQPSDGRQYATILNVLNTPLSRGNVTITSASTADLP VINPAWLTDRADQELAVAAYKRARQAFTSSGLAPIVAGEEAYPGPGVQSDGEILEAIR NSLMTLWHPACTCKMGREGDPMAVLDSKARVRGVSGLRVVDASSFPILPPGHPQSTVY MLAEKIADDILQG QC762_512200 MRFSLLSSCLAFSASLIAAVPTFPNAQSTRDVDSEFDYVIVGSG AGGGPLACRLAMAGYKTLLLEAGGDANGNVNISVPGYQAVVTADPKLRWDIFVNHYKD QNRAKRDPKYVYEIGPYEYHVGPNPPPGAKDLGILYPRGSMLGGSVTHNALIWIIPHK QDFDTIATITGDDSWAASNMNQYLNKVYQWLPNMPTDPTILLRDLPLARHLVAGANAV GIDVPVLTPLAKLSQLLLMSPNSRVNPARDATEGYFQVPLTMKLGERSAVREFILKTV ADGHPLTVRTNTFVTKINFNTTGSKPRATGVEYMEGEYLYKASPLHRTNFGKRGSAKA KREVIVSAGTFNTPQLLKLSGIGPAPELLRFGIPIIKHLPGVGTNMMDRYEIPVNVVH EDDFSVLDGCTFDLKPHDLCLKQWLDNPYILAARGAYASNGLAAMMLKRSSFASTSDI DLSIFGGPLNFQGYFPGWHDFSVRDHKHFSWYSLKAHTRNRAGTVELRSADPLDQPEI NFNYFDTGTTAGGADQLDLGALIQAIRTSREALADYYKFPILGGTNYTEEKPGAHVQS DEAIGQFIKDEAWGHHAACSCPIGEEGNPMAVLDSEFRVRGVDGLRVVDASVFPDIPG IFIQSAIFMVSEKAADVLIREAKAADGE QC762_512205 MKITQVLPFVGLANALPWMADKRQQSGGAQPGGPLTCPFNPNHQ PAARWDPDFPYNHAKLGLPGKGKGGYKVPADGDTAHAFMPPTDKDIRGPCPGLNALAN HNFIARDGITDYNELLDALQNVYNVGYDLANFLAFYAIYVAGLGDPVTKKLSIGCDAT TRTSWSPIITGSEPGLNGHSKMEIDASLTRNDFFAAGGDNFSFNTTLFKMFEQSTSGL FDVDRISKYRHERWHQCQAENPQFYFPILGLFQYGAASFLYELWPNGNEGYVPNLHNT ATFFGAHRLPDGNYLRVPERIPSNWVNREKPYFLLDIASEIFKMYIKNPVGFGGNAGG EFIGINHPPYINDGALNANTTAQDVACLLYQILSRPVPSTLNGIVTPLVEATEALLIS LFGVEYNNLGCPLALT QC762_512210 MTELSSAPVLSTPAEHLFEHASPDSQTEAKAPRKTDDELRQIYE VARTARELRLGKWKTIALQFPDAMLVDAPRLVQALKDELTALRKEEEESSGPKQDEKI FILADTSYSACCVDEVAAEHADAQVVVHYGRSCLSPTSRLPVIYVFTQHRLNLDETVE AFEREYPGKDAKVVIMADVTYQSHVPAVASRLVSEGYTNIYSTAITHDPANILPNRKI VSHNASSDSTPEGDSLKEYSLFHISTPPTALLLALSSRVGSLYIHDTPHSPFPSSSSL SARRLLGRRYAKLLSLSTAGIIGILVNTLSVSNYLCSVDALRKRIAAANKKSYTVVVG KLNPAKLANFAEIDGWVVVGCWESSLVEDDAGFFRPVVTPFELEVALMGDGERVWGGS WWGGIEGVKAPVGKDEEEEVVEGKEEEDDDSEEESAPPEFDLRTGRLISTSRPMRVRK VKEQREGAEVKEGAAEENRNGTNGALALRPKAELAMVNGVVSPGAEFLRSQRTWQGLG SDYTEEESTAIEEGRRGVARGYTAGDSEKR QC762_512211 MPPPRESHVTTTTGDAGVSAPYGVTNSSSSSPPYHRSNNSSSDS TLDVPIADGEKTSPTDSASSSDTEPEVEPVRVASRRTIPDANHYGPTTEKDPELELER SPSAATTIDFPEGGVTGWLVVFGSFCAMLSLYGLINSAAVFESYFSTHQLKDNSPSEI GWIFSLYLFIVFFVGVQVGPIFDRYGARLIVAVGCLLITLSLLLLSWCTEYYQIILTY SVMGGLGGALLNCPAYGSIAHFFNVRRGFATGIASTAGGIGGVIFPIVLRELLPTIGF NWSSRVLALIMLGLAIPANLFIKTRLPPAKGEKVQSVWPDFSVFKDARFACAALGVFF MEWGLFVPLTYIVSYAVDHGQDATESYLLLSYLNAGSVLGRVLPGILADKIGRFNVII ITIAICLITGLALWLPAGHSNSMLIAYAVLFGFGSGSNIGLVPVCLGQLCDHRKFGRL FSTAMMVASFGTLSSVPIGGALLSGSGWTAVILFSSISYAVALAFYTATRVLAVGWNP LTVF QC762_512220 MRLPLLLPLALSLGASAQNFVNPSQDTTLLFSSNFPGASITYKE TTALLCETTPGVKSWSGYVHLPSTLLADVPSSLNMSIFFWYFQARKNPEEAPTSIYIS GGPGASAFDETNGFPCTFNPDGNSTRLNNQSWNEEVNMLYIDQPVGAGFSYSKIVNGV VDLMDSLSEDGSFFTPGTVEELQQDSLNLTVTPATIQSLDPRDGINTTQQAARVMWQF TQVWFREFPGYDTSNKEISLWTVSYGGFYGPSFMAHFHRQSSLPNSFPLQLSTLGIQN GCLDVLTMGLSYLDFSLNNTYDIQAYPEEVYSSAKTNLTEYCQPLLLSCRQSVEEGDP LGYGSNSTVNQACALAAGVCFGFVQGAFTSYSDLNPFDITLSHPETYPPLHSVGYLNQ PWVQAALGVAVNFTAVSRSTGGVFFALTGDPMRHDLSDLRYVLENNIKVAMVYGDLDY RCNWFGGEEISLAVGGEEFREAGYADVDVGGVVGGLVREVAGLSFVRVFDAGHSVYGY QPGVVKEVFKRVMDGRDVASGKVVADGRYRSQGPVDVRGVKVTATKGRDAGCFLADVG RTCDQGQVEALREGGRVRVEGGRVVEPGRERETSVDGDGKGGEEMEQVKSGGTVRETA AMAASLGALAGCSRCHWLHPFGWFFCCWESITILQLSVTLRSSRKRFTPKRMVPQRST RSKRGCITCRIRRVKCDETKPQCSRCIKAGRTCDGYAATSSQLSGRDMATAVKTLQVV GPAARVLGEAVLTEDSACFDFFRMCTVAMTSTAFPAPFWSRHVLQVAHFEPAVWKAAV AVGALHRRWESRSKIRLRPKPINSGAAGGKTEEFTKQAMQQYWGAISMARTIQDPGVL MVMSVILAAAANMAGEWAASHVHIQSGLKLVASQSPHNNMSGEIASIAQSLSRLDLLV MTFEDSRAPYAYADPLTGKLPSSILNMPRVGKLDDLMQASMHLFGMFRYFLSVEGGYI LGFVTEEDDLPHLQARIAEDVIRWKIEFEILANRISSSASQAERTTLLSLELYHSVLS LMSRAGIAGPAVRWDAYTDEFARVIFLCETINKNIFSPLPFFMSLEPGLVMPLFLTIT RCRHPIVRRRGLRLLKSLNRQEGMWNSPAACVVAEQKVLAEEEHLEFPLPLYIENLDN MPMDGPTGEGWERSMAPEELRVTRDQLEVDVESGRIELRLYTGQGEEEREVKRVSLGY QC762_512230 MKVPVVSTFKNPHSCRHCSGIHLDLDVRRPKLLCFWCDFDGVPK GTSHGKYICEQCTREFFIRDNTEHHFTLKLGYDVEGIRDAAEEGCELYSWVWRGISRE DSLKKGKYRVELYGWKTRGGRDGLGLMVRVFDGVTGERVPVLVANGVGELDVYAFEGD AAGVYTSCRPYVRDVRSEESMGFARGCLRGCLEGHTWCRTDQIIEIDMPRRPVGVLGG ERVEYGDIPSRVLDLGRLDEPRLRLVETWEEGEELLGRISRGGFVALSYCWGGDQRAK LLSKNLDAYKRSIDPLSLDQTLQDAIWVARQVGFQYLWIDALCIIQDNLDGFGTNPDK AFEITRMASYYGRATLTILAASASAAAEGFLAPRAFSPFRTGPVCVLLRNHDTKEILG TVYLVEEHPSTPAEPITTRGWTLQESLLSRRILVFAQRQLYWSCVNSFAGAGGDVTVL TDRMIPGRRSLVEGVYPVGSLIDTSTTAQWGVIVEEYTRRFLGQEGDKLWAVGALAEQ IVKVGRARGEKKRYVAGLLIDEEDKKSWLSALMWRPVDPGRKRPRRYRAPTWSWAGVN GEVRVGRLQNEEPAVVEDWGVEFAAKGAEYGALKPGAWLRLRGTVMTAEEVGRYGVVV WVKSDNTAMVLFQSFEPCDGPVDRSKWTGLSDQPLWELKMLEDSPEDKEAIMTTLANS DFQSMLLLIALDVWHTQGVAGILVERGAGDQTGLCQRRGSFFLEKTDYARGHPDVKSN FFELGHTETLKII QC762_512240 MADFNEYPPDLPVRDALILRNHTAAESAHAVVPYSGTDLARPQL GPANPFKGSDEPSVGDKRKNVLTGRAEETFISEHTFRAKHRAVERDGGPEREFVGSKR MKEMAREVKRGREAKGSATVADGEGAYLGPWAKYKNTRWEEVEVEEGGELGSDEEEVE EEVVGSGTVIRAPEVNLQRREEVEKQGEETSVFEGGEEFDYLGRGYLHVPQDLDISLT KEVGSVTNFIPKKVVHVWRPHGRQGSGSAVTSLRLFPGSSHLGLSGGADGLVKIWDVY RNREVLRSYKGHNKAVTDLDFVRAGGAAGRRFLSGGFDRKVRLWDTETGQCVQRFNVG KTPHVVKFNPGSENGHEFLAGLSDNRIVQYDSRAGNETVQEYDHHLGAINTLEFIDDS RRFMSTSDDRSLRVWEYGIPVEIKTISEPDMFALTKSTQHPSGKYVLYQCSDNSIVAY SSGSDKFRQNRKKAWRGHNTAGSAIGITCSPDGQFVASGDTGGSVCFWDFKTCKLYSK LTADSSGGAINCVAWSEQETSKVFTAGAKGEIRLWD QC762_512250 MSGEAWLYLFAVIINAVNLFLQVFFTIMYSDLECDYINPTDLCN RLNTYILPEFAVHAFMTFLFLINGYWVPLILNLPLLAWNIKKILDNTHLLDATEIFRK LNVHKKESFTKLGFHLILFFFYLYSMIVALIRDEAH QC762_512260 MAEEPPTKKKCLGVDCENEASSLQCPKCLSLGIKDSFFCSQDCF KKNWATHKSMHKTETSIFDPRNLFVPKVVSKPDPDTGYYNPFPTFRFSGPLRPVYPLS PKREVPKSIPHPDYAEDGIPKAGRSLVRANKIEQLNAKEQDGMRKVCRLAREVLDIAA AALRPGITTDEIDEIVHKACIERNSYPSPLNYNHFPKSVCTSVNEVICHGIPDKRVLL DGDIVNLDVTLYHEGFHGDLNETYYVGDRAKADPDTVRVVEAARECLDKAIAMVKPGT LFRDFGNTIEAHAKSKDCSVIRTYVGHGINRIFHCPPNIPHYAKNKAVGECKPGMTFT IEPMIALGKYRDITWPDNWTSTTIDGKKTAQFEHTLLVTETGVEVLTARTPTSPGGPV AMPASS QC762_512270 MEKKQKIVVIGAGPVGSLAALYAASRGNDVEIYELRGDLRDPST TPLNFTKSINLALSERGLNAMRHAGQPKLIDHVKAATIPMRGRMIHGKKPNGQLYEEA QDYDIHGRAILAIDRGGLNKRLLDILEEMPNVTFFFNHKLTGADFNKNKAWFEVKDGT SSGEKGQRAREIEIDFDFMIGADGAHSAVRYHLMKYTRMDYEQQYIDTLWCEFQIQPK ENPEDDSLMSKFRISPNHLHIWPGKKFMFIAIPSDDGTFTCTLFAPAELYTFLQSDPS GAHIPDFFDTYFPGVTDLIPPAQLISSFHSNPHLPLISIKCKPYHYSSSAVIVGDAAH AMVPFYGQGMNAGLEDVRILFDILDKHARMDEETVDHDPASTRERALDEYSRVRVQDA HAINDLALQNYVEMRASVLSLSYRFRKWLEEQLSVWLPGLGWQTKYSRVSFGNERYSE VVRKSEHQGRVLMRALTGLLFGALGGPLVAVGVVMAVRYRRAVDVGLRAAMGNVDSMV EYMTMNR QC762_512280 MVFICEKDEGAVLTIQNPVLRHRVCDESAALKWVKENRVEMLRR HRKIVEQHGIWVVNKTYTTTRSGVVVMFSNSSTVEIGIGAAVPGMVTLTPLSSWTSGK GDPATEVHDDSNGVVVFRLRAELKPVVGTNAQNGKLFRGGYVEPDSDGFESEKECNGF RILVV QC762_0082430 MAKKVYTSLETSELDDVSVRTALHGAVVALRDEWVQQEEEAGRG ETRGDRNLELCQWSSREKAFASYVAVMSRTADRLQQP QC762_0082440 MFEGFMSHATETDMLHAAKDRPIVALNVTSHRCDALVIQADKIS SLELPNLDIQSIQARSSDPQSVETLSWLWDTTVLPVLDSLGYHGPPAGTGNSGSMPHI WWIPTGSLIGFPLHAAGHHLRRNSETALDRVVSSYSLSVNVLIRTRQQRRDHLAGTLR SQKALIVSAPRPPGQQALHHAEDEAKAGVHDIVKRLKSCCILHFIGHGKTDKQDPFGS ALILDSGDELAINELVETVLSNPPFLAYLSACGTGRVGNETATDELPLAAAFQVAGF QC762_512310 MPVFLNKNMPYHGVTPHLVPFLPYIEKTDDSPLDGAWRPADSLS RPIDVAVIEGWLGECDGSHWCHSQSRKQRSKPAWVVDVKRRCVVAYKKGEYVALSYVW GPGCDTGSICLVKENLEQLRQVGSLDKVRGLPRTIADAVRLVVELGLGYLWVDRLCIV QDDLEEKGRQLMGMAGIYEGAYFTLVAAQSADASGPLSSRPLQRSRKGSWWGVLLTLA PGVGLIGKRKEKGDMPWRRPMNNREVMNSHSIDLLRTVWFQRGWTFQEYLFSRRRVVF HNNTVNWECLCVSLHEHQQRLSSIPTNTLLFSRLDHWPSFHRFARLSALFAPRYLTFA EDVHDAFSGAGNHFAATFPGGLISGLPGGCFFDAALLWQPYSPMEKRHPSNQVQMGEE VLPSWSWLTYRGNVQSESWAAGWSYLATSQHEGGWQVFPTVHWEHSETKDSPRHPASS LETRTHIPDSEDWKESDGHYTHPSIPDTVFSRPIPLPCPHQPQPAVAQRSRYLHCTSK HTTWTLHPKAYHAFAGNCAILALLDQLGNLAGHLRLNTLESDIRHHIPTNTVDLTELS RGQVELQPQSPGSAQTEKKERLDMLQRDLSFPFPVPLMEELKAGKAKEKELVLHPLAD VFDEWSLPLWPETRNKGLYEFYNVMWIKWLDEGETVAERVAVGRIEKGAWEGRETVVR AVIIACGNCFFARVV QC762_512330 MWPSPKNAFLLLLAHSLVVSGSPIDVEEAEAAVLAKRQCPQIHV FGARETTVAPGFGTAGQVVNLILQAFPGATSEAIQYPACGGQASCGGVQYGESARQGT NAVATAVNNFHTRCPNTQIVLVGYSQGGQIMDNAVCGGPDAGSNINTTTPPISASALN QVKAAIFMGSPRYVAGLSYNVGTCQAQGFAARARGYVCGSNSASKIQNYCDSVDPYCC TGNDQQAHQAYGTKYGQQALTFVRARLNQSGGGTTPTNPTTPVTPQPTQPSNPGGNCA ALWGQCGGSGWNGPTCCSQGTCRASNQWYSQCLN QC762_512335 MTVYDLAYAAAKLQATNEQDYVNGMLGVTGLAINPDCTHDKPQY GPWTERTLNFCELKFLFMAGIGFFDYPAGCPTWFPTFPA QC762_512340 MKSILLLLSSISLAASACAQGKDYFFHYVAGNSVINGQRLRGNF SIPYVSPGVSHAPYNPSDHFNRIHLNTSLTSTAPKVLLVVPTNPHPPPVPGYYGLSNA EGFDSAYRLVYSYRPEEAGNGFVYRNWRTNGNLLRFDIGGASDDGYRWIAVWEVTQAG NERWVPWWVKPTVANFATLEGWEYDIAELELVEATGPVNSLAPGGVQE QC762_512350 MPPKMTLTMDSITASLTPQDLANASEISSLLLKIYQTLIHMQYL PAKSLHPGPHDLTRLLPLFEKLQLTPQIIYLYTVLPYVSSKGHDFYHGGYFADFRSKR DVKDARNTFYAEDRREQMRPWMTPLSLCCNHSAVLFYDSRRHRIGIFSQCDDWSKDKA LRARHGDTKLGLTPVEDLEVESDSEPSSEDGGSERDSDDETRSRKKMKKHYHPRLGCR SQGSNVWDDMPSRPAGDVLRDILREYETLEEVPWVYEHGSSRDWPKGVKQLFFKYGWP GKQFANNVEAFELDKMRLAAMGTLRRRAKDICEQVKQAKERVEERNGPDFLLLRRQIA TAETPDEKWIARYQLWRKEQIIEQSKKELEEAEATRDRQFPSGHKLSMFSQKPEDWIL WEVQKWRQDIAREEEHLQSAVEQAEMFAAGLLGNEANLEYIKDNIEKRQNNLELLRKV LEMSKEDAERLCPGIEELPVEDESANTASFHNRAYAIGCHEKAIDEIKGFMATVPIHC TKTIQLLQGEIENHREDIKRSNKWWDGHEEAIRNFEKRKEALAVMKAKQKTEG QC762_512360 MPYTDHDDDPAGFGSGDDQRQDKGKHLATNKTDTNEQQCDFDEP GIAPSLNESLSAPTATDTDNDSIEPDRWTDDEGYAESTSTRYLSSIASDIRRGVEENG RLYAAYGMHKQWLPIDDEELDRNDLQHYKFTLLLGNRLFICPVPSDPQKILDLGTGSG IWAIEVADMFPSAEVIGVDLAPTQPNLIPPNLSFEIDDIENDWLWGENKFDFIHAREL IMAIRDWPRLFRQAKRALKPGAYLQLGASVPLFSSDDDTLPKDSAYLETAQIFFDMSA KVGVSGMEPLSWTKYLEEEGYEDIVQKMYKIPTNPWPKDERLKRIGALELTHYRDGIM NVFARGYKDILGGDQTYFQVLMARARNEVVNRNMHSWVPYSYVVYAKKPSILS QC762_0082510 MALPTKQEVQDLVKQLTEAANAYDDSASLAGHLARTDIIARAKD LQRALITADQTPAYHGLNMAELIAARTFIKIGALDAIPETGTISLHGLSKATGAQESL LGLFIRFDSLDLVA QC762_0082520 MAERDARILVATGFLLQPSATTLAYAHSKFSLVYRADSPAGYFF LALYDQYLKHAYNFDDYLEAHGQVSAAREPDDPLHNPCTWNAKQDGVSVWQIMAQNPE KLDQFQKGLAGIDVAVPPVGHFDFSLLKNSDEENAAGIKELVDVGGGHGVVLSKILAA HPDLGARNTVLQERKDICALAAEHLPEGAVAMEHDFTKEQPVKGAKGYFFRMIMHDYS DAVASGILKQIVPAMNSQSRVLVCDMILPQKVGEADFAAAVMDHAVMTMGGKERTEEG FRKIFDAAGLELVKVWRAPGVPGGVVEGRLRAN QC762_512380 MGLTNVRSASNAWGTLKKKILAIDAQDKAANPDKAAAAEESTAS TKATTKTPAKGKRAAAAITEGDADAEIATPTKKPRGRKPKAATATPKTEDMVTSEDGE KAEGSENTPINLVTPTVTPVKKPRGRAAAKIKAEAAAKAAAEVGAANTKTAAAKEEDL ESGVKDESTVTEAKKEEDDVEELVSPEDVAHLEKIAADGIKAIKQEDLEEEDEDDGTI QC762_512390 MFASHVIGMLLAAASVKAIPASFEGLITADITWTGRVVANGSMV NFTGPSLQAIEQSIASVYPGFTWATAPQPNDDFSLSSRNIDDTQDVPTPSLLKCWDGG VGNADASHITEGINYLQSVPGYCSNGAGAANCGQISCSYNSAIMWCNNNRRTYTTHCK SLAKYATAIVRGCQHETRDSAHETIWTRGIQGDELGISVIAAKPAVDC QC762_512400 MLPRPRGPIRQRFLQQAHQNNLQTARRYGSLPKVLLGQAPKARL GLFTFRSRSSLPFLNPMIIVCLTMIQTKAPPPHVGNDRGHDIESETRYPDYESDDYAY PFKPMCGPPGSPCTIDNFVDVCCTNVNGSVGCSFPSGDPQFGTCFL QC762_512410 MVGRRPRAINWAAEDHKSWPAIPRQRRPPAHGYRDDDEEAALPP HGETPNTRHTDRARITRDTGFKDEAALLAAEHRRDDLKRRVLDGMRGFDLEKMDKRCR RSDDELKRIKNKKIRSFYEAQNDTLDAWLEVDALVYAVADDVIDSMNPDADGDGIPER RMPLQDSRGAIDCFLPPEHREKRARDEKHARWAININLLANIFMLAAKLISLKFSPSL SLAASTADSALDLFCTLIVYGTNRVVAWRLQALQVKYPVGRRRLEPIGILVFSVIMVV SFVQILQESVTKLLPGGDRDVAPLPAVAIAAMAANAIIKGLIGFACRHVKTTQVQALV QDCKTDVYFNIASLLFPLVGVHAHIWWLDPAGASLLALYVIVDWAETCMRNISRLTGS NVGDALQKKLMYLAFRFSPVVEGFKSLTAYHAGDGVWVELDILLDENTPLPTAHDIAE TLQYCYEGLQEVDRAFVTVDYSTFGPTGHAAM QC762_512420 MTTLESILKDPAVAGAYWTLSNPEDMSPAESTFTIGGYSEAVFE PDNRTPVDETDYADGGKYRSIVKIVMRYEGQTKDDRRWAIGTGYLISPNTFVTAGHCV YDRTGGGQGAQPSGLGRVVQMKCYIGYCGLDSVTRPNSTVQARRALATVTTAQWITTG DRRYDVSFVRLDRPFEGRVRAHDGGSTLRNFVIQETPMREKGALLGVVGYPGDKYLNK EKGAQMYELFETVDYDLGKGAGNMLQYRISTFKGQSGAPVIRKWDAAPDKGQLVVIGT HCYGGEARNSASVIGGEYGNNYNFFLAALEQLPPTVKDVTGVKRVVGNDDSGETGTET GMDGPVGEAEGFLDVLKDIGRVVAPVVQTALPLVSPLLGPMGGPVSAIGSIAMGALSK AVQESDVESGLPSPPRIKLAAGVAERAVVAESVLQTVLRMERSPVSQRIVDKMRSKYT ATGFTSKHAAKLGPRMVPLLSQAGLRIAVTEGLIQKPAEFGATKQVPVAQTEADLTGD THTDRFLENIAKTEAKVLKSGAQSEAFFDNLGPFLTRALKVASPVLLTSARAGLQKID QILAKKEKQVGGGTEALLEDPTNEKVITDEKAAALLAHRAVVAECALQAVLEAEPKEL RESAILGESAGSAEQESFFGGLLKTVQRIAPAVLKAAPAVLNTAVPILLGAISGPAGA PAVAAASFSVSSVEDTADTLNGEHAAVGVNGWSKKKAGINGGSFKTVQFSLSAVAPGS SLDQLPANVARASGSSAFSNPEHEGIEEEETQSISDCGDDRVSSCGEDDDQNQDGVDW LDDDELCGSFNRS QC762_512425 MGSASIKSKEPTLVDHLSRIVASDVNGNRVSMASVFEIETLCLA QLRLDTDRKDPVQTLKDLLTSLTSEIKALPTWFLKMKVDSNRTLDEMLVPTRSSLDSL QKDQWAIKKKHGGSTIFGQNVGLAIEERLGGITAAIHLGNALGQEGYVEGLDKGNLGV VYKAQKPLSL QC762_512430 MHTKALLAALLAPAAVSAQLHELAVRAGLQYFGTALREGALNSD AQFAAILRDTREFGQIVPENGQKWESTQPSRGQFTYSQGDITANEAKRNSQFLRCHTL VWHSQLPSWVASGSWTRATLTSVIDTHMANVMGHYKGVCGHWDVVNEAINDDGTWRDS VFYRVFGTDYLPLSFELAKKHDPETKLYYNDYNLEYNQAKTDRAVEIVRIIQAAGAPI DGVGFQGHLIVGSTPSRANLATTLRRFTALGVDVAYTELDIRHSSLPASSQAQVTQGN DFANVVGSCLDVPRCVGVTVWSFTDKYSWVPSTFNGAGDALIYDSQFRKKAAWTSISS VLAAKATGAPPVSSSTSTPAQPTTTLVTRTTSASSTTQPTPTSAPTQPEQVRWGQCGG NGWTGPTTCQSPYTCQVLNPWYSQCL QC762_512440 MKLSRQAGSALLLASTAIATDPLTADRLEADIKTDELQRVLWNL NHIADRNGGNRAFGEPGYKASVDFVVERAQGRFHPEMNTFIQPFNHTYDKTLEIKVTG PDGEDVFVISPQYNPATPLPGGITASLINTPVNDEAGSMCAESDWDGIDATGKLALVK RGVCAVSDKLKFARAKGALGVILYNQAPGTNYATPTLGAENIGQLVPVGIVPLEAGEA WIARLGAGEDVVVSLLVDAIADTRETWNVISETKEGDPNSVIMLGAHLDSVQQGPGVN DDGSGTAALLELMGAVKNYKGFKNKIRFAWWGAEESGLIGSLYYTSQLSPAEADKIKY YFNYDMIGSIEPIYAVGSDENSGVGPQLLEEYLVAQGKTVERGGFEDGNSDYVGFVEL GIPTAMLFTGAGEPWDPCYHQACDTLDNINWDALTVNTKAAARALARFANDLSGVPAR ASTSPNLRGRAKITQEFRRWKRVAEEGASHGKMCSHKEKKVVV QC762_512445 MVLDPNLDFSTPSNNSATPFYDHHTSPSIRPSCKRRTVMAEEDC DHNGAIVDQHRAASTEKSQCSTMPEVCPSSGVDAFSAVPPFQIALAGELRSEFATSAT TVIKAFDKLANRTTQKQGTDETLSSMTTLVQQVGTMATAQRTIEGKFQRVDDELKGIS ERLAKVCLDITNT QC762_512450 MWEGRANTLAVPLYHAAAMYISMIMIHYWDVPAALGIGNVPLSS VSAMEYLHHAEVDAVILPPAVLEELSHDTESVEALAKLEFVGFGGGNLAKDPGDRLVN NGVTLLNVISATEFTPFLIYWQPDPKLWQYFIINSDLFSCEWRKTADDDAYEQIIVRR AKEPGFLGFFYTFPDLKEYSTKDLYKPHPTLKDHWIYQGRCDNIIVFSNGEKLNPIDI ETTMMNHPKIKGALVVGSGRFQPALILEPAEHPADEQKFLDSVWPLVVKANKQTVAHG QIGRQFLALSNPKKPFLRAGKGTIQRVGTMKMYNDEIDQIYKHVTEVRSGEAPVLDLS SKDALNECILKIFTDQLGAPRLEPDTDFFSVGVDSMQVINLSRLLRAGLSAAGVTVDS SALATRVIYGNPTAKRLSDYVWSVVNKEGKDATVGEPDHEEHAMEALLEKYTRDMPSG QSEKPPPADQDQVIVITGTTGALGSYMLGICASCPRVKKVICLNRAVNGKERQLKSMR ERGLTTDLSKAEFLHADMSLFDLGLGMETYNRLLGEVDRVIHNQWPVNFNMPTESFEP HIRGVRNLADFSRKAYKRVPVVFISSIATTDAWRKKEPVPEKSLRDFDISTGGYGRSK LISSLILEKASEVSGVPSEIIRVGQIGGPSSEKGYWNRQEWLPSVVASSVYMGLLPNS LGQMTTVDWVPIEGIAHMVLEVSGVTEDVPVDLIRGYFHGINPRKVQWGELAKAVKDF YGDRIKQIVSFEEWVKQLEKSAATTEDVSRNPGVKLLDTYKTWNEKVKEGQGYVDMEM ERTMRRSKTMKNMKAVTGDLMRNWCKQWGY QC762_512460 MGFYDALTSRLSEGPSLIHFVLTLVSFLIWTKLFQLWRLRRRQD GVPPRASTPTLEKSPERQWGHWVPSSFRFPTPEPYPDWSIETTKPLPYRPFRYGPVYH VTMGLRKAQYEDWIELDNQFPKYHAEKQKRIALRGDKSCKTHPEAYPAAMELLQEFRE YLPARYPSLYQKTEKGVKNLWSGEDFDFEGDEQGRLVEDPMQMAARLVQDDLAIMIER EDGNYYLLAGATLLPGFWRLEDKFGMGLSEIHTSGDVPQYKEKLEKGMMNFFRRVKPQ EMVARNNYFFQVDDQLGWSWSIGLEDAPNVSWATAEKNRAIQHHYFRSERQTLRRLPN SGGVVFTIRTYFHPVTEIAEEDYVPGRLASAVRSWGEDVSRYKGKDKYQDVLLEYLDQ KHQEQLDRGLDLSREDEVRAYPY QC762_512470 MFNQCIRTPTTLLSKQSTRAVFSLPSSSQRPSFISVARMATAAG NKVSDRIKHDHRELESYYNKIKSAKSDDEKVRWQNQFVWELARHSIAEELVVYPAMEK HVPDGLKLAEKDRSEHQIVKEKLYEFQQMEASDPSFIPAINSLWETLGQHIKEEEQDD LPLLEKHIEDGDSQKMAASFDRTKHFVPTQSHPGAPDRPPYETVAGLMAAPIDKLMDM FKKFPSAEERKI QC762_512480 MAQTETLLQTLAAKLPLLLSTAGLLVAVFLAQVLLKGNPLANLP VALDDLPSDEKRRQAFLTRAKDVYATGYKKFKDRVFRIITSNKYHVIIVPPKYLNELK SLPDDTVSFDGALEQTMHAKYTKLEVGHKLIPHIVKSNLTPSLVRLNPTIAEEVQESF RREMPECDDWTPVNINYKLLRIVAMVSGRVFIGPELSRSEEYVDAAINYTIDLMHARQ AVDTMRPWLRPFLANRLPEIKKLNKRLAQADAFIRPIVAHRKKLPKEQAPDDMLQWML DGQSEKFGGEYKTETLARMQLGISFAAIHTTTMTTTNVFYNLAAYPQYVSVLRDEVRE VLAQNNNTFTSAALQGMKRLDSFIKETMRIDPAGFAGFQRRVYKPFTLSNGQVIPADV IIEVPAHALAKDPDVFENPDQFNPWRFYDIRQKAREQGAVEEAAQNQFVSVNPLVLTF GYGRHACPGRFFAANEIKMIIANTILMYDMKMMDGHTQRYPNLEFGLSSVPDPTKELL FKRIEIA QC762_512490 MPVLNVSELNIVLTIIGAFILGFGVISVLIKNRWLLGEALPAMT LGIILGPLAAKFLDSSRWGSAEPDQVSEITLGITRVVIGVQLVIAGFQLPAKYQKLRW KEMLMLMLPVMTIMWLCSTLCMMATIPKVTLLATLVMAACITCTDPVLSQAVAKGPFS DKFVARDLREIISSEAGANDGFGFPFLLLATYLMRAQTPEASTLVARAGEEVVRHGGG VSQAIGKWFLETWLYFVLMSIAIGVAVGYAAGKGLKFALQRKWVDSESYVLYPTALGL FLLGVCGMIGGDDLLACFTAGSVLNWDGEYMRETLERHDEVNSSVDVLLNFGGFMYLG TVIPWSEFHQPDTTGITVPRLIALGFLILAFRRIPAIFIMYKFMPNVIKNWKEALFMG YFGPIGIGAAFYVEHTRHLYPKLADADEEMGNLLRAIGPTVYFLVFFSIVIHGLSIPA LNAIYGWYGVQPIQDDAMLFERRSIRVPTPVNAEVGDDHTFIAYNRFSRPVFDDAELP TFDRTISMGRRSMNRVSMGRRSMSRISLSQYPTISRAPTISRVPSQNRDRDREEKDDP ADSPGTSSSSSSAETKAPEVEIPLTPAPQPAQTIETPGLGRPTTIKYAV QC762_512500 MKFFVAMTAIFTTVSAVTISLPSGVSIPSGVTLPSGVVVVSAGA SATAAADSDSNAKAKNKRQINIPGLQSSSTTAAAATAKAKNKRQINIPGLQSSSTAAA AATAKAKNRV QC762_512510 MSSPLTIPGPPGLPLLGNIMDVNPSNTWWSLRTLAERYGEIFKI KVLGHEIVFVASAALAEEICDETRFRKFVGGPIVEIRYAVHDALFTAYDHEESWGIAH RIIAPHLSTESVADHFDELLLCTDELIAKWTKGLEPGTKFQPLKDLNNLNLETTMLTL FGKKLGAIKMKDGEEHPMIQAMEDATSEAMRRPNRPKLLNWLLYNGKWKEATKTMRGF AAELIQYRAEHSTGRKDLLWALMNATDPETGKKLTDSQVIDEIVSMPIGSSTAPCSVT ATILFLLQNPKVVAEAREELDRVVGDGALRQDHIAQLKYIQGIVRETLRLSCAAPGFN IEPIPSKNGDKSPILLQGGKYQIAHNQAMIVVLAGVNRDPAVFEEPLKFKPERMVGDN FTKLPAGVKKWFGNGKRECIGKHWAWAFLMVVTAKLIKEVDFQAVDEQYVMKQDGWFN VRPIDFYVTAKARGA QC762_512520 MSPAMDSAAYDAGLAQYIGHPVPYQFDPALLTLSYAVSLVGAAS TLELINRRTSRKGYYNNLLLLAASVTMGGVSIWCMHYIGNRATSLLNGQPELQVVYSV RVTVASFFVPILVLCAAFFVVTSTRNASGVNWWRIGVSGMLSGGAICGMHYLGNASIS NYHCSYRPANVVGSALIAVAASTVALALFFVFRASWTNSWWKRTGCAIVLAGAVSGMH WCGAVGTTYRLMHLRSSSEMDTRNVTVIVISCLSVAACAVMAGTAIYSARVRQSYASK AQRITLAAAVFDHQGRILVSPDGALPSEEITSKFLQKTQNDVFSTAHPLFHWVFQASR NWSSVTVLLGKMRNHLAELPHRGRNVRTGISLVDDDGHVIDNYDVIFRELFCLAAAGL ADKMNENLTDAGILWDEILSTGGQPDAVSLRSNSTGKTQGPVPITSRDADMAEKGVTI HRHNHGSLMCLVRAVDNPRVMDRLEASGYCFADPHQVAHIIGAKMQIRTAHLEQKLAN MKDYAHGTMLDPGVHVGLFAVRTQVDSHHGHGFDLLVQKQARNLLPSVEMPLDRLEPS HLEFLRQLHGHSVQAILQRLRRVQDIAPRNAAFAALLSDAVRDLRSATNDKILDDAKL ISRVVQVPCRSPAGSMATRLATCSMITFSIMIPIHIKVKVPDHAFVPLYFFKTLQLVY ANSPHAAAFARNVHRELSPLLNSASTAPPKSLSSQLPFTMFSRFRRHRRPSLETRQVR ASKLVSSSRECMAPATPSNNPSVVSLGLYRGASSTNGQDPDTDQLSDSTLAPERPQYR QRPTYDQQRATGPKLNVQPNNAKQHKHNKSFGGMGIMISQEVTVDVDATTTAVGPKSP AVALAAEKVEMVDETKRIHEADENPTPASPQIPGRKNGIFVQEIELENVTSVLNFNGG TGFSTARVEVKKDGDAAETQTFVDELFSGCLALAKY QC762_512530 MDFDNADALKEGTAVHYQRHATVDAWVPWNQKKMGRLPPTPSST PDSAAMQHRQSTSIDSAVVLKDNSFMYGVSSGGKSPPATPSPISTPSSPGCESDESNE WDRICIGQKDGQDASVKEIQEGMGAVSLMLPAEPTELEQDMNDVDYHSEVTAGPDNDA VTVEEADHILICLPTLRGINSSDVPREILEACKVITYAYAEQIAAIVDSFEDFLQAPV ETDIPSSGAAGTLPQRPSSSQIDKSTGKGKEKPKANKKRRLSDEENDQDDEEESGSIM GDPRDSGEERNSTASKLRCIFRARNPSRFNVRDHTSCAMTMFTKFSDLRKHILNKHMA DSEIVTCQQCKKGFPSGNALELHCEQEPCNYTRSDPEDGINSETASQIRFRSRDCGPS DEDQWKHLWQLAFPEDPDNKTEPFHFVPVLEHHELEPAFIQKLEYLKPVVQSYVPDEE QFEGLCVIIKSLFESAVHDLTHIGLKMDYVNRQGSRTNNRISRSMARVLWSNVHDRES GIGLDSSETATPRTSARYPSVALETTRQGQQDRSLSSGFASIKEPKASTLRVRSQLGA TCSFTTAVAAKCAHRLCTTRKQMGWPAIPNIYILGGHNPWIRLLPCCPQRHRRQRSCF RLLSVWCGPNVHGTIHIDPAQLQFSYQQPHLSGMDATMNNGIAGYTAPAMMNTLTGTR GESGQWI QC762_0082710 MSNNRNWFIIIDLYGGANSAITKVPSNETAYAFRDPNNHLFLYE FYDRVNFGSYPSNGFEILDGWVKSFTDGLTRDQWGMYINYADPTMNRTTAQDVYYRKN LPKLRGLKTELDPTELFYYPQAIQPI QC762_0082720 MGGEYPSGYEYNFWGGNPSITAHVVNTWKGSPITFSGFEMGQNV TSGLRLINQGPRDDPVKAAYVYYGYATPRPSFDPLTVLYAMEGLGDLFEFGVEYGYNH VEQDGSNKWVYDKGVSGQQRFFETQGV QC762_0082730 MPFAVDQAELAWSRLLRSLEREPGPLHSTQEAFTFIIILLFPFA IQYYVELFINHRLCFDKKSRRVQCSSGLVIHQYHDR QC762_507540 MKFLCLPGAYGSAKNFQVQLGPLAEELERRGLCTFTYSQGTHEV DPPQGWEDYFGARPLYRFLDTRQGDTFETLRRLRHVPHSMPAEDTMRMFQKAGEGEDW HQRVWREALDAVFKTLDEDPEIDGIIGYSEGAMVGASLIVEEAERAKKSGCQRRIKFA IFISGAPPLKFEGKDRIVAQLFDEAGIVIDIPTFHIFGCDDAFLSSAVALFNVCEPSK ATMYDHGLGHIVPRDAENVGVLGEILQEIMPKVEEDNRRAASEQQQRKESGLSGMDKS EFAAPALLRTTS QC762_0082750 MQRSSCCRIMADQQPMPIAIVGMSCRLPGDVSTPGEFYRMLCRK RSGWSKVPKDRFTAEAYHHPNPDKKGCFNSQGGYFIKDDISMFDAGFFDITKKEAESM DPAQRLLLETAYEAFENGGFPKEHISGKRVGVFVGANYTEHRVGNLRDLDHIPNFDAT GNQGAFLAGRLAYYFNLRGPAFTVDTACSSSLHALHLAVQSIRAGESESAIVGASHLI THPDIWVSMAKLRLFSDVGKTYAFDDRAKSGYARGEGAGCLILKPLAQAQADNDHIFS VITHSGISHNGRTVGIVAPSPEEQERLLRDVFAEAKIDPKDVGFFEAHGTGTKKGDPI EATAIYRAVGRHFTADQPLYIGSAKPNVGHLEGVSGIVSVIKSVLMLYYGFILPNTEF ETLNPAIPLDKWNMRVATDQKPWPSKRKYACVNNFGFSGSNSMCVLSAAPITREIELG KDAGYTPQRLFVLSANDETALRNSMKELGIWLEQHAELYQTTMPRNLAYTLCQRRSHL PWRVAVVAGMCSAVAGSLNSHEMVPARASSETPKLAFVFTGQGAQWHAMGRELITTHP VFKGAIMRADKTLRDIGADFSIHEELTRDKKSTKVGMAHISQPICSAVQLALIDLLES FGIRPTAVTGHSSGEIGAAYAAGALDFESAMAAAYYRGQVIIELKETHTQLKGSMMAV GSGADELAPMLKALNQEGGPQAVVACENSPSSTTLSGDEEAIDRVGKMFQDKGVFNRK LFVDVAYHSPHMKLIAESYLAKISHIQVPDNVSSSNVEFYSSLRGRRIDLHELGPEYW VDNLTQAVRFSTSLQRLCSEHKPDILLEVGPHAALKGPIMQILKKVGAAATKISYIPT LVRDQDATTTCLEAAGQLFVRGYPLDFFNINHKRQENEHPDLVPMLYTYPWSKQKYWY ESRLSRQHRIKPFARNDLIGILADWSSELEPTWRNVVRTEDLPWLKEYEVQGRHVYPA SAFVSMVVEAAAQQANLTGADVEKFDLRNLKIKEHLFVEDGKEFEVLLNFRALDGEKG HEFRITSYEDSRGWLEHCSGTVVMDAASRRRAAPRDASVPGARTEAMKKLISEADSSS ACSSAGSSPPSSATSDAGHGSHTPATPTQDCSDQVCNTSRKLNALAGGGAEIYESLSA LGMGFPKSFKSVVDVSINRTKIVANCCTRDTAADMPMDFETPFQIHPSVLDAMLQVPL LNLKSTEDGIPTAHLPVAIRHVTVRTPWKKRANDTFCIHCNLEPKTATFMVEVFSGAS SGAAAISMSGLVMKAVRTGQKDTKAPRELCFQYKWQPFAQAKCKGHSSDEKTKKRSDS DIVIVTEEENDPLVSALCHAIEASTGLSPRVSSMDSLSDFGSKFLVLSDLKGPVLPNL LASRLEQIKSLLTISPGLIWITRGATRFPTNPEANMALGLIRTARSERSAVASSLDLD PKSKLSADEQASLIFEALRESVLSEEEGEKEMEFAEEQGQLVVPRIKVDEKLNLDVHR SLGPSASYLQNFHQPGRQLRLAPHSSESFDDMYFEDCPETALGEDEIEIAVVASALSK DDADKAETHDAESKIVRSCSGTITRLGTGVRNFAQGTRVCALALGPFGTHARARTSSA VAIPAGLSMENAAIIPAAYAAAHYALVDLAKLHPSERILIQLAGPAGLAAVEVARHLG ASAYVLVQNDDEAATAKRAGVPRERVLNAHSIHLRRQLEEATMGEGMDVVLTLSGNET NVAWECLTDFGRFVEIRTSAIHANTRPGLGDNATFTSLNMASVAAARPKAMERTLKAV VDNIASGVIKAPSDATILPVSELSRGMEMVHNRAAHPVVVVAGPKEQVKAMHQISKTI FRRDGTHVIVGGTGGLGRSMAKFMIQHGARSIVLLSRSGIGKEMVDQLQKEANCPDAR VLVKKCDASDEQQVKQLVAECSKTLPPICGVIHAAMVLRDVLLEGMTHEDYTQVIRPK VAGTWNIHNVLQSQSISLDYFVVLSSASGILGSRGQGAYAAANTFLDAFVQHRQLNGL PGTSLDLTAVTGAGYLAENAERSEDIIRNFGHETVSEEEVLALLSAAVRGECPAQCLT GLKLHLGADGGWPYYASDPRFTELKAECLAAAEREGLMPKQTISPGNVFRAASSDEEA ANIAGQGILQKLSEVLTVDIQDLDVARNITSYGLDSLTAIELRNWIAKEFRANLQILE LLSSGTINDLAALTVQKTRTA QC762_0082760 MVPLLNNSKICKLARNSLAIQFRNSMADTLAGDVGRLFVTAGCT EYISWGNGLLRHQALTLGGRKTFGLELSEARIRSIVGPTAISAEVQLETSQALRRAFS SDRSRKQGKDLLL QC762_507520 MQQDPSAFFTTPAPTPNTAPWCLLLAVGGGQRHLVSPRSSAPSL THQANHQNTRLLPEHRYSKVMAAGPRAEARSLADINYIAANPPQYPHHPEVKESLTLY ISRVPGTQDVVLSTMRPHRKNVTGEDIANSLYYIHMERPEDMPPPMPPRPKSNVLSST RSSGESARSTIPRKPLPTSARVLRPESLSSTGEPGPVPVLSLTPTPHIPQYKPYRPET GPSPISENQNPADESPDSYRPNPMFQKRLSGGSPEPAPPPPHLTTVSSSSPLAGLDHV EDNVAPALYSSSAARPSTPSQPPAQQPPQRVASPLSYSQGQHPTSPRHMHRRPSSVSF YLTIIRRDPSSGSQVNVGQVSSFATNIPPPSQADPSLNPEDMGSGWKSQKIDIRIDTS GYAKYRGMPSRAAAETQALQALTPTGQPHSFSQMVQSGQRARSDSGARGMAPPPQDGF HRQVVMAYGAGWKAGFKKAFHRRERRESISPEGVQSSEEPSAPKASHTRQGSSSTIGS NHSGSGEEQGGIITHPGPGLRPKGYTFLSPWEGRCEFRTSTSGRSLKLRHVLDSTTKF QFDPREVAQSIQNAQAMGRSRGDELQAALLGTKPVSELRFNLPSGGDFFGARRNDADK DNRASRLSKQFSSLLHHRNTRSSDEDEWSDEDEMDLSLGKENAGGGSSGKKVKLGKLI IHDEGLKMLDLVVAANMGVWWTTWGKIDQ QC762_507510 MLPNTTIAARPLVRAVRAVAPSSTVISQVVARRTYATPAGPPPK NFRLPPPKTWEQESESTFDKLGKYFLMTEMMRGMYVLMEQFFRPPYTIYYPFEKGPIS PRFRGEHALRRYPSGEERCIACKLCEAVCPAQAITIEAEERADGSRRTTRYDIDMTKC IYCGFCQESCPVDAIVESPNAEYATETREELLYNKEKLLSNGDKWEPELAAAIRADSP YR QC762_507500 MFRTAVLRSVALASRTAAVRSAAAHSLRLAAPSAAKSFVPKASA SWALQMRGYASGGGLTKQEVYERIKELLSGFDKVNNPENITETAHFANDLGLDSLDTV EVVMAIEEEFSIEIPDKDADTIHSVDKAVEYITSQPDAN QC762_507490 MSTSNLGEFRHMRTGSLNIPPQAGHSSGGGIMAPMPAAAHRFDA SRSPPNTSHVPCKFFRQGACQAGNACPFSHDLSSAAENVCKYFAKGNCKFGPKCANIH VLPDGRRINYGKNGVTIGVPPGVALGARVNPTTYHQPASSALTNSFMRADSPYNATAF ALQDELYSHQLALESGVPTIDTTSYTSNPASAYGSPRDEEPNRFGLGLSPNIKGLSVL DAPLPASFDSNGISHAARGGPWPSSVPAQFGLDSPSSSLSAAKSGRTSDALRHLHASA FGNSHLSPSGLATQDISGFGSSPPSGSLGFSSALGGDEYFGKRPMHSNASRFAKIRMM SNSVPKVDMDWDDSEQFLFEEDYVPGTLANEVLTPTERARRGSTTNTIRGMDALNRET IAESCPPAPITKFGTPIQPSSPGRWGPLWGRQKEDDGHLETGRSFKHASAFGHVGSPL RNSSLASAITSGLDGNTVGVSALTQQLRDTQLSDDGSADSSPHIRPNVPRNANGAGVI GESRDKDGGLARHVSSTSIGSSATGRFKSPMDEEEAAFVFSMDDEDDAQARARKRGTG NQQVNSTASPLSATAWSNSYATVVANNRSAGANGEGNSGTGAVEAVGGR QC762_507480 MQLLSALLFTAGLATATPLNIPNYAQAAIDSGLALKGLNALATL SALTRTGGTCTPTKIKYRREWRTLSKADRRKFVAAVKCLQGKPSVLPKDGTVPGAITL WDDLAYAHAWRTFFVHMSATFLVWHRYFLFTYETLLETECGWTQGLPYWEWGLDVNNM RGSPLFDGSDTSIGSDGVFVPGRPDFILDIIGGPDPEPIRVVFPPGTGGGCVESGPFN DTVVRLGPFPLDGTPWTNETVYGNNPRCLDRDLNTNPLQRWSTFRNSTELILGYDNIR EFQGFLEGDPRVTTEKPIGIHGGGHWGVGGITRDPIISPYDPAFWFHHNQLDRIYWIW QNLDFNNRKDVFGTGTWGNFPPSPNVTVEDFIDVLPHQPAIKIKDSMNTVSGAPFCYV YV QC762_507475 MKTTWLSFAAFAQAAMAMPATEVKLEAKDIEFNTTAAAEAALLA WGCVHNNDAGRWDDPMKPSGRLADLCSRGGGCHQAAIGRMCVHGDTGQCGCAVASAEE YESL QC762_507470 MNQLRLEPKIDYGPQLNVTVWLLISVSAIFLFTRLYLKNCQNRG LWWDDYFLLGSWVLLAAQAGLISDVVGLGYGRQVIPMEKFGFFPIRVNVLSTLLIIAN LWGKTSFALTLLRIPERWVRVGVWTILISLTGTLVLSAVMVWISCLDINLRGRCVPVE VSLRYNIFSCVFSAAIDVVLAFLPWKFLWGLEMSIKEKVGVIIAMSMGVFAGAAAGIK SATLPAVHNGTDPTLAASVPLLIWGNAEAAICIMAASIPILRALARGTCRGQVPHGYE TYEYGSAGMSEPRLARSTFSRTAVMSLALPIQSPPALYSQKTPHVKEADSLDDTLTSG SPVQSLTRKHKSEEDDADSFEMTNYGQSRPQSPQSIHTTDRPQSPLDFVGRNPVPR QC762_0082840 MSHLYNSQAEQHCSLGYTLATMTLHERIKSKTTRQGWVLPRQTT SFADPGAWTNVDCDVTPLNRRTWSAWTMFGYWFSDALNAQSWMAPASIIALGLTWREA IVCIIFGSLVCTVPLVLNGMVGARLHIPFPVAMRASFGWYFSRFAVVTRAITALFWHA IQTYTGSTAMTQIIRSIWPSYLDIPNNIPDSVGITTQGMISHLIFWLVQFPILLIPPH KLKWFFVAKCVLVITTSVAVVITMTSKAGGAGDIWNLPYGVHGTDRSWLILSSLSSIT GGWATMATNIPDFTRYLKSDKGVYWQVAFLPGIQLMLGLFGIICCSASKVVYGKYMWD PLEIASHWDGPSGRAGAFFVGLCWVVAQIGTNLSANVISCANDIMCLWPKYLNIRRGV IITTVIAGWVMQPWKIIHSAQSLLAFMAGLGIFLAPIAAMLSADYWVVQKQMYDIPGL YRAHGRYRFNKWGTNWRAAVAFLISVVPSIPGMAASVDPSIKGTIGDADKLYFMFYFW GYTSAFLVYIGLSYFFPAPETHIAATIYEDSDIISAAEGFDKGDSELGDEKKMGEKEV HSQRRVPSTREVIPGAPVNIVLKVDQPTGRTVSGLVKDVLTKGEHHRGIKVRLVDGRV GRVQSMASSPTSSTAGAGGDDALPDQGAPTTEFDIRERGGRRGRGRYHTGPTDWREEE RPSEQVGLDAYIKPAKPKRGGKRSESATATADTAQSFDEFSVAGQQTSTCPVCNDFNG DATAIAHHVASHFDD QC762_507430 MAPALKTTLALQDAKPYAFECPTATTALIIIDIQRDFVDPGGFG SIQCGNDAVFSRARAIVPVVKKLLDAFRSFGGHVIHTREGHEPGLADLPAAKRLRQIS NPVGHHSLGIGDQGPMGKLLVRGEYGHDIVDELTPWPDETVIDKPGKGSFWGTNIHRI LLARGITHLVFAGVTTECCVSTTLRECADRGYQCIVLEDCTQGFDAQQVTTSLDIISG QDGLFGFVGNSPDFFQAIDRASAKALTQGLALTPPATPMGHEDSEPRFGFLPDESVPS VDQLLTDYRQSIRCPVEVIKSLYKRINQYKEVDPAVWIHLEPEANVLHAATKLVNKYK GKALPPLYGIPFSVKDTIDVAGVPTTAACPSYAYTPQVSATAVRRVLDAGALFIGKVN LDQLATGLSGCRSPYGTPHSVFSDKHISGGSSSGSCVSVGERLVLFGLATDTAGSGRV PAAFNGIVGFKPTKGTVSARGLVPACRTLDTITVVAPSITEARKVWQVIAHHDPEDPY SKLPHTLPTWHIDYRGPRVGGFTFAVPPPTILKVCKKEYRELFSSAVSALQSCGGTLK EVEYTPFSAAGDLLYDGSLLHERIHCIGHRFLQSNLPDMHPVIRELFDKAMSNPPSVY DAFRDQALQARLTREVQGVFDVLNGGVDVLVVPTTTQHPTIKEMEADPLKLNSELGTF THCANVVDLCGVSVPAGTWLWGQEGDERKMPFGITILSASGYDAKVLDIAGVFEEEMM QRETFRL QC762_507420 MPAEDESSPLLPRPSSSLQTQTMASSAIFWKVAAISGATAVGFG AFGAHGLKKRIADPAKLASWGTAAQYQLIHSVALLMASGNPVAASLFTAGMTMFSGSI YALTLNPEKFKFLGPVTPIGGVCLIAGWLVLAFGKRGSPPRFPRF QC762_0082870 MASQSPPALPVRPLASPGSGHIEPVWLDVSTVEEVIEYIISARH RGSESILSFQWQADRLDHLFEQLDTRLIALDERKIRRFEYDYESSTVHIDIMGESELH YQVQAGLRDYIKNRLAERIATTDDPTIRRLMQSVEERGTFNILYERKIHKQADVSLGQ AGALPSLVCEVS QC762_507410 MKKAWVSLLVTGSPSDWVQHSELYHDDDLVEQPVGQVDLYLSDL VSLAGVPTAFCRPSTVELAAGVTRNPTITITFERLRAIFRKARHLHNPTKFTTEATDQ EQNPYEEAERRVAEERSEAERRVAEERSEAERRVAETRSEARIEIERRVAEARIEMER RVAEERSEAERRVAEARSEARIEIERLMAEGRLGAK QC762_507400 MTVFNNTSPTPLTLTQVSVLDFFVPGSTSILAAIELVLATNSYF RPLFLCMLLAFLSTHVRRYVWGSIFSIPVKCTIWLLSGLPLNHSLTGLGHPCTTLLGT GRFTS QC762_0082900 MDPLSITAGIVGIVAPTLHCVRLLVEDLQNIADAPNTVKALTNN LQSVELALDSLGAVTDSQWESLGDAITTQSKATITSCKTSCERFKTSLDRWTRHSTDG TLSWRDRATLGIFRQDHIKSISKQLQQCNITLTSVTSIATLHSSLQQAQAAEEIKTII STKETAVNNAITATNDQSAEVSAQLVALTLAEPGEGETDADQASATKQVAMEKKALHE SRMLFEELLFVIQTAAANARADQGTTITFGNNNSGQQVGVNSGTITATFGRRG QC762_0082910 MPTSDLRLDPRGYKTHKWLDLDATYLIVKPKFSLRFRHADELRI ESAMSDSYRFGDYNNGSQVGTNRGTIYNTFPQAPERSETPPRPFATIPFSRDPDFVNR GDILEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVHAGMYER VEDGFRTIANTVKLAGRNEPKANIPQLLAFQRTERQMDHDPDSADDRDVFDNANIAHG TTSGNERERRPFATYLPQSQNGSIIVTTRNRELAFRLTGRRQNMIEVGPMAQTDALAL LEKKLGSPADLDVAADLVQALDLVPLAISQAAAYIQARAPRSSPEKYLAEFRKSEHRK SSLLQYDAGDLRRDGGASNAVLTTWQISFDYIRSKRPSAADLLSLMSFFDRQGIPGWV LKPPRVTKEDIPGRRIDEDRDTNFNNGRSATDSAVDDDMDSDTDSDLTDDSADITDDG FEDDVAMLRDYCLIATTEMDEFEMHGLVQFSTRKWLEQWGQQETFKQKFIERMAASFP TGNYKNWATCRNLFAHVQVAVAYQPSDDRNDIWATLLYNGGWFAWSQGRYEVAQRMVG KARRARENRLGKEDTASLDSMSLFALVLLDRGQWEEAEKLFVQVMETSKTKLGADHPD TLSSMANLASTYRNQGRWEEAEKLFIQVMETSKTKLGADHPSTLTSMANLASTFWNQG RWEEAEKLEVQVMETRKTKLGADHPDTLTSMANLASTFWNQGRWEETEKLEVQVMETR KTKLGADHPDTLTSMANLASTYKNQGRWEEAEKLEVQVIETRKTKLGADHPSTLTSMA NLASTYRNQGRWEEAEKLEVQVMETSKTKLGADHPSTLTSMANLASTYRNQGRWEEAE KLFVQVMETSKTKLGADHPSTLSSMANLASTFWNQGRWEEAEKLEVQVMETRKTKLGA NHPDTLSSMANLAFTWKSQGRHSTALALMKDCAQARQRRLGAEHPDTLSSLATVIKWG S QC762_0082920 MAPELKELDEIDWLIELSSQDRMFLEELAELFRLDDPSLHQAVT PSEVEPSVGGTRPTFSFTMEDPSSMSVRYQGSADVDMFAPSLALTKSPFSAGQSTAQL TEAYPFSPFPMGPVMDNQHDTPGHETDTVSLHISSRLEQRLDTSVCDGGVDAAIPPLS RTGVTDDNMSETQTPSLELKLEDQESTDADPTAPSGGTSDIRREAGVDTHSSQLRDAL DAKRSRTPKRRHRSPLRKREELKFVTLRDIAEPNGYIKGSFPLHIHSLDMHPDPTESF MLQYRWDRREKL QC762_507390 MGGLFIVPLPLAIPLIFLVVVLMPFIPVSWPGIITVQIYRGRRD GISPREISRKCLKGIAWSYGIPCITILVLWIMCIVQVVLVIWWAVGLCRRAGDINEWK RLGRQMVDLPREVQNLWLRVQVWGGMKLRERPVVEKGGEKKEGELVETKRYTFEMLGT PTSVRLLTIFPEEDYMAPLRGEIRSVDLRTNPTYDALSYTWADEEGETAKTGHISLVF HAKRGIYRQLGIGHNCELAMRRLRRKGKSRTVWIDAVCINQADLEERSQQVKLMSRIF VSARQVVVYTGEGTPQTDGLYDWLNDIDAEKLAVPSGGLFSPQSALQFFHRPEGVLGK LQEVSNDVAVRMEEMGRLIRGYSERVKRLLRELRMVYSMGVIPRRPPEPSDLHRVLRE YFSRRWFKRVWVLQEASLPEMKRIRVLCGNRETAGERAMHLLSMLMSQGQGDIDVGRV FVLLRQKPVASQERSSRGSHLLDLLIETRGRQCEDPRDKIFGVLNIAHWLDGVGAGRE ELDKVSYFTPVAQVYAGYSALLIRRHGPGFFLSLIKSSPAIKGLPSWAADWTVPWPNS RALQGAADFPARSRYSSQKDKALEFDPKNKVMKIMRPRIVRGFFAWTGQGDGEDTIQT VEVKRLDREEVLVEIYPGLAMLLRQHGEDWTFVKVCPHALDKVGVERLVASWSRTVVY QENPGRIQEVDEEVGSIRSRSPRGYLGKTRVWRIV QC762_507380 MRGQAPLLRLSQTTRLTRPTTITLSSRPSFVCWQCRTVQISASP TNRPGPDAFDAGRAAQTDAQFEVIGAPHSLLSVSLSASQRLYTRRGILVSVGGKVENV HSALSILSPTSRAFLGIPFLYQRITSTSPVTALIATKSANTTFSVLRLDGTTDWMVAQ RNALLAWTGHTLRLSPRIQQGLSLAHWGNTYITGRGLAALSAPGQVYDLVLKEGEEIV LHPSHVVAYTINKNPPRPFRLKSTTLSFQIPAVPSSIKAASQRFMPDRVARFWNAMRD TSIYKGLASFLFSLRTATRRTIWGDRLFLHFQGPRTILMSSRGVRVRDVLTKDDVNEI ADAKAGVVPEAVELTTHPRIQDKKAEDQPTKIHIANVKGGGKVSFEDAKSLNEFVR QC762_507370 MSVRVVARIRPLLEKELDKDVIVTADRAQDGKPLTIVKIPSPKN EAEEFSFAFNSVYDQATTQEELFTAEVAPHLKALFQGYDVTIFAYGVTGTGKTHTMRG GLKLAERGVIPRLLSNVFRRGKKLAKDSNGETTVDVALSYYEIYNDKVFDLLEPPEKR TPSGLPLREKDGKTMVVGLSERTCEDLKDFEKLYIEANNNRVTAATKLNAHSSRSHAI LRVKVTQTTGDMVRESTASAIDLAGSEDNRRTDNGKERLIESAAINKSLFVLSQCIDA ISRGDKRIPYRESKMTRILSLGQNNGITIMILNLAPIRSYHLDTISSLNVSSRAKRIE VREIENEVVFKQLPRSTVGLGGPNVIRQPLRPLANAHNVATGAVHAAKAAEKAAEKAD KPAKAFMVYTDKKPAAAPARPVPAVANTSSKLQPPMVIAKRISDVTDSALRPSKLARP TQIGLPRPAVAAPAKEQQLSISAAQIEAMVEKKVAEILASRAAELTPPATTPEPAAQP KEEISEDVKRRLEALEKRIEKESSREDGRSEGLRLLLQARQAKERGEEEETLRLYESA LPYFPGQAKLLAKIEKLKIKLGKMAPEERTTPRKTKKILVLRDEDGEYDGTEADVEDD VPLRQRKTSKKKVSKASDGEESTGPASPRTQQLLDIVNSRDLALIKGLHGFGAKKAQD LVDVLNLKGDGTDEVNRIASLSQLKMLPGIGTRTVERAYEGLTLEV QC762_507360 MAPQARIMMSSTTTFHRAALSPPFSLLLRTRTRTTTPWNNTHRL SALSPSSPLRAVFHTSLPRPWGPRGPPTPEPTQTQTPTKGGPKGAKPQFDPLAAIDKS AQEQRKADWAIMKEMSRYIWPKDSFGDKMRVMIAVGLLVGAKVLNVQVPFFFKEIVDS LNMDFGTTGGTVTAAAGAMILAYGGARIGAVVSQELRNAVFSSVAQKAIRRVATKTFG HLLNLDLSFHLSKQTGGLTRAIDRGTKGISFLLTSMVFHIVPTALEITMVCGILTYQF GWEFAAITACTMATYTAFTIWTTAWRTKFRRQANAADNRASTVAVDSLINYEAVKYFN NEKYEIGRYDRALQQYEKSSIKVATSLAFLNSGQNIIFSSALTIMMWLGAKGIVAGTL SVGDLVLINQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVSIKEKEGAKAL ALPKGGEIKFEDVNFGYYEDRPILRNLSLTIPAGKKVAVVGPSGCGKSTLLRLLFRSY DAQSGRILIDDQDIKDVTLESLRKSIGVVPQDTPLFNDTVELNIRYGNMDAPAEDVFA AAQRAHIHDKIESWPHGYQTKVGERGLMISGGEKQRLAVSRLILKDPPLLFFDEATSA LDTHTEQALMSNINEILRGKERTSVFVAHRLRTIYDADLIIVLKEGSLVEQGTHKELL EKGGLYSELWSAQERWGEEEINAEKKE QC762_507350 MSTRIPPKGVYVPSPTFFLPRDSSSSSSPESTHGQLPVDISSQT SHSIFLARSGITGLVLLGSTGEAIHLSRTERASLVSGVRKGLDEAGYPNYPIMAGVLT NGIDETLQWLDDYASAGADWGLVLVPGYFGAAATTQEGIVEYFREVAKRSRIPVLVYN YPGVTNGVVVQPETYTKLAGLENVVGVKMSHGNVSIHLQVGLDLGIDHEGFRVYSGFG QQLGPVVLFGGAGVIDGLAGFYPRTVVRLMGLVEGGELAGERRKEVQRLQYVVSKAEE FIVRYGIFGIKEAVFRVTGLGSLEKGRPPLLGGLAEGEWERGRKLFLEDIERVEQELK GT QC762_507340 MPSKTAILVVDFQNSLATDPGTKIPHAARVCEAGTSILQVARQI RDTRVREHSLPPGFITVFVQHQESPEEGLLVFGTDPWGLVFKPRQGLENGEDFVVAKS VRNAFSNSVLEQILREHGVEELVIFGIQSECCVEATCHGAIDAGFAITLLSGAHSTYP EPEARPEEIEAQVEARVRARGATVVRWEDAVEVWEKKGQVLGPYQ QC762_507330 MKLSTAISLLAGAAPLVSAAAAPRLERKMNYDGYKAYSIATHHN PAAIKAKLTRFAAIPFNLDNDEHLDIAIPAEEVAAFEALGLETQLMHEDLGADIAEEG TFAPYTSVGAQAVPSLTWFNSYHAYADHITFFNDLQASFPNASEIFTIGKSFQGRDIF GIHIWGSGGKGSKPAIYFHGTVHAREWISAKVVEYITYHLLTQYATDAAVRAIRDKFD FYILPVVNPDGFVYTQTNDRLWRKNRQTRSGQSCVGTDQNRNWNYQWSVTGGASTSPC SETYKGLAAGDTPEIRALTAFTTTLKNSRGIRLYIDWHSYGQYILLPYGYDCSARASN HAAQSSLASGMATRIRQSYGTTFTTGPSCSTLYKTTGSAPDYMTAVGGATYAWTIELR PAGSSGGGFVLPATQILPSSIEQWEGIKYVLAQV QC762_507310 MAHRKSARIAAQEPKPPNPYGPHNGDSDDAAGLMSASQSSSPDS ASSASDPDPEPRPAKRRKVTKHTPNTTTRSPTKYSKSNSLLLVQSIFTSAPHDGRALP LRLHPPSYHQPLLLSSPSAQASLLKWFQKEQTARLMPWRKPFLTNPSRADLSRRAYEV WISEIMLQQTRVATVIAYWNKWMTKWPTIEDLAQATEEEVVNMWTGLGYYSRARRIHA GAQKVVTEMQGLLPDTVEGLMKHVPGVGRYTAGAISAIVFGEAEPMVDGNVMRVLSRQ MGLMGDVKGDKRVVDVLWEAADRLVKVVAEADGEEGEKPGLWGQALMELGSTICTPKP QCGKCPVTESCMAYAEGLALASGLKQAVPDIEDGIACVLCELVEEDAMEASSQATEKK GRQQTSKLFDAFQASSMKEKDVASRTPSSQELNTIISHAQRFPLKKPKKQVREEEALV CAIRRVSDGQYLISRRPDKGLLAGLWEFPSYILPASNDSTTKSRKKQALDYASGLVES TDGYRGELGTVPWLFSHLRLAMHVQLFELDDSDRSPRTLPLESNYRWVSSDEIESESM GTGMKKCWSLIKATRE QC762_507300 MDQTKDRRRLKLEPSKLGPSANNDASPPKTPDTEENKPKETPVS VSQPDLSTQHETVESEEFKRPNLGLGPSHEAQVEERSLEREAQEKQRDQPDDDNECKE NPMSDENRELIDVWMQTEDEARAEEEDNVMTRDNYEANYKEEVALHNLYKHHYFDEQW LLYSKETSKDRKDEDWFIHSPTVLEATDPQYLCDMCRHVDFTVLFTHRDLKPQGNKDS STACIELYGLSRVLNKKSTCSFCNLVREAIEQQCTAEELAKARQTKAGQISIDILDDG PDCALRLEVGFSHLATKVIMQRMTSEDEPFALQGLPVRKDAADITRLCNWIRTCEGSH PKLHRSIHAFSPEMETLRVIDVDEGCLVTVPTPCRYACLSYVWGKNAASLVHLTLETK ATLESPGIFSNGSIVISQTYLDAIKVTRDIGLRYLWIDALCIVQDDDAEKAIIVSQMA AVYGNAVINIVASTNFSPDGGLPGVGSTPRARSQVVKQIQGLSVGAVFHDSRQPYHEI EEAIWNSRAWTFQERHLSQRAVYFTASQLYFTCPHGTACEDTVPNSTQDLKPTVPVDR PKFEEAIYPLMFYIWFDPTQTEFPNKRFKLAGTGTESDTLVSTREELPTPTYRAMPVA AYRSGTLPMEGETLWKTYREAVNMYTKRKMTWQSDAINAFQGVTDLISQGVNTTFWYG IPEFAFDQALLWYPQEPLTRRTYLGAAPSWSWAGWEGHTKYRGRGWHNAIAVAPFNVV HWLTHPSSIGTVVRYLVARGDSPERVAKIVQAAKEQPKSLNSWVHAFLYQLEDLDDGW KDEKDTSRNELYFSHPAYPGLRFTYPINLPGKPLLPRHLPDGTLPFTARSVEARFTDM STTAHKSIPVEDEFLQIGLNDAGKYGSGSRRPWEFAVYHQGYRAGFLSLNVPLSSIDR ASASYRLVAMSRDMVPQIAPPPCGWDLYWNLNPRRMQDSVFFDEEWGRPEDRRKWTLF TDSEPGRGPGRENGDPRWDLGRYETPAINDVYNVLLLENKTGENGEWWEERIGVGKIH VGAFWIARPVIEKFALR QC762_0083020 MSPPEYLHLASPLGPISRPLLPHPPRDALPSEIPIINLTPLFSD DLPSRQHLASQIRQAATTSGFMYISHHGIPLSLFLTARNASLDWFRSPLEAKLPANTN NSLKNKGVVGYRPRQTQHINPWESVDVRESFSWRYDPAYDPLHSPSDLENIPDEVKRY IDHDNDGFPWSRTPKEFSGPVIELYQAVLKLGRELVRVMALALGLEEDGLDGRFEFPD VGVAVNYYPPIAATKDEGKEKVSIGSHTDFQLFTLLYQDSVGGLQVLDREGQWLNARP VEGTLVVNFGDYMQRITNGKWVSTVHRVVNNSGRERLSMAFFWGFGLHERCGVLDSVL EEGEEKKYDEVGCWEWVQRRIEMMREVKKGLGNITPEKPLSMHSQ QC762_0083030 MVELREKLKGYKEFILQVHQMNTVPSPQPSQLSALEDWLRDFRG GNPFLQSNEAFTWADPNPSSYLCLSAPVKETDPCTTFITEKILRTYRHLLGHCVNTGQ AVDTDTGYTFTDARIGVTAGFMALFALVIAVFSDAKRIEVFAATATYVDLVSGIHRVN D QC762_507280 MMRHAKLFAALATGATAHHALFAPGMHCRGGNNPAVDDQNTNLV VNPLYNLTKEQWWMQADRGCNVVGPPSGQSLALPAGGVFTGEIAENRAFTTLSYNGDL TTDWTDGRDHPVNWTGPSTGEGCLVNNPDGFGGPLHTQNETRATGTALAISYNSNLAN VNMENLVVISVAPNTPWRRLVNYPIPADLPPCAPGQCYCAWLWVPDGCGEPNMYMQNF RCHVPWSTSVRRLGVAQPPVYCANNPSACVKGPKQMIAWHQRTGDNVVTPGDVTPPYN SKMGFFPGAQNDIFEPRVGCFVDSDSPRVLPHQISSITGGMTTEKCISACETAGYFYA GVEYSTECFCGNSQPGPGLLVADGQCDMPCAGDGGETCGAGWRIEVYGTGKYPASSYT PAVPPVLTTSTWLYLCPEVQWGGSCENIRVGHAEKCVSLAGSDLYKRVRSAGPDMGRC VLFR QC762_507270 MNTPPSTCSSGKSTPFKKDNNRSRMQSFALPVDPADLQLGDPLP PNDPHAISVHLPTWNDSLGWVTKDPSVLQAMKTGYPRFFTPRVVDQLGRRLARHLGVG DDGAPMMFASRTWAEMFRRYMGEELLAGGRVWGVRWDGGAVYSDDDETGTYLWLAVVV GEPKGAEVSKARRFWQHTGYGISSRRAVFWLDRASFLNRESCREGHELANGIQQNGFH LDGDTCVGDSVDQAKQDISDQIAGLLSDGDMVLRKDDVLLYPGGMAAISELIATRTDP GTSLESGDSKKPNVAVFGQVPLQSFYLPKMLWSSNL QC762_507260 MAQKPEDQQGSKGLPHRPQVLLRNDSFLPPHLRSSVTAVPVTPD ITQETYESDNSNNSSGGQGPSRGDYFSRRVLDHAIAASPAPDSTNREGQSFHNKVTVG AQEGKKWMNRLTEATMAGRRESMSEFREISPDLALSGNIISATFNIPHSLKYRKGSDW ELTPRRGQSALFDSFSYLSSDDSPWNHIVVAWTGEIETPTDTPSPPGTPPTTTVHLSA LNHLSKPVPIDGVAPPTPPLVDGLWIPRDDMLRLENQLSHNKRIKTIPVWLADDSDGL DEGIRLKDQARWRRYAEHDLYTLFHYRQHEPTDGRAERVQWADYYRMNQKFANRILEV YKPGDIVIVHDYNLMLLPSMLRQRSPHMYISFYLHSPFPSSEFLRCLPRRKEVLEGVL GANLVGFQSYSYSRHFASCCTRILGFPSDTAGIDAYGGRVEIGVFPIGIDATKVASLA WTDEVNTKYGNLKKLYEGKKVIVGRDRLDTVRGVAQKLMAFERFLEMYPEWREKVVLI QVTSPTSIEEEGDGSESKIASRVSELIMKINGMYGSLGFSPVQHYAQYISQEEYFALL RAADIGLITSVRDGMNTTSLEYVICQRDTAGPLILSEFSGTAGSLKDAIHINPWDLSG VAEAINNALLMPKDKREAMQNSLLAHVTSKNVQFWIVGFLRRLVNVLGSRKNIISTPL LDRSEMLRRYRAAKKRLFMFDYDGTLTPIVREPSAAVPSERVITSLKALAADPQNAVW IISGRDQEFLSHHLGHISGLGFSAEHGSFMRNPGSTEWENLVEKFDMGWQEEVIAVFQ KYTDKVPGSFIERKRCALTWHYRLADPEQGLHMSRECQKELEGTVARKWDVEVMPGKA NIEVRPTFINKGEIAKRLVNTYNAELKALESDDESARKLEFVLCMGDDFTDEDMFRAL NGLSAPNDGTAEVEAENTFSVTVGASTKVTLARWHVLEPQDVIECVALLAGVGGSGTG ATDGVLSMGENNLAALAAVEDHIPEKL QC762_507250 MVLRPHHFSAVSKRIIPPAFTRPLSTSPRFFKMADHKNENNKRS RGDEPHQYQASSRTDREEDQWKFRAPYKIHDKGENFDVKWKGKCHCGAVQYELSREKP LASKYCHCTTCQRMHGAPFQWAAIFHKEDINFTNGHHDLGWYDPTAKSTTHHLPCKVQ CAYCRTPIMDEGRNMILLFPTLIEGINTKKGREAFAAQCHMFYPQRVVDIKDGLPKFS RLADESDLCDEETGEVMEGTNPKKDKEKEKKKE QC762_507240 MLKSPTLPIQPPRITIMTTSTVLPSVTTKPYNIVAAAEALLEDA KRLAATIDQGSDNVPLRRKLAQTARTLAVETSHPLDAVKDEWLTTSGIAVWSLLTSWK AFDLIPLTAPGYITYADLARQLDADESLISLYSRLITHLIATGKLSPGPVPNSVSHSR LSPLYISTNPVSDLAVIAVGNGFKPFFQWPDYFSKYGRREPLGQTHTPFSFAWGHAEL PPWEVKALYPGYSASFKRSMQAKNIFGGDIPITGEGALYDVSWVGSKKPTDENTVKIV DVGGGMGHLVKELLENVQGLKPEECVLQDRPDVIEGVEKTGDLGLKGVRFMSHDFHER QPVRGAWVYVLRRILLDYSDDLAVNILKQVAGALPDVDKDARVLIVEFKLFEGIGQPP QNTHVDLMMFNLGGKLRNERMYRDLVEKAGMRVVKYHVRAGDPHCVVECAKA QC762_507237 MLLTQVLVPLTLFGQSPLGPPPQTCPPNGVINYISTCPKHPHII PAPGCTAKASTIRQPWAVGSLCYCAGDKEYCVYMLPNFNDGKGISLVTTSSVIRSVST LPHHDRWWSTPNTANTPDLLEVKPIEGKGLGVVAANRTIRKNTRVMVDAPGLMIEHGA FTKLRTKMLADLIHEAASMLPYPSREDFFALSGAEGLLRNSKESALAIVGKNAFHTKI EDMEFHAVFLDVSRVNHACSPNAAYHFDPLTMRKSLITVRDIHPGEELTIGYVDLTQP SQTRQASLSHWNFTCSCPRCTQASRRQKESDARTAQLVNIRNELDQYDHGVQDGPGMA ELLTILYELEGLEARLHEAYYRAAIEFNGIGNRWKAIKFARLCLERGLLLKDETRPFV GEMRALIEDAEGHWSWRFRLGVGHQD QC762_507230 MDKNDRFLSSDEKLLETGLFSDVVVKCGDKEWKLHKAILCTRSV WFEKALTGQFEEATSGVITIQDFEPEAVEWVIRYIYTGVCDIATLRGPEKMTLTNFVT CFEVHSVADFFALSPLAKIALDTLTAEFDTKLPAIQLQQESCKEWLPEFCEAIRLVYE DIPISDTAVTSIRKAFVLFIHTARYYFMKEPRFTKFLDEEAPLLSLDLFRAMRATGDF VAHPLDPYCSFCKNKPSRAEKGYYTHIAPEPLKLTACCSNCAVKKDFPSGMQDWLAKD TRALG QC762_507220 MRFSAILSLLSATAVVANNAVPALWDGSCYYPASDPAFNVTSYL GRWYQVAGTIAPYTRNCKCIFAQYGLNDNGSIAVNNSCQAGTRAVNIVGAASPAEPQY GATGVFRVQFPNERPPDCPGPNYIVQDYTGEFSLVQSNNFTTLFILSREQHPDEKVLD AWIARAGLLGSDLSQVVKTDQTDCLYT QC762_0083120 MHPSNTFSSQEPASKNASGPLRVPLPNEPSPLLCIDVEINGHPI TALASMANENSIISLELAEQLSLSIESREFKHPVRLLNGTIAKGDGTIQVAITRRDHE QHGYLPGLQKCDVLPGSIYPLVLGQTSLLFYLQAVPYWREWYRFLSPDPPPEFDFKIR MSLPRPPLGNRTVFISAVGHLAFDDLGGDLAGDNVPFVLDGASTCCIISGNYARFRGM DMEELPPGEAYTLVFIDGSTAECSMVVRGVEWTPWDNSGAGYIIRGRTCFVDFLVVEE CPATVILGSNYLDAFRILEGPVKVPDLESFQITALGFAPSLEQYPEVSWLSKGTRPGV KVGDTWLEVELQVGVAMVERGAESGLDSKAASEKHAVQDIGSSGLLIAQVLKKAGIPA TVFEQDTSPTSRHRDWNFGIYWAQSRLEECLPPYLHDLVKSTQTDPNYEPSVDEKLNI FNGHTGEMMNSLPAPYSMRIRRRPWLELLKTGIDVRYGKRLSSVSVTDISMTIAFEDG TSETGTLLIGAEGAHSPTRKFLFRRSPHEAALLSCPIVTTATLTTFPKEIAGELAKLH PKHIITFDPAGMIVWIGVHNRTPTDCTYMILVSWESQEETGLREAGSDAILADLKCRA EKLASPFKDAIQSVPNGTRAWHSRLSYWPTKPWDNHNGKVTLAGDAAHPMTFHRGQGL GNAIADAAEFQTCLSSSSNNLVEAVAKYEKEMWARGFEAVKVNLENSIALHDWKKVLL SPFYKAGIKRDAEVGVSEGGKVDEKGQAKGGEGGLFDE QC762_507205 MTQPPTTNNNSHISLTPIEPNLYLGNLESSYSIPTLITHGITAI VSLSGIHHEEWSRPANRKLVPKENHYQFIPCDDSPNQDILCCLGDICDFIDDHIGVPS VQEILAGVKPGDDEEDALAREMGRSRKVLVHCDEGVSRSPAVVAGYLMRRDERGVRGV MREVRKRRGCVRVNRGFLEQLKVWERVGYKVWEGEEKKVPVEGYKRWLEKRDSRVMGE VCLGDV QC762_507200 MSSPPLPHLALIGAGITSLTLSIRLSALAIPHTIYEQSASLTEL GAGLGFGPNAVRALEYIDPRLVEIFNKTATFVGTPSHQGKELLVQEGKGVDERVWIEF LDGTKQGGGETLEPEFVITAGNGRGHAAVHRGQWLDVLGGLADKERIMFGKRVVDVVC LDGKMRIVFADGTEAGADGVVGCDGVKSKVREVLMGMLGEGRERGKCGYSGKYAYRCL VPWGKAVEAVGDDRAGVSSLWMGLNRHLLTFPVHRQSEQFLNLVAFVTDEDGKMWPQE GPASLTLPATKADALRDFEQAGFNGSVRRLLEMTKERMDKWGLYDVADRPLSKFYFGR IMVIGDAAHASTPHHGSGAGFCMEDIAVLGALFEESIAHQSLTVETLEDVFAAFDCQR RERDQWLVNSSRRAADLYQWRIPDFLEPNSFEKMKADIEQRQEHCWGFDVEAAVREAK KHMSERLTLHAQS QC762_507190 MVTITPTSSLAGDVLLFEVPAPRDEKWIAQLQERYPGLEIRWHT SELTMMPKPLPDEVYDGVTLLVGFMPHPAEKLPKVRYVQLMSAGADRWITNDLYKNPN VTFCTANGTHAPQIAEWVIGTWLMANHQFLSYAEQQKKATWNRQPSLHIEDSPGLRMG ILGYGAIGRHCARLGQALGMEVYAYTRSEKATPEARKDDSYVVPGTGDPDGLIPAKWY HGSSKESINEFLAQSLDLLVISLPLTDATKYIISKEQFDILAKKKTFVSNIARGQHIN TDDLIEALKEGKIRGAALDVADPEPLTDGHALWSAPNLFITPHVSWQTPHLFQRIQAV VERNLEGLSGQKPTLINVMNKTHGY QC762_507185 MLLLAILGAVLPAAVTAMEFFTPPAFGTRGDFSKNPTYVELSTV DLHWSAPPKGLPYSVTLFQMNGTETTGQLEHVTRSVVEMTGWRWIVATTKDLDVSNMF MLIIFEEGTSNNLAMSHYFNISRRGEGISNFQQPSETTTKLPTETTVFLSPGLSTTTA TSDGPGATSDAGGTTKDEFPNQQPAGASPTGLSTSAGIGIGVGATAVLAIGIAIGAYF LGRRRGGANAQAQNAEAVGPIAGSPGQGSGTLHSGASTQAVSPGAYHHAGQYPVEADY YSPKIEMDNRHYIPNTHVHEAFTNENRIELPAQGQQHTELSNRP QC762_507180 MKLSPQLIRTTFTSRGLARPFVLLVHVNQRSITPQTSSFFTLPS SRSHLHRPSSSSSSSSSSTTTTTHHPTHSIHATMQISCQCTSISFPITGPLLDLYHCH CLECQKQSSSAFGTSAIFPSSSFTIPTEMQSKLSKWTRPTKEGRTMDCYFCRECGCRI YHHIREADGTLRGTVSVKGGVIEGLEWKGSKHIYTMSAVVEIPEGVERFEAAPGEMVG RRVESK QC762_507170 MNKFTLLLLATAAALTSAQCPPNARLCGSDILPGGSLACVGSET ELAVITPAGNSPANCIFPTNEDGVPQARGACCCADERCFANGLAAICD QC762_0083190 MFAPPGYKSSYLWTARPTSEPTFKSESTTPIQAGKTEYMFKKRP YQFAATMLASLCPNLETPRGPDASLEHVPLLSSQQPDETEEGSILALELQPGRMGT QC762_507015 MARLQDLPVELLTKIVEDFCWCQSPPPHADCHCLTIRCEAHLGR EETGLEADYETLAALCLTSRLFNDLATKHLYHYIPDLDSDAQWWLLLRTLVTRRDLGQ HVRSLDIVTRDYKTTPDYPEVERYLQDQVKALQAQRVEAGGKLSPWEEREFSTGASNY LISLLTSLCPNVESIRARLTGDSFDFCGAQTMSMLKKVAFEWYDTEGGICLAGLRNLL QAAPSIESMIISPFSQEDDDKLELTLNKLTYLELRHSMVGAGALDSIYKLCPNLEIFK YETGDACIGYEQFAPQDLQRATLNHAQKLRMLMMKEGPGRWEELYDDHLHPGVYEDAL VELDAALKARGIECRITPLEDESV QC762_507020 MSLHLCNPTTFSSLPLPLSASLLSLTTTPVTNYSASVPAIYRLT APAIAYTNLSFCNITLTYTHPGQNDSIVIETWLPAASSSSNLTTNPTNPWNSRLLAVG GGGYQAGRFDLSYAGMQGGLGEGYATITTDAGLGSDTTARRWALLSEGNVNLYNLLNL GSASLGDMGLIGKEIIKAFYGKGPEYSYFNGCSQGGRQGMVLAQRFPGLFDGIVAGAP AVYWSEAMGGFQWPQVVMNEGGEWPFGCEVDAIGEEATKQCDGLDGVVDGIVQEVEGC LGVFDPFGMVGREVECAQLGGRVITITEVAAAVVNKTWHGREMVDGRKVWYGLVPGSD LTVNGSGIVATNCTEEGCVGRANPLGKDWFELFVEKSSTADVSNMTHRELDRYVKATK QDFGSLLETDDTDLAEFKRQGGKLISWHGLIDQLLTPKATERYYQDVSAIVSETQEFY RHYEVPGLEHCFGGPSGNPLELLGQLRAWVEQGIAPEASPVNVTRLDGTTEARVVCPY PQKAKFDASCTGEGCWTCEDAIRPPL QC762_507030 MKSRKQRSTQVRGEPAPPAKMYILSLPAELLLSILWSDRLDQYD VQALRLSCRALAPVAASRLFFRIYISKLIADRDAFLAICNSPHLVQHICYALGDFGNK NKTIAKIYLEDGKELGVLDGLHQHMETASTSLFWLFNTPADPSRDGVDYDVIAATREN TIASFRPIFEAAVDNLPNLQTFVSRPMTLQRTLSDLEYPISACHLQILQNETEENPET NDGLFLFLLPVMGRSASAVANLRWYDEFPSCTFSRPFPDSAFKGLESLEIIMMEWMRR GFPGDALAGLETALLNAAPSLRQFKLSMDVDSTSVEHYSQAWTLGGTMLKWLGNGQFA LRSLSLSSMIPSPGPLPQLIKANATSLRHLCLDNIPVSSQQIRDITQIQGLQLESIQI IHNEEVAYGETSLLGDVYDDTGDGVSEVGDETLLNPESSGSGNDLLRTEFVTEAALLR YLRGQAPVNDDDRRVHDAVNSYNRVFISTHTRSEDYCGASERSSEDDSVEHRRSTAPR WAWGRYFHVPGHKIRGLVFAFPVPEGGTVRGHKTERWSFISRDGRVAYGDDPWEWFED WDPDAGDREEPLPYCAALEEFANLKADAEGLSPEEYLGERSEARGLRPPEGAIQYNSI DNQESKTHQRLR QC762_507035 MAAHLPPREPVLPDPGKRNILITSALPYVNNVPHLGNIIGSVLS ANTFARYSRARGFNSLYIGVYKWFNISFDIFGRTTTPLQTEITQDIFLKLKDNGSLEE RMTTQLYCEQHHSFLADRFVEGECPDCGYVDARGDQCDLCGKLLKSLELIRPRCKVDG SVPVTKETKHIFLELDKLQPEVEAFFQESAAKGAWSSNRTSITAAWLKEGLQPRSITR DLKWGTPVPTSLEGYEEKVIYSWFDAWQVEILFRC QC762_0083240 MQTLAFVLAIAPATVLAQSPLWGQCGGQGWTGPTTCVSGSVCQK TNDWYSQCVPGSGGGNPAPTTPTTPTPTNGGGGGGGGNSLHEKFKAKGKLFFGTEIDH YHLNNNPLTTIVKNTFGQITHENSMKWDAIEPSRNQFSFSNADAVVNFATANGKLIRG HTLLWHSQLPQWVQNINDRNTLTQVIQNHVTTMVTRYKGKIAHWDVVNEIFAEDGSLR NSVFSRVLGEDFVGIAFRAARAADPAAKLYINDYNLDNANYAKVTRGMVEKVNKWVAQ GIPIDGIGSQAHLAAPGGWNPASGVPAALRALAAANVKEIAVTELDIAGASANDYLTV MNACLQIPKCVGITVWGVSDKDSWRSSDNPLLFDRNYQPKAAYNALMNAL QC762_507050 MATDLETGPPPPVAGPERDIDTDSSSPSSKSPPVKPTREKTDGA STTDTDSDSDSDVAPQPLETGGVISRTISRLTRQSTNYDPGPPPDGGVKAWTAVFCAH LVITNTWGIINSFGVFQPYYTTTLSRPPSDISWVGSVQIFLLFFIGAITGRLTDAGFF RHIFALGTVLLLLGTFTVSAASTYSQVFLSQGICMGLGMGCLFCPSISTVSTYFDKRR AIALAVAACGSATGGLIFPSMVRELLPKVGFGWTMRSIGFIQLLTLGVGCVFLKPRIK PRKSGPLIDLAAWKEGEYVMYALGAFTCFIGLYFPFYYVASFSRDIIGLDFTESLNLL LVMNGVGVIGRLGPNAVADRVGPLKVFVPVAGLCGVCVICWMAVKDVAGLYVWSCFYG MVAGGIQSLFPAGLSSLNTDVRKAGVRIGMVFTTVSFATLAGPPVAGAIITACDGDYW GAQVFAGTTLLIGMVLVAASFVIKSRKMMAETGATSLWSVKA QC762_507053 MASFPQFTRLPTEIQWVIWELALSESNTHFLTMNSGHHSLGQLS PIYGPVPNLAAFGQSLLSLNPSPLSFAQSWSRVSAIASSCYKAKKTAVRLHKKDLESG NRGPFPLFDASQDMLVISTIHAIDTSEPPADAEDMDTSEPEQPEVPEPFTQLTFEPVD SVGHWRRRYPLLVPDLPATGYLANITSVAIYWKPEMDDAVVCRQIQWLWDGDACPKLE TIYIDISMRSKRYRWFHCTLQNHVHLAARRRTRTVKGVVDIHVRNLTAF QC762_507055 MSSAKDKPSGIPVPSNAATTSPTATTSPIHRRSSVGSQTGNNSK GTRVKLEEGQQKKPEAAEDKKPKVVEEDAHKSPNVKPGEEPKPDLPKPKDDDSNTDND DPFRFAAVPDELLPTGLPPIEEDEPEEEEEEEEEEEEEEEEEEEEEEVESFSEDPYYL QDDQQDVYSFTTPPTSPDFPPGNPPMVSSSLRKTLNEDFSPPLPPYLLLHGTLILLGT LTYALLNSTNITSLTALLFPGIQLLLSGIVLSLVDASQPSDPVTPGWKALVQLATVVE WLYFLLELHQNFYDYSAPGAYLPGGYGIDFEFGSDDPADYRTLDNPKWPHEAKVKATR ERGLNLEGRVLEGVDRFVGVAAGVGLVLIWFVVARAVWRLRNAGGAKRRKREWGKLIY QRQRR QC762_507060 MVSRPSEDPPSSLRPYFWILNSKHRQTHLTVSSSPTPVDTHADP PPLRGLVRPNALSNMSQPADPEKASGGGHISGQSNKPLSRPAHALSHQQVAHELNADT LSGLTAAEAQSRIKEFGRNELGEGEGVQPLKIVIAQVANAMTMVLILAMAVSFGIGSH IEGAVVAFVILLNVVVGFFQEYSAEKTMDSLRSLSSPTASVIRGSEAIVVPSGELVPG DLVEVKMGDTIPADIRLIEAKNFETDEALLTGESLPVRKRVDDVFDDNTGPGDRLNVA YSSSTVTKGRAKGIVFATGTFTEIGAIASALNKKDSRVRPVKRKPNGKAGPHRYLEAY TLTLGDAVGRFLGVNVGTPLQRKLSKLAMLLFGIAVVCAIIVLGANEMRTPKEVIIYA VATGLSMIPASLVVVLTITMAAGTKRMVARNVIVRNLKALEALGAVTDICSDKTGTLT QGKMVARGAWIPGMGTYTVELTSSQPFNPTQGDIRFVQKQPHEINFGSQSEKDDESTN PKGPITKIQQLLSDSKARLHEFLTIASLANLATVFEKDGDWHARGDPTEIAIQVFASR FNRNRLDVMNGDKPEWKEVAEFPFDSDVKRMSVIMEHTTTNEHWAFTKGAVERVIGVC TRYCDSDAADGESSEVEMTDEFRQQILRNMETFASQGLRVLALAGRKLPAGAQFTEDT PREQVEQDLTFRGLIGLYDPPRAESAPAVRECHEAGISVHMLTGDHPETAKAIAIEVG ILPRRMERVSADVAACMVMTASEFDALSDEAVDKLPVLPLVIARCAPSTKVRMIDALH RRGKFCAMTGDGVNDSPSLKRADVGIAMGESGSDVAKDASDIVLTDDNFASIVAAIEE GRRIFDNIQKFVLHVLAENIAQAGTLLIGLAFKDRSGLSVFPLAPVEIVWIIMVTSGL PDMGLGFERAVPDIMRRPPQSLKTGIFTLEFCVDMVVYGLWIAALCLASFVLRVYAWG DGSLGERCNEEYSEACETVFKARATTFACLTWFALFLAWELVDMRRSFFRMQPGSTKY FTQWFHDVWRNQFLFWAIVGGFVTLFPVVYIPVLNTKVFKHVGITWEWGIVFIAAGLF FAGVELWKFGKRVYFRRTAKKTTGKQWKDLDIEERVFGEYLSSGYESSESRLPDTGRD QC762_507070 MAPRTQYDPIATQYTSYSTVPDMRLETSLVRTALGPCQPTDTIL DLGGGSGLHARTAVDLGAGRVDVVDISPEMLLAGRQIEESLGRTDRRIRYIHSDVTKP LPPHLAEQKYDVVMANWVLDHAESIDDLIGMWRNIASALKPGGRFVNVRVRCLRAGYL TRGKYGVVFSDFEEIVTGGPGWRYNVSFRLDGVPVVFEATSMEATLELDHSIPESMGM GGWGVVPLEEDEVVKEDREYWADHVGEPSFVVVVGRKLGG QC762_507075 MQECARLEGGLFPNKDITREPFYLESLNLTTQDFITTKLKHTCR RHTSNISPARLGAFLSKASAIDAVIRFHKGREGTLDGPFPTLSQCQQWFQAFSLQKMA SANKSTGGYTLDPSQSADLLIPLTLWPKDNDDRLEIARLLLRDTGCDPNGASPRSGWD VWCWTPHGMRMAPLHFDVPLGDARMDGYGRVPGSVPHLKGVGDEGFRAWVEGIGEPVK AWLETEDGKKAAQHYGDPTAQRQPDAEDEIMALMESGHTSATGPGGKGGSR QC762_507080 MICLDFIHIESRPLVSLFLSILLLLLPLKIILTLTSSILFLTSP LLTPLILLLLVLLLVPYALWLVLFLVENIASLSRHLARLLTLPFELLWEPFGWLINMI TESARAQQERHVHWRRRGRAPGPQGGRAKRVSVWEGRNKDDEVDDDDGGNDEYVDYYQ REYTYGEWGSQVGDPLRGPGAKERYMTGRRARYIV QC762_507090 MALPALATILCLFSPLLLTAIASAREKRPNLTMGTPGPVTAHSG GRRLVHSHAKECDIPGTVDLSVREGDDTAYGQALYPVPAEDPNDPLQWSPIRKTLILV VCSLYSFLSNSALLGPSVYIGIFAAEFNTSFTDASNLISYANLAFGFGSLLLVPSYHK FGRRPVMLGSLILYCGGLLACSQVQTYSGLMAARVVHCFGASVCEALPVQLVNDIFFL HERGKRLGYYTVCLCFGATGPLFAGYMLNGGHSWRLFFWVEFAFGAALFILAFLVVEE TLYHRKPPVGSSSPERQSLEGGEEKPTAVESTTPETGAVIPPRKTFVQSLKFWGVYEK DADFLLMIARSFTYFLVPHVLWVITTYGIYIGLGALTFNYIFPLKITAPPYNWSQLDS GLIAVASFLGYLLAIPFTPSSDQLAARLTRKNKGIREAEMRLGVMLPVMLLAPAGLIV FGFAAERDLHWVAYFAGVVMCNFCSYFYFTFTLAYAIDSYTSNISEMLIAMNLGKQAI SFGMGLDLLDWVTRHGYAVMIAGVFGAILLANNLALLVFMLYGKRIRAFMSTTWLARV HRESVREVATH QC762_507095 MVHLLDLPDELLIQICGAFPQTDISDIKSCRLSCQRLCAASSHL LVPLVAVDCRKASLERFTKILHHPTISRGVRIVRVPIHSYRHELSDLCASFVKFVVEP ALHARAAHDHHRRAALCFFRRYLDGHGDGTPLEHDDSLWLRALRLVHGEYQRLYREQE ALRSEGTFVDTVASAVATMPRGTVLIYDDKPCSTLDAASLQVLVREHCRLLGLDPSAW RPIWYEMLSPTTWTDLVRDDFGWEAFAPDPAFQEPQMDFILELPGAIAMAGGQVHDMR FDLSCETPLRHLGSGVATRSHLASATQQLQAFTLISHQPLTETLSHDYASSVLARTPN LGFLRLDIASPGSHPDILVSRLWPRLQNIHLAGVQLTLPDLVAFLDGLPAYVENMRLS SVHLMQGSWADALEALRQKSYGSFTVEDPRGSEAEHVSVAFETGGNDPGDRFVKRVRV PGGLSPAEAFVRRVTDTNPCQS QC762_507100 MAEPEIVPVTKWPEWSSNPDGGDPLTQNLNAPYDKGDLAWLLVC TILCWQITPAIGFLYAGMHRRKAALTMVLQSLFCACACGIQYWIYGYSLYQSRTTNPI LGDLSLAVFKNVLAQPSLANSDIPDILYAAFGFTFVSATAMILAGAMLERGRLFPSMV FLLCWTTFVYYFLAYWEWNPSGWLYNLGLYDFAGSGPVHIASGFGALAWSMMLGPRLN EHGVAPTVSDRKSKLAHYKPHSPLLMCIGTVFIWFGWFAFNGASTANLSLRSIYVVVN TNLAACGGGITWVILEYLYKKKFSLTGFCSGIIAGLVGITPAAGFVPVYVACLVGAIT SVCSFYVVKYKYILSVDDGLDIFAIHGIGGVVGDILTGFFAASFVPALDGVSGASYEG GWWNRNFRQMGLQLAGATTCAAWSFFVSCLLLFVINKIPGLHIRATEEQEVRGLDLNY LEDLDFEDGLGHGCMISEGASPHSNTPPQREIVKAEGGEKKE QC762_507110 MVLINIAYTAPINRPGQHPVLTTPQVWAGLVRKVRHAQEFVPVI ESCAVASEETNAAGQLVVTRHVNFGQAAPVPGSGGEGGEVEEVCTLFPPHRVDFVQDD GTRVWNHVSQGPGKDGEDLYLTYVFEARDDAVEDGSPDAAALEERFKTTAKRAVESSI ETIRRLVSEGKLQSIT QC762_0083390 MKSPRRFYALVGLCLLVFVNTVAAGAKQVSLEHELLALPPCALP CVVDAIRDAKCSAHDNECICSSARFDKEAHFCVRTHCAPRETLAAKNITYQWCDHPNE GDRTLLPAFSIFLGLAIAAVALRLVARVVTEAWFWWDDLCNFFAIAGCAAYTGLYIEA VHFGMGKDIWFVPFDNITKIMQTYFATMLTYVTTRFFIRASIILFYLRVFPAQSSFRL GKVIVWTGVFNIIYTIAFFFASLLQCQPISAFWTAWEGVGKDQCINLNAMAWSAAATG IAFDLWLLALPFPQLLSLNLHWKKKLMGSMMFLVGAAVIVISLIRLKTINATTRAVNP TKDTVDLSLWSGIEIDVGVICPCLPSFRLLLRKVLPRLMGESTRSYELDPISKGEGGV TVTTKISAHIEPSGNNGIFLRPHKDSNTGRSCESVTGLVELSDEETTTPLIAKPDPHI CGLRLNSTKDHPFTSVHAGLDIPTLSLRDHSDYQSFRWGEGECYAMAFADDHTIIIIP PDWRTNLKIITISHNAMKKRADENGCYIRPGQNVGMSANQSVRKLRVPGVIFNSHLSW VDHTRHLRVKVLKTEQYMRRISSSIRGPPTWQMRSLFCSNVRPVLFYAGTAWYLPDNK RHADSWNTALKMLEAAQRQFHRARHDQLSDHYKNLHAISDRPIKVFGNRKYRLIASQH PIIKAYAHAARYHPRLLRWSLSRPPTVPNGTTRNTHSRLLVIDPGLKYVKDINPNLVK GRRSERVFTVDACLLGNHRQPAFRRLGRYDFWIAWVVRLPRYDGNKRSFQCGMVFGAP ELDFAAGGELSSML QC762_0083400 MCYYQRIIHTCGHTFHIPLFDRPCAHVGTPECRPRHLLERLKNA RKCSACTTPEDLAESKRDARRRRRCPSRRERRAMMKKASSSQL QC762_507130 MRVHASVGALASLAWLSSAAAVPRAEDWALTDTTCTTTTSGQAT VIVLPGESAASYTLPSVFTFTLPADGGAGSGSLVSSGLANGPSFASSTCESSETPVVP AIPTSSAPVISTVTVPVLTVTLPSPPLSSTGPDATPVATATVPGEAASNSVGVVTVTI PEGPSDTATVVLTVTVPQGSGSPGAWSTIITAPGVSGAPAGPLVTVTIFTSPASAAPG SPTTSIDWWGSDSTAFVTIPLDTGYGSDISLAPYTLTYTLPVAPGLTDLSIATVTVTP ADLSIPTGVGPFATETLSSGASSWSYVPAPPGTSVVSFTVSASGTIPGYTGVITVTPG MSWGLPTPVSVSGDQSGTATPTAPALVTVTVTSPSQLPTPSSGFATPSVVTVTPSLGL PAPSIVTVTPTVITTTPSSGLPTPSIVAVTYTVPASQGSPASEVTPPTSQVVTTVAPS ASQTIVTVTPGVEPASPGLVVTTITPLSGTPVVITLPDTGTPLVPATSAWTTQAFVTE TIVSNSVVTITPPSGPPETIASESVVTVTPPSGPPVVVTIPQSVAFTVPTGVSGTTQA FVTETIASESVITVTPPSGPPVVVTIPQSVAFTVPTGVSGFPPGTTQAFVTETIASES VITVTPPSGPPVVVTIPESIAFTVPTGTPPFSAGLSSSTSGTVPPQTVIVSQVTISQT ISLGPGSSTVVAVTTQVTLPADSTPTLSESGVLVTPSLATYTIADSYGNPTILTQWTI VPVSPSSSSAGGGGGDGVDGTLSSPTRTVQFTTISPIAPASVITILPSVVPSVITIWP STDSFLTSFVSSTLEVQSGSASPSEITLWPLPTSTDTTCTTFSTGHGSPTISGLGSAT SPSSLSVITLWPSTTSPPYSVITIWPSAGDSALAGWPTTSTCNESPAILTSVILLSSV STPFTPFGTPAISQSSDSGVVVAPTETGQALGSQGLDTSAGALPESTELPASQPENTE SPPSQPENTEAPANESEDLMPPPQTQFNRHGQHARTTTLVVLSDSTFGGYAFSSPAAG YGDLPPGYGNPVETAQASSSQGIFFTDSSLPVSQPASVDISFTASVLPISTTSTADLA AMLSSIMSVLSPNATIVSGPGPVLTSVPAASDNSTSSTQPSLTSTTYASSSSDLLLTS DSIVPTVTALPTTASSMLANISSLTAAPIATSFASTSPNVSTSSRGLPGSTCGAVGDR GAVVFKFDDIPTISTDNDTEASSFPAMPVPFPYHRFFFSNGFSVVPPPRTKFKASSGQ QLIQHNSSVSPVAEFGLAELRSNPCFHFSFLGVSLGCDSTSDPCVFNMTGLRWNGTDD VVEARHTFEVAACSKKTDCSLSHQVLDSAAALPFSNLTSLNISLSVAGKPETWWADDL QIAWADNDCTVAACRSRVPNTIMIPRISQPFASRAKRLLRWAVRGKDDKFY QC762_507140 MEEPAGIPEKTGQAGTGAGSGPELSSTAAQKKRSRIRFSCTTCR DKKLKCDRQTPCDQCMKRGIEATCEFIPYVTGGSRPGPALPARHHPRDATNPATTTAP APNSHRSKPAANDSAVHARLRHLEHLVQVLKAQRREAVPVESTAGVAADFGDDFQEDD LPRISARVRETAGMILSDLRYVDASHWESILSDVLSITGSLDRPEDVFQDDDDPIVPP KPERQGQPDLLLGGWPRLSVEELIRYLPPRAIADRLLARLFQAKEPGWIMFHMPSLLR HYEAFWEYPAAMTYTELALLFAMFCNASLYYMKSGEELPGRLGDAGAAYRIYKARCAQ CLVLGDYTKPWRYKLEAMILYFGSEYLGQQDAHINVSVILSIIVRLAMHMGLHRDPKH YPDMSPFEGEMRRRLWTVLRDVDILIGFQFGLPGNIPNDLYDTALPRNLHDEDFDENT LELPPSRPETEKTVTLWCIMKGRIVNVFSEITTAMSSRKAPGLSDIMRMDRKIDELAT QFPPSLRYRPFSQSVVDPVDIIMQRYQLELLFLKCRIVLHRRYMGYARKDKRYEHSRR VCLEAATKTLRHQYDVHCELQMGGRLSHERSHWFLSSLSTHNFLLADMILCLELWFLK AREGRSPPAVEQSPEMIMTKDQILDILRTSRLIWQGRRKESAEANKAFNILTKMLSLS TGESLDGSPESSNNSAYDRLETSSYPAVPVMTFAGLETGLHPAPGLGSQAPQTIVPTG WAPVAGGELPLDGQVAVTWGHGFQQDLPNLGHVDGLMDPNLGGDWTLWDNQILNSSDG VPQIAWDTFFQAGGYGL QC762_507150 MPPSTHTLTRPFHRLAHLLSRAARAAWYPIHGIWYFLRHPEFYP IFVGRLLPLSIISFLVYFILFTFTFIPQFLLLYIFQGRAGALINTTVLVLGEGLVLIQ ALFEGLFVDECRVDIFDATLINHGFVDLIAPQRVLFVDAPNSVKMLGKPTSRAEYSPF SWIQIFELVACLPLNLIPYIGTPAFIMITGSRVGKLSHYRWYKLRGIDKKTMKRDLHF KSWDYLWFGTVAMVLQLIPVLSFFFLLTTTSAAAMWAAKMEELSRVRVGRPVTAQDRV EQETDDPVYHDDPV QC762_507160 MKANFLPVIALLLTPALAAPFAEPEAEAEFTPMARDMTPRAAFN EAEVFAFAPPASCKVLNCISVISSAVCVANAIDDDDYKAILKCAKKDQLCGCAGCFSK LNGFLEKWGIC QC762_0083450 MPTTAAAENHATPGDTGPQRTSPEPESARPLAPLSSTESDISST ESNIEEGRDSYRPGRFHPVYIGDIYAEKYKVLSKIGYGVYSTVWLVRDLTKPEGGEHR FRALKVLSAESYAEDHPIFEKEILKHLRDGGDKDELGYAYICHIVDDFEHQGPNGTHV CLVFELMGETLRSFGAWFKDNQLPYPVIHRFTIQLLLALDYAHGCDVIHTDIKPDNIF VKFRDTSQIESGYLVQAPIPKQDRTEEAYTPIRSIPLRQFYFTQEDSRNVDQFDIALG DWGVSSWATKHLTENIQPVTLRAPEVLIKAPWDATVDWWNLGALLLELYLAVRMFSGR VPPDRHYEPKTHIAEIVDLFGPFPKELLEKGDQNIVRDIFDDEGRPKGIGPLGRSPLE SEAFMPGLRDDDRKEFASFLRAIMKINPADRLSTEDLLRHPWLGAMQ QC762_0083460 MGHITDASGVSFTNPLKRTPSAEQAVMTYARNDSRPITFGLVKG TPAWKGDETWHTGGTDPNAPEHLTVELKDSNGNHITTKHIDRNGNAC QC762_0083470 MLFNYGREQITRKRQQVFFPNLGKYKEAEQIHRQALQLREKVLG KEHPDTLSSMNNLASVLDSQGKYEKAEQMHRQALQLREKVLGKEHPDTLSSMNNLALV LRSQGKYEEAEQIHRQELQLSEKVLGKEHPDTLGSMNNLAVVLDSQGKYEEAEQMHRQ ALQLREKVLGKEHPDTLSSMNNLALVLDSQGKYEEAEQIHRQELQLSEKVLGKEHPST LSSMSNLAVVLRSQGKYEEAEQIHRQALQLREKVLGKEHPDTLGSMNNLAVVLDSQGK YEEAEQMHRQALQLREKVLGKEHPDTLGSMNNLAVVLDSQRKYEGAEQIHRQALQLSE KVLGKEHPSTLSSMSNLASVLRSQGKYEEAEQMHRQALQLREKVSEIR QC762_0083480 MTTTEACRGLRRIVPVDDAPDDATIDIIAIHGLGTESPRTWEFK KRNGDGVVNWLSDADMLPAALPKAHIYTYDWNANYFANAPVQTLLGHADTLLGLFAEG RGSQTRPIIFVASCFGGLILTEAIIRAAQEGSAYRHILISTVGIVFLATPFQGSDAAK QAQWQVLVAGIMGKQASDQLIKDLEQKHDFVRQRVQKFAEIANAEAVRLPLNCFFETR KTKILKRILPSEWANRLSIGITRKILVTESSACLHGFPRRGLDATHSGMNKFKGPECP NFKLVKDAVQQFAGNASDVLKRRENSTVKGHWIVRFGRNKEFVGRESILEDLLKRVLP SGDEDDCQRTAIEGLGGVGKTQIALETAYRIRDVQPECSVFWVPAVDATAFENAYRAI GQQLKVPGIDEEKADVKALIKSVLGREYG QC762_0083490 MPTSDLRLDPRGYKTHKWLDLDATYLIVKPKFSLRFRHADELRI ESAMSDSYRFGDYNNGSQVGTNRGTIYNTFPQAPERSETPPRPFATIPFSRDPDFVNR GDILEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVHAGMYER VEDGFRTIANTVKLAGRNEPKANIPQLVYSWLSNERNDKWIMILDSADDRDVFDNANI AHGTTSGNERERRPFATYLPQSQNGSIIVTTRNRELAFRLTGRRQNMIEVGPMAQTDA LALLEKKLGSPADLDVAADLVQALDLVPLAISQAAAYIQARAPRSSPEKYLAEFRKKQ SLQYDAGDLRRDGGASNAVLTTWQISFDYIRSKRPSAADLLSLMSFFDRQGIPGWVLK PRRVTKEDIPGRRIDEDGDTDFDNGRSATDGAMVTWMVTHSDLTDDSADTTDDGFEDD VAMLRDYCIIATTEMDEFEMHGLVQFSTRKWLEQWGQQETFKQKFIERMAASFPTGDY KNWATCRNLFAHVQVALGYRPSENREEIWATLLYNGGWFAWSQGRYEVAQRMVGKARR ARENRLGKEDTASLDSMSLFALILLHRGQWEEAEKLFLQVMETRKTKLGADHPDTLTS MANLASTFWNQGRWEEAEKLFVQVMETSKTKLGADHPDTLSSMANLASTYRNQGRWEE AEKLFVQVMETSKTKLGADHPSTLSSMANLASTFWNQGRWEEAEKLFVQVMETRKTKL GADHPDTLTSMANLAFTWKSQGRHSTALALMKDCAQARQRRLGAEHPDTLSSLAIVTK WGS QC762_0083500 MDPLSITAGIVGIVAPTLHCVRLLVEDLQNIADAPNTVKALTNN LQSVELALDSLGAVTDSQWESLGDAITTQSKATITSCKTSCERFKTSLDRWTRHSTDG TLSWRDRATLGIFRQDHIKSISKQLQQCNITLTSVTSIATLTQLLQQAQAAEEIKTII STKETAVNNAITATNDQSAEVSAQLVALTLAEPGEGETDADQASATKQVAMEKKALHE SRMLFEELLFVIQTAAANARADQGTTITFGNNNSGQQVGVNSGTITATFGRRG QC762_507010 MRRYLPFTSWDKLPSCGVLGLVLLTFVAGKIGGRGGYISIPGSL SDSGLWCSRQLRLVLGVMTMARLWFVGAAALGVSLASAQAVISAQGCTSRSFTIPSWF VEDLAATGGGASFSLLNRATNQTAEYTCRGGNCSAEEEIEDLVASVQISGTRASVSVN QTWSCSDRTPETKFTAAGTASVPLTDGKADSSPLLVKGSLLQPVALTPRYNEGPTGHD TPGCLAKSESPSWILSHVIWADQDGDEITSVKEQRLTFILTNLANGYEASCMSQGPVA TNIFCAGTEFQSFTIGRYSISTAVQFDPATYSVTINQTWFCDDHNPAKPLQISATGTL PLPLSCTTEPIPSSPTHLKKFCTVPTTDSTVSLTGTLGTVVTLQPYSIEDPVPATQDS CTLSSIYSPKWQFSYFSTYNGSISFEIILQTNRGFRYPNPVYQGKSAGDGWWECEMGY DGGAQVPEGPLWPYKCQFRWDEGRKELSLRAEWECLELDAENPVRFSGVSTATVNSNI VCEKVEHREYTDEPLGEGEELTIPEPVGVVDYCYTENPSYAWVGDVKDVTWTSGKSL QC762_507000 MIEIENAEIDEVEEVHQRCFLLSGKCVASTEPTSGTGGDFVVVE PKPSSFVLVHVEDEHGNTGFPDQRWPLSLGQFKALVMLSPGLNKITVTSGNDAANAVE LSLRYTPLLQVPPLHLAILVAKDSPLLIDCPPAKFGDISSTHSSLDAAISKLRVAAYM WQALAADNIYWDGLPRQSFRIEEEYSRDTLSRHAQQQSFGKQQVMGSVPRIHVIRTEK TISQLRDVEAEMEAAKSKAGQEQRHKDLYRIMSKAVKNSGGPLHPNNKPVIAALLLDA HFDEKLGRTLPDALFSIHKSEGLSLAMSGSQSTYSWPRFMDEIPDCLLDPTPVGDAVS RERCERPICMWEACSVSQGRFYKEIVRAFNPSATKPDFITSHDLGWAFKHSWWGYFQW PEHFLSRALRCAGEEEEKEPKPVRSDVSQTGYYRIELKDALVLRNSAPEFQLPGYVTL PEGAPEICPLVEDTVDGRAAQNVEIKCDAGLASVWVCSKNMSISDGLTYPGEPLKSVR FSLQELTERLGPHVSEEERMATGGLDRIEALGMNGEKSTFHDISTWMRLRVAEYIDAG IPGTDLRFTKTAVGSNAEEEERSKGQWGMNHDWKWTVLLKRRSKRKGTKWYGTIVNAT MIDVRVGCALDGAYVYYEDGSKVPCGPRLPPSTQMGGHQARKMAIPPGVEVTKVAISK ALKNHDVLKGLRVWLSNGQARGGLNLQSFAADLELHEVKVLEPPANHKIVGFYGTSGS WGMCQKFGIMSIPRDVELPGSVYDMEEFQNLPLDHYAEKQANEAGSEGEVDKMDEDME EDPDTGYDEEWDERTRKIYGK QC762_0083530 MFQIPARVPGRKIAKAKGPHCVGVEDIVHQPGVGSVAPLGNTKE DDYYRNDSLKYCRHEQKKKKKKKKKKIGILAEKSRIYIGQWWPVRRCIHSHGPGEVLG GATNWESNQQSNKAGRSW QC762_506980 MGPDEIEMVPPKTPRGLPPSETDYQPVNWKRLFLRPKYLAMWVV LVIIIILTAIITIYHDKVVEHLRPFAEQVRHLPGGWLIPIVILIVISFPPLFGHEIIA LLCGVVYGLWIGFGIVAAGTFLGEVGTWFAFKYLFRQKSEKLERTSLSYGALARITRD GGFWIVLIIRFSAIPTHFSTAVFSTCGVNFWIFAIATFLTLPKQIFLVYLGVLLLQDK PDDAPKNIVFGIAFVLTIVMAGYIGFKMRFVKKILIEEQEERRKALAMPTMDDTVNTG DGVLDPERSEVRDPWTVEAQHKFLNRSSQYEALSQNDFSIVMPGPAAGNHTPLGEPSK GPSTAPSGWTTEAVNTPDSPGTPPNEYFGQQPAKGFQWV QC762_506970 MRPSKGLFAGAFLVAKTAAQNDKPGPLVIPGSQYFEGNDGPWST FDIRVGTPEQFIRVLPSTASPHTLVPLTELACSREAFGTIPPDCAVSRGNLFDPNESS TWQDIGQYGINHDGVGLGAHLGYDAGVQFGLEKLGLGLNGPELDDQTVGMIAAPEPFY LGIFGLSNQPMNFTSLANTSSPSFLTTLKDQKKIPSLSYSYTAGAKYRLKQVYGQLVF SGYDTSRFRENSVSFSLAEDITRDLVVVVQSISYSGSNSATLLNEPIEMFIDSTDPNI WLPEEACEAFEEAFGLQLDEESGLYLVNDTHRNRLLDSDAQVSFRLSDVRSGGDTVTI VLPYAAFDLTAEAPLVANTSHYFPLRRANSSSQYTLGRTFLQEAYLSVDYERKTFNLS SCIWNQGAQETIIPITSPDDPNADLDPSSNSSSGNSNLSTGAIAGIVVGAVLGAGLIA GGIALCFLRKRRKWIGSTYAAKEPEPDESVLKGPVFNSPSFRHASESTMQNGSSSVPF SAADVSGARSTPTTMGHSHSGSGPSPGMVTGVSPNETGTSGGTVELDGDGTAVKPNTE LDGREVQKPLPAVAENPPGVFELPGVKAGADSGSKAMEPQQEQHRAPSTVGSLPSVDE GRDRTPSPLTSTVGPNWRPGRVSMMEPVSPDTPVGRPSERPF QC762_506960 MLSSLKTLAPLGLLIPNVVASRDDEGDDVRFRVDSTTIYDPQCT ASVTVYNTTVVQKTQFIDYIVNITKTNTCYESTTLTLNYTVSVTNTDTDLVTITNTGT DLVTITNTDTDSVTITNTDTDSVTITTTDTDAVTITTTDTDAVTITTTDTDAVTITTT DTDAVTITTTDTDAVTITTTDTDSTTITRTVYTTTYDPCPKSCSISAASVHLYYWPTD RPYTYPTTYVDPSLSYTFTSPSVYMYIPSAQGVNTLGERVEPSTTNWILPLDLYEVST IARGSNATRQLTLADLGTNCPQTYNPTAIATIPRDCDPMLAAPSQVRSWAYPCNACGR FGLFDPPYAVPTTTGLLGPSTVVVTAEPITVTAPPVVETSPPPPPPPPPVTTGALVIE YRDEDGNIVSATTIATTGASGGTSTNTVVVAPTNTDGSALPTETGMVPEPSGGESSNI FTILPTDTIIPQPGETGLPTATTGAPDDEPPVEVTSLPTTTVATAAGRKLVASGSLWW VMPSVAGILFCL QC762_506958 MKLATTLPFFTLATVSPNLPQPQPRQEATTATASPTPTRTTTSP CEQSYSSLNRDRPTQHPDLIPSMLDDFSTGQSTITDLSAQCSWAQNWSRTAAGTVISS WNAAHMDTVAIMLAHAATDVGGCVTAQRVLNGELSPGGLVEVLGAAPAGSAGGDEGDD GGETDDDGEGGASTTGTTSTSTAGAARETGYVMGVAAVGVAVAGVMGGL QC762_0083580 MRLSTYSSLPVLWGVFTTPAHASWHAVLPRQTEAIPTPTPTENF EAVENCLFTSSSILRSYPTEPPVLRRYGESAAIEGANEALSMRTPRDSRGVLDHTSVC SLYLGTPTRELTMLPSELSTVFSTYREARSSWIAQAKPQLSEFSERCLEVLGTKTVVD YLQEFATGLEECLTNMELLFGTFVPATPTDNGGIVWTGDWERVSTPVTTTSRSSTAAG ARETAYMVPVLGIVGAAAWAGAAMV QC762_506955 MIALNLAVLFFLSSPIQGAVINTDSSIRARSKLVDPAVVFDTWS DTRTCNTDGKNSWTNSDQGCFSLPGQSLTVRSIADTCRSEYLLLSISIKILLMVGSYL AFIYGDTDCSGTEMQVYSGTCYDVRTFRTLKTFCN QC762_506950 MLFSTSTFAALLAFTPLISAHATMFGVFVNGQDQGDGRNKYIRS PKTNDPVRDLQSPDIVCNTNGGTPAPDFVSAPAGATLSFRWFHFRPEDPTDILDPSHK GAIITYIAPFTEGNGAQPIWSKIAEEGFENGEWATINMITNKGRADFKLPASLAAGKY LIRQELLALHMADINFKVDNTRGPESYPSCVQVEVTGGGEAVPDQDFDFNRGYTYDDP GLFFNIYVPFDKYTPPGPPVFQG QC762_506940 MSTPVPPGHPDFDPAENKRRMEAGELYYAFHPDISSARRKCIAA CNDFNAVGSRADFTRRQMVELWKKIICDDTPNPPVLADPKQDEAQFTLAYPYIDGPIK VDMGFNLKFGEQVYINYNSTWLDTCTITVGSRTLIGPNCSFYTATHPLDPFQRNGLKG PEAGKPIVIGEDCWFGGSVTVLGGVTIGRGVTVGAGSVVTKDVPDFVVVVGNPARIVR RLDEAKERWERGERIGESA QC762_506920 MSPIPSLPQEAITTLLNFTLQDRITGSLIGSALGDTIGLYTEFL SSTQAATSYPSRTFTLHPGPTPFHLDRHRAPFTPGHWTDDTDHSLLLLLSFLHQSTPS SHVFPTQSDFASRLRIWASQGFKPLGTMPLGLGRLLGTVLASKGFAEEPEGIARGYWR GTNRFAAPNGSLMRTHVLGLVTVWEEETKCFELGAEISRATHVDPRGEDIDGVIERGR RWYESVVGRREEDPGVDWEELWRVCDGKNGLEGLRLDDGASIGFVYKTLGAGVVLLRM AMDRNRGVLDRSRLFEELITELVMKGGDADTNACFAGALLGAYLGFAALPDHWRNGMV HGKWLVGKAESLCQVLNVKDGQYNGQEDADTAPLGGKPEISQQDMEAKWMVFQQEVVR KMEEAKKTDETKTTEPKSKSAWSVPWKKPKKP QC762_506890 MASQKVSRYQHLDGEDDLDVSSSPPPRPAPRLPPRPAPELPPRP RPPSKLPSRLGRKRDSKQPEWIQILEDDLLDAEDKEKNEEPRKRIFHTDTERSVGQFM VFQFPAMAITIALFVLHGYRMEWLDINSNISSALLVGAKIHETLIVASLFQILYSNIR RKLVGSEGIPFGFLTAPFQLSSPFYLFSSSFLAPLTQFHNLTLSSVWMALIMVASFSI ATLAGASSGIVMLPKLGWWNMSPDRSVDPDAIGPLSSIYPKMMDRKSVPEYCPAVNDT TSSDCAHAGYDNPNSIDWSWLSNIARGTHGLPTNLTSGGKSIAWWKSSMSLQTVVGAT TPMKLAASQVAKGYSMDRSDFVQTRRTAKLTSSTGDSIPLEQPRIAIQCTDPSRPRKD PLKSETMADAPFNFLLDPGLYYRSDPTFFIPHELLDEAYNSKSHFGFIDLGRHAPVQT SATFWTRYNTTGTSLALCFIDARWVGSSVWSYSNSDLPLFSHAMTNATLNASKDLGDI ITLTVPWLNSLNNSLDMSSDPFNYKTTNGSRFAYDRIYDYAVEGGGDQLYQVLSRSLA VYLVDALAELTWSRMRLDNGEEDRNDGATLDYALVGADQDRLVYEYNFEGWSVKLAFG VLLLHVLLVVVHFVGTVCVYRKFGSSAWEQLGELMTLAMNSRGTELLKNTSVGVHKWE VWRLMARVTEDEGLERKVELRLSSNQRVGDEEGSVKEVSGKRPTAFRKYG QC762_506880 MASQQPIVEAPAAGPPKIALKLTPAGHKKQQEDPTFIAELINRA ENGRSDFVPNVNPLIPEENRPAHLFSRIAAAATSDPTADLPNFDVWYEVALEGQSRLS RLETETATTAQTPADGGDYSLPKETLELIHKLHRLEEVESVHALQAGPPPAVNPSDDP RSVNQGYLDAAPAGINARYAWGFPGGDGLGVNIVDMEQGWKLDHEDLQAAGITLISGY NVAYYSHGTAVLGEMFQVDNAIGGVGIVPKAKGRVISQHRSTGYNTAAAILDAVSNMA FGDILLLEAQENDPVGGQYYWPVSVADANFDAIRLASALGITVIEAACNGGYDLDAYV NLSGKYIFNRSSPDYKESGATMVGASSSAAPHYRLWYSNHGSRVDVYAWGENIDTTFT DDNSGTNNSYTDYFSGTSGASPIIVGAAAAVQGIANATLGYKFSPLQLRQILTTNGTP SSTPSTDRIGVMPNLRAIIDGRFINLAPDLYIRDYPADNGRVPSSGTVSNSPDIIIRQ TPVPNPQALFGSGSGNENNTSLSQPILAGRDHSIYIRLLNRGGSAAQNAKVTVYHAPA ATLITPNLWTLIGTVTLPSAVPTGRVLTVSPRLAWPASKVPNVGPGGYSFVAVATTDN DPAPVLPGTFPAFVEFVSRNNNVAWKSFNVVNPPPGGAGVSRLPVGIAGAFDAPRRFA VRGVGSLPVGSEVRLEVPGDLARRLGVVTPLGVEEKGVVVLPLHPFGRGEIGEGILPV GSVSRCELVVKVPGEGVKGEGQWEYEIVQEWEGVEVGRVTWRFEGGDKEDVQ QC762_506870 MALAIDWLLFTTPQPTAKRLKLGTETMLLKDDEEAQSKEISPPS PPPDHNWDRRFDVERLDSGKGATPEGPNKPSAICQRCVWMKAQGVWEKAEEDKLQKMI NNFLAESNKRYTCPCPGCSKYKQHERVNERAKADAKRQWTTIPSVYVSEDLVRRYGCR DCSLNEILLNNRRRDRLMADAQLSYLKRPSTIVTDCNTRPVDAHAPAQHPDPPVPATS KPFIDGFLDDLIAEVIGRNGEKKVYSILVGGRGERRPRNGVPVSSEVCARNQEHILEF ISQFPGVHKAIKARPVVFRPVLKSWLLYKLCPDQPLYAFQDWEETNREALEGEKARVN VESGYSAGPEVLAPTSDEVSKPAITSKPVKATTLVESLKSLLMPRIVPPPCRTLTSVR PPSPVLSPEPVASLKSIIPGPVVTVTPIIASTPVIALETVTSAPDNIPLTVTTSSSSV TATPVMNFTTVVTSTAVIAPEPAVTATSVTTPIPVTTPQPVTPLADIIPPPATNPSPF VIATPIAPPNPFPPTAPESRSPLGAFPTRRPDPFIPSRFKPAPPPVAEHTFTAPPPPP PPFTSLQRLTLLDYLTYQAQSNFSLSSALHTSLTNPDVMPPSQHIVFIYYTLHYLSYS LNQVRQTTSIAESTLAPFENRRYASGEVHILFNRLEALIRPYAQWAVLTIVKAAAMGS KFVYSSEDEARAREAWGIYQVWICGVGEAERELREVFFERGEKPETAIPNEWVRFQGE LWRFGVWLEEGVGGRLLVPFPW QC762_506860 MSSVSHESAKAALEAAEARFIANNPLSKKQHELAVGALPGGNTR TLLHTSPFPLTMNQGKGAHVWDEDGHKYLDLVGELTAGLYGHSNSIIRETMLSTFDNV GLSLGSTTVQEHKHASLLCSRFKLKRVRMANTGTEANMHALAGARHYTSRRKIIVFSG GYHGAVFSFPNGKAAPNTVDKDDFVVVPRYNDIGLAVDTIRSTPDLAAVLVEPMQGAG GCIPGSKEFLHAIQDAAHEVGALFILDEVMTSRLAPHGLGFELGLKPDLVTMGKYLGG GLAFGAFGGREEVMAVYDPRVEGSLAHSGTFNNNTLVTSVGYEALKRVFTEEACVELN ERGDRLRERLKQVTKGTKMMFSGRGSLIGVHFVDEAVEVFTCGEDIQGKERRDLMDLF WFEMLEAGYWTTRRGFVALILETEEKELEGFVEAVGNFAERHRDIMAL QC762_506850 MWLINCQNYQLEQNDIDGPNGIPYCILSHTWGDDEVTFQDMQNL YDIAVHKKGFEKIKGMCELTLEYGHSHAWVDTCCIDKTSSAELTESINSMFHWYQKAA LCVVYLEDFALTDGQLTPTKADLQPCRWFTRGWTLQELVAPRDIVFHDCNWTQCGSKV QLLNILHCITSIDITILRSSDKLNQVPVAQKMSWAAKRNTTRIEDMAYCLLGIFGIHM PLIYGEREKAFLRLQEHIAQKTNDMSLFAWQHSKTEFGLRHSGILARHPSWFAGASDI KQWLDPVIPTPSWTITNTGLELHTALDSSRGETGHRLYLHCTSGHVDDKDGDPPVFTI WLRKIKSSYVRYQPSWLSLTPQSQMRFGKPSLVRIATSLTPAEVIEVNSLYLYHSELL YPTIKIQWECIEAYSHGFSVSHSYYPEHLWDIRRECFLASSSPRFVGVVEITMSAPIG DSTWEATCYVVCGLRRVDSRAAEGTDTLCPWAIVLQHRKEDDTIEGLDSGYTRDDLVN PYRLSTLGITFRSWLAGNDPPSLAMNQVRYGDKGHLTISASVLAANHHRQLGDTIVIT VTPVLSSPGWPHMRSRAEH QC762_506840 MTSCPRMQQPQTTYTGSLLCLPNETLLQIGGHLDVVSAASFSLA STHLYKLSLGYPSSEWSTTASEARHETHDWDCKPINEDLGIIPQACNNVNRWKFLLLL EKDLKTTHTACIYCRVLHRLLPQPSLYKTACPWMALGPNYWNIGKLTFDDCYHALNDP DRPISSLRTSKALGTQQFQVFLAWPIRSTSSKTITLPATKTAYHLPSLSFDSDWQPHP LSNSSPDSPYRHVFVKASLSCALVELPSSTNSIYSSFKHPNLLTYSTQRVYLPPEAFI PNPKPTSPDTTPDGSSSNQPPTRHYLGFQTCRHQPSYHPETTNPLLNQAPYNGGQPRD ILEESLNIFYNNEMRCWRPPLKSKSSSPPPPGTNSNPNTPLQPETSVYRPQVCHLCQT RYRAAVYTWPTDPSLPAAQEIVVHVWQNLGSFQEKHGHMKGNFPQSWFAPVGGKMEQG EYTRDARPAFLMGWDARLGLGYWGEYEICDHDWAVLYFGDRWNDGVWREFWWCGGAIA RGDTQDGGWKVVEGDWSRWADLPGRGEEGGKGESGRGWLGWWG QC762_506830 MSPQTPHEPIAIVGTACRFPGPSTSPSKLWSLLASPTDIQTAIP PSRFNTEAFHHPVGSHHGTTNVRHAYLLTQDLSHFDASFFNISPNEADSIDPQQRLLM ETVYEALESGGHSIEALRGSDTSVYVGCMGVDWNDTLLRDVNQVPTYFATGTNRAIIG NRLSFFFDWRGPSMTIDTACSSSLVAVHQAVMSLRSSESRVAVAAGGQVILNPADGDY IEGIIRETGANQDGHSQGLTVPSSEAQASLIRKTYRKAGLDPENNPADRPQFFEAHGT GTKAGDPKEAAAIYECFGQHVKAEETPLYVGSVKTVIGHTEGAAGLAGFMKGLKSIQA GQIPPNLLFENLNPAIEQYYKGLTVPTSLAPWPQLPEGVPRRVSVNSFGFGGSNAHAI IEQYIPTTTIPEPENQSPVFTPFVFSAASETSLVAHLEAYAEHLKTRSDITARDLVWT LQSRRSHLPIKAAFSARSVEQLVGKLDDKLADLKRAAGTTVGVRSATAKSTYPTKILG VFTGQGAQWAAMGGELIKSSEFVRKRLGDLESALDTLPAADRPVWRLVDELLADSTTS RIGEAELSQPLCTAIQIVLVDMLREADINFSAVVGHSSGEIGAAYAAGYLSDVDAIRV AYYRGQYARLAGNEATGQQGAMLAVGTSLEDAKDLCNLRAFSGRLAVAAHNSSASVTL SGDADAIILAKKVFDEEKKFARLLKVDTAYHSHHMLPCGDPYIASLQQAGVRVKRPTC AWFSSVIASDKPLEPTEALQDVYWRDNMANTVLFADAVKNAIASDPQIGLAIEVGPHP ALKGPATQNIGDIRPAPISYTGVLSRGKCDIESFSDALGFVWTQLGAHAVDFASYEKL ISPSTRQPELVVDLPSYQWNHTRSHWQESRRSKKIRSRTAAPFHEILGIPCPDSTDRE KRWTNILKVSEISWLDGHQLQGQTVFPAAGYVAMSIEAARTLAMEQPVSFFELNDLAI PRAITFEEDVNSSVETLVTLTNITTKGNVTSASFAVYSSSSAESELELMASGSLTITF GNSSPATLVSSPLAETNMSTVDADRFYTSLSKLGYGYSGSFRGMSSLKRSLNRASTLV STYSYTSQDLTPYLVHPTMLDVAFQASMLAYSAPGDERLWSLHVPTAIRSIRVNPALC VSPLPEHVPVHATLEETNEFSASIDVFNNPANDGGAMIQVDGLTIKPFAPATEADDHR LFSYTKWNVASPQGDSITKGIEPTQDEVELAAVCERVSFYYLRHWKEELSDEEWANGQ AHHASLRDFMNYVLGEVEKGRHPCVKKEWSNDSDQEVKDLIKRYSHTIDIRLLTAVGE NIPAAVRGETTILEHMLVDNMLDDFYKKGLGFARYNSFLASMMEQMVHRYPHAKILEI GAGTGGATKSVLEKIGDKMSSYTYTDVSVGFFDKAAELFRSYSDKMTFKVLDVEKQPS SQGYEPHSYDIVVASNVLHATASLQKTLTHVRQLLKPGGYLMLLELTDNGPIRCGNIM GGLPGWWIGAEIDGRRYAPTITPAEWNIYLRKAGFAGVDACTPKINVLPWPFSIMAAQ AVDDKVQFLRRPLARSKTSVKLDSLVILGTGTIETSDLAEELEELLSPFANQTTVLTG LPTEAQAREIDPMSTIINLADLDFPIFKQMTTDRMEGLQRLFELAKHILWVTKGAQAD EPYHEASVAFSRAISHETRHISLNHLDLSDLGINTGRAIAEHLLRQCALDEWEDEKIM WSKEPEVFLDNGLLKVPRLVANVAQNSRLNSSRRNITKALSLAESKSITVAVSADGVP ALVEEVRPVTKETRQCVRVEASSLTAINVLIGSFLFVGLGKHTVTKESVMTLALSNSI EVAPVATVSVPPTLSSSSGLVRVVSELIAASLLESIASGSSVLVHGSNKDRYLIAALS SQAQAKNIRITCSYDAEEDEPIPQGLALSGRSPRHIIRRSLLPAKPTHFLNLTSAGGD LSQTIGQVLTSIGPYKRIEASEISRFESSLSSTSREIAAAKLQAAVVTVTSIADDASD LVAPVTQITEAGASLLNHPTAVVNWAVNGTVKVGVKPLDATQLFGKNKSYLLVGLSGQ IGQSVAEWMVKNGAGCVCLTSRSPKVDPKWLEAVQSTGAIVKIYAMDVTDKASLEKVV ADIRSTCPPIAGVANGAMVLHDSLFANMPLETMQKVLGPKIDGSNHLDDIFYNEDLDF FVLLSSSACVIGNSGQSNYAASNGYLNSLARQRRKRGLAASALDIGRVAGLGYVETAG QAVMDQLERFGLMAIAESEFHQMFAEAVQAGYPKPDDKDGIPDAVVTTGIRTIRDDED IQGPWFENPRFSHCIVEAAKGQSDGQDENKKTSLPVTVQLAGASTKDEALEILQECFS SKLRVILQINDQAIDLEIPVVELGVDSLVAVEVRSWFLKELKVDIPVLKVVGGSSVAE LCQRALDKLPEEMLSGIGKAGSESKPKPAAMKTLPKPEPKVESAGASEYDSTAPSMVT PADVTTAPGSSTQLSSRSASSENLAADAAKQAPVAAAPPVPLKFVKSEQISFGQSRFW FLRLLVDDPTTFNVAFFYRVTGALRVGSLERAIRVVTARHEALRTCFVADQTEADQAY QKVIASSPLRLEHKQISCEEDVAIEYAKLKAHEFDLAAGESMKLVLLSKSPEDHFLLI NYHHIVMDGVSFQVFLSDLEKAYNNQSLGKAPRQFPDFSASQRQAFESGDMAADLDHW RGIFPEGQQPPVLPLLPMARTSSRLSMQNFDIHQVGCHLEPALAARIKAVSKAQRSTP FHFYLAAFKTMLFLFTEADDLTIGIADANRTDSDVMASIGFFLNLLTLRFRRQPSQKF SDAINEARNTTYSSLAHSRLPFDVLLKELNIARSSAHSPFFQAFFDYRQGAQEKHAWG NCQFEVQELHPGRTAYDITLDVTDSATDALVMFRAQKSLYDQTAANLLMETYVHLLEV LSADVSLSLQSTPLFGEKQVEVAVSVGRGPAMVSDWPGTLAHRIDQVAAENPDKTALK DGHGNVLTYSEMINRVEAIGEALQGAGVSQGSRVPVFQDAASDWVCSMLAIFRVGAIY VPFDLRNPLPRLATVAVDCEPTAILADKTTIDRVPQLNVPTATAINVSGLPLNASKPV PNVADPNTPAAILYTSGSTGLPKGIIVTHAGLRNEIEGYTKMWKLGTQHTLQQSAFTF NHSSDQIYTGLVNGGSVYVVPWATRGDPLGITEIIKSESITYTKATPSEYSLWMQFGN ENLRDASCWRFAFGGGEPLVSAVTKEFAALELSELKFFNSYGPTEISISSHKMEMPIH NSQAIEAMGRIPCGYSLPNYYTYIVDQQLRPVPVGMPGEVCIGGAGVSLGYLKNDELT GKHFVANPFATKSDVASGWTRMYRTGDIGHLQTDGAMVFHNRTDGQIKIRGLRIELAD IENNIVAASDGALKEAVVTLREDNPNFLIAHVVFASQSNVADKEAFLQHLLGHLQIPQ YMVPVAAIPLDKLPLTNHSKVDRKALKTIALPQRTSTASQEEDTTEMTETMLQLRRVW QDVLGHNNDNSLTFDIAPSTNFFLVGGNSLLIIRLQSRIRQSFNVVIKLVDLLGSSTL SAMARKIEETPSVTTIDWDTETTPPTIPSFLSSIPRTPVSTSPKTILLTGGTGFLART LLPLLSSSPSISQIHVISIRNSKPLPFTSSKIIPHPGDLSSPMLGLSESDFTTLASKV DAVIHLGAVRSFWDNYHVLRPSNVHPTKELVKLAGPRQIPIHYISTVGVLPAGVERET SAKYHLPAGDGSNGYVASRWASEQILERSGLPVRVYRFLPATTGEDTERKVLEEFGRF VKLSGKVPDFGGWEGKIDLIEAGKVAGWLCEEVEGGVEGVGYRHWRSEVELTVKELRE YIERNLAQPREKYDTMAGLGWIGLIKKLGFGYFFSGQNASVGREKSRFESRR QC762_506820 MAPSSNTSPAPLPRRLSCDRCHYQKLRCTRTGDSQTSTCNRCIR QNAQCVYSSSLPKGRPSMYRLANERRPPASPKTASSTTSFASSDVPPAAKTDGAEIAE NSMQISESSTANDDIDQLLAGTIGPSWMHPMEWNDMQIDTCSGDEFLNLLHHNPPTPP SACFTGSQTPMFELFESATTIAKSDPDVGIAQLSQLSTRLYPIHRRSCSLAETAGSSG QMSPDMGQQHKTQALIDDNAFIVVAKWLVQGVSTNMDILFRAGDHRLHNPNINPALQS EPPTTGETLNDLFAASHHLLEILRLLQSNVTGGNSNDMDVAAAPAGATDFWRSITPQS TDSNDGTAGFGEGSKPVPTTSAPSFSHGRPSSSSEYSSTVVRHLVIACHTLLLNIYIA VLIALQHDVDLRNSSLPAEAAAFADMRLVLVVQLCSYLIKRQHQAVDVYLSFPNTLTQ SVEPNSPSSNTASGNGEVRSDLELEVQQRLSKLRQTLRI QC762_506810 MVQISSFLVALGLLQAGLGAPQPDAKGVQGRQGYYFQNWSEGGS NIRCSNGQGGSFSANWNSRGGFVCGKGWSGGGARTIKYSGTYNATGPGYLAVYGWTRN PLIEYYILESYADLAPNEPWTSKGNFTIDEGTFEVFTSTRVNKPSIEGTRTFQQYWSV RSEKRVGGTVTTQKHFDEWAKRGMRLGRHDYVVMAVEGYTATGGSGSAGSASITLG QC762_506800 MHVLIVGGGLGGLSLAQTLRKQGISFEVFERDESADSRFQGWAI GIHSIIGDLKASFPDDMPDMKQATDHLQPIDLPCQIGLYYPGRQGRVGVQDTPENPII RAERLRLRRWLSTNIDIQWNKHVKSISHNDQGVQVFFQDGSSAKGDILVGADGINSVV REQLLQTPNSALLKTVPLAAIIGEVTLHGDAFKRQLELGHSAYIYVAPDLGCWMFGGL HHALPDGVSGKHYWMLMKPDPTVADPNHWLQTATQQEKLDYALALVAKLPPKFREIYE ATPASGIKQESHVWRDLELESLPAGRVVLLGDAAHAMTPFRGEGGYNALIDSMKLAKI LAGVDGSDIDAIKKAVEEYNKEMLERGVEAVRASRGEQSAQKTKSANAKVMSAGQEAR VLPEREIVLTARG QC762_506790 MPSADLILPLIDLAPYLSPDATPSSKATVIAQVRAAVAEFGFFQ VINHGIPLASQHALIEAIRTLMRIPKEDKLAMSFLKNPCRRGYEGSGDTFRTGDKMHD AKEAFYIGRPSEKIEPPGFHGPNIWPSPELVPEAEFRDPVWSYYQETNRLGREIWQLL IQGLGHPGELLSQFTKKPVVMMKMIRYPPFSSTLPGQFGVGAHTDFGGVTVLLQEAGR NGLEVEHKGEWIPVPALENVLVINAGDMIAGWSGGVYQSAKHRVINKGEEERISCATF WHGDLDATNPFIDGKGEGAAGDETVADLLVKRFRSQFSLMKGKKTEEVVRGEVFAH QC762_506780 MPSLNAELSLPRTQTAIIAQGPGKLAIQHDVAVPTLAPDMAIGL FTLVASSPIPTMLDYSPAQGAIHGYDFAGTIVALGKDAPAHLSVGDRVAGMVFGGNSN VKTIGGFSQYVGAMADLLLRLPDDMSFEEGASLGTGVATATLSLFDRLRVPATLDDLR RGEPKQGEFVLVAGGSTASGTRAIQLLKNAGLRPIASCSPSNFALVERFGAEKVFDYH SPTCAQEIREYTRNELEYALDCVSQADTTKLCFESIGRAGGRYVSLEPFRDTVAQTRA LTIEPSWVMVLSIFGIKVGLEGEYGREATPEDRRFGAQAFAAVQSLLDGGKIQAHPIK VMPGGWEGVMKGVDIIRSQSLSGQKMVYSVV QC762_506770 MAATEILTCPVAVDKEANLALCPALYPGQALDTPKNPQKIQEAE IIDHSKLLKIPSPKHGHYFGLLGHAPDLDPDLPVKSFWKLMDQYGEIFQLDLGMAYPR VFVGSRELVNEMADDERFSKFTHRLHKEMRPVFGDGLFSAESTDKAWWKAHRLLVPIF GSLGLSKMFDDMQDLSAQLVMKWDRFGPDHEIECIDDMARLAFDTVGLCAFGYRFNEF YTADHHPFMTQLKEAIVESGRRANRPEILNQFYYKEEQHRQENIAKMKELCKKIIQDR IDNPKSEANDLLNLMIHGVDRETGEKLTRENIEYQIPTFLGAGYETTSATLSFIYYLL CTYPETMAKAQQEVDEVVGDKVLTYEMLPKLKYLDACIKEALRIQHPSSLLTRFAVKD TVLGGKYFVRKGQMVSGVWRHFHRDPVVWGADSDEFKPERMLDRNFQALPPNSWKPFG DGQRACIGRGFAEQEILINVAMVLQRFDVEKADPNYILELKGQMALKCIDFKIRAKRR SGKTSLSGIPGGGSQPKKAATKTRQNVTPQTDSNTPKKSLTVLFGGNMGTAESLMQSL SRIAPDFGLAVDDVRTLDSATDSLPTDRPCIIITPSYDGRPPDNAKKFVKWLEQLSSN GTKLSGVKYAVFALGNSDWVNTFYKIPKLIDDTLESLGAERLVETGYGNVKQDLVGPW ETWEEELCLALSGVSADKAHKAQAGVEVSIERHNLKTLPSVLGGDQMGVGTIAAIRQL ADTLVRPAKYHVDVRLPPGCHYRAGDYLVVQGRNSTESVHRTMARFSLSPADTMTVQS SKKDFLPSQPMAIEHFLRQRVELAAPITKRQLLTLSLHAEDSSPEKAHLLNLTQDSAY DDLLTNRHSVLDVLSTIPTLALPFGVFIDLLPPLAPRVYSISSSPLSQSSGTLQSGLV ASITFDLFQSPALSGHGTFNGVASSYLSSRKIGDEISCLVRPTTLPFQLPKDISKPIV MVAAGSGIAPMRGLLQERAELMKQGGEFGEAVLFFGCRDEEKDYLYKEELERWERGGV VRVVPCFSRPGGKKGRYVTDALWEERDRMWEVVEKGGRVFTCGSAARLGRSAGEVWRR IWEEKSGQGGEREVEEWLEGIKGDGRYVCDVY QC762_506760 MGCKSSIHCLTNTAFRCRRHPPTLQVLCLCTGHTSHLMATSSPR TTNVWEVVDFVGTHHDTYAAISPADADLSGKSVLITGASRGIGMATGIRFAVAGCSKI ALAARSSLRQAEQEIKAAAVAAGREEPLVLTLNMDVTVEESVTEAVDKVSKAFGGSLD VLIANAGYLPEWRPVVESDPTEWWKTWEINIKGTYLCAKSFIPLLLESSIKTFITVSS AGAHALFYGASAYQTTKFATLRFTEFIDQEYHDKGLIAVAIHPGAVKTELALNMPEEH HTILQDTPELPADAMVWLAKERREWLAGRFFNCCWDVDELENRKDEITSRDLLKFRLT I QC762_0083780 MLLGLTPTGVALWRTFETRRSSAEWYLSRYYEYLNVLEPSEPIE DLGGFPFLMTSCTSISYTSAVHVDAAIDAAVSGTHQSQHLTGLRVCADRVARDVFMMQ KILAYIQEVRSSSNAPKQLAIPGIAAAGSLTNIAVPAWLFPAEIAIWVALLSIGVAVL TGIVGYALWPRDLNKHYESKQARIQLLRRAVEEQYDVVEINKQQTLLRPKTFAHLKSD LMYHWPKEDEPES QC762_506755 MSTSTVITDFLMQVWRYTPRGWNYKDNEGTAQFLAQNCDLKGWT VHPASLTSIHDQDSFVPLEVNFRFKGSAVKGARRVYDHLADLRELFEAVLPSKIEEFF LAKQQNSTAHHMVLVPVGKISPEVVHKNTRIRILRSLEVRTSTVVERNLITPIDMCNL ALEVVRGSAIGADLATMVRTLPAKWMMGSSMVQEFDKLRKSIQMNQPEVNGPLKTVED VTNAWNKAEPCLDLMSTIIRHIEDCLDLSRIPRQKNIPPQQLYETMLGLRNATIFFSA YEDQMLSIAAATPTILRPPNGAKTVVGATATAVMVAAALMVYTFFTGGTGLIIAGGAS GAILGGGATAGIGAWKRNKHASLCESFSRSIINLGKALTDANICLAATYSSQVLQFPL HSSHCASGQRDEILRQLGVDTRQLKREAYQRPALEKNLETVLNMYNEFLVARAQVQKE ARVRTRQGLTPNSPAQPPQGLQIRSAPRPQNPMPVVSKQRAIGSISTPSARLNPRQPQ PRGASPVAVNQGAPGQQRQPQQRKQPVSLPAAPSQQASGSQPRPLPIQLKNDNPSPQS RPSKNAGSSRQSQQSKTGPLTGQSRANPAGLARSAPKMKSSTTG QC762_0083800 MESDSHVEVVERSWLLSGQENPALPSQQGGATGQPESIGVTPTG RMIWLNFDAHRHSAEHYMKRYYEHHSRLDLNQPITDLEAALDAAVPGSEHSEHLFGLK VCLDKVIHDVYLMEELLTYVQEVRKETDRPKQSGIPGVAAAGSIATITASAALLPAKI ATWVALSSVGLAVSTVMVGWAIWPRDINRHYETLQDQIQAFRLAVERFDQAEINKQQQ RVLRGNTYAHLRSDLMFHWPNNDNVYT QC762_506750 MEQEFAELKKQVETLQKELSRAEVRKTHFKYGYYLDKCLYNEVA NTQKVVDMFSDHPDAYVEFLGGRYRGKAGIARLYQGRFQQSFVKGRNGPVEGWLLDHL MMQEIVDVDSTGKHAWCRMRALMQAGTHESIEEYYPRGHRQWWEGGLYENEYIKEDGV WKLFRYRYFPFWHAEHEKGWSHTKKEYIPFPTSKFPENPDGPDELVEQRSLWPDTRVV PFHYPNPVTGKRVKPDDLRAPRYGEPVETSEPALVLDLPKDQKREGAEMREPKVGEKV LPELVQFKAE QC762_506740 MAHIFHLAPHIDTSPNYSNNELTSPDKVAFPKTDAFRSMNAPSR FEGSVFNLEVTGTIPPDISGTFYRVQPDHRFPPLYEDDIHFNGDGSVTAIRIANGHAD FQQKYVKTDRYKLETAARRSLFGKYRNPYTDNESVKGVIRTASNTNIVFWRGMLLAMK EDGPPFAMDPDTLETLGRYDFEGQILSPTFCAHPKFDPDTGEMVCFAYECGGDGADCG VDVAVWTVDKDGKKTQEGWYKAPFAGMIHDAGLTENYLVLALTPIKMNLERLKQGKNK FAWDPEEDQWYAVVPRRGTGDPGEITWFRGDNAFHGHVAGAYELASGEIVFDLTVADG NVFFFFPPDKNVTPSADSIAKRNKLSSPTTRWIFDPKAKKSAIRTPEAGDSDVWVADE RVKPAVVWLTNGEFSRIDDRYVTKPYRHFWQAVVDPTRPYDFAKLGPPAGGLFNSLGH YTWGPEHYHTGSTTSSVTNGETKKEKAGLEDVYFPGPTMTFQEPTFIPKEEGAEGEGY LIALLNHLDVLRNDVLIFDAQNLSQGPLAVIHLPLKLKLGLHGNWVDNKDIEEWKARR EATGDVGPVKVASEPLPWQKRFQSGQANGQERSF QC762_506735 MPQMMRDVDDEMSEQAAESKLLHETGKRSAGIKPGLGGNKDSGV AGDIVKVEISDVSISANAINCRSIATNKGDAGNDGKVTGQELKAQGHSRQVQVGNWEA QKGPG QC762_506720 MTSSPQQPLTFLITGCSSGFGLLLSRLVLDQGHNLIATSRSPSR TREVVEEILSHPGAGTRQWLELDVNDPVRCHELINDLETSNGTAIDVLVNNAGFCILG PAELLEEDELREMMDTMYFGPARLARLVLPFMRQRKKGVIVNMSSGAGLEGRESMAGY AAAKAALDGFSKVLATEVAPLGVRVLTVQLGAFDHTSMGDNARATRQYRQGDVPTEYV GSVADQITKTLAGGKLATVADGDSKKAAKAVYDVIVGEGVGFGKEKERMLPLGRDLDK RLREVIGRYQHALDVFGDICNKVHKD QC762_506710 MKQGVALLSAFGWLQLTSAGCCRSNKCLQDITNPLFDGPQDCLS LLAVTITPEASTVTETVTEVPTMSWVETVVFTESVTSILVTETELQTVGITTTADTET VVVTVTQTIVATDTSLQTLTTTVAPASTRIYARAAETELSPSMPSYATANCPSWEKYV KACKCAGVTATTITAEGPSATTLTSTFTDTAAIISVPTTISITTTVVESAVTTVTDTE TSTALVTDTVSSTLTVDTPSTVTITQTVVETVSPQASCKPGPQVFKAFALEAGTTPVY YIYANLLNGLTGGINWQGSSSSTAASVQNKYIWSIDQNGYLGLAYNVPPYTYSYKAYM STASPGSNWPQVNIATSVDAQIAGGAAISKIKGCINSLTGELTLSVAGRKNILWCGGQ MWMSAGLGEDINRGTCIQMFPKVISL QC762_0083860 MHWKALALAAAVNGQGLNGLNHLRFGCSQLTVERLDPLVNPGEF PTPHMHQIIGGNAFNASMPYNTDIANLATCTTCGPADDFSNYWTANVYFRARNGSYKR VPQAPNRYLCLMLSGGWCHIN QC762_0083870 MFVGDVNRREPNRYKMQSCFRCYSGPNFGGDDMAPCADSRLDFE GFPTGPCLGGIRSNVLYPTCWDGKNLDTPNHKDHVAYPTSGPSNFLSTGNCPASHPVK IPQLMLEIVWDTTKFNNKAEWPADGSQPFVLSTGDKTGYGQHGDYVFGWKGDALQRAM DANGCFSATCGNQKSQDIATANKCQIKKTVREDVEGWFNSLPGSPMAA QC762_506690 MLLWTTAFLASLVAAQAYPPDVVDQLAAASLTKVKEWLAKNPQG NCTLETAVRRKEWMDLTLAQRKEYTNAVLCLMSKPALTSSAAPGAKSRFDDYIVVHVQ QTPRNHGSTFFLPWHRYYVWHYEQALRNECGYKGYQPYWNWDRYHKDPANSPLFDGSE GSMGGNGAKANYNGIMIPGAPRPYDRIPPADGGGCVTTGPFKNMTVNLGPIAPILQLT RNPRADGLGYNPRCLRRDINKNSAAVTSAKDVYDVITKNNDAHWFQTVMEGQFPQGKW GIHAGGHYTVGGDPGGDFYTSPGDPAFWLHHTMIDRVWWIWQIQNLEKRLKEVSHTRT MSNFPPSANGTLDDLSGLGVLAPDVEVRELMSTMGGLMGKFCYIYE QC762_506680 MASLAGRLLGLASLASAFVSRDGTGRLPAMGWNSWNEYECNISE GVFITVARQLVDLGLKDLGYEYVNIDDCWSDKELRRDATTGELIPDAEKFPRGIVKVA EEVHSLGLKLGIYSDAGTDTCGGYAGSLGYEELDAATFSKWGIDSEGQDLKYDNCNVP PEWADEYEYIPEEPANNAPPGYDWGTSNTAKRYRVMHDALQRQNRTIQYSLCAWGHAH VERWGNSTGHSWRMWGDIFPAWKGKEKWSWGLMPIVNQASLLWNYTDFGSHNDWDMLE VGNGDLTIEENRSHFALWCALKSALIVGTPLDTLALRKPILDILSNKELIDFNQDPVY GASAMPYKWGNGRPANTSDRDHPAAFWVGTSVKGIHVFLLNTHDTAVNMRAVFAEIPP LKSGGKGYLVHDMWTGEDLGIFRKYFELEVKAHDTAALTITKADGKHPNPRWSPK QC762_0083900 MPFKMLSFLSLVADFNNGHKDQPCSSPDSSGLEGDQVQSLVFEQ NRHEEEHSKSTTTLDTGSTRSSIALSSTVASSLAIFSPSSTASFSSTASLPSTASSSS TLLSSSTELLTDQSPLTIISSITHSGLSPSTLTESSTQTNNTVTQSTIETSTSTPTGI SHYNQSTTQPESFTYTGSSLLNTSTEESIATSSNAHSTTLTRNLEVTEESKPFAGGSS GRTVQFTQTMERSDSPPKGNETKNPAESHFLVSQTRISTTKTSTTRSSTTKTSTIRFT NSLSKQTQYSSALSATQLRQSVESHSSQDHNDKTVSGTSLPTTPPSMERLSDTSPVSV TETQFLAVLSTEHDGITGSLIMETQPSHSLASLTQIYTLSIIQKTTTIQPTESIEDST INTASKPYKEAIGPPTSTSIYTNYGTSAALAISITTTDLPSPSSTTHTPAVTVSSVVG ETPTLPFLHPISLADYILAAYVPVMITLPLAALAQILCLEVKTLAPFHALSGPTGAGA IDSLCLSTGGFPGIYRSICLCFRRHGRQPLLFLVDLLVWTTAIIVSLSSEAFGIKLYG KCKHNDFRGCYMGIAVFDTQSRIIQGLLAFALGLILFIMYRLRNWQTGIDAPHGRSIA AISMLVTEPRTRRVFQHLKPNPHTGRLSNREMTRQLEGYIFKLAPIASHLIGYKHLVF SRPTQALRRAKPQKTPNRRRSKFMAFFDQLPQGFLPPFFIILGIFGFIILIICYETTT GDTSFEHFMNSQGFGVKLLFTGLGVVISLFWDDYFTQVALKEPDRQFNRWPRPSKASS VFLLSPPTTAFSALTPATLHRRQFFLMWVAFVTVLSKITPLLLSNIPFSPWLTWETHR VCTWTAVTILTIMVLTLGYGLIFVKYSRWPSHPGRLGGIIYYLLTMPSNNTGRTPILR FIDDGMAPGSHREDIELGNIQRR QC762_506650 MLPPPPNPNGPNGFFGLHSSWSSVRSPFEHGVVGRFEGEVTDLV VFGEIPKGLNGTFYRIMVDPFYPLQEGNAPIEGDGNVCALRIKDGRGDLKIRYVDTER LRLERQANKRLFGLYRNPFTHHPCVRAAVDSTANTNLVYWAGKLLALKESAQPYQVHP DTLETIIYDPFNSTGLTFSAHPKVDPYTRELVVFGYEAKGLGTDDVVIYALDEQWIKS PWPAFIHDCALTANFIILVLWPYKTDVDHMKKGGQHWIYSKDLPATFVVVPRHPNDLP TGWKRGETRVYHSDHAVLLHTAGSWEETAGNGDVSLYFESSRIRYNLFPVFGPPTDKP FGDFQADYVRWEIDLTKPTNTRVVDPAVILDMPGGMARVDERFLTKPYDKFFCPVIPP GKQRPIPPILPIGLHAYVMLDKPSGKVNMFDPGQGCTVEEPIFVPRTKDAPEGDGWVL GMIQRMDVNRSDLVVLDTRDFGNPVAVVQLPFKIKGQIHGNWVDALPGEKSITRILEP VEKIMGKGAL QC762_506640 MPHCHVLPESSNLLSSPQTAVIMDHLIQRPLFPTPDKLDLVIPC LLASATGFLSHTLYFIRGFHDTSALRIILVHFTTFLCLTGYSITQLGVFPGLITSTAI ALSYLTTLFTSIITYRLFFHPLRHIPGPFLAKITKLYGPYTARNGQMHLEQTKLFKKY GNFVRIAPNEVFMLSVEGIQKIHARDSGCRKLNAGIYDVIHFKGAHNLNSVLTREEHG PRRKIWERAFTTKALAIHEPKTREVCHRWLNKIASLNGQPINTSLFSLLIPFDHMGKV GFSYEFRSIEAGEENRMLHLLESLFGQFGRTGELCWPLSIAKDLNLGKESAEFDRLTM EMADRRAAEEDSNKGDILQHFLDDMRSEKPIAFFNKNIFYSDSALVLIGATDTIGVVL SYLFYHLARHRVYQDLLHSHIKKVYGETIPEEFTDRDLSKIPLLDALINETMRLDNPV ANNGPRLTPPEGITVDGIHIPGGVAVRVPGYALHRSEEFYLAPEEFKPERWLDQAKFV KDREAFIPWLVGPNNCVGKRMGMAVVRLVLAYTVYSYTWNSAPGEDGKRIYSESKDNL ILKAGTFNAVFTPREPRGLSYVPRQ QC762_506620 MDFLIDAELSNSTILGLAAASFVIWYVVTAFTAWYRLRHLPGPT LAKFSFLWQAHTIVTQQVSSRYINLREYGPLVVVAPGTVVTNDLDVLRKISAARSTYK RSVWYAGAKFTHDTDSMGTQVDTAAHDILKAKTAGPYAGRETEGGLERAVDAQLVRLV DLIRRKYLSTPDELRSIDFAKLSRCFTMDVISGLVFGKPWGHLDEGEDVLGWIGTMDK LLPMMSVGLELPALRDIMIPKYGLLRWFGPKTSDKSGLGVVMKHVNETIRERFQNKDK PTKDMMGGFIRNGMTRSECEGEAILAVLAGNDTTASTIRSSMLYLMATPHAYARFKKE IKEAVEQGKVSSPITNEEAQKFPYTQAVMYETFRIGNAVTFGHYKVVPRGGDTLAGYY LPGGTNIGHNTLGLTHNKKIFGEDADIFRPERFLDCRADQKTGMMRALEIIWGGGRWT CAGKNVALIELNKTAFELMRHFDFQVVNVHNPVKERAYISKLHDDMFVRISEADWSCI QC762_0083940 MFRRIRNRLLRRPVIEPEPPLLDDEEPAWAFIRNMIRQRVIIIA MRLGCDMNNVPNLVAAMQIADIFFDIVRLRANQLGYERPLWVPI QC762_0083950 MAVGGRVPWEQDELEARFGGLWSKGFLKSIIGVLCKKIGMTCVA DFRYTIPWFSPSLIRAYEKFSQNDYLLTVALLCDLKLSLRDELFWNAGAVGYDRYRFE EVIEESLDPQWDRECTYYGSFLDGTQVGVCKRVVKQAKVSSQNPL QC762_506600 MSTTLLPFLYQTRTLQRISRHAAPNPALRAFVHTTAATNLPLRP SSYMPPRRSSRPSRTGSYRRGPASGGPKRESIPFELPEDYERPPPRELNHLLTEAGDR STITPTERDAFKAIFEEIAAKQSPSSHQDPSLLKPTQQRWPKSDAALDLPAQPSASET IDIIMQDAADVEARNTRQLQHPYGKSHPMTQAANTNDWNKALLRFPPSLRDAARRALN ITEAEELKSPDGYSESAASRVGADPDMVLNPLGKSVQHEALRRAERARVEGMMQATKT DFELWDILEKEVFPMVARFGLDKLEPSVLKTKGRNGKKADTSPPAANVEENAPGNDLF PLHIYGPLYPRYLLAALRLFHQRFSHPSPLALNILPRVKELGPASYVLGASTPFYNEL VRILWYRYGNAEGVLNMFEEMRMAGMVFDADSLKVLNAISSWVRASETGKQGPFLKEL VSLPEWEYGMQARLRHWGNSMQDQRKYLASAI QC762_506590 MPPKKEPVISAFERKRLENIAANNAILSEISATADKIIPSKATP TKTKAKKSTPRKREPTKVAHQPATRRSTRLAGVDADNDTLKRKFEVEVEAAAEKAKAK KLRVNGDLQLGDISVEGRKWEGGVDGLGLLKGLSVRGAQPGVKTFDEDDVEETSDENL KELRLRMRNLKMYDKWPVADIKIVPQRIYSMGFHPTEDKPIIFAGDKEGAMGIFDASQ EPIKTEDDEDEESYSDPVISAFKTHARTITSFQFSSVDANAVYTSSYDSSIRKLDLDK GVSTQVFAPVDAGVELPISAMDIPSTDPNTIVFSTLNGQLGRHDIRTKPADAEIWHLV DPKIGGFSLHPLQPHLVAAASLDRTLKIWDLRKIQGTGDMRKPVLLGEHESRLSVSHA SWSSAGDIATSSYDDTIKIYSFPDAGSWKAGVELFDDQMEPVHKIAHNNQTGRWVTIL KPQWQKSPFDGIQKFAIGNMNRFVDIYAANGEQLAQLDGDGITAVPAVAHFHPTLEWV AGGNASGKLCLWM QC762_506580 MATPSQSTSSAVAVPIAPVEDTTAPSPAPTSSQSAALSKSQHLA HPPPSSAVRTPNAASHDERTVRRVSRKLQKKRHDDEHTPTMELPESLKQQGDHADSDE EVLRPQGYGGGMFMNMNQSIFGLIAAAGSQADFGDRFEGQSSDEDDNDVERENPMAMT IAGHKALHHKPSKSLSSHLAQSTVLRKHGATSNKAESKHRRKISESRLLRSVPGLSKL TSRAKSSLKPPKTQDAETEKPGEMDDKAEEASASQPASEVTPTIEITRTESRQTAPVM SRMLEARAQMAARPSFDLERPSGEQAHRPEAGETGPTELAKRLQEIFQFDTPEEVINE FPCWLLQNVLLQGYMYITAGHVAFYAYLPKKANEVTKSGYLAKCGKHNPKYNRYFFRL KGDVLSYYRDTQNLYFPSGQVDLRYGISAEITDKDKEGVNFTIVTHKRTYYFRADSSS SAKEWVKSLRKVIFKSHNDGDSVKISLPIANILDVEETQMLGFAETCKIRVIDNDETY AIDEYFFSFFSFSEEAIDVLKTLVEGSSSQTPGQNELDEPASEAQSKRTSTSGSREQV LKSLNTRGGKITQSIKTTLSPISPGQRSPSPRPCLDGPRTSFDGFRPFSRRSVDVSRD DIRGKSPRRSFSERRTSFHRRHLSESGTDHDMERQEGSDSYVQSMEDPSQASMSGLIV SGSSEAPSASQILRGSEVFHNPAIHRSASAPRARNEPAVPEAPGTVKASRPPSISAQN GETEGNQAMSTLQNTATVGTFPFKGAGALMGYLDRQSRRMSNLLATESMGYVEKVSGM WKGGKKHYDEPAGLRTDEEDAEDNPDERATHEARFREHFALPKTEKLQAAYYAHMMRV LPLYGKIYIGNRHFCFRSLLPGTRTKLVLPLKDIENVDKEKGFRFGYAGLVVVIRGHE ELFFEFNRAEIRDDCTITVLQNLEATRYVRDSGLLDSEDAENAQAAVAERDALREARN EEFPHHEVKLPHDAHCVSEAPTILFDDPKASILNFKPSKSMKITCLTIGSRGDVQPYI ALCKRLMKDGHRPRICTHAEFRDWIESHGIEFRPVGGDPSELMRLCIQNGTFTWAFLK EANSTMRGWLDDLLITAWEACKGSDLLIESPSAMAGIHIAEALGIPYFRAFTMPWTRT RAYPHAFIMPGQKLGGAYNYVTYTLFDNVFWQTTASQINRWRNVWLGLPNTTLDKLQI NKVPFLYNFSPFVVPPPIDFSDWIRVTGYWFLDEGDENKWQPSKELLDFIDKARADGK KLVYVGFGSIIVPDPAKMTQEVIDAVQKADVRCILSKGWSDRLPGSGDEKVPGPEEAK VEPQLPEEIFQIQSAPHDWLFKQIDAAAHHGGSGTTGASLRAGIPTIIRPFFGDQFFF GSRVEDLGVGICLKKWGANSFARALWEATHSERMIVKARVLGEQIRKENGVDTAVQCI YRDMEYATNLIRSKMGKNHARTGENVSMTEANLNNEDEEESWTFVGDSDTVEDLSSEA LMKTVADLRDLQAYHGDNADKTQGNTVVGGAVGAGVETVKGKGVS QC762_506570 MGKTKTIRLGNRLRYPITIVRLLKNPGDTVKKQDALMEYSFKWY KEVGDTIRGETWEEEQTTYADWSSPSDGTLNAWSIKEGQVINQDGPCVLIDEDCSHEI QFQGLCAICGKDMTEANWAAETRDTDRAPISMVHDQTNLTVSSTHAQKSERELQKRLL ESRKLSLVVDLDQTVIQACIDPTVGEWMKDPTNPNYDSVKNVKTFQLDDGPHAVVRKC WYYIKMRPGLEGFLKRISTMYELHVYTMGTRAYAQNVARVIDPEKKLFGNRVISRDEN GNMYSKSLQRLFPVSTNMVVIIDDRSDVWPHNRPNLVKVTPYEFFKGIGDINASFLPK RQDLLTSAPSTNGVKKAEKPADKNAKAVATGKDTDEVTKEQLEEQQSALEKQINERPL QLLQEKQDKEDEEAEKATGHSDDSASSRSSSPPPQRHKVLLDDDRELEFLEKHLTQLH KAYYASYDQKKSKRTMGEDVPDVGNLLNNLKAKVLRGHQIALSGVLPQNTDIYRSEIG QQITSFGARLRSTVSKEVTHLVVNTSQPGTAKLNAARRYPHIKVVGLEWLAQCFTEWT SVDETPYLYFKEDANNVGAARQAEESSDDNDEDMGGIETGNTVPKTPQQKRNKLQIQL PPRGDDQDDDDADDDEDGLLPDEVEEGQMSPIDGLKTFNWGSAEDELAEFLASGSDDD DDDEDMDEEDEEDEEDDEDFAPPDESESSSSSEESSASRKRSRSRSGSPATRKRKLED NVGDGEEDGNNDDEGEENSPAKRMRRMKSARGSSLRHQYEAAPDLPTPAVTGDEDGVE DKVEEEGQNFTDLDEEALAADLEAEFAADLEAEFNSA QC762_506560 MPQDMPPVGGYGAVQYKRNLPAHGLFRPRNLILASAGLMVYGWY QLVVGVREMNEMAREKMWARIHLIPMLQAECDRDLVRRHLADQAREKELLGENFKVYN SDRYVRPTFAAVPQHVTK QC762_506550 MDNVSFDINDALKHYMSDPAAIPTPEADSALVDCENDPESLSDN AIINGVLNPIVDAVAENPDAITRSSIFDSLQFLLKCAPISPVSSSLVPNNSFSSSMMA GTPPSKEPRPPDPGAPACRMKEPVSELFKLSRYTSYLSAHALSKIFDLITSGMATEAD VIHHDLESDEQELIAHHKMLLEMYGFLLQWTIAAVETKAAEKSSANMPARRGKPKGRK EVGKDGSWDSSTQLEIALNTMCKVLRLKLGRIFLTTSERDTFISLLTRPVYMILESEQ RVKNTSIRMHTFKVLCVAVKHHGHAYAAQISIVQNLTYFEHLSEPMAELLHILAEQYD YPQLADEILRELSNKEFNSNDNKGPKSVSTFMVKLSELAPRLIIKQVTLLAKQLDSES HTLRSALIEVFGNMLVYLSKSDERGENHKSQMNAFFDVLEERFLDINPYCRCRTMQVY LKICELEQKFPKRRQKAAELACQSLEDKSSHVRRNAIKLLGALIRTHPFTALHGAQLA RKDWQDRLDKVDAELDALKPPVDAPGLDGNANTTVDAGLLDDATQIDATQLDPSQKSP AEMTEEEKVAAIRKAQEEAATSEAIEKLTLTKRYYSEALKFIDVLHEATITVCQLLGS KNKSEVIEAMDFFEIGDAYNIEQNKVGIRRMLRLIWTKGNSDEGKGVQTHLIECYKRL FFEAPDSFSPNDAANYIARNMISLTFGATPAELTSLEQLLATMMKQGMIPEIVIAKLW QVYGVQKREISRKQRRGAIIVLGMLATASPEIVVGEMETMLRTGLGSHGRADLQLAKF TCVALRRINPSGRSAKESAIKFSRLSNDHAVLARLAAITEVSTESKEWYGVAEQAINA IYALSRHPDVLCSEIIRRKTKSVFSPQASRPTSRDESVPLSSASPPATQDGEEGDPTL LAPPTQAPPGSSQPPQSPSKKQNKDNTVALSQLLFIVGHVAIKQIVHLELCELDFKRR KQEKEKSAAAAKDASTLSAGTTTSTGRKAAGNKRKSAAAPAPEEDEGDELDLIGGTTE DDFTEAMAHIRERELLYDGRSLLAIFGPMVSEICANNTTYKDRNLQQAATLCLAKLMC VSSEYCEANLPLLITIMERSADATVRSNAVIALGDMAVCFNHLIDENTDFLYRRLADS DASVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDEDKRIADLARMFFTELSTKDNA VYNHFVDMFSLLSADQRIDEESFRRIVRFLLGFVEKDKHAKQLADKLAARLPRCDTER QWNDVAFALGLLQHKNEEIAKVVSEGFRVVKGAA QC762_506540 MAGTFVSFHLKHANWFCLLVGLLTVHIPSSCIVFDRSNWVNCGG LQLLATMATVAPPRRSREESFRIHDDVPSTGDTEMNEHDFQDDEVDDGETERGHEAEQ IEEQEQEEQEEQEEPESEYTESSDDDMAVDSNIQYDMDKLQDSFPGFRSKYRLIKRIG EGTFSTVYKAEDLAYDRYDNSWDFDRDSDKWTPPPLKSYSSGDHQPSRRRKPKYVAIK KIYVTSSPTRILNELELLHDLRDCENVCPLITAFRATDQVVAILPYFRHADFRDYFRK MTVPDIAIYLRSLFTALASVHRQHILHRDIKPTNFLYDPESRRGVLVDFGLAEREGSE CKPCLCHDDYQTRKARLANHNPKATVGGYPKQDTRPSRRANRAGTRGFRAPEVLFKCT EQTTKIDIWSVGVILLTILSKRFPFFNSADDVEAMIEIATIFGREKMKEAGKLHGCAF ETTIPTIGSGGFSFERIILWSTCRSDSEKTLPADEKLAVEFLKRCLDLDPRHRISAEE ALEHEFLQVGMLSSNERAGDDDEMDILQVRASIA QC762_506530 MAAPTSEEPIDFHHPYTPYPVQLEFMRTVYDVLERGNGQVGILE SPTGTGKSLSLICSAITWLRNHKRNGFDAGLDETRRQMVGEPEWMVETALRRKREELV GKWEEREERLERLRRKEKELEERGRERKRVRVEEGQMGGRKEVDEEEEFLVVVGGGED ERDEEGLSRETREMMVKVGLGGWKKEEEERDGDEGDGEGIKWQIYYTSRTHSQLTQFI SELRRPKFPPSLPVEILEKDGKEETKETVKEITLSSRQKLCINPTVARLGSVSAINDR CTELQQSKSKEKCAFMPNTENLKQAHQFRDTTLATVPDIEDMYRIGKQLQVCPYYASR TAIPGAEIITLPYPLLLQKNAREALGIELEGSVVIIDEAHNIMDAVANVYAADIRLSE LRRGRQMLGVYVKRFGKKLKGENRVMVAQIGKVVESLKDWLETQLQVKGDQGIADPNS LLKSRGADQINLYTLMKYIQDSKLAYKIESYVAHAEDSQDSSPKSSTPVLHTLVSFLA ALTNLSTEGRIFYEKLPGDNPDIKLSYLLLSPTHAFSSIASSARAVILAGGTMSPFED YKAHLFPELPGGKLTTLSCGHVIPETNLFVHTLASYKPGGLDTFEFSFGKRSDKTMIK NLGLMLLNICSVAPDGVVVFFPSYGYLDEVVGVWQQGEGEGGGKSIWERLEAKKPLFR DVSSLTGGVASSTDDILGQYSTAVDAPDRPHRGAVLFSVIGGRLSEGINFSDALCRLV LIVGLPYPNLHSPEWKARIEYLESTCVARGGSKEEAKVEAREFYENAAMRAVNQSIGR AVRHRGDWSGIVLVDRRYGMDRVRGKLPGWIRNGMKGEGMDNRGVAGLMGGLGAFFRG KA QC762_506510 MATYFESKPGFIGLPQNFLRAVGLGIFTKLVQRPEPPKLLIRKS RRVALARSAVHILPATISIILIYINLSGRFIGNELEGPQGKDSLKMALLQVAAKLQEL LVVGSMGTIIFHIIRQRLFSNDGIPLGLLVSGWSFSQLSYFYSGEFWSGVLSLFQPPS GRLRSLALVLLLLLSGILALMAGPAAAIIMIPRIMDLPVGGSVFWLNGTENDLWPSIL DGSYLADYDCSTPDKQLYDPACPSSNFLPLHQHYFNAPLKFETELIQLEMVDPKIRKA VYFTVRQGPGVIDTWFYTAHASTAAVQDALRDLHMKALLFLKYRVGHFGRHRPGSLER AVSKRYEVETKSSLTRTVCRPLPDVSFETVKTLMFPPVKLEEKFGDKADGYWEVDVEK PVREYLGRRRILIQEGDGTWRLNPEPNTIPSIIAIPVPLDQDENFKFGLLVARRQGES NVWWPATCTLDARWGDARSFFEFGDRSMRFHDFHRGKVSNLVQTTLETTNGDNMLSPY YNPPNDHTITPIALHLSWYDHLSPVIPAGFIPDHPDSPLRGTNRSTLEALLETIPAHN WSPQNDVVGIDTEGLELVISMTFVDGLSRCGIALNPNSGMLLGGTWEKGALEKGTRWE INNDKPDDVWRLFKLGEPIEVFAPPASLNLERSTRMVMRVTFTGYMMAADNWFDYLCV AAMMVHALAALIHTVWVVWHAETSEAWDSIPEMIGLAQRSPPPCEEEACLDNIGAGIA SVRNLGEVAWVEVHDDSGYGSQDGVRLRFGDGVRTRDPKWVPKAEERYS QC762_506500 MSSCTCHGSFPTPTQPPKYTDMQAIAAVVNPDTVDRGDSVDQQV ILFQSLPNGTLGFDQVQFNGDHGDLSKQNEDGVIGYYVNPSKMVPTLKFGSSLATVVL DDVVRTYGVFEGDSSVWLLSPIVMPLANATIPYKSIAAAGDGNKAGRLFWQEKRSGPD GTYFQLKARDLQSRDDGAVWVEGTKDSKEGTHLAAFYDGEDFWVVYQNTAEDDNSGED RDFIKAVALTGTTQGNIIPGSSDKINGRIARIAACRADNSDRVCVYFTDQYNKLHRSW AKCNQDSTVTFQKDVKDVKGLIINKKSGLSALASPLDKRNVIYAVTGTNNKISFNSDK WE QC762_506490 MSSEFPSTKIPPFLDSNMRLLHTTELKLYSFNEVGKDVPTYAIL SHTWGKEEVTFQDIHCNPDVASMAGYQKITASCRIARREGYQFIWIDTCCIDKSSSAE LSEAINSMYRWYEHSAMCFAFLEDVVYPYTHSYWVTKKRVREPDPPVETFEESFGNSR WFTRGWTLQELIAPPNLRFHDCNWRLIDTKDGLYSLISKITGIDEDVLARPGELQNAS VAQRMYWASRRETTRSEDLAYCLMGIFGIAMPLLYGEGGTKAFLRLQQEILNSTDDHS IFLWTLPPHEVVHNELRGLLAESPSWFSHAGRISQSQHSHEDDCPTLSRITNRGLFLE LPAVDLDKDGVGDVLLLPSCDIEPGIPSAIIVRKIDGTVNDEYARILVGVPMAIKSRR IAIWEDTVVGEVTHATVRMYSRLLIERRSIYKKSLYVSQRPQPQPWRVQGYWFTIWNE PSVFRIVAGCENLSIGYVYHQPVVVSQRSALSTSQWKHDRQSYSIFIDINHLYKHRNV GHHQRVISLAALDMEVWIKLKRFPTIGSPFSSGEADVGAGRILQLRLILGADFNYHNG LSLIPTITPAWSLELTNNQLRQEGPRVALIEPNSKEVSLRPVGLEDLVAYAEIKREER DLRMWYVVSFGIKRAGHA QC762_506480 MVGIYDSGPYEDPFAWAGSDLELALLAQQQQQHHHHHNQHLADQ LARSTPEDLTFPTGPVHHPQQHHDFQIPISPIGGIPKSAAAAVSLPPTDQAYLSLQDF ENTTMTDTGGIQPFSGDMDLDLDLDLVEALGIPPFPSSAEPQPSSMTADTLNIQLETA RTLISTLQASLSKITRERDQARMQLSTARNELYTARQVEKRLRVERDEAHTERKQLKG QLESLKKERAMGKMNEGRLRRERNEARMALVFRGVGILPHAGARGIRVSHQGGQTGLG AVGLGPGERDMDSMDEVGGSEGLREGVGFLGGTSTEESSPAGGEDGGEQAGAGLVQPD GVKEGS QC762_506470 MAQITRTGSPGEVSDILPRSSTNTYQYSPFSKDAEKSSIRLIRL LPGYPSSPVVVELVTVPLDPGKIPYYEAVSYVWGTSYQQYEIACDGLSMAVSESALLA LRRFRFVDHIRLLWIDQICINQQDDKEKSSQVMLMGEIYGTASQVLVYLGEADDTSDK AMDYISERRAQQEEWPRQFVVQVLSRPWFSRVWILQEVALAHTSLVICGAKCVPWPCF PEWWTRNELLLGPEVNPPPVLSYGLSVMKRFTLLQQLHDTRHSKATLALDKIYALLAL LQPEDRIGVLVDYSLSTAEVYTSVAKSIIERTKSLAILSGKEEKPYVERERLPSWVPD WGTVPSTVSLGLANKYLDPFDAGGKPACRVNISIPLNGPPTLHCLGITFDTVKKLSLS TMRPGQDAATNNVEVLVDWLDLISGSSSSVVSETADYLIGQFNQNLRGVSSYSRSPPQ PPKFAYSLWTTIQALSTPTLQLEPAELHARNNRGEPTSSTLKFCYERKLFLTHSGALG LGPPDLLQGDTVAVLLGAPVPHILRRLPSSEQNNSANVYALVGECFVDGIMAGEALNH LQDQLKEMGHRCRTFAKSCSNAPLETFCIQ QC762_506465 MASPQPTNMHDQPLPGTQNGPYKYLRLYMDAMVPSVPAWRFTRF PELPDELQVMVWEMAMELEVNEVPRIIPVSTFLPPAREIHSARVLAQTCVKSREVALR CLADCRVHEENHQFYADTPQELHFLPTERDTRNRRAFPSRSSRSADERFKSPLHNHVH IDRDYLLFTGHAIGVLRMSSVDAQSYVHGVQKVMVPATIFCSRFNRSMFENLSMSNNL LKTVEEVVLLLPISMKVFALPALCKPSRCPSLSTSGFLGRVAKDVAHHENQRWAQTSE VPYNLIRFWTDEEMEAFAASCSDREKYQPWNHDSSTTHTSHTPNTAAPPVPPHPAGNQ NDGHAQSLTHVEGLLQQTSLNSGTLANASASSLDSPAPSVSGADTPESLDDGVAVFRG LQETNSFLYGMFQCWKALQVATEQDEKKRKPVLRFAHVKGTETDDELGAVLARFNGRR EKKFGLDWRDYIPARSAEEIRSDVLRGESPLTNVKMSQRYSDTGEAEVESLSNNTAVG AAGAETTISEPWPTASGDQQWTSAEDDWLDGW QC762_506460 MENPTLYNPTNITHLSNLSISYLADVLLAKGNNATRDGFGCRPA SLILPLDNLSILELEPNPDIHMNLTVGVNPTQLDPGVSQLRDMARGLFPYPLNESGVG DVVNWWTDNTENHPADTKRFLGAVVNMCGGEYCRSGKVTVGNPDIVGIGMIVAIGMLL CLTVAFSLLSFGPLIDVVARAPYTTRKTRFSLRVSCIGTVDELFSAVFVFALAVIVST FVFRYRTDTRFDALMANALSQLCSTTVIMLAAAYWCHNQHRPHATGSVFLIAVLTIAL YVTHAGVANMRASEAEMACGIGKQRVSLMKGDPFDMEKFHFVPVGFGSWFLALIGAVF HHPWMNRFRPTKDHKMIWRILWKTVGSFPTVFGLIGLAVYMAYFMNTWQLMKENYGKT FSQNVKEWGFGQYLAVFTWVPPILTFGHLFISGMEKAIEQRLPYGWTAVKLHGSVDYR GDDRKDDDQGGSNWTGSRSSRSRVREVDSLAQRHEMGELLNTSPKTKTATPQEMIYPF PEQRPGQVASPIIPFPEGPGQMPTAYTPGSLYDPAHPQRSPRFAYNNGAGLEANTGYP ATPTDAPGYHEPRH QC762_506450 MRRSPPAPGLRPQSLPALPRPTRPAPSSRPVTYPPPQDYRPAPP VPYLNLPPAPPLAPLRPRGPRPPAPYVESKSRPRSRSPSSPPNKSDPSPSSPPPQIDP SINPILRAHLTALSPETRRVLPSILTRLGRTSHAQTCEQFDFTNTTRLDPSIRPAKNP QVTVKVVNMDTLEAALSLPERDPPPLPKDGQPVRFRPLILNFSDVDRPSGNERRGSRH GDFSQSESLCYRTSLGMSLERGRHPVGMNTSVLYNPYVEVVRRDTSGRFLDLDHPENL PVVAAITMGAQYRPETKTYMVPAGLGRTRPKQAFHRYTDRERLKMRMRLTLRVAGMHR HTRLVLGAVGCGRRYKNPAEDVALCWLEVLREDEFAVDWWTDVVFAVWDPPGAGLDSV SKFNHEIFKRVLDGKHVGEYYWRLHE QC762_506440 MLSISTIFAGFLALISAVHTAPTSLDEQPGSVSGYGIVPISWDL PVNLDDPTGATVTVTGTIQEAIAQMDASYSDWNATFQARVTPPPGDSASLDSTANLDD PDDIDCNVDYKYADKVTIVWGINYLRSITGKPKNGPGPNNCGRVSCSWNSAIYWCNDD TVEKELTWNDIADGASAVNEACKTNRGHDSKGRGSYDDHWNVLVRGDVC QC762_506430 MLSISAFIASGLALASVAQGAPGFASIRSDQPDVSSYATVPITW ELPLKADDPTGATVEVTGTIEEAIAQMDATYPGWNETFQAHLPPPPTVDSGAFDLAAL DDPESYICKLDQWKEAGQLSILRGIEYLRGLTGSAKNGPGPGECGRVSCSWQSAIWWC NDNDTEKEVGWNNIADGTLYILQKCSRDAQYVKGQAFYKDKWNVIVRYDNDSC QC762_506420 MILPTSLSSTNCPSIPANKTTMSKGLALITGINGFIAARTALTF LQAGYRVRGTARSLHSTKPLLSAIPAELVANLEIVEVPDITIPGAFDQAIKGVTTVAH LASPIFLTSTAPGPVLKAAVEGTQRVLESALTEPTVKSFTLMSSVAAIIDTESPNAHY DESNWNESSERLVRELGNEVPGYILYFASKTAAEKALWKFRDEHKPAFKIAAVNPVYV AGPPVVVPETRDKIHGTTKLISDVYSGIELAKSGLPGAFPSYVDVRDVARVILFGAEN PEKVDGERFLLSGYHVPAQAVADILRERYPEREGVIEKGEPGQGYEKGYGYPKERVYD GSKVVRVTGEGYIPWEKTVVDFVESVKAIL QC762_506407 MIHLDDLAKSNGSKPTNTPECCNFPCKGPLKKNLQKQLPHPSRI TASTFPFHQQAAIVHFTPLLPTSALCLSRSKIPWPNAKRKHNKMFTYTLVSALIGGAS LALASPAPVITPAPVYERQSESALELKCQAHYESMMARAPDLPREHPIIQWMNQPENL KLFTDYTDIKAMCAVRWGKSTLEPPSSLASQWSSYMSEAQMFAISMKAPAHELSAMGC PSVIAAAGGLLAITEEVSCSRAYKAYMDAVPYLTATDGDDFATTGPTRTNVLAPSTTA PGSSEETDVSGNDSEDEGADGNGNGGSEEGSTETTSTSTAGGPRETGHVAVAAAAALA VVGAMAAL QC762_506405 MLAYTLVSAFAGASLVLANPAQAPAQAPAITAAPIFNRRQSESA LKLECHAQLASIHARKPEPSDKLEDWMATANEAKNGNSLGDVLNICYAIYGKEMPDPP SSLQSEWSTYRSAQASYASSIGPAVSSLKAKCPKDMAFDFLFVAMSDMDSCHTAFAAM SLPDSELLTTSPSPSRTVIVAPITTIGPSEEAGAGGKDTESKEGSAQSTDTSTAAGAR ETGYVAVAVAVAAAAAVAAIAGGMVVV QC762_607965 MEINALINHNDIHTSPTAERFYRLQLARQQRPHWHLNAHRAPEL PREQNIRIRALREDAYMSYAAIREATGASDKQIQYALTTPLTPRTNRRSRKPSRFTDE EKDRITRPLNDDPIARKLNWLDLKLYLKGSKHWKDTAFTTAMRAAGFSRQVPPRRIKL TVCDEPLYRTSERDTG QC762_506400 MSSAPAKDNSNHPAVKGPSALRSIIAGSTAGAVEIAITYPAEFA KTRTQLNRRLAEGKKLPWPPFGAQWYAGCTTLIIGNSAKAGIRFVAFDQYKKMLADAD GNVSGPRTVIAGFGAGVTESLLAVTPTESIKTTLIDDRKSAKPRLRGFLHAVPIIARE RGIRGFFQGFVPTTARQAANSATRFGSYTALKQLAESYTAPGEKLGGVATFAMGGIAG LITVYVTQPLDTIKTRMQSIEAKQLYGNSFRCASIIFKQEGVLTFWSGALPRLARLIM SGGIVFTMYEKSMDLFNKLDPEGRYL QC762_506390 MSAVPKHSTARLFQTALQRWPKDPLRPDCQLQDVLAKRLTKGPL APTLIKGLSQEQADLRQTNALFSLTENRYKNKYRVPESFLKPKFNPNYYSDILKELNE APTRSYFQRVAKRVQGMFRLE QC762_506380 MTSRYERVNTRDEEDHHTPISNAPRPTYPIPNSPPPSFHSRASS IIGRDNGNRQNQDLDDAFGSEDEDAWDDEPDDRQRLVRDNTTPSASDAASITPATTAT TAQTSAQASQPSRPVGQAPTTTRVYGGGIQSDGVFSNLAAKPERGEPEKEELPPSYEQ AAADSAPPYWETTVLAPGLGGFDDVFIDGMPVGSIFSFLWNGFISMTLLVGFLLCYLL HTTHAAKNGAKAGLGIQLIQYGFMMQSAPPSGGRYDDDPTADSGFINPVDPNAHDFDP DNVQDQNGGGVDDFTGADWVSYLMMIIGWFVLIRAVSDYLKARRHEQLVLQSPDRGLG IPVIATGERPDTVV QC762_506370 MAWRSSGTSNSELVENLWRNEMITHPEVKSAFLKVDRAHYAPRS PYEDCPQPIGHHATISAPHMHASAVEHLLPFIMPGEERPAPRVLDIGSGSGYLTHVLA ELVGERGRVVGVEHIEPLKELGERNMKKSREGRELIEGGRVRFRVGDGRKGWRDEEEE GGKSWDAIHVGAAAVKVHEELLEQLASPGRMFIPVDDEDDPKGWGQHIWCVDKDEKGE VKKRKLFGVRYVPLTDAPKA QC762_506365 MTTTEQAAEMTPPPSSPSPTTTPTPTLSTLAPELLLHILEAIPS AATLSNFLRACPQAWRVYAKHSQLLLLRLARYRYGNPTLTADEIIAYRAPFDIPFMQR ITNANRLTILTDCPGSLDHSRCQQVYLLMGGRQKEEWVQLPRWAEFVERWGRAWEGRE ERRVGRLTVKRVRFYGEMVRFVAGWERWMALGGQRGRGKVMEQETEMQCLEEHEEFWS RRGRGRRRRELEWAVTVQRRQVMLPFGGGGGAQKKGRGNNRVNREEKPVVKATVKKER KRKVKEEMGSGGNKRAKVEEGVAAGRSVQWNGDGQDVIELDSEDEPMIMRSARVKPLT MASARLEPYPEDEPLALNSVRIKLDPEDEEDQSPVIRSPRIKPDPDDEPVALSSIRIK PDLEDEPTPMSRSQTNPLPMFKLEEDDW QC762_506360 MADSATTTATCMAPVRDVHKKSTGITTIPKYEPSSEQVRETVNG LVLPTEEEKRTLRRVAGKMPNTCYLLCAVEFAERASYYGCNQVYKNFIRAPLPPDGNG TGATAPGSLYTAGALGQGSVVASAMTEAFKMMAYTLPVLFGWMADAKYGRFKMVCWGV GICGVAHVMMVLSSLPHVLIAGKAMVPFALSLYMLAIGAAQFKPNISPMVMDQSPHKV AHVIEQNGERVIVDPEASINSVMLWFYLLINIGACFGVPTTYLAKLVGYWAAYLIPTI LYLMLPPLLWYLNPRLIKQQAGGSDLGNVFKVLGDCLRHGGITSIGRSGFWNQGKPSV RLAAGSTKHYGYDDNFVDDVRRTFQACGIFAFTPIYYINGSGIGAAANALSASLDTKG LPNDLLDNLNSISIVIMVPVMNHLVYPFLQKRGISWGPISRMTFGFALCTVGSSGFAI LQYYAYKTSPCGFNATTCADILPEGSVTVSQVSYLWYSIPVIVNAISEIFVNVTSYGI AYSRSPKNMKGLVSSLNLMMVGVSAAVGLASAPAIKDPNLIWVFAGPTMVGAVMTVLF YFTFQHIDKEEFVLNTGGELDGLDAEGKQGTGVFGEKDRV QC762_506350 MASNARYPRSNARRQSGNGNRGWMWQNKPSNSSNNGHDDGEDDC RPRPNNNKTYVSLISDDEDEEEEDGKAASQKRNVSYVDTPNNSPATKRIASSSVSWTD QTPFAAASTALPASGFRTPPAPFHQNDTVTQLAARVHETQDENEKLRVRMAKFGMITA EKDAEIASLRAIIEENRQTAAKNSSTGIGPVQQNPNGQQQASQGPAKPCTSCGGTDTL KREVADFTVNLAKARSTVVHQHDEIKELREEVKTNKAALVEERAAVDQHKISIDQLTL NLEAEQATVKNFHTTLAENQLTIDNLNQQLAAQSQELASKNQELDAQRQWCSTQGTEL SETRATITDLKSQLAYKQHELEVARSQSEEDKTSMAELNIAIEDFKKQVANGQASLDN ARTTLFVNGTIMQDLKDQVVATREGDSSGGANKGKDKLPDLEAESLRVRLKDSEHEIE TLKQRLDKAEKECEGFRTNYHKDQQEKENFGSNLRSVWEKEYEANARVEGLEEELARQ QRQLVESEAELRQQQERVGECEARLEQQRQAFDQEKEMMETVIASLEDELTRLRSEAT PKMETLKATLQSVNEQLSRVQSERDEAVKDANGHRDMYQRQAGKLESSKKASDMSRAY VTSLKNQLATKGEQIAHLEEKVKDLEVELAACQNWAIEGEAQRVQAQEELQRHKAQDD KNLETIKQHEATIIQLDTEVAGQSQTIQAMQATVAELQAQLHPGNGPGNQAAIQAHIA HLESRIQQKDMELASAKELLDRLFNQYNDLTHERAKLAESNKTLCAHMNKLGAERDQA LHTLEATKAALLQAKDDADFFRRREKALEEGGDPEFAHMESEWAPKLRDAKAQHEEAS RELERCRQKVEELQIQLQTVSRQKNETLQQLESSRGQLAKFQLTVEDLNSQLSKAAEG CMGPAVLSSLMEAGSTIDPVVKDMLQKAREIQSAGDIPRKDGNGVSSMEPITDVAMVL PMALRAEPFPDCKLKSLKKEGLYKMIRDLEAEHERIDDAIRALIMRVRAEKIKTAALE KEVRVWKAISTTKDMLIDQATARLLGSPHRAEIKDHADFGFDLDFNERSPKPELDVEM IDVVPPRKSLVMTFGPTRKGYQCWKGDDLPGYDRLDVDASKQDRLGYLTGKGKRIFKY LEDRGCPPHPEVPGPSPGPIMVSAPHSEASMDIDDEETGSRYTASETASSPLRPLAPS LRSSSSHPSPASLPPVELGVARRGGASSIHYEPPSTPSSTQSYTSAVYPAPTSTPSYR GFPRGRYTANRFRPSSAPAFAGPSYPPRRTSAPTSTPQSWAAGDFVEQDDIDMEVLGS GFTMESIEQPEELEPEPPTDKGKVKERAGSGPAEGYGVD QC762_506340 MPIDFHLSASQEGTYQAARSLARNLLMPARQTYLQHPPNSPLRF QSTQPTYAAAVSAGILKGQISPAHGGTGGTLIESAILVEECYSVEPSAALTIFATGLG LTPINLAAGPQHAEFLVPFLSGEGSPLASLVFSEPGGVANALEKGAPGFQTTARLEGD EWVINGEKMWATNCAGWDFKGCDLACVVCRDATTPLEEGQDPENKVMIILVTRADLDR NGEGSFEVLRHVATPGHTSVSGPHVRYTNVRVPTKNVLCPAGQGATVAFGAFDGSAVL VGAMGVGLMRAAFDAALKFAKEDKRGGAVPLLERQAFADLLSGVKIQTEAARALTWKA AHAMENGPGDYDARRELALAAKVFCSEAAVKACTDVMNAVGISAYDLQRPFSDLLNTA VVLPIFDGGNVGIRRRHLQQLMLKPTYDAWSSTYSSLP QC762_506337 MRITSVIVLAAALGAYAAPQALNTRDVTTDGVSARGVALGDGRV ALPAVQPGSRTRRGSNSKAARSLDDIIEARSPQRAPPPPPSRGGAPPSPQTGGGGGRG GAPPPPNGAGRGGVPPPPNGGGQFGATPGGGFNGGAPRQPTGGRDGGRQFRRAEVSTS DDVDLDFVEGETLALTEANLAARDAELEARDFSDNDSVFSADDDANSVFSDDSLFSVD SQRGGNPAGVQPRSPQFRPPPPPPQRAPPSPARRPAPPPPQRAPPPRAPAQRPPPPPQ RAPPSPARRPAPPPPQRAPPPRAPAQRAPPPPPQ QC762_0084290 MTGTGTTAQSVMEEKIVNWTSPTFATFALSTASFLASPLLLPHT HIDISQTALDTLEQLLVMPHLASGVRSIKLQMAYRTKALAEAPVYFTNTRLQLLNKLV ERYGVGRNPTPSIGFLDPHGEFISEPAESCEAASNFDEICKSWSLMMEIWEEPAFDTS QQCERDEWSSILRNSYERFKALHLEQSRLVEDGIFAQKVASAIKRLGKVEHLVF QC762_0084300 MQPRINALKGVSYSQLWSASRWLPPASRVHPAPSKPFEANHGVP GKSFKGDHDPDHAGRWILSSACVS QC762_506330 MDQDEEAYRIDQRRQDELVIITVVFTSLSILVVGTRTFVRAVLM RKFGADDWTMLGALLFSCGYLVEIIIMKYNGVGHAITTLTVDNMLILIKVTLAIQCTY YACVNCIKFSILCMYLRFAVTETLRYACFGLIGFHAVFFIISLTTTLAQCQPLEKMWD LTGVAPGTCINTTAFFYFTSGFNILTDILIFGLPIKTLIGINRPRKEVYALVGVFCIG AFATVIAIIRLHTIIVYTTAVDPFRESILVNLWSVLEVNIGIICASAPALKPLFHPQA LREARYGSSGGPPKRTGYHYHSRDKSGTEIKSNIRVEQEFSARSINLGPIPSNTAQVV GGREQNSDQDSVDKILQDRY QC762_0084320 MQEKVAEDPAYIRIPEHRQENDPMSMTLSASHALRVMRVEREFR WTASVYGIKRINVRYIWTPGHCGVAMNKLVDNLCTQAGLSNKPLYVVNKASRTFPANV RSYSWKCTTKAPCCKGSPCQPASKFNGKKRDPSTRETSQGCEEEGETTVAYTDSQQRG GQRGQYYRFGYRP QC762_0084330 MFEWIRHEFLMATTDSVYGPHSPLRDPANEEAWHNYHPTIMFLM LDLLPHWAFHIAIKSRNQLAKAFQTITSTADTPKAQTTSGGGPSTLSAGTSPLATSVR IASEDHMLDGKYLIKKGSMVMMPARVQHHFKEVWGENVEVFNARRFMRNPGEPRLHPV AFRGFGGGTPLCLGRHFATTEILLFTAMVLLRFDVLSGGGEGKWIMPATKSLRRRRQW SSRTVTSILS QC762_0084340 MLLTVVYTSFVDLAQEAKALGFVLSEFLSDHTTFGRHPQQSGSY LLFLFAVLHLTQNEKEPPLVATAVPFLSPVIGMVKLSMDFYTNMRNKHHDLPIYNLRL PGTRLYIVNSLNLILSVQRQWRTLILPPVSARASEVAMGVSKDALAIIREDMIADTGF FHTFIKATYPSLSSGPALERLSGDAMTVLTASLDNIVD QC762_0084350 MSRPRSQSLPVINTPQRYPPYQYQRLSSPRHIRIIQLLGYDPIL SRVFINIVEHDLSTLPKRFTALSYTWGSAIETFEEMHHSAIIGPDKARLSKNPRDIEL VVVPPEALETFKRSDDASLDPRGSMVDLYTTPVTTITVTGNLSNFFRTYMIQTWPRRH AQSQACWGPTISLEESTNFWIDAVCIDQNNDEEIAAQIPIMGEIYSSSGRVLAWLGAD EARFSVFRWWHDTVYPRMRHVLHRAGEKGILSLRASSCFDTELWANVFGLTPPVELGV ANWLDAWTEYWAFYRTRRYFHRVWICQEIVLADRLQTYCGSGELSWGDMIGFTTLLGT IHWVDAIGTQCRVNLPLEWTPSIRGFGIGDLFEVQQQQNSKIWQSTGWVRQWFATISA VRRRGCFKPEDRVYATVGILQQLLPPNTPLPIPIDTTHTPEQLFTFTAAAILKNWPEL SIFAFLEHNASRAFSSLPTWVPDLSIDDFPWPLGPFDTYFGAGIATSAPLKELSVNDC FQARATAPIPSFRHIDPIKGQFALHGSKLDTIKQKYPCTHVYDMKLAELAIEVLANLP VHYAHDLVQEEETGATRGQCRVEALIHTMTCAGMGFSSSRGSMEKTSQLFPSFRSWLL MSLSQVWSGIDPVDRLHPAHTARDDVELQKRRAKMMETMTNVGMSSKFMPCMKEIEEM ASMIIAADRGEGQWPGLLEQPLEFSDQIRRVMTDRCLFTTENGWLGICLDTALEGDEV WILEGGAVPYVLKKSGSEVEVNIEMAGGETRTSKAEGRIFGGETYVHGVMNGELLDKA RTGAVQWEEVVLI QC762_0084360 MEDDEDCGIKDIQFGFRHFRAPAKRIYGNRNVFLLDIPPNPIAS WLSLWMPQLVRSWLQRLLQEWFLPTTVILKERNPTKADSYENEIDTYLHLRSLQGTHI PRLFGEVTVSDPHAQRYQISKRPTPALLLENVEGVSLYNLPTEELGNPRLLRELEDIY NLLTEKGVVHGDPKLHNFLRVNQKIVAIDFELS QC762_0084370 MADADTSHVWLDVSTIEEIIEYIISARHRGSESILSFQWQADRL DYLFEQLDTRLVELDERKIRRFEYDYESSTVHIDIMGESEFHYQVQAGLPDYIKNRLA ERLATKDDPTIRRLVQSIEERGTFNILHERKIHKQADVSLGQAGALPSLVCEVS QC762_0084380 MEAQAATEPRTHSDYTVGWVCALPKEQTAATAMLDRRHGDLPKP PNDHNTYTLGSIGNHNIVIACLPKGEIGTNSAATVATSMANAFPSIKIGLMVGIGGGI PPKVRLGDVVISSPVGQYPGVVQWDLGKAKEGGKFERTGSLNNPPASLRTALTKLETE HEMSGSKIPQYLEDLKDKWPNLAPKYTSCDHLKDPLDVLDNPVRSLRGRIIFLVLLWE TILAILRVFLGCWALASMGSGAEQVAGTTVNTAVDRDGGKLRNMRVHYGLIASGNQVI QDATFRDRLDEEFGGHVLCAEMEAAGLMNNFPCIVIRGICDYADSHKNEDWQEHAAAV AAAFAKELLQYVQPSAVVGERPVKDILEQTLKKVHKETSATREDVTQIKSKLGKVEDK EVLDWLTKIDYGPQQSDYLKRRQPGTGQWLLDSEKFKGWLSASNQTLFCPGIPGAGKT ILTSVVVDHLGSKFHNDPKIGIAYIYFNFQRQDKQKIDDLLASVLKQIAESQPSVPGS VKDLFDKHKAKRTRPLLDETLRVLQSVAATCSRVFIVVDALDECQTSESCRKRFLSEL FNLQKMHGINIFATSRSVTEIVDRFKTSISLEIRASTADVALYLEGHISQLPSFVQQD RRLREEITAGISEAVDGMFLLAQIYLNLLYDKMTPNDIRSTLEVFRNKGQGRAEIQKV GALTSAYNQAMMRIVGQMPGCKKLAMEVLTWITCAKRQLTTLELQHALATKPGKSELD DGDLPCIGDMVSVCAGLVTVDENSGIIRLVHYTTKEYLEGTRPGWNPNAELAITTTCV TYLSFTVFETGFCTTYRKFEERLQSNPLYDYAARNWGHHARKAATSSQVVIDFLESKA KVEASTEALMATNWDRFYPGYAPEVPRNMTGLHLSAYFGVIEAADDLLRSRPGPDLKD AWRRTPLWYAAQNGHEAVVKKLLATGADVNATTGTSGDQTALQAAAGGGHLEVVEKLL AAGADINATSAGGGHLKVVEKLLAAGADVNAAAATSGGKTALQAAAGGGHLEVVEKLL AAGADVNAAAATSGGQTALQAAAGGGHLEVVEKLLAAGADVNAAAATSGGQTALQAAA GGGHLKVVEKLLVAGADVNAAAATSGGKTALQAAAGGGHLKVVEKLLAAGADVNAAAA TSGGQTALQAAAGGGHLEVVEKLLEAGADVNAAAATFCGKTALQAAAREGHLEVVEKL LAVGADVNAAAATTFGQTALQAAAGGGHLEVVEKLLAAGADVNAAAATSGGQTALQAA AGGGHLKVVEKLLAAGADINASIDGQTALQVAAGEGYLEVVEKLLVAGADINAAAATS GGKTAIQAAARGGYLEVVEKLLAVGADVNAAAATTFGRTALQAAAGEGHLEVVEKLLV AGADVNAAAATTFGQTALQAAARGGHLKVVEKLLAAGADINATSAGADINTTSGGHTA LQAAAGGGHLKVVEKLLVAGADINTAAASIDGQTALQAAAGGGHLKVVEKLLAAGADV NAAAATSGGQTALQAAAGGGHLEVVEKLLAAGADVNAAAASIDGQTALQAAARGGHLE VANRLKAAGTLR QC762_507620 MNYNGAPGLEFGGDNTDLDPAVLRELPAGSNVVSTEGHGVSFWA NTGRIDVELEDGSELSFFIKVLSGEIGSKMVKVEFESMKAIHSVTPDFAPKPIAYGPY DSLPDTYFFLCEFREMVDDQLPEPEEFTRKLATLHQKSQSPTGKFGFHTTTYAGNLPQ MVEWEDSWEVFFAKSLRHALDLEMQAKGPDPELDALVPILFETVIPRLLRPLETEGRS VKPSLVHGDLWYANSGVDVETGGSLIFDACCFYAHNEYEFGQWKPSCNRFDDKYVKAY HQRVNRSAPEEDYDGRIDLYKLRFNTHVSALFFDNQTLREQVLGDIRDLVSRYGNPAQ ETRL QC762_507630 MPEDALIHYKRISAWCETGCLEYFRLLLIVGFNASTLQTKPPTD RKTCIMTPTPPIAPHDHKTYMRYALEQAKLSPPSPSKFCVGAALVDADANRVLSTGYS EELARDRPNDPGSTHAEHCCFIKVADENGIHDFDIAPVLPPNTVLYTTMEPCNERLSG NRTCVERILGLGSAIKVVYVGIREPNTFIQDNQGIKRLEAAGVKVVLLEDDETLRQEI LEVTFAGHEKRG QC762_507640 MSLGRFRRSRDRKSTFDISFPIRRLTSHSPEGSDLSRATTIVDD GVDDAKGPLGLNTLHAPPGPTFDLVLVHGLGGGSKKTWSKTTSLKDYWPAEWLPKDPA FTNVRIHSYGYNSDWTKRNDNCLNVHHIGKAFLGDLATSPHIDGSNTNLVLIGHSMGG LVVKKTYMLARQDPLYQALAARVRAIFFLGTPHRGSDSAKLLKNILQVASSAPAYVTD LVRGSGAIQSINDEFRQYSADVELWSLYETQKLAVKGFSTLIVDPESATLGYREERQI PINADHRSICKFDTPLDPNYVTLRNALASSIRGIAEAELTAAQITQQSTIQDLADFLS ISDNVDDDFFTVQDARVDGTCRWLLDKSGYQNWKNADSDSPSILWIDGKPATGKTVLS GFVIDDIYEAGSPCSYYFFKHSEKSKSKLSSCLRTLAFQMAMQDAGVLAKLSELQKTN TNLDLENERSIWRSLFISGILPAMSKPHFWVLDALDECSNAKSLFESILCKMDGSAQP LKILVTSRETPELLTSFTALGPKRVLREQISTEETLPDIERLVTSKAQSIIAEDDESR GRLVKRIIDKSKGSFLWTQLVLDELADTFSEEAVKQVLDEVPRGMEQLYHRALETMTR ETRGKPIIKAVLEWTTCALRPLTILELNVCLEIQLRDRFPQLQDTIAALCGQLVSVDK LQRVQIIHETAREFLLDEGLRSDFAIDRVQAHTNMAQVCLTFLTGNELRPARMQRRLS TSQPVTKKWSALYAYACTAFSYHLSKADPSRNDLLSLLDTFLKANILTWIDHTAQGKS LGPMVRSAKELKTYTERCMAERSPLRRDLQRMKTWTKDLQRIAAKFSAALLTSPSAIY SLILPFCPEQSAIHDTSPNGKRISIVGLANLQWDDRLSCINLRQEKTSAICYGEEFLA VGVTGGRVGLYHPISCQEYRSLNHCELVRQLAFKANSNLLATCGLKSIRIWNIRTGEL LQTFPAPRKCVGLWFDEDHLVALSSKNEIWSWDIENEGSASKRPMVRVNDSHNMDCEA IRQVPAAVSVGIAHKMLAVAYSGKPIVLWYLQDDQFYGYCGKKLVDGETAVHPIQALQ FNPNPNIELLAASYLDGDLVIIDPFSDHEIERKRASCHTLSASADGRLLAGGGGGGVI QIFEFDTLKLLYKVRTSDLWIKSLAFSLDGKNLADLRGSQCNVWMPPVLLAGSIEDDV SVDTSNTFNDTPQIEQRAKIECVAITSDGVICGKDDGSVTFHDIRGEKLPETLYSHKA AVQILSWVELARTILSVCVSNRVLAWSLGDMKSVAGHQCLLDIRPDYGGNTITHILAG YPSKKLILSTRTTDHLWDMEITAEKSHRTYDSESRTRIWVQQPASSEHVVCVTSTTVD VYPWNAWSAMPVLSIALGITVEGLQLKSRPLFYGVKGTHMLIELEEIDGSADTKRILA FDLSREGLSMPETPRKLMAATAPALTSSAASAQDHLAQIPTVTVSPTPCLIHPTEAPS GIIASYPEEIIRRIAHVIGIQGHGNRSRLVFLDSNSWVCSTSLPGNDTVSRQRETHDA KSQSLSCTRHFFIPYDWLSGVRHMIGGVARGQDVVFAKDGDVAIVKGGFDFEERVDVS SASPASGSSHGGNSGVRAGLLRVPTT QC762_507690 MPKRLIEVTTTAASGSLYARLVTNPTPGRYAALSYCWGGDQKSK TIRSVLGTYEKEILMGCVDSNTRYRPPLPLGDAMAIVQDDDEDRDEQISQMHRIYLGA SFTIVAAKAVTSLDGFFAPRAKYQPSIISARLDDNVFGEILAFPKHDILSDYNLFTRG LTFQETQLSTRILAYGLYELMYSCLEGRHRDGGYEHVFDPPPASIYLRDTSDDPAAQM VENLDPGNQKLGRDEQINSG QC762_507680 MPLIIGLPSEIMDNICGNLDSHDTLSSIRASCRVLKAAADRHAF RHIKFCMHHEDFDVLRSIVNDYRAEHVQSLTYNTRIMRRYQSYDDDIPYDPYDDELPD IFHLADIHRPPVDEAWIAAMRDVVDSIHRDQVQILAVGEDFDFLREVVPKFPNLREII VAGDYPDSNRHRNREPNATEPILRIPAYQCLNLDMTGVSHVEGTARHLRAILEAVQAT GGSLPRLISIQVGFLSLLATDEDFERSDMPSALPPWPFPPIYPLQNSLSTQLSVLTHF GILLGNERPWIDDPRKYSVVKSPRIKFVHDIIKTMPNLQSLTLGAKYEFAWLYDLIPY DHHWERLHTVHLINMGFGYETMLDLLLRHKQSLRTIILETCCVRGWDDAKRQRIGWDQ FIPDLKAGFLPHSLDFTFFHNLREEARGAGDVDPECMPMVRSYFRDKKASKLEPLPRI SDERRAVKITLQRPLEILKMTSFFSYEM QC762_507670 MLHNYSPDGADPSSSGDHRAHSLGYCHHGIHRPLLPKSSSPVLN DPPAGAQTSCRSPPAVEYPDYGYLTRARELRRQQAEEQRREANQQQWAHYWQTQEERG LAKALEDSFITGTAHRLFLKNKEREEDRVRRAQEAFLREQQRRDADWAREQLYIDQYH QHEKEKMERRERRKERKAQQQQQQQQRVSFVTPVSSPTTSAPVPVITSPTSTHASLTS SGNSTEEISNPENKQLQRLERRFQLRRPSPENDNPSMGRELYQNPFMEPALWGYHSSP PSASPTGTTSHTISLSTLPPRSPVSSTSSYLQHHSDKEKEVKEQQNDKQRAQERVHDW FGAREGGRYRSRSHFKKETRRSRSCSRSGKLGDGYRETVWEREEREINVAAGRWGFDD GDGGKTRCGRLRGRWADNGGNAGGRGEWGVYRDY QC762_507660 MEDTAPAIVIDTGSLIMKAGFAGDDYPTTVFRMYLKRSNTETEE LLTSAPASVVGRPKDHKTSADVCGKDNYVGDEAQSKRGILELCYPIEQGVITDWQDVE AIWHHALYHELRVEPHEHPILMEHALFTPDTSKKKMTQIIFETFNVPAFHVALNPSLA LYGMGRTTGLVVESGDSVTHAVPLVHGGHEQLGVPVRDSISRLDLGGRHITDYVIKLL RESGHNFVPTTSEHEVVENIKHLRCYTAFDFENETQLWVERESDPTFQLHEISHELPD GQVINLTNERFRGLKLCFGHRY QC762_507650 MTEFTYKHALLEQGHIRLLRLEWPCSEKLQPDHPAPDGSIAATL THHDLSGPPPPCTALSYTWGDEKERLHPPQTLNIASPDSTPETFPIHQSNLYAFLRQA RPDLVPSHNGATSCLAANVGYIWIDAICINQADEETAKKEKTAQLKIMSQIYASASRI IIWLGPVREHKLTLDAPFPENLWPAYPTGHAMDTYQRVAVAWANSQLPDISPTSLLKI VNQVDCACLEAVTRLSYWKRSWIYKEALTPNVPREFWLGGHALWFEALEVANGVFHSH MLELGLNKIPSPSPLNVDIAYLQELTLLRQHGKRGLSLLDLMVKTNSLGARWPVDKVY ATMNIARDIYGEKDGDIFNSVRVDYELPTEDVYMDVVSLIIETTKELNVLLFCSAGQY KGLKSWVPNFYRFVYSVPYRTREVYDAGGGESSGKKPRFSLNKVAQTLSVWGVKIDMV VGIYPSMRRPLQWGDYTSKTEFWQPIFGPWIRSLARFVFPQPDGDVVEEAYVGGGTLS EAVDSALSWGMAPGYGTLKRDVDVPHWPLLEQAQASASEREPE QC762_507695 MKLATVGLLSFAQSIVLGSPSPSSYPVRRDVDLQWTVQAFPNGP FIHVNGTIEQVYDHVLKINPSFDKEFVPGHLPDEDPWSPPLQAPF QC762_507700 MSSGLPKFYQRGRDRRRESRALDEAISNGTAGTTAAGSTNVKGS KSSRLMQFVKRWILVSWKDLLAMAVFGGAALGIYQAPYASTRNFPITFNQSGDIVYPE LAYPHRGWIISPQLSGVIAVVIPLGVIFLAQIRIKSFWDLNNAVLGLLYSMILSSFFQ VVIKNLIGGFRPYFLDICQPDISLASSNNATGLNGVGFQQIMYTIEICTNPDKAAIKT AITSFPSGHATSAWAGYGFLFLWMNAKLKVWGNYQTSFYWLVLLTAPVLGATLLASCL TVDQAHHWYDILAGSIIGIGTSIACYRLVYAAVWDWRWNHVPLKRASPFGYEMEGDRL LPTYHKATWTKKLGWGRRKGARVGRGSVRGPVEKKGLASGRSSETYARNGSAVSPHSR VAPPVNGYGNGVAHPDPAVARGTGSVGRYDGRGDQMV QC762_0084510 MSEKQEHETSKHISDEGIQHASSNPSQNEAQMVERDPNRKWWHG IKEPGHALQIVVAAILAIIIGLAVTTTVGSKNIPQAATVIIGIPGTLWLRALRAVVLP LIICAMILAVQKLREMSTGGGAVVAKWAVGYYVLTTLLAILHSTIAVAVGWIRLMQVM REEDREVTDEDDKKMIEERSATKIHDVVKQMFESFIPQNIFSSLANDGLLAILITSVI VGYLLKPNSPILKVVKEIEEIITIIIAWLIKVAPIGVFFLILPNLFRLDIASIAQNLG VLIGSSLVGMFVHLFIVLPIIFFLVLRRNPYAYWMRQSPAWITAWGTASSAATLPVTM KCAKRNGIPDSLAKFTLPLGCLINMDGTAIYFPAVVVFLAATQGHELSGADYVIIVLL STLASIGTTPIPSSSLVLTVMIATSVNVEITGMYAVVVAIDWFIDRFRTAVNVSGDLF AAPIIQKLAKIEDDGVVSEEEGVIVADHNDRV QC762_507720 MTSSRPKLHVIIIGAGISGLVLAQCLRKQGISFEIFERDEGPAV RKGGYCLGLHDPENLFVKYLPDDLPSVWSTCHLLPLDLPSQLITYLPNGIAFRVQDGE ETPCVRVSRAKLREMLGRHLEIKWGRKAVGVWEEGEGVVVRFESEEVVRGGLVVGCDG IFSGVRKCIPNGLDCIQLQQFPAAVVAGDVVLEGEEVKRQLRNGHSAYVSVGKEWGLF VGLNKLVNVKADEEGDVEGMKGEYYWILSRFDKDVADEKHWTKTMTDEEKLAMAKEKV KEMREEFRIVVEKTTVEGLKSSAWSMWYSAVQGAEYLKTSRVVLIGDAAHPMTPARGE GAVVAIRDAVQLSKVLRTIDTSDEASLKSTLQDFQQDVLTKGFEAIRGAREAFEGVFQ NRTPMAWGWEMAPIRQVPPLPPLKLKMAFEPLEV QC762_0084540 MTLLSTTVPHLQAAWDVHPYLLLSVPFALYLLISNVRSYLKLRH INGPFLAHFTYVWFVGSVARGKMLNTLQELTYKYGPVCRIGPNDLLVGDFDEVVRING VRSPYVKSDWYTTIRFDVDGGDSVVSLMDTAEHDVRKAKLIKGYEGRGKGQDKGWMDK VVDKHLVELVRLLREKYVKQGREINWTNVMRYFSADVMVEALMGEAWGDLQTDSDIHK FFEMSDYSTPYIHTVGSWGSLRWLTSSWWFIRKAGPKVTDDHGLGKFISLVREEVSKR FRDPESKKGDMLADWISQGLTSRECELDVILGVIAGADTVAVPMRTIFLYLITSPLVY SKLKDEITTAIKTRTISEPITNQQALKLPYMQAVIHEGLRMMPISAFGFPKRVPAEGD MICGIHVPGGTDIFPNNLAIQRSKKVFGDDVDVFRPERWLIENTRGPEHRSLMVRHIE VIFGHGRWQCPGRMLAWVQLNKVFVEVLRNFDFQVGNPRDPWKLGVYSSVTDDCTYGP LNYTLDPYCPSCGHSRCAYCTYTVGTIKTRDGGGGNRSSSGNLSRPREMQEPLYGSSS APELETDAKSGIPPARLKDPQLSTNERPQSETGPEGSTSSTFEPSVLSETSFSGQAPA KYRAAVPQPSQIETRKDITSEELHVANDPSKQQWSESKLYPTSVPQARAIKLDELRAR PSSKQTSRSTWMSAEVRAYSFKMSHQRAMPRSHGHVGAVTRLHVHVPVSQLQAAIDFA AQAAGPNAHTVTSRRPVEQGGASASSSSQASTNTELPQANSTNSGPRSPHTSTSDEEV NSVLPPFIPTGTKRFLLLCVNTGSLGGVRHRRLANVEVTNTECGGELFQSLRNAYYSL GKSTWNPFLVPETMHYVKFQLLFLQR QC762_507750 MTDAVINTHPDTSSENGNLLLRSSEGGNTSTTTSLETGPTTPPS SNSDPLTCQAPIAICGLALRLPGGINDATSFWDALYNGRDMRTPIPKSRFNALGFSKE HSSVGLVPQHGYFLDHDIAAFDTSFFSCRKAELERMDPQIRQLLEVTRECLENAGETQ YRGKRIGCYIGTFGEDWLLMQAKDSLQGGSGHNVGHMDLLLANRVSYEFGLSGPSMVI KTGCSASLVALHEACRVLQAGDADAAVVGGSSLILTPKGYDILTSEGIFSPEGSCKPF DAAADGYGRAEGVNAVFLKRLDDAVRDGNPVRAVIRGSGTNANGHSRDGLISPDSATQ AALIRSVYESTGLDVKDTGYIECHGTGTKEGDRKEAIAVADVFGGAGILMGSVKANVG HSEGASGLTSLIKAVLTLEKKVIPPQIKFHNPAPNIPFEQGRLEVPMKPTQWPVDRSE RISINSFGIGGANAHVIVETVKEFCPSLVASLIDEKAQNTSSPSLLLVSANCPSSLKQ NTDNITNYNHQHQDNLKHLSYTLALHREHLSHRSFVIAGKDGPEEPVPARKADAQPPK VVMVFSGQGAQWPQMGLELLREDPEFRNDISVMNDVLQSSENPPAWSLEDELSKRPEE SQIYEAEFSQPLCTALQLALVRSLAGKGIHPDAVIGHSSGEIAGAYAAGVLSLSEAII IAYYRGFIMKESVRTGAMAAVGLGSEDVTLSLADGATIAAENSPNSTTISGDIPAVEQ TMSAVRNAFPEAFCKKLAVNTAYHSSHMNEPATRYLELLRRELPDANQQRKPTIPWYS TVSGDLHEDPIDLSYWATNLTSKVKFLSAARSVMESLPNSVFLEVGPHSQLKGPLRQI VTHKSVAFEYIPTAIRKENSSKTLLTALGSLWQHGLTIDFASFLPGKVLHDLAPYSWN HSTRYWNESRISKDWRQAEFSRHALLGRCIEESSGLEPSWRNLLDVEDEEWLADHKVK GDIVFPFAGYVAMAGEAIRQLTKVETGYSLRNIVVHTALLLHRGKAAEIVTSLRPQRL TEKADSGFYHFSISSFTGSGWIKHCEGLVKPAAKRSVKAENAPTSLPRKVSSQKMYSS FGRIGIEFGPTFRRLKHIEACPVNTHAIGQIEAPLNGLRESSYHIHPTALDACFQMIL VAMAKGSGRNIEKLAIPSLIEEIDVHASLTGTTPLAVEAKASIDPKRTEVNARGPDSS LALRLRGFKLSRLDDEEKIDVDRHAACRVTWHSHVDFAKKEALIIPPNTPGTMPKNRL LVEELALLMLLDMEEASRAMEPEAEHLKMYKLWLQRCRLGALEGRYPVLGSEAVSNFL SLEIYDRNTAIKSRLSTLQDRSPEDGIWKAMQRVYMNTEWLLKEDITAIELLIHDGLL RELYRGMTFDVSPFVKSLCVSKPGLRILEIGAGTGGTTSAILEGIVLPGQKPPYAQYT FTDVSAGFFPEAQARFKDQPNMEYRVLDASSNPLEQGFEPQSYDLIVAVNVIHALPSL HESLSGLKTLLRPGGQLLLTEICGHTFVPGLVFGYFPGWWLGAADDRKWAPHVSVARW DTELRAAGFSGVTTTVLDAAEPFHMCTTLLAQAPPVHQLAEIAAGKIALLHDDPDSPV TKKLIYGLESDRYEVLKVKLGEELPSDMLVISTLDHESPFFEDISPTRFQAFQRLCEG YKSEELLWLMPLTSSPGCENPRAGQTPGALGVFRNENSLPFFTLEIADDEPDFARLVI DVLKKIVERDDGRRVEEDHMFVVRGGLVQVPRLESISILTDTTTESHSSVSNTDAIAK RLELGQVGALSSLRWKTYLVDSDGLGPDEVVLETKAVGLNFKDVVGALGLIDFGSDEI PIGVEVSGIISQVGANVKHLVVGDRVAGFNGNGCFSTHAVLHGLNCVKIPDDMQFEQA AAIPVVYITVFYALIEVAHLSAGQTILIHSGCGGVGLAAIQVCQMIGAEVFVTVGSHK KVNYAMEKFGLARDQIFNSRDDSFVEGIMRMTKGQGVDVVLNSLSGPLLHASWKCVAE MGKMIELGKSDILGRGTLDMEPFLQNRSFVCVDTAALALKRPAIMQRSLNQVFQWISE GKLGIHDRIQTFDADEVKTAYCWLQDADHIGKGVVRLPDNLDTIMAAPLERRVCQFDH NATYLLSGGLGGLGKSIATWMAERGARCIIFLTRSAGISQQDKDFIVELRSLGCLGIP VAGCVDNMQDVKRAIAQAPTPVRGVMHLAMVQREAPGITLSHEDWQAAVAPKVDGAWN LHHALSDMPLDFFLMTSSALTIAHQPGESNYAAACTFLESFSHYRRWLGLPSSVLLVG PISGAGFIEENPAAMRKVQGSGFHLLTEREFLDFVEFSVQHQRSQSGGDELQCSDDGH IVMAVRSEVPLSDPKCRAPWRRDPLMGSHHNIVSEAEAGVSKIGTFLTAAEELAFRAR GNLTVLEEAGAADVFGLEIGRRVRAIMMSDDQDVDVGQTLQQLGVDSLMAVELRRWWK LTFGVEVTTLEIMGGGTLHDLGTATIKKMRRLVDEQA QC762_507753 MDQLALLAEQGIQELRSQMIDDKLSVLAVKRDFELLQVRINGME KLIAHRESSIRSMETSIDSLNTGTEEGKREDMAGVPKCHSHQDPKGSTSDYHERLDTR ASHTVSTPTLTESLLATNPKAPLDIQTGIDQKESHTSASANTIHSYGPPTSPDTTQMS KTFFLNLSVSDPDDEAVAMVLRWWSDESMKSRMLQHRVSELVKALQESERQIFAVQSQ EQCNEGHIQMLQERMRGFHGRIPHIG QC762_507755 MCRFHVSKEVTMLADPSNHRTGLAPACFDTKIFEVGWWPSVRHP DPSRMRQRMQSQAFVTDTSPSREYQVDFWRSRATYFAKAADQSFVQWKAEKKLNDGKV RHLVDELAGIKKSMSRMISPSLPCTRKIRRTRDTSNLFRTSSRRRLHDMVQLPMDSRH LHPLRHPRRSIQASTVATPHLNPNTQRTTLNEYPQSPLPSQPLLPQSRLSSLSQPGSE STGTQANLLKNRKRLLTLSSQTFLPSQHKRVHIRRLRQPQPCLRFRTTPLHVPTACSG WMIVYVLGCGIAGILRPRSKSERTGEVTVWLLLASEIEERRMLSGLVSRFAVEVFCAL GYFPLDLSAFR QC762_507760 MADPDPPKRESAGLSPATKERDAPSTATTTSGNGDHKASSKFHL DVEDALTPDPGTEDMFIVENNKFAYSPGQLAKFFNPKSLNAFFAVGGLAGLEKGLQTN RESGLSVDETSVGSSVAFEEVAPKGVPKYGSHGDTEPTVKGDNPAATAAAANATTSTH DAGGAFADRKRIFKENRLPEKKSKSLLQLAWITYNDKILILLTAAAVVSLALGLYQTF GVTHEPEPVAPGQPPAEEGAKVEWVEGVAIMVAIIIVVVVGTLNDWQMERQFNKLNKK HNDRTVKVIRSGKSAEISVFDIVVGDVMHLSQGDMVPVDGIFISGHGVKCDESSATGE SDLLKKISGEEVYRILEAISRGEEAPHDIEKLDPFIISGSKVNEGTGTFLVTAVGVNS CYGRTMMSLHTETEDTPLQKKLNRLADGIAKFGGGAALLLFVVLFIKFLASLPGSQDT PDQKGQTFLRLFITAVTVVVVAVPEGLPLAVTLALAFATTRMMRDNNLVRVLKACETM GNATTVCSDKTGTLTQNKMTVVATTLGKSTSFGGTDAPLDDDPGIKTEKSAANTVPNV PITEFTQGLSNTVKRLLVQANAVNSTAFEGESEGEKTFVGSKTEVALLVLSRDHLGSA PVQEERANSNVVQVVPFDSAVKYMATVVKLPDGRFRAYVKGASEILLGKCSKVIADAS SEELSAVDMTEDDREMFAETITSYAGQTLRTIGSSYRDFESWPPPELAGQTELTAAEF DKVHKDMTLLAIFGIKDPLRPTVKKAIEDCKRAHVKVRMVTGDNLLTGRAIAKECGIY NPKEGGIAMEGPVFRRKTPEELKELVPKLEVLARSSPEDKRILVKTLKDLGETVAVTG DGTNDAPALKMADIGFAMGIAGTEVAKEAAAIILMDDNFASIVKGISWGRAVNDAVKK FLQFQLTVNVTAVVVTFVTAVASDKEESVLNAVQLLWVNLIMDTFAALALATDPPTDS ILNRAPDKKTAPLINTRMGKMIIGQAICQLAITLVLHFAGPTLMGYDMTNLDQQEHMK TLVFNTFVWLQIFNQLNSRRLDNHLNIFEGITRNRFYIVINLIMIGGQVLIIFVGGAA FGIRPLTGKEWGMSIGLGAISVPWGMLIRKFPDAWAAALTPHIKFPKLRLRKSKKKDD LEKTSEDAEAQKSKDLTTDSESERFGPPLRTLTSIRGKRASTHIRRGFREYMHDKKTQ VKDKVAGGSTTNVAPEGAAPVNGTGGVAKKA QC762_0084590 MNHLLSLSLLGVAALSNPLPQPGPNPNDPNDPFPPLPPDLTFDD IAEFPTFNGTGATMLRFGCHQLVIDRIDPLVNPRAVPSPHQHQIVGGDAFDAYMPLKD IAKRSSCTGCSYSDDFSNYWTSNLYFRARNGSYKRVKQIPNNLQFNDTFATQTEGGLT AYYVSPGQGEQGVKAFKPGFRMFFGDAALRARPTTGFNLSRQTCFRCYTGPGFEGDNL PPCQDPAVDSWGLPQRKCFGIRSNILFPTCWDGVTLDTPDHKSHVAYPLEGPQPFSAF RTAEACPPSHPVKIPQVMLEIVWDTTPFNDPELWPADGSQPFVLSTGDRSGYSQHADY VFGWKGQELQKAMNAGCAAANCPGIKVQSLKKANKCKVKPLVKEKSEGWLSALPGGIQ AQ QC762_507780 MIPYSGHIEEKTSLRWRQHFRYTSTPYAASRSDISPNNTDAAMS DQPFPTAAFKQQYHGIEVSFPAGQGDFRSVRNSCAAACRAFNSTPEDADVKIRGEKWL DIVKPNRPKKADGTSAEEGTMVTHDQAITNPTLKATIPFVKPPLWVDYGTRLKVASTT FINRNCVILDTPVADLIIGERCNIGTNCTIVCVGHPVSLEGRRTTKLSTGAPVTIGND VWIGANVTILPGVSIGNGAVIGAGTVVNCNIPPMTLAVGSPVRLVKALALNEDKPAVL IKTLDESKELTNSLPMEHWDGTDAAFRAAQAAQLAQKSDRRGGSEHQRVEEQQRERLR KSEIVVIAAVTTVVLALLMLFSLFFFAGLYLGESRGCLRSSDL QC762_507800 MPRSEIPIPNPPALPSSLPDSILHDLSVTLPPKPLPPTDLASLK AFQRAANYLAASMIFLRSNTLLQNPLSHNDIKPRLLGHWGTCPGLVLVYSHLNLLLRN NPDLEMIFVIGPGHGAPAALAALWLEGSLERFYPGEYDLTESGFHNLVTRFSVPGGFP SHINAETPGAIHEGGELGYALGAAFGAVMDKPDLVVTVVVGDGEAETGPTATAWHAIK FLDPKESGAVIPILHVNGFKISERTIFGCMDDKEIVALFSGYGYQVLVVEDLERVDEE LQGGLDWALGEIRKIQKAAREGRAVIKPRWPMIVLRTPKGWTGPKEVDGKIIEGSFHS HQVPLPKAGEEDGQLGELGKWLESYRIGELVKDGRPTEEVTRILPRERERRLGQNRLT YDAYEGLKRVDWREFTVEKGTDQSCMKVTGKFLDRVFRENPKSIRLFSPDELESNKLD AILDHTQRNFQWDEYSRGNGGRVIEVLSEHDCQAFMQGYTLTGRTAIFPSYESFLGIV HTMMVQYSKFVKIAREVPWRGDLASINYIETSTWARQEHNGFSHQNPSFIGAVLNLKA EAARVYLPPDANCFLSTVHHCLGSRNYTNLIIGSKQPTAVYLSAEEAAEHCRRGASIW QFASTPLEPNEEPDVVLVGIGVEVTFEVVKAAELLREICPALKVRVVNVTDLMILAPV SRHPHALSKERFLELFTRERPVLFNYHGMRVEGYREEGTTTTPFDMMLLNGVSRFDVA RWAVVEGVREGDNREEVLGEIEKRVGEVRGFVEGEGKDPDDMYEVAKFE QC762_507810 MSNSSNPNPSSSSSSLLTPSPSSPAGTPTPFGSNVPTPHGGQNV PPQPPAPVPTIVTHRQQQPPVGLTYTGRRTHKKSRTGCAICKARKIKCDERHPSCLNC ISHGVECPFLTAPPGTATPITLGIPNRTSGARHAAKTATRASRSPSSPSGPYPHSQSV ESDVLPLLELELLHNFTSRTYLTLASDAGVREFWRVDVVDAALKCDFIMRAVLAISSL HLAYHQESSERRDFYTAQGMVLHQKASREAMKYLSDDGQHHLLRVDKDAAARLFLFSM LTIYFALASPRRPHSEGGSFFINETSSFPEWTFLVTGAKSLSSVLGPRGHETMLAPFL AYGGQRWRTHRAKMQESQMGEAWGPLSALRQNILGSMQKQEGQEGAQERLATYIHALD ELELSLVIITREQNGEGAEEEKDVLDAMLWLWEVSDSLVPLLKIPTPEAVAIFAHFCI LWKHHERTWWLQGWGDHLVERAHEILDEEHREWIEWPMRVVGLGLGR QC762_507820 MTDTDLTTLPPNFYPTYETCPSISPLCPVKATTLGYSPNLPVNV FLAVGFGLFGIITLLTGLWKKTWGFSTTVAAGCILECVGYIGRVLLSENAWNADAFKM QIVAIVLGPTLVCVGLYLTLRHIVISLNPEMSRIAPRLYPIFFVPADVSCLVIQAIGG GVAAAAGRDNYDILKHGNRIIMAGIVLQVLVLGAFGGLAGDYLVRVRKGFKQNPGMEG SALWKDGKFRGFLWAMGGAYAALLIRCVYRIAEMAGGWGNHIMQHESSFVVLESFMVL IACGLLACAAPGLLFPEMSHDQRVKNMGKGRQQGGVDVESGAGEKTAGTGASASPSDT ERRPGTGEGI QC762_507825 MAVNRAWAAEGLRSFSNRPRPVPTRFWVISLASIFVLALLFVGH TSDSLPSIPGLNKEPEKAQPPPPTPESNDPPKGPAKNYDPEEFDLDENGLKMWAPPHM DALVHGVHASDGVKRKPTYPLDPFPQTPMTSPVQDNRPRPWLGAVICSAWDIKRRMLI RYTWMKMFKDVPMDQRFVISNPGPDWRAVIQQENATFGDMIVLDHLHEDDFTANTVKT VEFYRWLSDKSPVKYEFVSKMDTDLWVNARAYWDRQLLPRLDVVKSADGNTVTGYKAN VNHTTIGQFYYDNYHRTAFPHGAIYTVTWDIVNLLPKLQDKHHIIAGEDVTMAWLLIK GHQKVTMAILTQEEKFEFDHKDTRPGERTPWARKGTDVTSSWHAMYGSKILAIHQLKK DDDWLMVAECFDERGIKEMPPYPEKEPEDKGEKPGYPRPFWTQIPNDFWETDVDGTLL CNGIWKLEPGVGRDMKKKPEGE QC762_507830 MDPISAFSLAVNVLSTVDIAVKTGKTLWDLYKSTSGFTKQTEKL LLAMSQFDAALGQLANPRLDASARLASERCSATIKEIRAMLDLCKARKPSSVASALQA KAQYTKHKSELQDLQKELESATGQLRTALAITTNNDVSVIKELLAASEQRSPQLITKL EAISQKLDPLEGLARLVNESSLQTVKDALSLELAKLTEKLDLLQMIKDAIYLSEASLE SINQATILGALRPATADKRFEEIADPACTTFSWMLGRPSGVESGDSSPDIEDPARVNA SQEFIHWLRSGSGIYHIAGKPGAGKSTLMKYLATHSTTQQCLESWAASGPSPKQLICS RFFFWKIGTAEQKTTRGLLRGIIYDILRGNSELTSILFPDHWAPRRYAAMSLAKSPIP TISDTQINNAVNRLLTAEEISARFKICLFIDGLDEFDEASQSLWAFCDRLGSWAKHRN VKLCVSSREETPILGALHCAHRITLHHLTDADIGALVHERLSSNPYFQRVALSDTDGR QDKTTRLIIDNAKGVFLWVVFLLKLIEEELPNQRSTSFHTIHRLIETAPDELHEFFRR IFKTIPKHHARGAHFVLAMMLRLRGYCIAGDYSNNFSPAKNVTTSQTLTLFGLSYIFD SFDNCQHNDEDRPFLFPVPLCSNEHDYRKREIQTAERLQSWCKGLIEVRTLNSDRKHA ADFTHRSVSDFLCTELRDLAPNWKIDDDWVAEGILTTYLAEFKALSFTQTNFTPRKQL DARAAQERRLPAMIECLAKTGSAMTAPPASWVFALLDEIDAFRQYSVAADSSTAEPPK DWFVIMRGDGFQICHPENQPGSLFAIATDMAAPMLNYVMWRLQDPRLLGDDSHKLLTL ASIVSGTRKQFKSQQFMDVSPILRALLERGLSPNVGYPQIGTREAPWFSVTEWRESLT ANRQDGMAIGSKSPWRDTLSIFIFLFAFSYKGAVPVSIWNELQVWLEFGAEVPAEVLV IPLATSHYWAAVGFRYPTERAEIAVWDCEDLKMPRKEWLMGYFGSIKSTTLSSMIRWH QPHNMHTLSGYTDPMGMVASDSQEWKPPFHQTAPTFIMHDRNQHLCPVYPFHWIYGLV WEQNLRQWVRGDPSPLWDWTSRQWVAYMTPRRHNAVGGGAMSLTMRAGK QC762_507840 MGFIEKIQAKIELFRLEQRYTRRRHRRSTFVSNAIYVDGEYIYQ SPNSTGSSSSTASSANTSRSNTTTISAQQAPVDDPVKAQKKLNRWSSMPGFGYNSKPD GMPRIESIAEAHDWRTKQQQQRSSFDR QC762_0084670 MLPVCAVDANRLGANVSRMPDVRGCRPLETATIEPTKFCTTLLN NHSGQSYGQTTDSFLTAKA QC762_0084680 MRLLERNNTGDISLTGDIPDDQVPPYAILSHTWGDEEVSFKDIT DGTHKNKRGYSKIQFCGDQAGRDGLKFFWIDTCCINKSDCDEFQEALNSMFRWYRNAA KCYVYLTDVSTYQQDADSNPGWELAFRKSRWFTRGWTLQELIAPTVVEFFSEDRKRLG DKKSLAQHIHNTTGIPLRALQANKLSDFSFDVRMSWIKHRSTTREEDRAYCLLGIFNV QMRLLYGEGEERAFERLREEISKHGRYLSSLHSTDPRLDKKRIEEAKGGLLNNAYRWV FDTPDFRGWHDQLESRLLWIKGDPGKGKTMLLCGIINELEGAIVADGHCRNLAYFFCQ ATDSRINNAIAVLRGLIYLLAHQQPRLISHIRKYTDNAQLLSDANAWFVLSDILGGML GDPNLKPTYLVIDALDECMGDLPRLLKFIVGMSSTFPCVKWVVSSRNWPNIEESLEAA EKKIRLSLELNEESISSAVSTYIQHKMDELAGLKRYNDRTKNAVQHHLARNANDTFLW VALVCQELMNVSRSRVLTKLNTFPPGLNSLYQRMIDQVRRSDEPDLCKQVLAVLSITY RPITIQELAVFVDIPEGISDELEFMTEIVGLCGSFLTLRETTIYFVHQSAKDFLLREA AHEVFPSGIKDIHYAVFLRSLHVMSGILRRDIYSLGAPGSSIDDAKLPDPDPLAALCY ACIYWVDHLCNWQASDDSKHPDIFQDGGIVDGFLRQHYLHWLEALSLCKSMPQGILLL AKLESILQHRSITSQLLSLVTDMHRFVIYWRWVIENYPLQVYTSALIFSPARSITRGL FTQEERKLITSRPIVEDNWNACRQTLEGHGGPVLSVAFSPDSKLVASGSGDKTIKIWD AATGSCTQTLKGIWDSVTSVAFSPDSKWVASGSHDKTIKIWDAATGSCTQRLKGHWDS VTSVAFSPDSKWVASGSHDKTIKIWDTATGSCTQRLKGHRSWVTSVAISPDSKWVASG SHDKTIKIWDTATGSCTQTLEGHHHSVTSVAISPDSKWVASGSDDKTIKIWDTATGSC TQTLEGHRSWVNSVAISPDSKWVASGSHDGTIKIWNAATGSCTQTLEGHHHLVTSVAI SPDSKWVASGSDDNTIKIWDAATGSCTQTLEGHRSWVNSVAISPDSKWVGSGSNDKTI KIWDAATGSCTETLKGHRHSVQSVASSLNSTLIASGSDDANPPCYGIDSDNRWITRGL ENWLWLSPEYLPECLAVAASTVAIGCSSGRVIIMTFTTDS QC762_507845 MHNTSTGHRTVRYEPPEIFTDLEGKPGQQTKVRSRLYNIWALSC IILEFLIWLLYGWQELAEFHQKIRDKQRVPSPFYEENTVEHGKRKAKVHGRVVEWMGK LSLHPLCQEGTAMRDMLELVRTRLLVVRLPVNLGRLGDGPTPDSVRLPRRNTIENMRE ARETSQQGVSPPIPAAPTFADEPISHEETREAVVVPDIVVDAAENKENSAQTSVKQGD PEQHLCRAKAFEVTEKLQVILDHKDESYWLPSHSSPQSIAVQPPDPLSQGNEREASDA YETFSSIGSSTIDSTATRAFDSGTSTHVDSGQSTGSPTSKEGRDAKLLAPQVPQNVSL AERP QC762_0084700 MVGLLRLPNELLDIIFGGLDAQSFSALRLTSKYIKLATLPAFIS RYFQTRYIMLSRLSLENLMEIARHPDFGPAVKTLELCTDHFVEFPNPYFHTTRHQGDI LLTIEEGRCPPAVLVGSIDDAHSSGEEEDQSPGEEGRRTDEGSVSSQASYETLLDKVA YTSLWEEQEYIIMSGLAQAYITQALISLPNIEAAVISNMHRPWGALAHGRQTGLPPTN ALDDSMQVQFLGQVLRITLTAIATSGAALSSLAITAGVLSREAIAPDILRPSESHFQY YKNLPPSLTELTLNVSAKATRGAEDRWADDLSAFIGVFRQLTQLDLVITPVDYGPRVD RLKQLAPKLQIPNLQCLGLYRAYCSVQDLGAFIVRHKATLQSVTLVQVGVSGGIGHWR SLFALIRAHLPRLELSIKLCTAAGLVLLCRAEHENGEEFEDSFDVGGSHEAWTTAIEV IETR QC762_507860 MQAYQNSEIGSREFKNRLVELTAVAVHNLAFLVYQGHPKLHSQE EIDKTVSWTVPPRWIEDDGLKPRWEKVTEPHPTLFYHVDYLDHDRYAHGLADVVGYWA EDRNFGGVVLFDKGDYPELQEAFDELTNYESEESFVVTLEYDERGLPIVTKSPRDPGA SEDNMSQASSGKRRKLKDGSGKTPPAPSELLLDSPPSLGSPTPLDSPPPLSSPRLRSA ELLELSSSPDASKQETPLPQGATKSPAKPVP QC762_507865 MHLIRTLFLASSLSLAHASVLPSTPKPGIRALSKRAEPNTGEDF PEDEEPIPNRLNKVETAFKDAIELTSAVLSFIETDETIYPHYFDPADREEVTRIFRDL NNDGEGHDMLGNFLVQTTDLDNACGDRGLAYSGDYNTEQPFIVVCPRAFNKKAIGDLE GKDRGDEDARDFYAACAEDGGDIADNVSFHFNTLGMTLLHEYLHYDLMIQSSFGSIVD NPDGEPGYGPVAVYDRLPKDLARVNADSYAYYAAEVYWSLICQKEFQGPREGIDDADP DCGEQTCET QC762_507867 MSTTTPTTTSPPAKIHITITITPETHSFTTNPLPPLLTLSLLSH HPTQITLCTFNRPLALPSALTNRTITIHSLPSRQKIETCLFQVNRSPVTRIRGDSDEQ FYLTLLPNTPTAISTPFGRGGGVNKIRPVPKAIAEKGWEVDEEGRERRVRRSVQPTGV DGLEPGLEYEVGLDRESLEGMWWAPVGREEILIEGGTTEGRYMGDYGGWVKGGIEWVV EGGRVKVEG QC762_507880 MVRITVTALLAFVVTAMAQITPNNAGARNVGQGNGSQFITGGCV NNSDCASGCCADASGVGVCSAEAAQFQNGKNGCGFVDPNAQGTIAAAQAQVARQGF QC762_507890 MAAAFRPVNTPLLAADSIKHEIPPSSTTLTATTTTTTTMTTPRP STAPSQASRPVDEATTPTRVNFGTGALASQKPLPTSPFPESVQVPEPTTESTPKRENS QHSRKSRDSDDMDMDDSDGEHGEEVGSDDDSENADGTKSKKKKSQRFYCTDYPPCNLS FTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLRQHAQTVHVNEDIPIDSLAATGTR FQRQIRTDRVRPTTGRARAATAGSVGPGGRGHSKSLSTSSITSMASIASAYGGGETRR RPPPLVMADPRSRLSLESYRGPDGQYYRAASPDMSTPTSATFSTGQNSPRWGPVASPG SSHSRSHSMYAAAGSRTPGRRLSVPSGGNPFQSPHAPSLRGPLFGPSLNASNSGAFSP GQNGLLSSPTTSTSNWSRRDSVSTADEAWRRRTWHPDTAGFNGASRLSQVITPSQFPP DSIKLPPANTGNQPPQTLRLPGIESFDPIPRRPPTPPRRNPSPMMIDSEASRPPALLP AGDLGSDDRRPSSQWDMGLHRGITRLDINTPPRDSAGAWANEATQALMARAEQAHAAP MQPTVRFEQDLRPPQGPPPINAAPPVGSRHHYTMSAPSITTPRESRRHGWYHGPVPTH PVEETIHEGRPHVDRIVHPNVRGFQGFPAREQQLGIHQQQPSGPSMERILERPMSRGD NPESLRRLQALVAVATSEGSTATAY QC762_507910 MDSFLQVRNIIPFPPGDNSSDTLIGNSHLNLTTLEHWNYTLFTN QTLSNGSWCLLAWEPWIADFVMPNGTFVNATWCWSPVNGIGERAGTSIGFAVLFGVAL VLTIVALNRHGRLYLPVTKRFYPIGRRWQWYWGIIVSATAVVSLFTAMDVDRYYLPEL PLILTSFFWFLMQLGTIAQVWEAVRHWGSWMERQFIDPDPYALRDDDRRSKVEFHLPL IFYLFWWLNFFMIIPRNWTPIQHQRYPEQVVNEAEPSATDARFKAAGFLLFVCWCLTV FSLRHSIKHYRPRNRGIINRFVGFIRMTPLRFKLLIPIALVVPAYQELCAWKFEYSPL NLEGNRAAIFAGGYAPALLIMYIQVIFGFINENEDKELKRQRIVRNQQLDQEMGIVKK PGWWARVNGEVVVPGESMRDQLVRNVREIQGNKPRNNESPASDDVPMSPLSPSAPGMS PAGTNTPPTSPPPLYNGRSERIRQERAMQAVAGALFPQNRSAEAERRRREQELMMDGP APSAAATTAPPPPPYPGATGAREGSVAPSVGSRVSQQPPQQIRSMLDI QC762_507920 MSNSNDPSNTDEPSYIDYEAFLSPTFSPSAFANTLVLSTNNPTE LPLDLSTPLRRVLFDIQEIDSHIDSLTTRSSIPLLSHTKSQTDASVKIVSEVDAQLKA LNESYKQLEKQVIQKHSEAEQVKLVAARLWETLRLGRAVGRALQLGRQLEVQNGELTG SATASVTTSAASLKSRERQQDHRALVRCTHTLLQLRELFATVGTPGGEGYGLEKVLVV RTLREQIVAPVEKNVRETAERISREFSVGSASGSATFAQSEEIKGRTVSALTALYLLT QVPTRPNEKWAPTLMLQALEGYLRSALQSSIAGLSRALANLDSLSRTLAEVGARCQNV VALEAVLEGTKVPVHPMLQGKQPVQGGNMLQPLLAYLETGSLASYFWRTMASNMAPRV QEIVAKGNTTAARTLRANKQSVGEAIRECVIKGSQLPSVVAAAAAKGKGKTGDGEAAS KQWEREVAVMVGSVVNNLGR QC762_507925 MAPPATLRGASRGTRGRCGAPRGARGSNARGDAVTGGRGARSIT PVAAPQADSQPSPEQAMIPTPTRRRVPKAPEPMGKPWAVSSMTWRADEGSLKKLETGE FSDATILADGRTWRVHRMLLSTRSRWFAEAFEKQSPGEDEGEPEINLRELKTEFVDML LRTLYSNRLPDQYLNIRGANATFSTYIKIFNLADQFGVETMCNDALTLLGQLADRHLE DLCTFDKAQSGREGVPEPKPAFPYHNLGIAILEAFSEERTVTDKRAQYLLANFLYAGR AVLLENQVLRDIVDRNVHLAAAMWHASQGRNLAGWLPDPEVISHRLRAFDHSRKTQHP DRCELCDDVFDYGAHKRVMFDPYKVVLRPAGYCGVCVEKYKDDPGCLFRRRGKMDKLG GDVVAGYQG QC762_507940 MRVEALLVCAALFGGTTVLGQVTPQANIKFITPPNIQEGEHDMR DNPVHEEGSLLPITWSPAPEGTRISLTLFQHNTTDGGSIGDFEYITQGTVGITRHPWI VATTKDLKDSDVFRIILFIEGETGGHTGTEFFNITRKKTTTTTTTTTSTTTLPTSIDK DDESNSSSTSAKSTPTDTENSNNSAQTTNRSEDITSSSSGLSTGAAAGIGIGATGAVI LLAGAAFYFFFFKPRQEKKQAALLAASGVGGAGFPSPGVTPHQHYSQVPSQHGPPSMY SGYAGIPPSVSPGPSGMTEYKPPNYYGGQIPPQPPSERSGDWRGQPHEMAAGQMASYE LPGHQQR QC762_507958 MACSMEEIPLASAPSFTALSYAWDSEHGTDPTICDGGLIYVTKN CVAALKNFCKDKSSNKRMWIAIIGDIYKKVTRVRVWLGEQDESNALVCEYFEKVAGFG GHWKDEQSPEDVALDLARKLPRLTRSMVGLFSRSWFTRAWPVQEVALPDPDLVRVICG NRCLSLYSLRMGWDVLKKLKVLPASASVDQAVSLQFYLADAIALKRGVAIHEPGHPRT GRTMRQKRAYQSLLMGLSQFSFTAGMNAMRFKSCQEAKTSCFLSMVFSSSLRLTTGFP SRRGPSLMLKSSKLLRWLASDLMETWMPSIRLAQQSNSYMRLFNNVLSHASHNLYNLV SSSLCSITRRLLMATNNLRAGRDKSYTTETEGLITLPSWTPD QC762_507960 MSTFKNKVTITHIGTATAILDIDGITFLTDPFFSPAGTGFEFAG RICKVHDDPALQLDQLPHIDAVLLSHENHADNLDPPGRQLLDGRRVFTTVDGANNLAP RPSVIGFKDWEERKVRVAGKIFTITATPCKHWPGHECVGFIVHTEDFGVAADGKPNAI YFTGDTVYIPELARMAEKYHIVIALMNLGKATFDGLQITMCGKQGAQLFRDIKADVLV PMHHESWDHFTQNEPELAKELREEGVLDSVRWPKPGVPLEL QC762_507970 MAATSDTPPDGGLKAWLSVLAGFFVIMNSWYVYPSVSFLIQSAD KHTRGIIISFGIFQTYYVSTLHLPPSDISWIGSLAVFLLFFGGIISGRLTDAGYFRTT TTLGAFLIVFGCFMTSLCTTYWQLVLAQGVCIGIGNGCLLTPMMTVVSTYFGRRLPLA MGIAACGSVVGGLVYTGMARTLLPTIGFGWTLRAIGFIQLGTLTLAMVVVRPRQLPPK GEKTLPVVDFTAFREPAFSLFSFMGVFFGFFYLASYARDINGMSYTESLNLLLALNGI GFAGRLLPTPLAKLFGTLNTFIALILASALAMYTWIAVNSTAGLYGWTALYSIAVGGV QSLMPAAVAVLNSDLCNVGSRLGIVFGAIGIGSLIGSPIAGGLITAGGGSYVGAQAFS GSALATGALLILLAREIMRKKTRASFWSKL QC762_507980 MLAESLVAALLAIAPVSARPEPDLWRRAVSAADVRTCALTDEAP HVKAPKANAWAPISPQDVKDVWKFVHDPERALNLTDPANATLTDNYVFLVDTLYLNKT QVLSYIDGDAAQPPKYARVVIFEGGKEEPVSQEYMVGPLPVGAETTIAPYDYPFNGGL GGKIPYDGRYMDGKRTAGYQPLLKQAMTDVADITKALFNGTYYGASDPRTDIVAANTG PHSLDGSQSWITIMFRYPGAASYVTPIDFYIILDITGTDISKYTLRGYVTNTKFFKTA DELRKAFEAGEIISEFPQTRDQEWALLKAVPEMGVRDLDDRIAPQSIEIGGKRYKLDR ENQYVEFMGWSFYMSFTRILGLMFYDIKFKGERIIYELSLQEAAAQYAGNQPKAANTV YHDTYFSIGSTSATLIEGFDCPFGATMLNVTYPANDVTDIHPQGICLFESDSGYPVAR HRYGSGGNPNGFSNIAAVKSSALHARTIATIGNYDYLFDYAFHVDGSIEIEVRASGYL QSSPYYKDQTNFGARVGLGTQGSFHDHILSWKADFDIISTKNSLQRTDLIVVNQTQPW FPELGEFEQMELKAYNMEKEQQFNWAQNGQSMFCVVNDEEKNSWGVSRGYRLVPGRSN VHLTTHNSPFSKHSSHILKSHLAVTQHHDNEPYGNSWQNVNLPLKPQQDFSKLFNDES VDGEDIVVWFNLGMHHYTRSEDIPVTLYSEAVSSIVFAPQNFHDRAQEGDLQNRRWIT SNATTGEITYEDQGLQLPTCKVALEEPATKILPWLKI QC762_0084850 MDIEGLATQKTGLVSHEGDFLRIANEYFTPYYQPLIRWVDRLRK VVFPNRGRWEREDTGPYFQMRQILQEAQMDPAI QC762_507990 MFPTKRPYPFANDHQDTEPDAVWQCDQSHAIRQHIEPQYGPYDG DAYPDTSYQLAGKSPELTTTHTVDEADICFGSIPDLKAQLRTQPPIRYDATFSPFQCY DILEQGAFYALDFQGLKFAVLNKKVCQHFQAFSRDVELRLQAFISHEEWVRMMRRWER ERMSAVVNFDLNIYGRRRHAADVGRVLSKAQLFLQQPQFGLDGFTYYNPHYLHPEEVL GKEVSETPIALHNSQSHNSHSPANDRIKRVMQNEVEPTNDTAEINSILNSLSYHSILA KSVADRSIIRTTLLECHQAEALDFILRRETGDLPAEMSLWEKCQDDDSDLEVCLYQHI ITGGRSKEARDVQGGIIADDMGLGKTLVVLSTIAGSMGRASAFLSQGKNPGQRSAAVV ASRSTLVVCPSSLLIDSWIDEIRKHTYPGYITWHKHHGQGRQDDRSRKQLLESDVVLT TYATVAAELRKGQAVLRFIDWFRIVLDEAHEIRNPSTKQHQATAELRAQHRWCLTGTP IQNSVDDLGALVSFLRVPSVENPATFRKYIANLSTAKSRERFKNLRVLLGSICLRRTR DILGLPDPEPKLRDVELTPAERQEYKNIEQQCRREIDRAVSGHGRGKLNSTVLESLLK LRLFCNNGIPKRESGTASPMPQMDMDEVLSYLQQNNEADCSFCFRQVYSINDRPDTDG GLLLPDCLHLVCRACMPQYHAAGSQCPLHPVGQVQHSLPLGNPSHTTPKMPTQYPSKL LALLKDISMHLSQKSIIFSSWKKTLNLISELLTSYRIPFYCIHGSLSLGERIRILKDF RSSSGANILLMTLGTGAVGLNLAVASRIYLMEPQWNPSVELQAIGRALRLGQTEQVAI VRYIVKHTIEDSNVLSRQEAKLQLASGGFGKRRRGIRAEQLDSLLGLFGVEKRG QC762_508000 MAASLAKATAVTMAVAGLGANDVHPGAPFEQALEQFKKGLRPKH RSTFQTTTLPDLLAAIDKIQKEQHSNRRLQAVGRLKPTLEALNQLGKVVETFTNTSEF VAFVWIGSSFVEAFTELLSIYESLGEELPLVQQYEAIFSKDSDMKRVLAYLYKDVLEF HHRALKYFQQPMLKQLFQATWKTYKSRFDDLINGIKRHRELIVYHANLLRIKASLDDR RNIDQQFSQIAGAVNQASLSIKACLDERKVRDQQLKEMADAESNRKLRELQGWLRASN VANDQHEFCKLRGEYPGTGSWLLDNPKFKEWFETPCQLMPPVLWLNGIPGAGQCQKRS HHLPYAADFFKGKTILASLVVKKAQQLNPPPAVLYFFCKHGDNERDNFVSIARSFLSQ ILPYNRDILLPYYHDKYQSSTEAVLDTRSIIEDLLKVSIRNFPHVYIILDGIDECPRK ERDIIASWFRELVEDLPQSNPTQIRCLFVSQEDGVARKDFAGVSVIKIRSQDNLRDIE QYSAKWAIDIQKKFELPDTKRESIAKLIVDAAGGMFLLAKLISTNLLHQLDVEELEYE LEPGRFPREINAAYSRIIVRIFDHVSESEQHGSRMLLSWLVCAKRSMKWHEIQGVKSI DLETKSVDFRRLRFRVDSKDLCGSLVEIRSDGTVELVHLTAKLEKHVNPARGELQLAS LCVNYLNLPIFNDELEPKEKHCLILNGSYAFMDYAIIYWVRHLEASLASLEKDGTDAR DISETLEDFIELHYINPATHFPVSQGNAARLRCFEDLDCHDRLQQAVISTRKQLTFYG EMNKAEIALDLVDIVESIRAALERLLLGIQNGDGVAAKLEKHYGSNLFKCPRLSCKFF TNGFATAEQRNQHLGKHQRPFRCAIEGCLSGTTGMASEKELQKHMKESHGGYQSHDEF PDNDEVYRSLQPQLSITNSGNQTVLVIQEPTVAETTTTEAPEAQDPASDNVAREVIGH QRQQSNKRQKIEYICWFCYKVYNRKFNLDSHLLTHSEDRPWKCDLCTKAFARESDLKR HRQGHDEGSHFPCQGCGKKFARRDTLANHHKSKLGKRCLSALNLQNHAEESTPSP QC762_508010 MAMQLPTVNGVPVIMPPPEGYVVDFENPARNSVTEAYWLFGVGN FLALLFMMQHLYTKAFIRRRFQIEDASLIIAWGCSIALQSMIVRDFMRGIMGTHSWEM PVTKFLLFLRALYLLPILYNPVQCGAKLALLLLYRRLAPQLWYQITVYVVMFIVVGSS FAIMFAAIFPCNPVQSAWDISIPGECINRPALYQATAILGAITDLMVLAVPIPIVVKL HVPLKHKIALIAAFSVGGITSFTSIMRLHALIVSMGDIDQSWGGGPVLLWIFAEANLS VICGTLPTIKPFLNHFIPRLLGSSNARSAYPVNSGLSKSGGPPTFGGGGGGNQSQSQK RDKYQRFDDDIMYPLETVVAVETGDDYASSEPTRKSESGSEKAINPGGIVQTKTATIT YQNAHAR QC762_508020 MHFTHLLLSFCSVASTMAAITPRQIPSKAKCLLDAGLGEAVLVR GDPQYAEREASYWSNSARLSPAAILRPRNTKEVALAVKALAAAKQPFAVRSGGHTNWA GSNNIAGGITIDLGFFNTTTYNAATETADIGPGSRWRDVYSELIKHKRAVAGGREGNV GVAGLLLGGGNTFFTARRGFACDNVVAYEVVLADGRIVTASKTSYPDLFVALKGGSNN FGIVTKFTMTAIPSDKVWGGMAFLPKDIAPQAVDAVVSFTNNVANDPDSNLVAMFTHM PDFKDIVVATLYANMAGVEKPAAYKEWLALPEIMNTVKNTTIAEMAFEYNIPANLHDI WFTLSLKNDARILNKAAELHVKLVEDLKAFIPEQTFTTQCLFQPLPTVFGKNSVAAGG NIMGVERQKSNGVLFLATAMVQTPEQEKKAYPLVKTWVEEVRKFAATIDGGLQEWTYL NYADKSQNPLKSYGPENIRKLKATAAKYDPQGVFQKLVPGGFKVSNL QC762_508030 MAPHGNNLSKDEIAQFKEVFEIFDKDGTGDITAAELGAVMRELG LNPSPEELQDIVNEADLNKDGVISFEEFLSLMSMGVKETDTEQELVNAFKVFDKDGSG TISSDELRNVLKSLGENLTDAELDEMIKLADKDGDGHIDYQEFAHIMK QC762_508032 MITAKLHWPTITDRLAKLPSTIPPHERYAHVANNIASVVRWNKD LLEGMLLEAQAHCCAAEFAAKDWSESTDANDSKSAERTSSKEREENEEKCRFYEILIE VLEGNVNLFCVSGSFLMICIGKIKKLKTPVDEESLEDDYGRNLVFFFLGYQVGRRFVD CSAVYRDG QC762_508034 MSTSKIFPWSSIKPKLLTSEGRFIYDDSIYADVACDILTEAAKR NPLQEMLDDALRALKDARKLRESGGGGNNAELQFAFFFILTGMVTVQLRAQEREVISE KMKRSKSPGCESTDSGLSDGSGQSGNFVKIDLDEIRDGMVREGVKRKGVLNKVSEWVF GKGKK QC762_0084950 MPTSDLRLHPRGYKTHEWLDLDATYLIVKPKFSLRFRHADELRI ESAMSDSYRFGDYNNGSQVGTNRRTIYNTFPQAPERSETPPRPFATIPFSCDPDFVNR GDILEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVHAGIYER VEDGFRTIANTVKLAGRNEPKANIPQLVYSWLSNERNGRWIMILDSADDRDVFDNANI AHGTTSGNERERRPFATYLPQSQNGSIIVTTRNRELAFRLTGRRQNMIEVGPMAQTDA LALLEKKLGSPVDLDVAADLVQALDLVPLAISQAAAYIQARAPRSSPERYLAEFRKSE HRKSSLLQYDAGDLRRDGGASNAVLTTWQISFDYIRSKRPSAADLLSLMSFFDRQGIP GWVLKPRRVTKEDIPGRRIDEDGDTDFDNGRSATDGAVDDDMDGDTDSDLTDDSADTT DDGFEDDVAILRDYCLIATTEMDEFEMHGLVQFSTRKWLEQWGQQETFKQKFIERMAA SFPTGKYKNWATCRNLFAHVQVAVAYQPSDDRNDIWATLLYNGGWFAWSQGRYEVAQR MVGKARRARENRLGKEDTASLDSMSLFALILLHRGQWEEAEKLFVQVMETRKTKLGAD HPSTLTSMANLASTYRNQGRWQEAEKLLVQVMETSKTKLGADHPSTLSSIANLASTYR NQGRWEEAEKLEVQVMETSKTKLGADHPSTLSSMANLASTFWNQGRWQEAEKLFVQVM ETSKTKFGADHPSTLSSMANLASTYRDQGRWEEAEKLDVQVMETSKTKFGADHPSTLT SMANLASTYRNQGRWQEAEKLEVQVMETSKTKLGADHPSTLSSMANLASTYRDQGRWE EAEKLEVQVMETRKTKLGADHPDTLSSMANLASTFWNQGRWEEAEKLNVQVMETQKTK LGADHPSTLTSMANLASIFWNQGRWEEAEKLEVQVMETRKTKLGADHPSTLSSMANLA FTWKSQGRHSTALALMKDCAQARQRRLGAEHPDTLSSLATVIKWGS QC762_0084960 MLEETKNMAYTSPPTLVESRNTFFSAILEVIRQGMQDDGLLEHG EGFQVIPSSKDAIQHLDDDWNVVKVEEAAGYKKGKKKRAAK QC762_508075 MADNVYIWKDIKKLIDQKNKYPEGHAADKYVLNCTARILTGILH TSHCVAWSILLRDARAKLAEANDIYWRASAREMKDRKPTGKIHVAYGLALQRDFFIEL VEWLQFSTSSMDYDEELQRKLQDTEQMLKKTQKKLWETEKMWKENEKWLDNTEKVAEK IEKRLGETKKKLGETEKTLEVTKERLKKLEKLEGLEKAKTIEESAKKLKKAKKLDELP ETLEEPPKKLDKAKKLDGFPKIPEELADKLENLCIKQDLNVFDDDEDWVIVEAGSA QC762_508076 MITTCIYLPSPLLPPHARTSQTPLTTHLYLLLKSQGYKTNTIII MPSSAFNWGAIEEAIDMNEVVPGKGSKIVFMPVARDVIQDMQSNKRITMAALRQDCQE VLKQVNRQYQSAARSVADSVTLSVKETSTS QC762_508077 MNPPSIFNWDRIGRALKKGRGPEKMPLQSTFDEIATAYFKEVIW KDKKVSLHDQLRDCREMLEHSEYAWADVPTEDDHTPETAKTKNIMLVEVAVRRTFFRL CQSWIKERMEMAGVSEGTENPGVLEGTESHPGKRC QC762_508078 MPKNVFDWEPICATLMEGRGPADLPPPALFMSTARALIVNLHQE KKIPIRRLIRDCKEMRDNSGDVFKIQFGNLPVKVIIRRQFFQLTLTWLEGMLQKACET AVAGVDDYDGVPSDQDILNSEPDWEEVTGLEDWVWVEKTDVEA QC762_508079 MDLDNTDTVDVSSSDVQNTPPPSIDPTFNWDEIRPLLLNPPTDP SSPGDPTTIPQENFQPTFSRLLDTYMSLDPSETFDDIVYLHKLRTLSQGCTNHLAICT YLEQQSSIHDKDDDVRLRREFFEMLAQNLEVFVRGQEKVVEKLWTQSDWKREHDVLGG LMGLSMGGTSREAKEEEEMEGLEGSWAKR QC762_508080 MKAVLPARLPPATCRVRLSTPLLRSCRQPPRYQTRGVVCMASMS PKKREGDISDAFASMSGKAPEPLPDRYRQLKLRLVQGHEEAVIASWKRLLSVLQRENE VIAQRGPSIVPEIRFSHLEEDLAASKPELKKRGVAVIKGVIPEDEARAYKYEIEEYVR QNPHTKGFPSDHPQVLELYWSSTQARARFHPSLLKAQTTLMSSLWHASNPSTPISLST PLCYADRLRIRQPGDAQFALGPHQDGGSVERWEENGYGLGGIYNPIFRGDWESYDPFD ASGRLNAVTNLHDGLGACSMFRTFQGWLSMSKSGPGEGTLLVNPLVRETAVYTLLRPF FRDIKTLHQLGGDKRRHLEVDNWEFTGGEKMTSDLQGASPGHGQEFPEGLHPHLELER TMVHVLEVRPGDYVVWHCDTIHAVDKTHNGHGDSSVMYIPICPTTEASAQYVARQRAA FLEGTPAPDFPGGEGESRHIGRPTEDYVMRFCDPVGVQSLGLDKLVALEGDTPSGKEA VRLANQALGFI QC762_508090 MPAKPVVFVATDSSGLPVKRKQAHQACDTCRKRKKRCGHLPGNQ SVEDSSIIDVESPRPSPSLRPQNPIPPHASQRSSSKPQDPPDADVSDAAAELLLRFFS HAREKPGRNDSSHDASQRKQPPIDSAPRFLGDLNPEGILAEATMTTWPGPSNSSGTAS KITDVERDNRPGVSIPPWAVSSPTGEATTTSKSPEATRDPGDEDQPTGFFSFRVDGSW LKVPVRDATTIKLIKELLGSTTEIAPAVLPTEPEWLFMRDMYLRKIQPIFPILDRETL VDLPQDKNVREAIQAAVCLAISTDPEVSGRLTLGCRSQDGKGWVRESVDYEDYSQALA SFINTRIRSRELPLLYNLQVMGITCLYWQPEEVNERSAPLNLFGNLVSIAASHGVHLE LQGKGHLADQRYPPGTGKRLFKCIYALDRLLAAFSGRPVMFHNEDLMDRPKADPDDPP SFRLFMSLIHVLDQVIEMYRPRPTVTYIDIPVYEQMALDVGAQCEPEIILTTLEVLYH AIGVLSVRMTRERFATPPETDNGNKTPVSYQHLPPSSVNARRSHSSDRILDVISAYKL SPFPFVPYALSLSLSVAYRKWKFSKLPMFRTRGKADFLKVAAAIRQLSPIWANARLSS ELGNAVVRNLERSEALLRERAKAKGGVCAPSKPKAINDSGSAFNIELHSRARWLIEKP DEGARQDSTNPPSSGARLQPGLVAGACEPLSPSSTLANTSQGHPDTAMAVGSYQIPDT SGVGHTNVNLFGSMGGDQMESGSGEFMAMNDSLIFDSWDPTFAQMIDYSFASNLDPGN PFGSCEYMGFT QC762_0085040 MVYQKRLFEDENAFYALCDIFHAILRDSRLAAAYLVVDALDEDT ASATHVPIKWIVSSRNRPDIEQQFTLDDSRMRLSLELNAEQVSRAIDLYIDYKVTRLK SIEHDEAMQDQVRSQMPQKADGTFLWVALVFQELQKPVLSRDVLPLLEEIPPGLELLY NRMMNQVEQYERSCRHVLAMVTLAHRPLYISELRALADASGTGELEKIIDMCGSFITI RDAQIYLIHQSAKDYLTGNALPRVFPSGTKPVHRDLFIRSLRALSGTLKKDIYGLRNS GLLVHEVQPPNPDPLSAVRYSCVYWVDHLLEAHGQGSNQGKELPDDEEIHTFLKTHFT HWLESLSLLRKLPDEEAPLQTYAAALLFCPTNSKVKRQYWGERLPLVKNVSGINNNWD VCLQTLKGHSGSVKSVAFSPDGRTLASASDDETIRLWDAATGRATQTLKGHSSWVNSV AFSPDGQTLASASWDQTIRLWDAATGCATQTLKGHSRPVNNVAFSPDGRTLASASQDE TIRLWDAVTGRATQTLKGYSSSVNSFAFSPDGRTLASASQDETIRLWDAVTGRATQTL KGYSSSVNSFAFSPDDRTLASASRDQTIRLWDAATGYGLNNNR QC762_508110 MSPSGRLPTPDFYDDDDRLPATRQEAIDHILQIRRWQEDSGFLT SRAFQGMLKTLSENLTTTTSRFIYELLQNADDLDYGGASPQVHFTYDAEKRHLLVESN EIGFKKKNVRAICSAAESSKNTQRGCGIERRLIGEKGLGFKSVFKVASSVWIRSGHYS FRFDRDGELGRIRPIWDDDFPAEEYAAGLTTAILLKIASPTHDRQTGTSDPMDLVLQG LENLKPGHLLFLSKAKEVKVGKVVGSGALRSFIRGSTATAKPTAVFKADHIPPHRNTL LPVSLYHNDVINQQVAFRHLVTDLPDTPERPGCLESEVTLVFPLKIPLDEPPESQMVY AFLPIRDYGFKLSIHGDFLLVPSRESIIDNVWNRALVEGVCRALVNAIPGFHRFGYHE LFYRWPLLLPPGPTRSDIFEPVQNRLRDLCSLNPVLEDSKGRLVCGSQLKIVPTRLKD QDGRNILPAAYSTQHLISDKYPARIHAKLQALGVPLLSPEDFLADLGGFISNFPTEFR GMPVSWHNALCKALHSLLDTHREVIETLEIIPVHDKTWVSFKGRRLYLPSDVDLKLPV SISPLGIDLDIRQNADRAKFFQALGAQIVDKMSFCDLLLQEHQPDVPNQRFLALTLQD RIEDFVFLYRANWKPKNNDIPELWCAANVDPSKIWRLSWVYLPDKDPYSASSLAKETR LTLLFLHDLYLKTFSQLPGGLLWLSDTLKARRFLRVVQPGPLDWGVNTHRLHSDFKAL LQRDPIKGLELLQHHWEFYRSWFVPTTQNGYEWTFSEEDIKSIHTFSSTQSELTNDLS MLEFPCHGGSMARLMDTALPRQSLLVLADQRLSYHCSEDHSLFCWICDIIKSMLARPG PRPVRSDRLLRVNEPEDKSWDFLRHFGVHVEPEPKVFLDYLVQLSGRATTQRDMEQLY EQLESSILKYGHKERDMKDIRQVTVTGATTRSALNGKNYIYIPRRGGQTVGWWAPTSL CVWDGPPCLRYIQRIKTFYPHRDNLFRNLLEISNANLNSLFLEVSHLDVSDTEHIKAL LVQISQQLQVSAHDLDRPDFSSCAMFPVRLTGKKSMTVVLRSAEEEWCIPEERLHIEA LSGVAPLSILDAGFFEMDGMEALVRELGLKERLVSRRLAGQETDKGDAVQHVAFEEVL RGKALYLAELIPNTISGLSFRRKKTQLVNVQVYSCTRINIKLKVKVNGKEVKGVPIKS RVAKEVVDDSLRFYIIDTRKSIPVHWLSELLVEFCGIKNNQDIQLLMQILNSNDDEII RDELEYRRERMAVVRRPAEELEEEESSESESEVEAVPEPEPQPEPQPEVQPEVQPEPQ SESEPEPEPEPEPESEADQSSLHPQRVSIVLRHSRSVDTGFEEAKRAKEPWKFLQVQE HETARPATTSKRSEHEDFIAMCYGHSHLDSPEVFTQFALDLLYRAGYRKSSPFCTWMP SEIHDRDPQATKRNSITTWSAVNQGFHAGSPLGLSATFKGPASTTTPPVLTLKGVYID TVHACFDLDLRPDSRTLDLASILHRLRKFLAPLHPDPNPDKEDSKDQWRRDLYLLELL TGDSELPAATRNPTPLSRFIDTHQQANNPTPPQTPSDPSHRWFTPFAQQILALHPDDD RRILEPEVQANLDKVKATALAFLSRFPDPAVCITVSPENNTFFAGIVPGAAKPGDRVF VPHGSKVPFVVRRKSSGRPRQVSSVSQGSSVPQVPRESPSAKPPAFAKIVRRASMRTS FGLKWKSKALGGGLGRKKSKGGDLDQGTMTEFSPTGGLDSGVGSMEDVVAGGSVNGGG HGEHHEVGKERERSRSSFSFRRQESWDWEGKLGGHGGAGSVSAGAGGGGLGVMEEEVG EGEVAPEVKTVSLEGEDDRYELIGEGYVQGLMYFESSRARSGGEGREGVVCLV QC762_508115 MVNKKVALTIALTVAATVSASPLVVRPIPTPTTASAPAANSTLT PPATSAGNATAPPAQITNPAEAGAGAEAGQPGNIIDAVNALVSQVKGILGPLSAAAAA ADSADAAGETTITATVTETAKATGGAGAGVGVIMKPANGTEAATSAAGETEASPTAPP VAGAPADAPADEAKDGEAAAPPAAGKSDPASSVTSAAATATSEAAAVSSPARNGTDSA GTGRNSDAGAEEESDPTIVIIVSQ QC762_508120 MSGRSAYVRKKITETKTGEQQGHGRARAKSTTSSDNVTISDYPI GSMPALRQERDLVTGVGTTNARFLKFSEHAREDEMMAYRGDVPSYVPQSSGSQSGSSV DGRRDGASTSQRNRPLNDFAYARARRPVIKTEDVSGIEKSGLRSMLDKRSDDFRKGLA KTFAFKKKDKKGSNAEKAGDFRPESSATVRPSPISADFNDGYDADVSPIQYQPSPSGP HPGVPWDDTGMLSPPPSGKLPPTPGSSGAPPIKRWIGAGRPVQRWNKLRKDPELWDPN GDVLVFFGTKGQSPRPNPSFRLSSHIIEATESRFLITLLREGSTEEDIHLPPSPAGAP PMLQRHNTHGQHLAAGGIGRGGHPTPPVSEDNSWEADGQISYEMYFPTPVSMSKLDQF RHHITTRNVFAMLYHSSLVGLSLYQALTDLHSRLESYMPPETDNVGTILNYLSARGTD DVRNDPETAVSLLAWSEQSDVRWEEGWRESFLHCAGMYSRLETCSDFRYLTPITRALL ERACLETQLRVQAAEERLAAFQYSDMWPAAVATTASTSGPVGAAPAKAAADRLQNFFV QYYTREYGSWPPPLSPSGGADPYATAMVQGVLEEEEDIWLTRTVAQALQKDFAALYDY LVNRDIVWDVSEARSSRKWMMVSESGNRAFEADTEDLPMTDMLIEFDNKHRFPHIPHP YPLVPESIPPSLNPNKDTSSTSGGGMFGNKSSKKPTATTTRAGALDRRIQLAYTEATN ICILGSDFTHSDLLDAFSKFEKSDKISEVDPATARRGRWVLVYGILQTLASVSVDAPN VRYRDNVTYHLSPRLKGNTKLPPWKGSSNPSANPEASHELSHCWVIPHTWRATSQHGG SSVSNSGAEENSTDEQDDFYPAYGYGGRSYNFPLPSSRAPSSAGGYRNNNKSLRSGSS RAPSTAYSNNLSSAASVMSHSETGSEAGGSSIWSPPPSSAGGGSRRVTSSSRRRDNAK ISVAPGGGGGRYIDPVEEGGWAAPGQTYASRPGTGTGTGTGGYNNKEEGDDGRSFTTA YTSRGGERDGSRVRGGSGKRERSSPPVIRDFDFDGLDVIDDHAP QC762_508130 MLFAIMFAFWRFMEILTLVPIVGMLSYFVNINLSANLMTPTSIL VLFIVSVLALAWAVFTLFSYHRSSNNAQFVGFIDLCLFGALIAGVYYLRGIANADCSS GAILRQNGWLVVSVNFDKTCGMLKACFAMGIMNVVFFFITAVMAWVHGRHTARDEKVR YVETRRVSRSRGGSRSSRRSRSYSGSRGHSHHRAYV QC762_508140 MAPDDAPIVTLAEGRPVHDPTAATVLRGEKPKGGQLSLLSDTQL IETLAHFPRERIPERVVHAKAAGAWGEFECTHDVSDFTSAAFLRKVGKKTKVLARLST VAGEKGSSDTTRDIRGFALKMFTEEGNWDFVGNDLPVFFIRDPVKFPSLNRSHKRHPQ TNVPDSTMFWDFHNNNQEGVHCLMQLFGGRGIPKSLRNINGFGNHTFKFGKPEDSTFK YVKIHFKPDAGIQNLESDEAVKLAGEEPDYHIKDLYNAIEKGDYPSWTMYFQIMDPKE AETYRWNVFDITRIWPQKDYPLRPIGRLTLNRNPDNHFQDIEQAAFSPSTMVPGIGPS ADPMLQARMFSYPDAARYRVGPNYQQLPCNRAQYVYSPYQRDGPMRVDGNYDGDPDYV RSSFRSLRLGPADIAHDEWVGKVTQFSSEVTDEDFEQPRDLWKIFKSNGEDKVFAKNV AAHVGKALPQVQKATIEMFNRVDKEVGEAIQKALDELDSEGGAGIEHSSAPCSKEKKK QC762_508150 MAPAEPNALPFRPKGETPHFAEEKEGWKGYVEWEEFPEKKKQAQ EVLKRYDFPEPPEFQLNPLPTTNPVLTGERWKQYHSALGLSHIFHHSWETVLQEKSPD MTHILQFPYNGEAPGDRLIKTELTNNKDHFVRNHGGIPDIDPAKWTLDIGGLVKNPRK LTLAQLQDERTFPRQSNIVTLQCSGTRRIEQIHEYPGDGDELINAPWGEGAISTARWT GVSLKRVIKYCGGLVDDKGADNIEFYGADTYFKKGGVHNYVVSVPWRKVKAHEVLLAW DMNGEQLPRIHGFPVRVVVFGYIGARSVKWLYKIRAIHRPSMAPVQRKEYLYYAPQIG KQNARYSNGFSIQDMPVSSAIITPKKMDQIVHEGRVRLAGWAYSGGGHWPVRVEVSGD GGSIWYEVPAENMSTKYFYAWRTWWVDLPVDAEGWLEMCVRCWDNAMNTQPTFVRSAW NWDLHVTSSAHRIKIYSINKSRPNTAARLKQLEENNTPIVPITRPIHFDLETDDEYAK AMESRAWRDPLE QC762_508160 MPLDIGAKNIPSPRILRHHTTNSHGPLIPRCHIITVASLAASTC SAISELRSLCKCLPGRIHAIENEVADLEVRLTAASSEYKIPVLKAGIWLKEQGRLQTL QEDIRTVKSSLNILLGASNSQDMVKIRLDIQEISSLTARSSIQSTQEHLAMSKAFMSS MAGIDERVARVEVLIRAQTELLHERQFSQIGSTYQGLARTTKRQTISKLEKKTATVCS EDTISVRVRPFIATCHTGCRCCCHAQQRSATPAILNNLLGRLFLGYSGLPLLSSKCDS EECRGARARQVSMEYWFPMSLWSTIIRLQVVTSLNGGPSLHLDSLRRIPDSSQAVDFA QKGNTRGLQYLFNNGLASPRDVSTSRGYTLLRWALYAKQYETVKFLVHAGADADYRPI SEFDNSPRLKACHFLLEGGLSEAATEALRTITWGSYFLDDFIEASKFTQIHKIVLGLS LQILEEELIRNPGNMNVQDSMGRTPLAWAAAKGDVQTVATLLSFGADPNIMDVQISGP LSNAAAQGHTACVELLLEAGADPDPLPPKGVQKGSPLSVASRNSKDATLLKRLLDFGA DVNTRTVEGKTPLFHATRNDNASFAMLLLEYGADLNATAVTRETPLTTAITYNSHNVL RLFLDRWSEYSVCPRLKGPNLIKIAALYGDVGRMDILANACHFRSNHDKEYTLGNFKA ILRQREDVTEELVWAFDELLSVINAAPDMRRGEEDLMEAGFFSCLGSRSNTWEDGFWR KEEDPESDEESVESFQDAVEKLEVIAAAEVR QC762_508170 MRFGQNYHRNFVPEWSEHYVDYNLLKKHAKLGNIADIYEYLDNA VPALKAFYQHEINTDSFQQEDLNKLQWFERVNVDAIERIITKLKRNGHAGSPDPSRFA FWKNSRQMKLYNQQNGNGLQDGILPNKPRTANPLQVAIRNQDDERVLALVQDSKNLRY LSARGENALHVAAQLGRLDYTNLLLKALAKGGLNHDIPDISRGWTPLFFAAVDGHFDI VQLLLEAGSNQHKKDHFGWTAKEYAVFKGHLAVAGLFETTDINGLTGGPEQSPIGPSV YAPEHCREGEQIIIATLGSSRKDRVVTEVDLSYCSSSLIREQTVPILDKETMSVAGTV TFTFLIAKPYVHDLQGPQGFSTPEEWKTALTPVSSPPLLVGHRGTGQNLIANKHLQIG ENTVGSFLSAATLGASFVEFDVQVTRDLQAVCFHDFSLSESGTDVPVHDLTLDQFLHA SKIQSPHGNPLSMLGKPCSQDEGNNARPRSRSLGEQFEAGAIQIRDRMKHTVDFKLKG FKPNTRGEFIQDSFATLKDILTQLPEEIGLDIEIKYPRLHEAVDAGVTPVAIELNTFV DVALDTIRQHNKKGSKRKIVLSSFTPEICILLSLKQKAYPVFFITNAGKIPMTDMEVR AASVQVAVRFARRWNLTGIVFACEALLLSPRLVGYVKKKGGLVCATYGELNNQAEVVR KQVAAGVDIIVADRVRLVADTLAVLN QC762_508180 MDEDQGPSQIVPGQTPKKTFGDRLNTIRKTFTTKDGLIGDYDYA FLFRPNLPFMAKSDKAPPFFGLNDRMPVILALILGFQHALAMLAGIITPPIIMSGAGG VNLTTEQTQYLVSTALIVSGLLSAIQITRVHIKGTPYYIGTGLISVVGISFAIIPVAT GAFNQMYENGFCQKDEFGAKLPCPDAYGAVLGTAALCALLEIALSFMPPKIMLRIFPP IVTGPTVMLIGIHLIESGFKNWAGGSGLCAEKNPAEFFARCPDISAPNALPWGSPEYL GLGFSVFVTIILCERFGSPIMKSTSVIIGLLTGCIIAAATGYFNRAGIDNAPVASFIW VKTFKLTLYGPLVLPLMAVFIICACEAIGDITATCDVSRLEVEGKLYESRIQGGVLAD GINGMLAALCTITPVTTFAQNNGVIALTRCANRSAGYCCCFFLVIMGVFAKFAASLVA IPSAVLGGMTTFLFTAVAVSGMAIITKGVPFNRRNRFILTAGLALGYGATLVPNYFEN VFSYSGEDKGLQGFLDAISLIMETGFAVTAMICMILNLTLPMEVEDVTEAVAGQNQSV VTAARPTSAGDSVEEEKGVKSA QC762_0085140 MGDVLLFHPTSRPKVSGRDQLEGKITLPPSRYEFTTVYAHPLAK ADIVLVHGLNGDPLKTWTSRENGVYWPVDLLPAALKDQHANILVYGYNADVYSSRKTP NRSPSDNFIHQHAQSLITSLTHHRKADGTERNPIIWVAHSLGGILVKRALLYSNDVRA HHQEDFRSVFVSTYGIIFLGTPHNGSDIAIWGRVLQAMSEVAIPRKLFETQSVLLKAL KKDNEGLQEINSHFLDVYQRFRIQMVHEGHTSDVKGSKILVVDAASAGPQLPGVTYYG IEKDHSGMCKFEGENAPGWRNVSTTLRQWVADGVNLIPPRWLLEEKDRQLRASLENFE RARTYENMLASGAHQQGIGNNNELVSLRHRPRPSLVESITSLSSSPAVMIESIHSDHD HEPEPSSPTSAITPTQPLPPQQLPPSEEPLFIHPDPFRPNSFFLGRTDELRGLHEMLQ DRKRRSEGTSAVLISCLPGGGKTHLARQYVFTHLLDYPGGIFWIRAKSKQEIEQMFWR IAKTNSLVSLNTTTREVVSAVKAWLSNRRDWLMVFDGVQFDMDGLGDFIPDGRNTSLI YTSTERAVASEPRWDNPQVIHLGLLTPDQARELLLLEMERKPPFSQEELALGLELVNA MGRLPLMIHVAAQHIKATREPVGRYLASFKSGRNGLGGLQAYQAVVRQLETRGENASL NLISLLCFWDQHVPVEMVGLGIGMGALGDKVTPVKTRDRVRGGAPSLGNTMRVLIAFG LVERNEVAGEFYVESSGGSTGGRSSSRQSGSGEPSLDILRIHSVVQAFFMESLHQRRE AHFWLERSTAIWCKSYDEADWRINCGYEGHGGFDGGRRRKIGRLPDDYRRYCVHGEKL LKNVKRFEKSRRYPKPNGMDKARGQLEERLARIRWQIEQVNVRGGEHASEDDDDEEPV SVFDRVRYGSGTESQSDGTIQSGESQNSWEAELRSPGLEEMNPMEFPVTQLLEEDDED QGAPYPSMASMPDMPKINLPDPTSSDEEDRATVVPPLPTIMPSTTTTVDAAGFLAKTK NPSFEDHARPSSWRDKTVLANARVALTNEVARASLLTRRGPSQSRCPIPRSDYLTARS DAEQSLNKIKLSTPPLPSVVPPPDPAPSRPKTLTLLGRNSYSLPQAQKTPAPDSEALG SDFSTGLSKMLSDSKTWTAATVKKLLSPSSSPRSSLSKSAPAQERAIARPPAPIFRGS GDRGTRSANSSPAHTTSPFRPPPPINVRRWETEVDHVNDRMSLSYPSINLPSRPQGSP RRSNLSVASSPTPSTSPKLTTATPTIPRNSPALVMPVPNSSFPPPPTPPSSSRGSDSR YRAVSGTGRGTPLPLSTSPPRAAAGIRVGNGQIVSFGNTNRSRSSSPATTVRGERGRR SWDSRMSLESVDDVEGGGESGLGDSE QC762_508210 MGDHSPRQDSHRSRSTKHDRNREPRDGAEGRKSKHREEDTERGS SYRTRSRERGHRRRSRSPTGRDRSRDRDRDRERRRERDGHRDRDRDHRSHRDRSAERD SRKPRDDGDSGNSGRERRRRHRSSEGRHSPSRPSAPREARSDSQRNLVKHRGPLPSQD DSFAVSNGGEPEKPKEKPNFGNSGALAAASNSVTQADGTTITLKYHEPAEARKPSPRD EWKLFVFKGEEIVDTIDLGSRSCWLVGREQAVVDLLAEHPSISKQHAVIQFRYAEKRN EFGDKIGRVKPYLIDLESANGTMLNGDKIPESRYLELRNKDMVQFGSSTREYVLMLAP RA QC762_508220 MDTDDEFMSTVSSEDDMLPDDSDNDISGDDDFGFDDEPDPDLGI QQDIGQNKRAPYDVSFRVYEPQDIQQQQDVLIDEVNMILNISKEESAILLRHFRWNKE RLLEQYMDHREKALEAAGLSQTTSGPPKLEVIPGFCCDICCEDEEGLQSFALKCGHRF CVDCYRHYLGQKIREEGEAARIQCPAEGCNIIIDARSLDLLVTAELTERYHKLLNRTY VEDKETLKWCPAPDCQNAIECGIKKKDLTRIVPTVACSCSHRFCFGCILNDHQPAPCE LVKKWLKKCADDSETANWISANTKECPKCNSTIEKNGGCNHMTCRKCKHEFCWMCMGL WSEHGTSWYNCNRFEEKSGTDARDAQAKSRVSLERYLHYYNRYANHEQSARLDKDLFA KTEKKMVQLQKESGMSWIEVQYLSAASMALQTCRQTLMWTYAFAFYLARNNLTTIFED NQKDLELAVESLSEMFEKPVTELADSRLKVDIMDKTSYCNKRRIILLDDTARNLSEGK WIFNVEFSNPTPISGPSSRK QC762_508230 MPSTALRMKTLRAYAKYGQNYGALFTRAFSLTPRRYEINKIYPS AAEAIKDMKPNSTLLCGGFGLCGVPDTLINEVHNRPDLTGLTAVSNNAGTDTSGLGKL LKTKQVKKMIASYIGENKTFEKMYLTGEIELELTPQGTLAERCAAGGKGIPAFYTPAA FGTVVQTGELPLRNKPDGTPDEFSYPKDVKVFNGKSYLLEHAIAGDVAFVKAYKADRL GNCQFRLAANNFNGAMGRNAKMTIVEAENIVEPGEIAPEAVHLPGIYVQRVVQSTAEK GIEKYTWAKDPNEEADPKAAAALGSGETRAKREMIVKRAAKEFKNGMYANLGIGMPML APGFVGEDVEVMLQSENGILGLGPYPRKGEEDADLINAGKETVTLRPGASVFGSEESF GMIRAGRINLTILGAMQVSASGDLANWMLPGKVKGFGGAMDLVSNPEKTKVVVTMEHT DKKGNPKIVKQCAFPLTGKACVSRIITELGVFDVDFAHGLTLIEIAPGVTVEEIKAKT EAPFHVAEDLKPML QC762_508240 MSLLRPLARAALRPATTPLRAFSTTTTRFIKPGQPLPDVGAILH ESSPGNKVNLADEASKLNKMILIGVPAAFSPACSATHVPGFLAHPKAEEYDQVAVVSV NDVFVMKAWGDVLNPEGRENVRFLADPSGEFTKALDMLWDGKAIFGNERSKRFTIIVE GGKVKSVAVEPDNTGTSVSLAENVLGKA QC762_508250 MMASRAMWYVVGTKKSPHGTLYMHCNVKPGASKNREGVASVGED AVEICVAAQAREGEANKAVIKVLSEVLDLPKSNLEITQGHKSRNKTVAVIGPWVNSGE EECLKRVKDYLDKAVEES QC762_508260 MPSDERERDRHGNRERRPRSTSRRPTTPLRPSSRSSFRESARSS VQGDNASFPLNAFEPAFAELSDAMADLEANMMHFQLMHESLARFSESFASFLYGLNMN AFCVDFPEGPMAESFRRMRAREQEQQLQEQQQQQQSSDGFDGDPTFMTTDTSFAEPAS VKKPAPKYSATGRASRVPPPSARGTTSSTRGGTTRGGARGASSSTRGTRGTSSTARGR GRGVR QC762_508270 MQTSLLFSVSRSASSRILLFTSKKGFGVSSAHRCIRFKQGNSST SSSLTSFKRDLTSSPKTENSPLVQRQFQQRQPLPSSASALLLAALTVGLVYSAYYSYH KTSSKPTSHSEPLQDITPEDLTAMTSELAAGRPGNLTSEQEEKLRQLWKLIFQVCRVG EENQAPAANVPQTTTTISAPPAEEEKKKSRMTSLWSRSSKANKSESDAASTTSSTALT TAADGAPVNIQLSLGSKDGEADKYGQTKHFYETLQSYPPSAIRDTIWSMVKHDHPDAL VLRFLRARKWDVEKALIMLVSTMAWRAKEMDVDGDIMKNGELEAVEKNDGISKDFLAQ IRKGISYVHGCDKQGRPLCFVNVRLHKAGEQSEESLERYTVYLIETCRMLLRGGVDTA VSFFRKLETRGMGFKGGGGGLHADDEGDQTIVFDMTGFSMANMDYTPVKFMIKCFEAN YPECLGTVLVHKAPWIFQGIWKVIRGWLDPVVANKVHFTNNATEMQEYIPLKHIPKDL DGQEDWSYKYVEPVTGENDKMKDTATRDELLRQRAELYKQYEEATMEWIKTADAGVKK RREEIADKMREDYWRVDPYIRARSYYDRTGVLLPGGEVDWYPGEKKNGEVKKEAVTSP DDLD QC762_508280 MDAQVPQMIRQEVDNYRLEWEKLRDYLYALFPEYTEYIELETHR NDVYIAWIPNELTPTEMNHIYTNLRTRRKRIR QC762_508290 MSTPSTMVDCYDQLESCCDFAEAWPSNLFRSKCFRHTSQSYRKR IAEVQERLFDPNPEYALVEFLEAFHGEHGFHSTKCNNLVDLKRHLRINRKDPICRHVF FEAEHSRAPLDCSKEMFVFSMSFLQVMAPFVDLLLGFGQSQNRKEFHYTSFRHENYLV ASKVQDFEIPRLGRSGLEIKLCYNLWSVETSVGNNSAGSFRQTALYHSFDLRSGRSLW VNISVNAEMKNRITDAASSYEELTTEALVDIQGSFSASLTTHLVAFEWCSENWRQYIT KLETTLRAIINKVQRAPVGKMESTLALDTKNLLKALKAPISSSQIVESNLVTSPDLVN PGSPASPFNSTRTFTRRSTLKSAVISPLNTRASTNLTATSMQSFPGSPVRRETFSPIL GCPPESSTEKKGRRIHHDQDPFDMLKDISLEKLQELNGIGADLHTAGLVMKLDSDVLR DVMRHYQSLVEAEEFPANLKACRPVLAEFSRRATSIVRALEMEQTRIATLMLLFHDGR GLFEHVLQFRNLEQSKLFNATANISQQRMEEFTEQMHKSTLNMESVTESMHVIAQKTE KDTSSMHVITIVTLLFLPGTFVAVRLGLPTLIAHAFTTLLTYSQTFFGSGLFQWDEAN PEMSFPIWKGEFFKLFAKICFPLMGATITIWCFVSYLPFWRECLRACWNRLRACWNRK KLADEEQGTTAMGVAERELKLK QC762_508300 MARWLDPFIGFQEEVPLLCSRGINGFGQEDSYIPYQALEKYWDP DKINEVLNSRKGELQNLDVETIQTSFLRIFSNLVFCTKHNAFRISYLSKFINNHIDDH NLPLLRDQHPHSDPAMAIFSTGEESLAAWEAFDKHQFMFNPFMLETKYRHNHPLAERC IVPWKRVGELSRSKNNSTVVEKFKINSDSGLGQLVETIAVKKICLRHDDEDLTREAEE TFSNELVAYIGLKNNSSQEDASRYFLRYYGSFRQGGWGYVLLEYADKGSLTNFYAKNN MPYYSWQVYNVWKAMLNLLRGLELLHHFNNNDACPAVRGIHQDLKPSNIFVFEDREDL TEDKPPYKHKYIFKIGDFGLSSMRLRQTLHPDNKGTKMYGAPEITTPTTELRHLDGGA TYKVDIWSMGCIYFEMLIWINSGEAGRDECLVKRLKEGGPDGAFHNRGKELAALKEML ELTLSCKRQCDDLTQPIAERIMLSMLCVDPCDRSKAKQLIREFQAILEKTAGGDNARN GPKPGTALTGTRTQPSHNSRGKAAHLSNAQPRAIYSTHPSGSPDLMTGYPSRVAANDH NKSEDGEDFGKAGHSLGPPLGPVQEPPAHRVHLSAAYRGDNPTSNSRTPLRSHRTLAS AHGMPHSNQHNLEDVAVPLFGGQRPPSSALSPTHNQGLRQAFFVTQRPLSVDSGRAAD RHLGSIETMVGFQPAHSQPDTTSPVYSPRSTRQGKLPASRPSSDPTARRGEQDGPFVW DILVYKESSKKRKWPLGSSEHLLGQTDALKYQQDKEQIFIVGDSESMWEYWKSVVDTF EALSYLVKACDPDGIELYLISEPQKKKKANKNSTTDLVKFLRELKQPTKQNSNIESSF SRILENVKVTISDTASNSSRVSIFRPQSGAGISIYFFTDGVWDDDDKQGNPNIAHPIQ NLIRQMQQNNHDRTKIMIQFIQFGNDPAGTRRLRYLDNGLKSKLDEFDIVDRKPWNSN VWKILIGSLNRNNDKDSDDEEQDVFHNAQEGDRLGIHDNSVASSSPLQTTWLPPRQTT RVSSNSQAHPTNCPFRIGRGECTCR QC762_508307 MTSITGPKDVVRKMDLVSMCMRAVAKHMASLTTDHLVLLPEPRL RQLFRLLDPIMTYTQWQLLADAIYINTSRTNTPHGVKPFRWEDDIEPDELPRRIQTMQ PHPGNVHFLTILKIKDTERFNTRDLLLLAELRSLVILHMEDHGIKTAAHSGFSCNKPS LNLNNHLIRGWSEKEEPFPSLRSLILFAMPGSLSIHMLHYATKFPKIKAVYVNSPMTN PPPVIGQPLKDAPAWAPMECYRYVYWDMDMALQYEELGLDNPYITITLVTPPEPGQAN TNKSLLSNMCIWEFSRDWNVEVQAEEAPTVVVTQPKRKATTESAGVKPKKKSQKIGDL LSSFG QC762_508310 MAGGSGAASSRGRGKFRKFTRGGGKHFSKNLRPLDADGNEMGMW GDAPAKDEEEESDSEDDSSEEESEDDNDAVKIPTAAAEELSREERKKQKKAAKEAAIK AKKGPVQVGDMPSDSDEEESEEEEASKMPANPNHSRAARNQTKVPKKSADDDEELAAG TKKLAVSAPNKKEREAIAAQEAKERYMKLHEQGKTDQAKADLARLREIRAKREEEAAR RLAEKQEQDEANRLKKAEIEAKEAKKREAALGPAAKKKGKK QC762_508320 MAPTKTSKGKARLTPKSTSSSPDTPPKPFQVAPPSLNPLTETLD PSHIYISHLDPRPSPFKRKIFLVPVAMNLLVLALFILRLRYIFPWYLQLLLSLSGQEN PTTLRFTDLTTSQYLWVLLRRASTFLLDFTLAIFVWPWPYEFLIGSPLTGSPCHWRYK VGFRPSEIYLRRSRKWDVEILGKGKDLLANDDLRKVFWNQIRSATSPMLLQQKTGYLT MDANWDLDWAGMVAATELVDKKVIDERVFGTLVLVYHENFGWLSIDLSDTGAKPGTKE DERRKQVFKFRDALAAIGQEDLFFRWIEVVQFETGRPGGFTEERQVEVAQKIRDMFKE KGVDFDEFWKEAVGTEGLAGMP QC762_508340 MRGGLWQKQRRPSACLLCSYSYSYNFRHSLRSGAPARTYSALVS NGLTEPTTLSISRRPTTSSQVRISNNTRNNGFMPAWAKVPPPKLEPLAPKPPPPPPPP PSPPPPPPPKASTPPPPPPPPPPPPPRQWQPSPTRNQKSERSSTPFQFSRHKNYNPAD KPVFNPSDRPTFNPSDRPTFNPPPSSQTKPSNPFPEWANLTRRRSNNDTGTVKGFDFS RPARSMPFDKPVPPPSSEYQQTHRRRLTASQLGGGEQSPAQQPHSNSPSDEWAKLVAA NKEESRQPKKIMPTAEDKAAWAWADETGQRPQVRRVESQEQGEGGRYVHPEDRVAASL RGTWGMQAAGTVVQVVGEEAETVGRREGGRGREREVVVEKSKRSKGGRRRNDDEDEEF DVDYAEERRRRKAERKAEKERQRLAELDGPTPILLPEFISVSNLASALGVKARDFVRQ LEELGFEEVSQESILTGETAALVAQEYGFEPTVETGETEDLRPRPPPEDPSSLPLRPP VVTIMGHVDHGKTTLLDYLRKSSIVSQEHGGITQHIGAFSVKMSSGKQITFLDTPGHA AFLSMRQRGATVTDMVILVVAADDSVKPQTIEAIKHARGANVPIIVAINKMDKPEANP DRVKADLGAQGVELEDFGGDVQVVEVSGKTGLGMDDLEENILLLAEMLDIRAEQDGMA EGWVLESSIKPIGRVATVLVKRGTLRPGDFIVAGRVSTKIRLLRNEAGVEIPEAPPGT AVEILGWREPPAAGDQVLQAPDEDTAKVAVRYRQEQKEREEAIEQMAEMEKERKEKEA AERAANGEEIPEDDEATGTKYLTYLIKGDVHGSVEAVTASILEQGNNEVRPRILKSST GQINESDVEHAQVSGGAIINFNNPIAGHIKAMADAAGVPILDHNVIYHLVEDVRGRLS ELLAPTVSFRVLAEAEVLKVFAINTKGRRYHNVAGCRVRNGVVNTGGRCKVLRGEEVV YEGTIDELKHGKKEVTEIKKGGECGIMFEGWDEFQEGDRIQMVEEIREKRKL QC762_508350 MVRHKKDNFSRGGKNSHRGPPRHSNRPESNPNNDDPTLPSSSTT TRSRPAFKAACWDLGHCDPKRCSGKKLMKLGLMRDLHLGQRHAGVIITPNGKQTVSPA DRPILEANGAAVVECSWARTQEVQWNKVGGKHERLLPYLVAANTVNYGKPWRLNCVEA LAAAFAICGHLEWAEEILAPFSYGKAFLEINEKLLKKYAACEDEAGIKRAQEEWMERL DREYAENREEAEGDDIWAGGNVNRRAPIDSDDSDEEEEDDEEDDDDEEEEEDEVDGMY LGSNPPPQKGKQKQSQPIPEEEEKDPFAISDDSDDDAEMEAIRRKILASKPFTEKEDT LEKKPEVISRPTPHSQNMKPDSDAEPDSDNGSDNDDFDDIIDATPMTDRIGLSKLEKE RARVQTTSRTFSSGGANAPNRW QC762_508360 MEAASARYAARDRWGDPGHPAPSQLLRYIASACSPENYEPNLAL NLEISDLINAKKGSAPREAAVAIVNYINHRNPNIAMLALNLLDICVKNCGYPFHLQIS TKEFLNELVRRFPERPPIRPTRVQLKILELIEEWRGTICETSRYREDLGFIRDMHRLL SYKGYTFPEVRREDAAVLNPSDNLKSAEEMEKEEREAQSAKLQELIRRGTPEDLREAN QLMKIMTGYDTRSKVDYRAKAAEEVAKIQQKARLLEERLAQFKPGDKMADGDVFSELA SALSSAQPKIQKMCEEESEDHEAVAKLLEINDAIHRTFQRYRLLKKGDFEGAAKLADP NFTPSGSAGGKGAAGELSLIDFDDAAADTNGSGSQGASQASGSGLENDLLGLSLGETS SYGQTGGIALGFGANTNIPGPALLSSVTQNNSAGGNYSVSTSTSPAPPPGFSNFSAFS SAPSSKTATPKPVSSAFSPPASKPVSNDPFAQLASLGSKPATPTPAAPQNNDDDEWSF SSALPPEAPAQPREHTAVINNTNLKIDFKAFRAAEGQPPVDLLMLFSNNTPFPVTELH FQLAVTKGYELQLTPQSGRNLLPKQINGVKQEVKVWRSGDKLQKVEKIKLRWRVSYKL NGEVKNEMGEVPEFSIP QC762_508370 MDLQDLQTRLNLFLDHAATTFQKVPGSAVLIRYVRSSYQNDPVR SAIELVLVIFFIRYLLSPSYSTSKVNYVKLTEDEIDELVEEWTPEPLVAPLTPLEDTS LEKLPILVGPSGPKSKLSTGKTVTNLASYNFYNLNANEQIKEKAIATLRTYGVGPCGP PQFYGTQDVHMKAEADIASYLGTEKCIVYAQAFSAISSVIPAFCKRGDVIVADRAVNY SIRRGLEISRSNIRWYNHNDFEDLEQVMRGVVAEQKKKGKLTRRFIVTEGLFETCGDM NNLPKLVELKEKYKFRIILDETWSFGVLGRTGRGLTEHQNVDPTQIDMIVGSLAGPLC AGGGFCAGDGDVVEHQRIAAAAYTFSAALPAMLAMTASESLNVLQSNPEILAGCRENV RLLRGQLAEGRSEWVVCTSAAENPVQLLVLREEVVRARRLGLEDQERLLQECVDEALA NGVLITRLKGMSISTHNSLKDGSWIVQPALKVCVTSGLSKKDMEKAGQTIRHAITKVM TKKGNNKLNLPAA QC762_508380 MSGYPQGQGGQGQGQGGYNGRDEYDDGYGQGGHGGQHGNDAYYQ DDQQYYDNQPNSGHAQGRGNQQGDGYYDESGYYNADPNNPYQQDGGYYDNNDQYQDDY YNNGQRGNNGYYDQGYGQGGYGGNGQGPRQGSEDDSETFSDFTMRSDMARAADMDYYG RGDEQYNNGYNDEGGNRGYRPPSSQISYGGNRSSGASTPNYGMDYSNVLPAGQRSREP YPAWTSDAQIPLSKEEVEDIFLDLTAKFGFQRDSMRNMYDHLMTLLDSRASRMTPNQA LLSLHADYIGGDNANYRKWYFAAHLDLDDAVGFANMKGKKAKKKAKKGKGPGPENEAE ALEDLEGDDSLEAAEYRWKTRMNRMSQHDRVRQLALFLLCWGEANQVRFMAECLCFIF KCADDYLNSPACQNLVEPVEEFTFLNNVITPLYQYCRDQGYEISNGVYVRRERDHEQI IGYDDCNQLFWYPEGIERIVLEDKTKLVDVPPAERYLKLKDVNWKKCFFKTYKETRSW FHLLVNFNRIWIIHLTMFWFYTAYNSPTLITVNYEQEVNQWPEKAAHWSIVGFGGAIA SGIQVAATITEWAYVPRKWAGAQHLTKKLMFLILVFILNVAPGVYVFMPEKDDKAYID KQRTTLALALGIAHFFIALITFIFFSIMPLGGLFGSYLTKNSRRYVASQTFTASWPRL KGKAIAMSYGLWLIVFGAKFGESYAYLTLSIKDPIRYLHIMDTSSCMGDFFLNNMICQ HQPKITLGLLLITDLIFFFLDTYLWYVLLNTVFSIARSFYLGSSIWTPWRNIFSRLPK RIYSKVLATTDMEIKYKPKVLISQIWNAIIISMYREHLLAIDHVQKLLYHQVPSEQEG KRTLRAPTFFVSQEDHSFKTEFFPAYSEAERRISFFAQSLSTPIPEPLPVDNMPTFTV MIPHYSEKILLSLREIIREDEPYSRVTLLEYLKQLHPHEWDCFVKDTKILADETSQFN GEDEKTEGKDTAKSKIDDLPFYCIGFKSSAPEYTLRTRIWASLRFQTLYRTVSGFMNY ARAIKLLYRVENPEVVQMFGGNSDKLERELERMARRKFKLCISMQRFAKFKKEEMENA EFLLRAYPDLQIAYLDEEPPLNEGEEPRLYSALIDGHSEIMENGQRRPKFRIQLSGNP ILGDGKSDNQNHAIIFYRGEYIQLIDANQDNYLEECLKIRSVLAEFEEMKTDNVSPYT PGVKNAVHTPVAILGAREYIFSENIGILGDVAAGKEQTFGTLFARTLAEIGGKLHYGH PDFLNGIFMTTRGGVSKAQKGLHLNEDIYAGMNALLRGGRIKHCEYYQCGKGRDLGFG SILNFTTKIGTGMGEQFLSREYYYLGTQLPLDRFLSFYYAHPGFHVNNMFIMLSVQLF MICLLQIGALRKETVRCDYNRDVPITDPLLPTGCANTDALVDWVYRSILSIFFVFFLS FVPLFVQEMMERGVLRAATRFAKHIGSLSPFFEVFVCQIYANSVQMDVTFGGARYIGT GRGFATARIPFGVLYSRFAGPSIYFGARLLMMLLFATITVWTPAIIYFWISLLALVIS PFLYNPHQFAWTDFFIDYRDYLRWLSRGNSRSHASSWISFCRLSRTRTTGYKRKVIGD PSAKMSGDVPRAAFTNMMWAEIFTPFCLVLVTLIPYLYINSLTGVGGEFGTKEVDNPA AVVMRVAIVAFAPIGLNLAVLAAMFGMACCMGPVLSMCCKKFGSVLAAIAHAVAVIGL LVFFEVMFFLQRADFTRTLLGMIAVLALQRFILKVIVTLCLTREMKTDAANIAFWTGK WYSLGWHTVSQPAREFLCKITELSMFAADFVLGHVILFLMLPVILIPQVDKLHSMMLF WLRPSRQIRPPIYSMKQTKLRRRRVLRYSILYFTMFILFAALIAGPLVAGKFIMPTLE TTLTFGDMVLVQPDVNQDNTRNSTMTGTGRPSYSGAYTPTTVAAKRPAETAGTNDRLF QC762_0085330 MKAVVNGDEVQPRKGVEGRNGKTKAARVKESFEDEQEEEEEPRR TRVELRTRKTKGSSLKESLESKPEEEQEYVSAREEVSILEDVSMFDDTFHSCESEDDP QDDSDSDNDDEGGSYTEQGSDDDSEFDLGPPPRKAPAAESQGRAKPARNSNSSVNSSQ SKKAKAKNLEDRFLRLRLDNVPLPPPQSMPLTISTPTEEPGSSPPSTPPRPAPAPRPK GLVSPTKLPRIPNTPHRPSTDMFWSQEFVEDWNDQHSPAKQLFPSKPPPPHPPPPPPP LPTTTSKPPSPAKKTASERQSLKEFETNRLSLAETFLSLLDTRITSSQLSTLSASTGG IKIIWSRTLATTAGRANWKRETFRPPNSPPVFRHHCSIELSTKVITTPHRLYNVLAHE FCHLCNFMISGITTNPHGKEFKAWGEKVTGVFGKEYGIEVTTKHTYEIDFRYVWECGG CGMGYKRHSKSIDVGRHRCGVCKGVLVQVKPTPRGGGGKAGDSGGGGGQEKKQSAYQI FMKEEMKRVKAEQPGMQQKDVMKVVAERWKAEKERNGGVVVMVAGSKEGTPEVKLPVR GGKKEIEVVDLT QC762_0085340 MQPTMFYTGVSFLVFLNIFPSPHHVKSTTSISFFPPLTGNFTSG VPSFEPATITTTPPFLSFSAFHLSATTFITSFCCIPGCSALTLFISSFIKICTLYNL QC762_508410 MPRHTFQPTPLFGGALTVDLPTNFADVSKLRQVPDNQEVYIDKD GFTSIIVDITERVSSSSSDDPLERDAKALTTHLEELVGVEDAAETVQVWNTTETQISH LSEDIPAYTLIATQTHRQREGERQGAPDFTALILTLIRLKKEQTDILVTINVPHIKGE YDEEEIDLAMGKQGELIGDAVEYAARIWESFDVKDWGLFNEI QC762_508420 MSTKMSAACIFCKIIKGEIPCFKLFESDKTLAFLDINPLSKGHA LVIPKHHGEKLTDIPDDQLGEILPVVKRLAAATGAKDWNLLQNNGKLAHQEVGHVHFH MIPKPNEKEGLGVGWPMQATDMDKLKALFEDIKGKM QC762_508430 MHHHERSKMGRPWSRKVTIRDDTITSAAHLTLRQSLLPNLLVTT LFFLWGFAYGLLDVLNSHFQHHLSITPTLSALLSTSYFGAYFLLPPTLSSFILRSYGF RVTFMTGLAIFSIGCLLFWPSGAYSSFGGFCGSMFVVGAGLATLETAADPFLSICGPP RYSEIRLNLAQAVQGVGSFVAPLLASRVFFGKGLEEQGGEGLKNVQWVYLGVAGFDVL LVALFWVVPMVEVTDADMGLQESQIDGPREVGPFRRQYNLFIAVWSQFCYVGAQVAVA NYFINFCEEAGRDRATSSDLLAVGQGLYAFNRFVAGGLLTIPAFKPRYMLAAYLGLCF VFVTAAMNTAGATSIAMLMLVLCFESCCFATIFTLGLRGLGRHTKMGGSLLVAAISGG MVFPPMMGAVVSARDAHTAMAIPMMGYILAFVYPVYVNIWKKDVMDSHRETTVGIEKP AVGEKVLQLEEQRSKTEKEHAEEGPDIRVVSK QC762_508440 MASPLQFAYRTQTAIGVFDAAPVYEALPGFVKPQGNLRCCVYSP CGRYFAYATNDGISVVDASVGHVLTSLPLPMVYEISFSPGGTYMSTWERPAKDENGDA TKNVKVWRTIEDVPEGQEKQPLGRFVYKNMSGWSLQYTADEKFCARLVTNEVQFYNSN DLSAPWNRLRAEGVTDFAIAPGKSQNVAVFIPERKGQPAAVKVYNVPQFSSPISQKTF FKGDKVQLKWNALGTNLIVLAQTEVDKSNKSYYGETNMYLLSANGSFDARITLDKEGP IHDVSWSPNSKEFGVIYGYMPAKTTIFNHRAVPTHSFPLGPRNTIIFSPTGRFALVAG FGNLAGQIDVYDLEKDNRKVTTIESGNPSVCQWSPDSRYIMTATTSPRLRVDNGVKLW HVGGGIVYNEDFNELYNVMWRPAAADALPAGDPLHPVPTPHPSALKYLGTVKTPSKPV GAYRPPGARGSSTPLHFKREDEGGAAHTVSNGVPQIGPNGFGRPRNQIPGAEFTRPAG IPGAIAADGGDLSKAAAKNKKKRNKKAKEGEGNATDAPGSEGGLAPPPREYGNGSPAE GRSPERRNQGQGHSSQHRSQSRNNFNGRNRSNTHRARSQSRPGHFGQSAQQQQQQQQQ PQGGSPLGGGDGAMTPAQSANAKKIRSLQKKIRAIEDLEMRHAGGEKLEDTQVKKIAT KPSVMKELEALEREQ QC762_508450 MGWACRDIDRLPRPPPDRSPAIIPRPPSSTNPGSSVTDVDESPR IPRTLHHGVLVFAMLREELGNRHLGGTVRYLGVAILRMDIKGSSVSSVMGFVSAASVF RISRYRYPSHICLFSIKMGQQNSRPVFDSKLTKSHVEHALIQQDGRHNDIPTVLATLL FLDASPEMLKHAYRCRKDSLHTWTPSPGSITDEATKTALLGDKRFQRAFMTYFSLLNN QYNSNSTALALSQLFSGPIPLVYGLFSSLGLPLTFLSDGIELRSAILVAQSLTLSAVD WQPGIYDLLTSSQLARPASELLGPEELLRRVAYDGRFSGVMKGGAGYHNISQILSNSS ARTAVVEYVQQLDCRNLSLLLPQLASLSVLLLTATHKPERPAFDFYLAHLVTAVNSLR VVLSILEEGTQRVVVARGVWLLFVLVYVTQLRPVVDGELLMGMELQEGHDWRAVYDVF CNEGGTGQGRWGNGPWLRVMRTLFELGREFDGEGNGGVCLRGAWKMVGYWSGWTGLGG EGGGRDGGLNIRL QC762_508460 MRTIHGLLLALASLAVLVMAEGNVLFPYMYGLNYTEYDEAKKLG LTRTPKAYACKSEDEWNKMTTADFAKYKSIIVPDCLCNTSLDTIKFLDNTKKVWSPAV TGNMVLIGTDPSYHSKWYKLAGASAMIRDSISLASTGKNGTGMYFSLSCYYQSNAAPT TIEALSEIGVFKVRGNLTCLNKVHIVATDDSMTSLTDEMASNWNCSAHEVFAEYPTEG NGAFEPLAIALNTTGLGQRTFADGTHGTPYIIARGATPLGCGNNVTEAEYNEECDYGK AVNGMPDSLCSSSCKCLFGMISPGLCRENTTSSSSTSISSSSTTFHNTSSIALSTGSP TNTSLPTSMLYTNTSSPQTKTRKPPVTITVWPSRPPWVSSSSQAPTPSTASSNTTRSD PDTPTVIVTASWPPSPSSDVPTDAEPGTVIITASNDPGSGSPSFTTSTPPAPSSSPSN SDDSSGSPETVVVTASNNATESEPGTVTVLPPSETPTGSMGGTSSVSYPTVTVTAGGP DSGGQVSSSASGSDTPPVTVTATAEVPGVPADGQIPTLSDTTSYPPGTDEPSWSLTTT TGSEPQVTLTVRPSEESGSGGASGSETGTMGGSSSLGLDSSMTASGTMSGSGKPTVTV YPSGQISGGGDGNGPGASSAPGVSSTPGLSSAPGSSSSGGDVSGTASGKSQSTVTVYP SGQSSGAGDGDSPGVSPAPTTALSSSRSTVTVYPSGQSSGGGGAGNGPSASSASESAS GPSRSTVTVYPSGSSSGGGDSGNGPGISETRSTKTMTVGESSVTAPGAPDSSSSTNRG LGSTSYISGSLTNTATGGGGMGTASGTSAVSSETGASDPLSGSSTGGTGSATGSATGS PGTSGSGGPASSDSATGGASGSGTPGSPSSSGSPSSSTPSNPPSLTGSITTSTLSATP STQSSNVSTSGTASAPSSNQPSGSSSETSYSPGDTSATSNQGGTTTSTQTTSNSGSLS GSNIPLPTSDCDSLSHSPSPSPTSQCDTWIGIEIIHIIEIVEICPSGSTVTETKTEHL STLTRSICATPTPSQPCYPCIFGTPSASDDNFTVTVTSCPANPKTTVTVTAQMCSTCT VTTWVGTVPGHTPGGECHGCLPHASSTVTETAAVETATEVVTLGSVVSDPAATSSSAI GGGGGGGGEGYGPPPPASVPRPVATASSVPAGGYGPPPLPDVPVDGPTASDYAPYKPS STSSVVTAAGVGRRGHSAVTGVLAGLLGAVMVM QC762_508470 MLLAPPLCFSRMSPPLTSLDNIQPIKMAPSAVTPPQKPAVLATH PLKSVNLDNKTDVTPTPAIKDDLAALFNNWDSFTFAPIRESTVSRAMTRRYFNDLDTY TESDITIVGAGSAGLSCAYVLGTLRPDLKISILEAGVAPGGGAWLGGQLFSAMVMRKP AHLFLEQVGVPFEDEGDYVVVKHAALFTSTIMSKVLQMPNVKLFNATTVEDLITRQDE ETGEVRIAGVVTNWTLVSMHHDDQSCMDPNTINTNVVVSMTGHDGPFGAFSVKRLVSM KQIEELGGMRGLDMGKAEDAIVKRTREIVPGLVVGGMELSEVDGANRMGPTFGAMVLS GLKAAEETLRVFDQRKAQNDAEL QC762_0085430 MVDWHSLSDPAVKRKQSGGGGVTAAGEVLTRKQQSGEELYSEKC ASGRGYPLIAMFSYQLMV QC762_508475 MRSPHMNHTPTTSLTLPPNNHHLSSPTTATTTTTTSPRNDPPIP ISITICGDGGCGKSSITLRLVRSGQPGSWTDDYDPTIEDSYSITRRIDGVTYHLSLTD TAGQEEYRGMWASSNLSSDAFLLVYDITSLDSLHALEYFNDLIDMEAETRMDNAARAR KAGVVDLGGLGGEGNKMVPPVKIVAGNKCDLKEGRRVAAQVGLEWARGRGCGFMETSA RLEVNIEETFELIVRRVVEGRRLAEMEADELLGGGGRGNEMGNNKRGMTKPLTPLPDR GGEEEGGEKELGKRGVNNIEGDWETRVLEEVEVLVIFFYIFFLIFYFFYIFF QC762_508480 MNGTNGVKKQTLNRASEVEHLVDAVKSLIIPFIQAADDAVPSRA AGELLPNRNGVVRNALVESKRPEELVKELALSLPRVGRGEEGLLQTIQDVLKHSVNTW DQGFMDKLYASTNPVGVISELVLAVLNTNVHVYQVSPALAVIEKHTAKTFASLFGFNG PRAGGVTCQGGSSSNLTSIVIARNTLYPESKLNGNSAASNGPFVLFTSSHGHYSVEKA AVTCGFGSSSVWTVPVDASGRMIPSELRRLVQKSLDQGLTPFYVNATAGTTVLGSYDP FEEISAVCKEFNLWMHIDASWGGPAIFSAAHKHKLAGSHLADSLTVNPHKMLSCPVTC SFLLGPDMSVFHKANTLPAGYLFHSSAPSDVWDLADLTLQCGRRADSLKLALAWIYYG AEGFGRQIEAAFELAAYFAGLLERSGNFVLVSENPPPCLQVCFYYAPGGRLRETGEGN TEVTRGMVERLVRRGYMVDYAPDVSEESRGSFFRVVVNAQTLRGTVEGLVKGLEAVGR EVVPQ QC762_508485 MMASTIPSRAHQGWMASGETSKLPTITASAHRPENQPLGCRTWH TGQNNSKHSLFRHFSPAASLQRLPQQCL QC762_508490 MASVEHCLYCFETLAAELQGRKPMTLDQIKKSWAEYISSTTPAA ATTTTSEASSSSSEAAVKQSPALRRLAASSSSSTSSSSSSSLSLTSSTPATSLSSSPA PPEGEEVASSSPLFITWNTNHPRHGYILRGCIGTFEPQPLATGLSSYALISALQDSRF HPISLSELPKLQVAVTLLTDFEDAKDKMDWELGKHGIRISFYERGRRYGATYLPDVAT EQGWTKEETLVSLMRKAGWSGRGEGWEGVDLKVVRYQGRKEKLEYEGYKEWRDWVEEQ QGRE QC762_508500 MKFIAAVLALATVAFAYPTVNMNAPVKRQNIVTADVSAPAMTDA SGNVVPFDATRVDQARRKL QC762_508510 MVNKLDLEAFHHDRSRWRRSLLFPSWLLQILILLCLMGVFAYRL AETFEHYSAEKKNGSIPMVEVVWEATNILFNLLSLLLTIHELARFITSRLTPFLLLST NLVKLVLSLAVLGLDVVAHLRQLDGHYPTIGLSLDCGLLAASLSSFAYAVLKHRESRK YEQYHLTDEVKRAEPGIFMAGAAGGGRITGIVKPYDRDSASYRYDYARTVESEDRRVS WGEGGKEETGHLSSEQHGSVASGRTGVTVREISPSGTGLKREIDRAPSGELGWAAGGQ VGSGVSPSSSMTGRSGSVVRNAGVVHMTVPEIHVSRQQSWRMEVIAEEGEDAGRTREG G QC762_508520 MSLDLGPHDNRQYIIPSLETGNLIISKFTPLTLFASPFFTPPSP RLLSTIIFSPSTVAPGWIIYANYFLLSSPITATLFPSSVPHWRHNVRLALQNASLYLH PSKVNIAAFTMLSFHGEDFAASPNVSWMLCSHLCRMAQALRLWEGGGTEEGEGEEEKQ RGLALFWAIYNMEKCCALTFGRSQVGLWEGVDVERVEMPRGEWFGGFRPHLTDQRRAK GVEGVDAEFGGYIFLRNVELAKLSGRVLEYLGKGEGTEEEREVLKGRLAEWFRETDET FRRGVERERQGGVPGAGVDVKREKVMRLYEFTVKFRYLHVLIILTKDSPGDRGLRVES ARQAIGILPMTISGWDPVYNGVIWHLLYYPFTPFFVVFGHIVTNPRAETVPSDLRLLE TVVEYIIALQPLLTLLRDLTAKLQKTAEIFLRLARRHVAETTGSMPFSSALQEDVTHP TDFSQPQHQPDLSQHPPEMVMPDQQDFFSLEGVDIDRFLSWVPQPMGFPGDIDFGVGD GTAGEEEEEEEEEEEEEQQQQQQNRGVKRPLEATFDWFSWENYYADATQ QC762_508530 MAETIGLAGSLAGLVTAGLAVCKGLTEYYKTYKSAEKDIQLLCE EVDNLTRILQNLDAAIKDGGSRLEVEAPAEGPVKACRDRITALEEELKHVTGSDNGRN PPVDNIKLFTRFKRTLYPFKEKTLKDLRDNVSKANEILHQAATSILIRQNNKILDTMN QFYEKEDSKEILRWLDPPNPSQRQFIASSKRRDNTGAWFVDNNENEFWTWKESLRSVL WIQGRAGCGKTVLCSTIINHLIDHCQHQATSGIAYFYFDFTDASTSQDHHTLVRSLIV QLSAQMQNRSVIQPLKTLYKRHRNGTTQPTVEELCQTLRNIIELFSPCYLVIDAVDES KEKVEFLKLLNIMVGQWKLPQLRVLLTSRTELGVEIPKRDWDSRTISIESGVGEDVKH HVLATLSDENGMFSDWNSPERDKIAESLIEASHGNFRWVACQLQVLWGCHTIQELDEA LKSLPATLEEIYERELLTVEERRSQGFRHILQWLCYSKRPLKLEEMAEVFAIDQGTNS RPHYDPRRRLVNATRFIHKHSNLVSVVLVKSKGEPHKELRLAHLSVRDYLTGSKIVGS PAKIFHITKLSAHQSIAEACIVYLQQIDTPEDSPKTYPLARYAAQFWSYHVHVTTTAA TTAAATDQSSGTLIIMSLLIIELITQVLRVFGLSPTISQHLLGENDVHINLNCLCVEL LTTPQKYIRYFDPDTPWIHNPDISRSVESLPSALYYAAHAGLADSVRQLLAQGLDVDA VGGRYGTALQAAACKGHRDVIKMLLAKGAQVNQYAGDYGYALQGACCYGHEECATLLI DHGADVDARGGEHHTALHAAAFNGYEKVVKLLAERGATIDVTDSPNGRTALIDAAREG QTTVVERLLQLGANSLIRDTGGWTALDEAAPAGFDAIVRILIEHNPSILTSRDPSDYS ALDHTAGQHHVSTVRLLLEMGIDVNSKTNEGRTALFKAVKSGNRAVVDLLIQHGAVVS LTDRGGWSALHIAVHHGQVEAGEALLQAGAPIHGGPDGWTPLHISILRKRTCFVKMLL ESGADAQAKTVNGVSVMDCVDLHHEYLAEPEKGRLKIDNMWLTRTGLRSAASRGRDAH IRELLDRGADIDARDEGGFTALMWAIDGGHLSTVRLLVENGADVDARSDQEGNTALIL AAMQVSPSSVMHLLVEHGADVNVRNNDGESAMGYLDKHKHADCVKLLCEYGYREEEPP REMPVLDPSQEVLQNIRKLLEESINRVR QC762_508540 MSGNTLTRNTGVAADEDGDVVFSNNDNGRIDSGSSSSSDGLRKG GKSGVEVRHLDDDDDNSNEEAQLLHSDSEDDGLELDELTSPDSDVENRPFRKSKSRQQ GRKRHRYCDWLWGGPAPSKTQRIEPRAWLLPRLQAGLVDVLDCLFPQKWQKGMLLVGF GIVWMLTVVVPVVLTKGKVVADGQEVKHLGCVSSLWGGNAECGLDGRLCEPFGNTSVA FRCPADCAGVKLLNPRWVGNQQINYRAYVIGGGEGVYRGDSFVCQAGVHAGVVTDKKG GCGRVKLKGEYYRFENSTQNGVESVQFGSYFPMSYEVEKVEGCGRGDARWGQLIVSVG LSLLLGAGTRSGKVMFFGGFTGVFLHVGLVSDPPDIQVMSGRLVQELVGIMVGRLLPA VFVMVVVYWAIGRRTLKDVDEGANWEKTLLWMGGLWLGGLTNNTFEAWIPISRLEGHD LRQQPGAVVALVVVVGVLIALGTLQAWHFWQEGRLPKFLAFYAGAIAVIATLVVMPGL ELRLHHYVLALLLLPGTAMQTRPSLLFQGLLVGLFINGVARWGFDSVLQTYDSIRGDG QYGSLVPDVVAPFIETLEMGVKQETIHFKWSALDDVARLREKIEGISVLVNDVERFRG WFTETKLEDMVFSWPRFRKADEYFRFSFVGEGGNTLDWTEAGTWFANGTWSKGVGFYK I QC762_508550 MMSLRAIARAAPRVLARPSTLRPAVVRTAAATAARPSFAITQKA SAAFSTSALRRAPAGEVDEELAAKLSSELEFETSVKEGETLPASIKDFLENGQFELKD VPGQQDVFLTRNFGNEKITVSFSIADLSSFQEQNFEDDALADEEFEEMNNSRENGKSG AADLAEEAEEEDMEGGADTDAMPCRLNIVVEKPGKGALNVEAIAQDGTVVVENVYYYK DAKLAHSSSPDAVHAAQDAYPGPAFGSLDEDLQILLERYLEERGITPALALFVPDYMD MKEQKEYLAWLENVRGFVEA QC762_508555 MCTYTTHVRVCGSCRCEDTVLISEKLCPVAQKANGIFGACLEGV LSERDATRHWCWQCKESVIPSPPPGYAQLQQQMQYGYQGRYGSGSGHRRRGSVVPTSS GGGQRPRAWS QC762_508560 MAKTFEKERKRIAKKKGGKIEALHANSRNAKRLHTAVIRDDRLK ALAAARKKQDKPLIRRTRFFLEAARENELKPLDEAAVQAKILEFVGQHNEEYEEIKKT RRAGRPPSTREDLLKMAIEALETEHKNGFYLPDLSSEKNLEMLERWDGSNWAFLTNVT WVKISADGTTKPSSFPPQGL QC762_508570 MGIQGLFPLLKSIHRTTELKKYAGETFGVDGYGWLHRGAIACAI ELAQGKPTRKYVDFAMHRVRMFKYYGVTPYLVFDGDFLPSKAKTESSREQRREQSLKT GLELLKAGKPSKAHLELQKAIDVTPEMARHLIEELKKAGVPYLVAPYEADAQLVYLER EGVISGIVSEDSDMLVFGAKRLLTKMDQHGQCVEIQRKDFCLVREISLTGWTDAEFRH MAILSGCDYLGAVNNIGLKTAYRLIRKHKTPERIIQMLKFEGKHRVPENYLEEFKQAE LTFLHQRVFCPKKKDIVFFTEPGPALKVDEMPFIGAPVETELARAIAAGDVNPITKKR IVLPSSSRTSPPSPGKRRISQTMAPVTVPTRNPGKPPGKPINEYFAKHKRIPLGEMDA NCFTNNSQNNSPSNSPRPIVFPLPRPYIAGVEEATRSSRRYVNLEAALGGERRRRSEP VSNLLATYETSSNRRRTTGPVVDIFQDGTPSTSTTRPPKKARLCDDDLPTLTDNNTPV KSRFFTSTEGADYIRSDDSVEEAFRSICNQEIVTGTRIVHGLPSPAPSMLSTSMDTPS SIVGTPSTVMSTPSSSMSKPSGLMNRSCNMMNTPSSSKSSTSSSSQTPILTPLQRLGF QALQRGKPRVVSSSVLQPTRLSTYSKRSSSLSVNPASIPLPRADLAEIEALSQPVGSE DLILPSSDGELEQDEEEGTKYSNVSNSGLDLSRFKYT QC762_508580 MADEELQERLRGNSQAFNSLLSLIPGTLYYGEDTSDQWKKKKQT KEEARAAKRNKLDPDSERHRNAKDLMEEKARNKRKLKELEDEDDSHNSNDEDDDFEIE GIEKEKPLEGLKRKDTPAAKEEEDESPVKKQKVVAEEPAVGQTPSKDAATKESKKSAK KQKKEEAKRLKMEAAAPSKAVTKRDEEPAVRESTEAPDSDGEDDEMVPIDVSGLVTKE DDNTSETTRDTPASDAARTDSLAASSTTSISSAVPPSERPKGLKTPANPENIAKLKEK LNAKLLSLKIARKAADSEGNAIKNKEDLLEARRKLALKRKERKNEMRKQAKIEEEKKR EAALATARDSPALSSFLQEDDNVETNFAFGRLRFSDGTQLSHDAAYEKTPGSAKKKGP SDPKTALLKLENQKKRIANLPEEKQKQVIEQEAWLAARKRAEGEKVIDNEALLKKAIK RKEKGKKKSEKEWKERKEGVQKSIHDRQKKREENLQKRKDEKMANRRGKGKGKKGKGV QTKKKGGRPGFEGK QC762_508590 MSTTSGLTRRRGGPPAGAGATGGASTPTEDSGRNSSGGGGGPET SYESGENGHRIAFDPRDISESAERSKQPKLTLMEEVLLLGLKDKQGYLSFWNDNISYA LRGCIVLELAFRGRISMQKNPSRRNLPLPDRVIEVVDDTLTGEVLLDEALKMMKSSEK MSVSSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHPV VDGGAKEEIRRRVRNVLTQRTVVLNNSQWLPEGLEFRYLRTVAMVCAAYAANVLENAL STLGHEAREKAFNQTDELLAEYSQWPFGRGAVRNDIGENLPGVITEEVNKAKDKELQL EVVAACLCVFTRLDSLL QC762_508600 MSGWFSSAPSSAATPADANAGEDHVSDGSKLKTFIGILKKFIGV SDLAAVRFSLPSQLLEPTPNLEYWNYLDSPSAFAAIGTADEPVDRMLEVLRFWFTKDL KYAKGKPCKPYNSCLGEFFRCNWETEDDAPRIDTSALRKSPPGSSSSSMKSAKSAIPA GLGSSDPRAASTVSVTQSAANPTKPVRISYLTEQTSHHPPVSAFYIDCPEKGLHAKGF DQITAKFTGTSIKVMPGEHNLGIFITVDRRDHETYQLTHPAAHLGGILTGALSVSVGD MCYITCPETKLKAILRYYNDGWLGRTTNKMEGIIFRYDPENDNKTQIKDVPVEDILIR LGGAWKEKIVFTVGNKPLESHPPERQITIIDVAPLSVAPKVLPPVEKQLPNESLQLWS EVTKAIHAKQFGKATTVKQELEEAQREKAREREKKGETWTPVFFEQATDKAGKPSLTE KGREVLRRAQAGNWDMDGIL QC762_508610 MFRQRTSSQKPGDDLLANFRQQFPEVAAVTSSAGAAQPASTTTA GHPAVAVSAQETSQTLSHEAFRDQDPTPRAAAANEPWRFTPSLLDPGSFSFANFPHQT GGYYTPTPGGTNTLYHPTAGDLHTPTLGLGMGLGTPLSLPTSDGAIHSGTTAMDVGGF HHGFGHPQQFHQFHPFMQHQPPQPSFAPSSIFRQDTGYETMDQDDSPMNSDPADEHMV SIDSKFHGQSPMVAFQTRQFGMSMSVPLPASAEKFRFHTALNAPTAMIKHADEIPVTY LNKGQAYSLSIVDTNPPMPMAPGTRFRTFVRISFEDEQQRQKPGVCWSLWKEGRGTNE AHQRGGKLQAVEYVEAGQPSEGDDKRARIELETASFDGFSVVWTPGINGAVECNIAVR FNFLSTDFSHSKGVKGIPVRLCAKTHILPSDPSQSPPPSSPEAEICYCKVKLFRDHGA ERKLSNDVAHVRKTIDKLKQQIAQAESGMKDFGKRKRPSAAQSKSSSAVQRPGKVQKH KRTWSMSSASSAGGGGRPNLEEDLHFKLQTLQDMFTSTRPVSVLYLRGDDIDDPDLHP VSLPGEPVDLTRVDTDPAAWRSERSSMAGSSLVSPSPSSLSLHSQASVAGKPNWQDYQ IGSDGSAQGGNQPTKVRKVDEAGHLTGWIEALGVDSSYRPPQERPPKPVACFYVARRN FSEPSGQELHRAVYLMQRTLSDFVGRLSVKYNFDVGRVIRTVHVLPRGIEVEMDDDVI REIPEGQDMTLEVIETGGSGVKREWEMAVDAPGESDVPSPSNNTAPQRGYELRLRY QC762_0085610 MGPFQTDIYWALADRDSGRSVSSLFLPIFFLLFSTYFPNPIQNV TTATDDQQLCTHICRDF QC762_508620 MELISLSFLTFATLLLGVAIMAFFRRKDASALEPISKMLSSTAF IGSQTGGESVINYSYTDLPWTLMMWDVYYFFHYLWAIFYVVWPVTPTDSAELSELSFT YGNVLSLAVHLVLVVLQLAFVVALPFMIILPVWTAVGSIAGFMGVNKLLCVILNGRGG QVEYHSDPEYAEARKEHEGEVWIFINGVAAGMLYPAKLAVQVSYPVAGPDATKDVRVC YRIIKEKLYDPQNTKVVFILHSQGGIQGSLIIDWLLQELPQDILSKLEVYTFGNAANH FNNPHRHVRSQRAALRNPLAAKTDSTLEENDGTITNVTDDTAPNANAKANLTPEQQEE KIPSLTSLASSTCSARPSQLSDRAIGHIEHYAHTTDFVALWGVLHFTTSTLDSPTMPR FIGRVFARSSPRGGHQFVQHYLDGMFPLQRDANGKLLRDSDGKLVGCVEEGDNEFMES EVIIGNDEGADIEGDLEGEQVQIHSVSPTILRKRATFRREGVVKMRVRELSRLWQYRD GGSPEERKGRRNGEVRKGVTI QC762_508630 MGKNRKSKRQLIRDEKRAKKRGHENAEEEVRDAKRQRRTDGDEE HNADFIPLDGGNGDIPGFVFDTVGDKRRAHKHEGGEVEAEINGHGGDGGGGGGPGSFE REFFGMLAEEEQEYFRHADELLELNDFPSEEEKIIFLANVYKEAKGKELKLASSQSCS RLMERLILLSNTKQKKSMFDAFSGHFISLVTHRFASHCCEKLFLQSAPVVTQELAGEV PEEVPVEGQEEEELTENQKMSMEDLFLLTLDEFEEHLSFLLSDRYGSHALRVLLLVLA GRPLNQAGVKSLLQGKSKEYVTVEGAAANASELISQTRTVPSSFTAAIQKIIVDSTAN LDGAALRVLAKHPTGNPTLQLLLDLELSMAPKGKKKAKKGEEEEKQEEPVKETLLEKL VPGAPASFAEETSPACEFVNSMVYDPIGSRLLETLITHCPGKVFKGLHAHIFGPRIQS FLRNDIASYPAIKVLNRLSKEDLADAVEKSLPEFGALLEKGRLNVVKTYFERCHVRNA TPQLDQLLQALTKACNNNWKHIVPKLCPLEEENEEDKSKEKKFQPAEVKNKTALLSHG CQIVSTLLSIPGNPAKAMQTSLLALPADKILRMATLNAHTAGVVTKALSTPPQLPHFH KLFVAAMLPNIIDMALTHHGNPIVCSIITMASKGDKDVPVVPFHLKENVMAKLEQRES QLRESWLGRNVWRSWKGDLWSNRRHDWVRWAKETNPEELRLAAAAGGVKTLEKQEEAL KKRTEALQEEAFPEEMEEDQPEESEPVKVKKEKKEKKKKEKKEKKEKKEKKEKKEKKE KKEKKEKKEKKPKVEEDAMEIDG QC762_508640 MPPKTVIAFDLYGTVLSTASISSSLSSVLSLPAEKAGELAGLWR RYQLEYTWRCNSMGRYTDFETITRSSLKHVIPEITAAQTDTVMASYNNLSVFPEVPDA LATMAENGRLVEGYVFSNGTEQMMGASVNRSSDLWSFGAVFKGLVSVEGVRRYKPDAG VYEYLVGQVGRTEKKGVWLVSGNPFDVLGAKAAGLRACWVDREGGGWRDQLGEGLGVE GPDLVVRGVDEGVEGILERIRLGGEGGEV QC762_508645 MSPSYSDNGVNTHPVSFPNSRPTKIVRSTSDRSLPPGTLARENL TVFPPSATAHPAQLPEHSFLPIETTRQLAPGVTKAQALLSQAGTTQYKYVGPKTTVNP YLDLVPENPPSLSSKESPSSIIPFTGAEPEDPDGALKRGERVRQRALEKLQQQREEQL AKVTLFPSQKKKVQRPLKKHEQRREDAYEREQLTRKASGEDWARKHHHPEVAKRELRE QQERERVEEEKRLEGERRREIARDRKDFDAVTARELERKGYA QC762_508650 MGRSGYDTTNLGPFGVPSSSAPPLPPPPRGGSGVGDGPGGGGRK RAAPGQGGSRKRAKKAKKNNAGEEEEEEEEEENPAVAAVGGGGGEAGAGAGGAGNGER SGGFAAAAPEPEPAQEQAFGGCGEGGGEEMTEEEMAAVMEAWRRENGLQE QC762_508660 MGKRAPSTRPSETPQDNTAVREQKPTVSHKRRTSSTSQPAKSDT TMDKSVKSNLENKERAYVAASRRMDRSLEDRLKSAHQASEVHFQRTGKRFKITKENVE KGLWYDEIDDLPRHRLAEHTMDYQTRARYQEVDEQFNQVFGSVMPRVQAYPAQFTPAY SPYPPSHMSSMPMQLQMPVYSGVSKPLSQSPSFASQQSFGLDNMQYPYGPHEYTPRQR RTRRSISPASNSSATSREPAASVSPVSMGTSSNPTSPESEIDSSAAEIMASASRHNSF GHFSASNSPMLSQELSFQNFMTAAPNSSPDTLAQAIDPELHGSPTAYFANMSFDDMGS DYTDFVTGYDFREQSHIAGDGPNKETFVASDTSPVASVDNWDDLLNPSEFEDVGTASQ GSQGWERQA QC762_508670 MGEQQSTSSEQPPPPPPPPQPKSISPYGPARSTVQGAPLTKEEV EKCNDFFKASLYLSLGMIYLRSNPLLTTPLDKSHFKARLLGHFGSAPGQIFTYMHFNR LIKKYDLDAIFISGPGHGAPAVLSQAYLEGTYSEVYPECSEDEEGLRKFFKQFSFPGG IGSHATPETPGSLHEGGELGYSISHAFGAVFDNPDLIAVTMVGDGEAETGPLATSWHS TKFLNPITDGAVLPILHLNGYKINNPTILARISHDELESLFKGYGYQPYFVEGDDVES MHQAMAATLEHCVLEIRKFQQQARTTGKAFRPMWPVVILRSPKGWTGPRKINGGLDYL EGYWRSHQVPLTDVHKNPEQFALLESWMRSYEPERLFIANGGRISSSLRQALCPTGNR RMSANPVANGGMLQKPLRMPDFRDYALGVDHPAETLSASMSNMARFLRDIISLNPNNF RLFGPDETESNKLGTVYEVAQKVWMGEYFPEDLNRGNLATEGRVMEMLSEHTCEGWLE GYLLSGRHGLLNSYEPFIHVIDSMVNQHCKWLEKSLEVSWRHPIPSLNILLTAVVWRQ DHNGFTHQDPGFLDVVANKSPEVVRIYLPPDGNCLLSVTDHCLRSRNYVNVIVADKQP HLQFLSMADAIVHCTKGIGIWPGFSSCPAGSAPDVVMASCGDISTQESLAAISLLLDH FPALKIRCVNVVDLFKLISHEEHPHGLTNAEYEAIFTGDKPVVFNFHSYPWLVYRLIH GRKNAGGVVVRGYKEKGNIDTPLELAIRNGTDRFSLAMEVIDRLSEAGGVLEGRGGEA RELLRNEQVRCQMRAFEEGVDPVEVTGWVWPSERRGLWSERKH QC762_508680 MSVMLQTPSRASTASSSSFQPISRQNTMSSYDGSRSARQSKRYS MSALYMSMSANESDLVIEDELAKAQKTLRDLKAKISSQSKKNFVLEKDVRYLDSRIAL LIQNRMALEEQNEVASHLEDAAEMQEGVFPNDDKTQRYGNLLFLLQSEPRHIAHLCRL VSMSEIDSLLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDNTPEYSSLLRANTPV SRMMTTYTRRGPGQSFLKAVLADRINGLIELKDLDLEINPLKVYERMIEQIEEDTGSL PASLPKGITAEQAAENPQVQAIIEPRLTMLTEIANGFLTTIIDGLEEAPYGIRWICKQ IRSLTKRKYPDANDQVICTLIGGFFFLRFINPAIVTPKSYMLIDGTPADRPRRTLTYI AKMLQNLANKPSYAKEPYMAKLQPFVLQNKDRINKFMLDLCEVQDFYESLEMDNYVAL SKKDLELSITLNEIYAMHSLIDKHKGEICKDANSHLSIIVNELGPAPPQVPRKENRVI NLPLFSRWETAIDDLTAALDITQEEVFFMEAKSIFVQILRTIPAGSSVAKRPLRLERI ADAAATSKNDAVMVRKGIRAMELLSQLQELKVVDKSDGFMLLRDEVEQELQHLGSLKE GVDLETKKLEEVYKTIRDHNSYLVGQLETYKNYLHNVRSQSEGTRRKQQKQQVLGPYK FTHQQLEKEGVIQKSNVPDNRRANIYFNFTSPLPGTFVISLHYKGRNRGLLELDLKLD DLLEMQKDNQDDLDLEYVQFNVPKVLALLNKRFARKKGW QC762_508690 MTADSLDQSAARGTSHTLSGGSSNNTILDSPPFHPEKESRSHPS RPSSRHSHLTTTSSDSSNGDPLSPLEHALGRTTSIPQTDAIYQQDADNNNNHLTHTRT GRTSITSAASRPPDYEVTISTDDPEHPMNWPFWYRTYTIITVSYSTWVVVLYSTSYTA TLPKIMDEFDVTSKPIATLGLTTYLLGLAAGSVIVAPMSELYGRRPVYLVCLAAFIVL IIPCGLADSLSEMVIVRFFGALFGSAMISNSPGTVVDISSDKYLALCMSLWSIAPLNG PVTGPLIGGFVNDYLGWRWGNWLAIILSGIAFVLTFFVRESYLPTLIKQKAARRRKET GDENWWCKYDQRSVSTWGLLKLNLSRPFVLAVTEPILWCFNIWISVIYGILYLCFIAY PIIFTQHRGWSPSLTGLSFLGIGLGTLLSIFLEPLWRKMINSSPKRDPLNPSRPAPEA TALIMCVGAVLTPIGQLVFSWTSLPASIHPSISLLFGSVPFGMGNTLSFIYGSNYLAG AYGIYAASALAGNAVMRSVFGAALPLAGPAMYKAMSPQWAGTFLGGLEVMLVPIPFLF YKWGERIRGKSRVIRLMREERDREEKTAERRRKRAARRAARGGRDVAEEEGEEEKTEV EEGKGRGREMGV QC762_508700 MQQYHSSSPSLLSSPPSAISEPGSPTRIINAARANIEMDEIIVD PGSAARFGIMQQQVQQQQASEYPPGTQLTAAGVPRKKPGRKPGSTVKPKVAPDGTVEP PKQRRPRKPKDPNAPPVQRKRKAALTESNETNSEMDARAASGPPAAAAARQPKITELT SMRMSLDGGAGFVQTPPKRESMTSMQMQNLLNSDEPPPQPQPTAPARQMFDPIRGNYD PIRESMVSRDPYGTGGPLGSPRAPAQAPNRASASPSIASLVDPPTSIISPRPTQSFTT TTSQPRFQEPSSVPASPANPVLATPATMPKPTLAEARRPPPPPPAPASVSKPEPKMNS FTSMSSIPSGSSLAAVAAPPAPVQSKKIAAVVQQETKANKKARSSTSSSPKINGVKEK DSLPPLPASERSILDFGRAKPGEEVEPPSIALHIPLKQGENNKYVNFMRMAEERYGWD ALHPRLAASRERKALIAAATAALEKEKNGSGRDTGDEMEEDLSEADNSNVEMGGMGNG NPASGPEAAPAKPARKKRNFKEDEYDKDDDFVDDSEMLWEEQAAASRDGFFVYSGPLI PEVEKPVVPEGPPRRGRGGRGRGSRGGARGGGESGRGRGGGPGSRGGTVRKPRITKLE KEQRDREKAEREALAQMTSKAGATTPGPAANTSPGGALNLLTLTPPAAGTGVTL QC762_508710 MEGFGLEVRDVLEHTPDEVKPPSFPTPANQSATGFPAPKKRVSA FKKQRQNNNTSMLPTPPAQYGPERPPAPKPEVSEKQRIAEENDALLNSLPPDQIMEEQ RDLFKNLDPKLIQMLLRRANLDDSGPAKFDPSPEPSSSAKTAPVTTTTKPPKVTVEDV SENIPPPPSKKDPTKPKKTVTFDEDAAPPAPPANLIPVKKITTTDPNLVITPSNAPDE AHTFYTHYPQPPTVPDLDPEDPDFLEKMHSKFFPNLPADPSKLAWMAPIPTPGSAADK ESPYYPGQDSLPISALRFDFRGLLIPPRLSRQIPVTKGLHHHGEAPEAAGYTIPELAR YARSEVPAQRCIAYQTLGRMLYRLGRGDWGKGEGGRVGEEDDLAFGLWRCFKENRVVE SIEEEVQGEEGRGHRSCYAYATEALWLFEKGGWRERWRGM QC762_508730 MAAQPSFNSNNPFRRKPVAATDVPDRQSTTPPPPPPPTIQGDDN TSSLTIGGGGGDQFWETLQGVPRPAQPPPTTSFLKQKVVKKVRVQSPPPSSPEESDGF GERFPPIGEPDEESDSGSESSEEEEEEGEEEEEVVEVDPFGVGGGSNKSSVEELAVQE GERRREGGVPRISFQMGQPGLEERGETEVGAGGSRGGLDVDAFRRLLLTGQTGGSTPA RSSADLGRAEERAGTEITIQLQDTPRLSREIPGQTVGDEQQRLLPNPPAATLQPAPTI LRKKPPPPSSRHGKLIRPDPTRQESSSSLGGKTSSSSSLASPKPVTSPSRQRPPTPSD VNKPLPSQPSVSEDGNIFDHEAAGKIPEPAMPDPGLHIIHALRPPSPTSSLPTPPPSR KPAPPPRRQPHHGRSESRPFSPEEELFSSTRRSSMESTRSRSSSLRANIHAPAPPPPR RPSQRVVALPSGEAVSPPPTLSGSSTPNNNSVVTPTLAGSVVEAIASPPPVVGTAKEK LTPPPPPPARGASVRAKKPVGAAGEGVVRRSSGNSKPAPPVPKHRGGGGGSVRDSAMA MATAATPDTTDEVVVSSPGGGGGDRVDILADLTRLQREVDELRAGLANGGSK QC762_508740 MPPKKTETKTEGAAAPKAKAAPVHPSYQDMITDAIIQLKDRNGS SRQSLKKYVKSNNTINASDNMFDSLFNKALKSGVDKGIFEQPKGPSGGTKLAKKTAAP KEKKPAAPKKAAAPKEKKEAAPKEKKAATKKAAAPKEKKEKKEAAPKEKKEKKAAAPK KAAAPKEKKEKKAAPKKAAQAPAEEKPAVLTKTKSGRVTKAAPAAKAAPKKAAPKKAA APKKEKTPKKADKAEAASA QC762_508750 MDPLSITASIAGITGICLQAARTLDTLRTKFQNAQVTITALSSQ CAAIKTGLSQLQTLILQNHTVRGQADVVHTLDTTLTGCLVVLTCFEDSLEKLCDATAL MESRRSLVRTWWTKARIVRNEGEMKGYLSLLQGQQSAIAFLVQVLHMNTTDEILEGVR NGKGLLDRQATKAASLRLANPQLQIAESVLNPRRTADTIFRGDGNTVAEGVEFEFDNT VVNSRAYRRMMALAKEAVTNRTSTKSPQSIMALENISFDSKTQSRSFLVSTSEPSGDR RGDPTAVSPMPSTASEVVQPAPKPTTDTPEEAATQPTTSQSLPTSLDILKAQPTQLGA IHTTEYFGQRCQHLFTTVTQWVLRFSKFSDMRASRLTSEINDENIIDRLDNSVLDGSD ADNYLRDRVKRRDMFTSVTMSMIWEFIFTRYLFGMDREQRFKLKSLEKQLTDVGPTAT VRAWRATTLGLWSRRESFRKQRDRDAVAVAEAILEALSKVLPPPGNLEEQLRVQLRRV VSEAVDLSIEMRTQVAEFMVLPPLMPEYNEDGELAATVNFISSLMAQPGSRGDTEGDL EGAVVRVVLFPLVVQKGDLRGEGEEEVVVFPAQVIVNTT QC762_508755 MNMLDFTHFNAAFPTDGPSPYDSTFSRQIETFRKSFDGVLFIDR VLHRLGIKDASKVYPPHSSSALRSLHNQIITSSPGISPHARLSVLFYLLLDFDEKRGP RTSNLALSLADESGLPSNYQILMRGLWHMDRSEFKFALEYLCHPSLPSEFADDIITVL VKHAKKTEDDYSLPLAYYNTVQPVLKTGESLELLFGALARTSVTEALYFTRMWPENAR RGLFERLVGSVIEGGENVGGRVRELVSLPLEGREEEWFNEFVGGRERGGKGGKSARAV RVMREVVTGRGLEQVGVNGVGRW QC762_508760 MSTRQSRRIATRRNTTIVESSEDELGDGSPKVKQEEEEEEYTPA PVEKKRPGRRRTTTTELAPAAPAETPVRKTRGRPKKSLAPAAPPPSAPPVIESTENVN PDQSLASVAGASSAVDVDDTSVGPPPSTVKKPVAAADDTVGPPPSTAKKPAGRPRKSV APRTSKTPTPAPELNVPTQSPKPSVSPSVQLLRDAPPLTDITSATNNAPPSSQQDDTV IAPIKPIKPMDTVLEKPMDIMMKSRTMQIPVIQDTGPKPRIVITYLVLTNFKSYAGKQ EVGPFHASFSSVVGPNGSGKSNVIDSLLFVFGFRASKMRQGKISALIHNSAKYPNLEY CEVAVHFREVMDLPGGGHEVIPDSDLVISRKAFRNNSSAYYINGKTSNFTTVTTLLRD RGVDLDHKRFLILQGEVESIAQMKAKAANEHDDGLLEYLEDIIGTSKYKTPIEESAAE VETLNEICLEKSGRVQHVEKEKQSLEDKKNKALAFIRDENELAMKQSALYQLYISQCE DNLAVTDEAISQMQEQLNAELEKHNGSEQIIKQLEKAHAKGNKEYEAQEKETQALIKE MAKFEQERVKFEEKRKFLADKRKKLEKTIANSENSAEQADQTIQECAEDIERRAAEIE NIERWIKEEEEELTKIRDSLKGKTQHMSDQIAAKQKSLEPWKEKINQKQSAIAVAESE LAILEEKAKAGGVALEEMEAKIVAIQELQAAKAEEFKACQAEKDALKKEGRRVVAELE ELAQEEPKFRAKLSNLRQKADEARSSFSATKTQGNVLTALMRMKESGRIDGFHGRLGN LGAIDKKYDVAISTACGQLDNFVTDTVEAGQQCIEHLRKTNLGRGNFMCLDKLRVRDF SPIKTPEDAPRLFDLVQPKDEKFRPAFYHALQDTLVAEDLAQANRIAYGAKRWRVVTL AGELIDKSGTMSGGGTTVKKGLMSSKLVAEISKEQVDKVEADRDAFEQRFQEFQDHQR ELEARLRSLKEQIPQLDTKMQKINLEIESSSRNLADAQRRIKELSKEHQPSQTDDNRV AVLQKEIAKLNKEIEKLHGETSSVEDEIKELQDKIMEVGGEKLRQQRTKVDNLKDEIR SQNEEVSSAEVRKVKAEKQKVKLEKDHAKASKELEAANRDLERLEEEIENQGTKAEDY TTRVEEAKEALAAKKEELSTLKAELDEKTAELNATRAVEIEMRNKLEENQKVLKETQR QLAYWENKLSKLSLQNIEDLESGRPSQPVIPQSRPKTPGNEDEEEDAEGEPELDAEGD SPMGDATDSDDEDPAAQLQAEAEATFLGSGRGPNPASNPLELPRYTRDELSDMSEKTL KGEIAVLEEKTQNVNVDLGVLAEYRRRVEEHAARSQDLASAVAQRDAAKKRCDDLRRL RLEGFMEGFSTISLRLKEMYQMITMGGNAELELVDSLDPFSEGILFSVMPPKKSWKNI SNLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFRNVSIVANYIKERTKNAQF IVISLRNNMFELAARLVGVYKVNHMTKSVTIENKEYVKGRQQQQQSSQQPAQSQKGGT EQTTRVFGPGMARREESGKEPERLGSSSSASSNLTHRPR QC762_508770 MTTMETYHGMVKTPADAIKLFEACRLGLLPRVQRRLSEKERQQI RSGSVYVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGNGFGNSRRGAGRTP DSGRGSDEDQDDGEPDSLAAGYRYKTDGLMKQSFSITTSNSQHLHLISYYTRNSQNLQ TPSTDPQLRGIIPQKGMYPESSLNDQSALTRSPMQQPPYPPQVQQLHHLPPGYPGYAP HPAHHGHYQPWPPSPGTTPPYGHPYNGHHHPGHPVHPGAHPAPYGHHLPQLQYGPPPP PPPHQPYHSANHHQYERERAPTLPPLQLPQQRPPQPPPQLPLPAPTPSQPPHHAPYSQ PGSVSTPILSPPRIAPLGSPRSRQLQAKAREAISDPRLALNGGIQLAPVQPPQQHQQP PPMRPIITTHTASPPNRALSVSPNSDGRNRDPTSASTKASLSALLSHPTPPLTNASSA VSSEPNSAGPSVGGGSNSANSSPRTAHGGLGRPPQLPAIHDFARVEAGSIGKLNSNFR G QC762_0085800 MEGGRNPRIWLFQNGAVHGLTFSNFHLMISQRQADTNSQDQDAP RLAVLPDLRHRSAWHGAWWAWSGFSLAGHPSESSHIPRHPCASGRIVIDLQRIRTTSR QPRSWTRYRLGLPLHESTIERDKH QC762_0085810 MRPSVLGSAMRPSASPLVSRISMLSRPSHSSRRCLSHQATATPA PAPAPVPVQPARAISQLLKWKPEQNVDNVVVHGYVRSVRGLKAWRFVSLADGSSLDPL QAVIESGLAEGLTVGAAVRLTGSWVASPGAAQSHELQVREVKVLGSSDAKTFPIQKKY QTPEYLRTLPHLRPRTPLNALLLRLRSEAVTSLTQFFARQDFVQTHPPIITSSDCEGA GEVFTLNPANYTAGQPGKESADSQDSFFRSKKYLTVSTQLHLEALAQSVGNVWTLSPV FRAEQSDTSRHLSEFYMLEAEMSFVDDMNSVMDLAEDMMRQLGMDLYQSDTVKSLLTR TPHFGQDLAPAEEVDRRWQGLMQPDWPRITYTEAIDILKQHKDKFEHKPVWGAGLQSE HEKFIASTVGKDRPVFVTNYPRDIKAFYMRPTRGPGSEDPSRVTVDCFDLLVPELCEI AGGSMREHQLEPLLDIMTRQGIIKSPSETASEDDSGNGLQWYADLRRWGCPPHGGFGL GFDRLLSYLSGVQTVRDIVSFPRWYGRCDC QC762_508790 MPSSSSKSSKPKPSEVASETKKYYTPLIKHEYANRWQTCSYICR QPLIDIQFEDRPPSVTPPVFYVSTGDPVDMTINWQIQSGTSIPFICAANDRRPGGDWE TGAVGYEERLCRRSTLAAALATPGQGSDLNDHYPIPICAGIMSQDVVVFRGPHDKYEK LPLEQWRSLPVVSVPPPRWPKLTQNGTKYSFADEREMVRDKLRGALRICAYYRYDTVV IGDFGLGNGYRNPPQELAELWREVFLYDPDLRGRIRCVMFVFEDPAQSTMQLILDEIA KKAKGGSSSKSKKGGSSSSNSNCPTDFQIFNQVFDSAEIQRVIARPDARYGLDNLLV QC762_508800 MFSFCPLQGALSESTASQSLLELDGGVKILVDVGWDETFAVEKL RELEKQVPTLSFILLTHATVAHIGAYAHCCKHIPLFSTIPAYATRPVIDLGRTLTQDL YASTPLAATTIPTSSLAEVAYASSQAPSLNPNLLLQPPSPEEITRYFANIQAVQYSQP QQPRSSPFSPDITNLTVTAYNSGRTLGGAIWHIQHGLESIVYAVDWNQGKENVFSGAA WLSGGHGGGSTEVIEQLRKPTALVCSSRTPDATLSRAKRDEQLLESIKLCIARGGTVL IPVDSSARVLELSYLLEHAWRNEVDNNNNNNNEIFRNAQLYLAGHSIGSTLKHARSLF EWMDDKIVREFEAAAGGKESHSRGQRGGHHHDHKVAGPFDFKHLRLLERKGQVSWVLK QALEDLEPKGRVILATDSSLEWGFSKEVLKSIAGDARNLVLLTEKPALNENKPSIART LWEWWKERKDGVATEQTTSGETFEQVYAGGRELEIADASKQALEGNDLSVYQQWLATK QQLQATSHGGSGMTWEAWTDLNDALSDTSTESEESETEQQGKALNISANIKQASRKKV VLNDEDLGVNILIKKRGVYDYEVRGKKGREAMFPAVAQRRRHDEFGDLIRPEDYLRAE EREVADRQETDPAKTKQEDSLGKKRSLIAVNAANKATNSKREKQPARAHSDEPDDASA TGAPGNGPQTEEVDELDEEEDVPVLGPAKLVMTTHKISVNLRIAFVDFSGLHDKRSLH MLIPLIQPRRLVLVAGTEQESQALAVDCKKLLSAQLAANSSNESATVDVFTPPVGTFV NASVDTNAWVVKLSDYLAKKLKWQNVNGLGIATITGVLLPGGGFIPSDDPNDEGNKRQ KTEEGGSPSSSMALTTVNNDANPRTLPTVDVLPVNLAATATVKAASQPLHAGDLRLAD LRRAMLHAGHKAEFRGEGTLLIDETVAVRKSAAGRIELESVALPFAVGPGGGRSLMGG GGGGRGTFYAVREKIYDVLAVVPGA QC762_508810 MAEFGMYHALGQGENIDPNDPHRRTQPAAPQFQPPVAPNPYQPQ ASSPYGAPTPPAQQPYYGTPSPAGVPPPPQLPGYGAPPAGEPGYFQGQQSPADDGGLA AQMGGMSLGPDAGHGAARRKKKDRHAYHQVEAVGSSQPFNGIPPAGTPATAFLNAEPS AAGARYGGSQFPAPVSPGFNPVPASPAEFAARGGGFGDATASATMVSSGGQDRVSPDE MPSVPLSRDSVQQYFLTNVYPTFERLVPPPATTSFVAFDQGNSSPKFARLSLTNIPAT AEGLKSTALPLGLVLQPLAPLQAGELPIPVLDFGDAGPPRCHRCRAYINPFMIFRSGG NKFVCNLCNYANDTPPEYFCATSPQGVRVDRDQRPELMRGTVEFVVPKEYWTREPVGL RYVFVIDVTQESFNKGYLEAFCEGILRALYAPEDDEEKDENGEVKRRIPAGAKVGFVT YDKEVHFYNVSPSLEQAQMMIMPDIEDPFVPLSEGLFVDPYESKTVITSLLTRLPQMF SDIKNPEPALLSTLNAVVGALEKTGGKIFCSLAALPTWGPGRLFMRDDGKHPNGEPDK KLFSTEHPAWRKLAEKLVSIGAGVDFFMASPSGGYLDIATVGYVSATTGGETFFYPNF IAGRDNTKLSLEIKHAVTRETGYQALMKVRCSNGLQVSAYHGNFIQHTFGADLEIGVI DADKALGVTFGYDGKLDSKLDAHFQAALLYTTASGQRRVRCLNVIAGVSEQAKDSIKF IDQDAVYTILAKEASTKLATTSSSLKDVRASLTEKTIDVLAMYRKNFLAQAHPPGQLV MPERLKEFAMYMLGMIKSRAFKGGNETSDRRVHEMRMIRSMGCLELSLYLYPRMIPIH NLAPEDGFPDPATGHLKMPPSTRTTFSRVEPGGVYIVDNGQQLLIWFHAQTSPNLIED LFGPGMDELRKLDAYTSQLPVLETHLNAQVRNILEWLRGMRGSKGLTVQLARQGLDGA EYEFARLLVEDRNNEAQGYVDWLVAVHRGVQLEVSKGTRLK QC762_508820 MDSGPAKRRKLDHSQDGAGKALEAAVSTGGVSRSRAFILEAEEL LEEVRIDYKTAFEGADQLLHKIKSSIETIKSQEPLPILEAATKFEKKSKIKIPFPDPQ PPKNSNYKVAFAKPSQFNVVGSYVSKTMVKTQKDHSVDMIVVLPQEILQEKDYLDLRY FYKRAYYLAVVASALQKESGNEAELSYEYLNGNPLTPVLAIQPKSPEATDEGSKGRLQ YRIRIIPCAPEGFFPKGKLHLGASLVRRGRDTESETPAHPTAFYNSTVAAEGSFLSYL KLLRQTEKKCAAFKKACILGRTWLQQRGFGGDISKGGFGHFEWSVLLALLLQGGQRMG HAALSTSLSATQLFKAQVQFLSVMNFSEKPCVLGAENVDLEEHIESGPILYDYSRGLN VAFKMGHWSAALLHQHAKWTRSLLSDSSADQFTPTFILRADLPSHTFDLFAHLNYSDV LDQVIGNDYSESRGRIWQLGSKVYKVLKRALGDKELGERARVVHIQTPEQPKWSLAEK PKDQTRAALEVGVLFDPVNMSRVVDKGPSAGATAEEKEECDRFRRFWGDKAELRRFER DTIRETLVWKSTTPFEICEEIMRYILALHLRIGHLEDDISFYGNGLVVLLSIKPADTT SYNVARKEFSTFERDVRNLDELPLRVRQIAPVCPELRHASVKPPVFGSLKSGPRPMDC VISFEASGKWPESIVAIQRTKIAFLLMIGNLLENSKQGVKTHVGLEDAHFETENLAFL DVVYESGPAFRLRIHSDLEESLLERQVKDKTQEQYLRQRATTQLAAFKRLYNNLPLHN QTINTSITRFPALGPTIRLVKHWFNSHKLSIHFTPEFIELVTLHIFLSPYPWDAPSSP STGFNRVLLFLSRWDWRSEPLIIDSGNELTNSDHFAIVTRMEAWRKIDPGMNHTVLFV ATTQEPTGIAWTSLNNEPKPTRVVATRMTALAKAACRVVREEQLLLDPRRLFVPSLKE YDVLIHLDPKALKNAMRTYENVDPAEEVGEGRGSKFKNLDVVTGEDLLPLVAHPAEVL LEQFGKVFGGPLVFFWGGEGDNVVGAIWNPGMERRVLKGGMLASYRPGRQQQEEGDGE DKVEVEMNKEAMVGEMARLGGDLVERIEMR QC762_508830 MVNLIKRHSINKHTKAMLKSVNDFIKQSKWDDAREAANEVLQRD PKNYHAHIFLAFALDKKNMLEDAENTYLAATNIKPGDTQAWQGLIRLYQKQGNKKLKQ YKHAAIKLGEIFRDTNEMFKFQDVVDKFVDHARTQGERLQYVDALDIQLPGSPLYEAL EGRVPHPAKTYEIQAKIVEPEEKKRINTLIGERRTRIGARVSEVTLEVKREVYRQSKL GHIYRQLIDWTADDELRRTCEEKLLQYCYDRLLAWPPGEEKQQEMEVVRKLANDMVII RHPFKFAWDIALNWQDHKEIKEWDVTILRQYCSFFPDSDLNRVIMGFLTSDISPFPKE TPAQNDVTADGEPEDESEDDDAGGVPTTYVPLTEEDRLLMMTEGISSGDSLFAYRLMG EYYQHLEEHESNVELMRKAIDLLKTERTKTGLAFRNTGDAYSLYLGTALVFYQSPRHH QEAKSLFDGVLAHDPTSTPAMIGVGLIYEEEEEYDEAVGFLERALLGDPTNLRVKTEA AWVKALKGDFAAAKAELDACMPLLTEKGQNNKELLSQTKYRLGYCIWNLETSRSARKS RSGAYNHFLESLKSNLNYAPAYTILGIYYADYAKDKKRARRCFQKAVELSPSEVLSAE RLARSFADDGDWDRVELVAQRVVDSGKVKPPPGSKRKGISWPFSALGVAELNKQDYHK AIVSFQAALRISPNDYHSWVGLGESYHGSGRYIAATKALLNAQKLEESPDVDITGQET WFTRFILAEVKRELGDFDDAIDLYKQVLEDRPEEDGVAISLMQAMVDNALVSLDKGFF GKSIDHAVSALRFAVETSAAIKDTFNFWRAIADACSLFTSVQGRLSEFPRELVQGLLG SDEAAPEYQVLKDIDGVGTAVVSTNGIFHDNEKMGIDLTRAMHATILAHKRAVHLSAN DIHAQAVAFYNLGWAEYRAHSCLPAHLRKKATRYLKAAISCFKRAIELEAGNSEFWNA LGVVTSTVNPSVSQHSFVRSLHINERGAHSWTNLGVLALLQGDLQLANEVFTKAQSAD PDFAHAWLGQGLVALLLGDQKEARGLFIHSMDISEASSLATRRLYSVSMFDHILSSPS DLPITSLVQPVLALGQIQGLKPQDLAYGHLSALFQERTQEYQRAAHNLENICTQAEAE YEVTESPQALKHFSIAKTDLARALLAQGLNVEAIEAAEMAIQLSSDESDSELTAGERK RVRLSAHVSMGLAQYHLDYVDDAVSSFEQAIQESDGNPDVACVLAQVLWATGKDDARE RARDVLFEVIEQHPSHVQSVCLLGVIALLDKDDESLEAVVSELQNLRSGDEGVSAAEQ SQLGEVLKAVAALGAGGGGGEEGQAQADVMFHPYLPHGWAELAGLEGTAGDGEGAAEM ALRVAIKGVAPRGDLPAEELARAYAGTGKVMDAQTAVMVAPWEGAGWRSLGDAIIRS QC762_508840 MSTIATPRDPPRRIPSSSNLYTPTTSARPSLDINPPSTSLPSSP NLSIPTNQPPKRSRAALREYYNLRTTVPSITTTTTSSPPSPPPASTPASILDSPSFSP TQYLTSLLESSSLSSLLQTYTRLLSEIRALDAEKKALVYDNYSKLITATETIRKMRTT MDPLNPMAGTIDLVVGRVYDMARGLREEMREHCGGEQGRQQGGGGMADRKKRTKELVR EVYRGMERMRGFVQQGDRPQAEKEWELPRRLLVKWQEMGVGGKEVRELLREGDGILKG GDNGEEGSD QC762_508850 MDGESSELDESIVRLNHRKKKPGRSRNNRRFHPYGSSYVDSPLK FLRRPTDPNVRSLSAADSGSEDQQDDSTLSSLADSVLFESDSNDGPSHLDDHSDTAES HELGSSSNPIDLASLLINSQTPPGKSVAGKTVSRFKVAPQPDFGNKKSKRGGPQSAKA PVTPNVIQPRQRSHSDLISPNPAEPKAAASRNHSNQNHTDSQGTNPPKENKITPRVPP HNHPRTTVTNISSRSAPQQNRTSQPDTLPPMTPQKRPPTQPVPHDRPTQQRRLMPPPP AAPSTIGPSSPSTHVPRPPQNNQMDLSLQLQTALSTTALPFPSLPFLTRLVQSRKPPP PFPSLLATTRARILSSDITTDMLDPAYINSHSLPPEISNPLTKSATLPHDVVVQVLDI INISKSKWEQVEELEAKARGEEKRGREVVRLPTARGDGEVDQGTQAGTQFQTQQRGEG KATHRILFQDPKGGKIYGLELVRVEKLGVGKTNMGEKWLLKKGTSVSRGVVMLEPGRC ECLGGKVEVWNRAWNEGRLERLRGEATGEGTG QC762_508860 MNFTSSLLRTTPRLISSFANSTQPRTFTMAAMQRPAFTERVVKA MQALYPESLADRNWDNVGLLQENFTTPSTATTTSPVVLLTNDLTPAVAQEAISRKASV IVSYHPFIFRGLKSITLADPQQRIILLLAQHNIAVYSPHTAIDAAPGGMADWLAQMLS SLPDRTTTISTVTPVDASSLPEKFAGAGYGRKVQLSKPAGLGELVKLYAKGLGGLKHV MVARPKSEGQFMVKTVAVCPGSGESVLAGVKDADLIVTGEMSHHPALKLVMEGKAVIS VFHSNSERAFLRDVLKGQLEEELKEVEGLEVLISEEDQDPFQIVDVEDLPSDMRMQTV QC762_508870 MAQSITEDSVRAALTDRLKASHVEVQDMSGGCGQAFTSLIVSPE FVGKNSLKRHRLVNAALKDEIAQIHAWSAKCQTPEEYEKEKAATGGNDGPPLDGTAGG EVTGVSQ QC762_508880 MENSRVDELLKSLELLQQSIKTLHQYREAQSNPVQRPRPSSVDW PASTLQRVATISNAPPQSAVTTSARRLTNESPRSRPTLINDGIPKREHSPDFLTLTSP PASRSSSSQSTPSCVIEALPSIEKTEEELVSHLQSIKSTEDGSTSGTIIALADAWERR DELTPANLLTSFETGEGTKYEHTSYQIYEVDRDGVPTQIRPLCLVKGSACPNHGDENG DNRDISVWPVLKTINADGNAVGRISILQEPTPVMLAATHLTMKDHFDMDELLSHLVTT AGNKGKTKAYLNRSIEPTPLRQRSFFFVFKYYTIIASGHPPTPWQPFDPRPLDHRSPD HIDITECSSILALSLSGPVSSQVKVRAKRKTKSGNLYSTFAPWHLVNIQYFPDDHHSP FPTIRSDGTQKHFLNGPHAFLDALRLEYRDAIKRYTELNESITKLITPPNQFMFDVRL RDKLLFEDSHFTYSRRYFWAYNTLGVINEGIKSMSAAYVNNFTRDFWEGRHPTLWPCA SNGGDYLGKLDILRQDLEQAVSELKVVYDRNEATRTEIRSLREQLFSGSSVKESRRAI EQGKNIKILTSVSMVFLPLTFVVGVFSITTLEIEPEDWRFGVTLVGVCVPFLC QC762_508890 MSVVTLLGVNVANNPAKFTDKYLFEITFECLEPLEKDLEWKLTY VGSAQSDSHDQELDSLLVGPIPVGVNKFAFEANPPDTKLIPDDELLGVTVILLTCAYD GREFVRVGYYVNNEYESEELQNDPPAKPIIEKIKRNVLAEKPRVTRFNIKWDSEATAP AEFPPEQPEADLVADEEEYGAEELAEEEEAELAEEAAAVGEATGADKDAAMEGVEGEN GAVDEEELSDDGSVDIEGESEDDLEEEELDGDAMETDEMEVDKPAVPAAGAPSGAPAP QADAMVH QC762_508900 MADAPTADGPNNGSLSPPTETPILVPVDKVAQLEKRVTEDPRGA LDAWLALMAEHRCRGNIAQARETYERFLAIFPQAAEVWVQWLEMELETDNFNEAERIF STALISTPNLALWTKYLDYVRRRNDLNDGNARQIVYQAYDFALNNIGFDKDSGKIWAD YIQFLKSGPGTLGGSQWEDLKKMDQIRSAYQKAICVPIANVNNLWKEYDQFEMSLNKP NGRKNLAERSPSYMTAKSAYIALENTTRGLQRTTLPVLPPAAAHFDGYQEYMEQVEIW KRWIAWEKSDPLYLKEDEKLPGLYQKRILYVYRQALMALRFWPEMWLDAAEWCFENNI IDDKPKTSDQKNDVSTGLEFLIRGIEANPESVLLALRYGDYIESTHQTEDNDKAKIAL GQAVRAPYNKVLDTLYDIINKVKDRETAHIAQIQEAAKKATARDNSSSGSDDDEDDEE EGEGSTKPAMDASAEKQIQAVQQVSAMQTKMLSKTISFVWIALIRAMRRIQGKGKANT EMGGMRQVFQDARQRGRLTSDVYAAVAHMEWTIYKEPAGGKIFDRGAKLFPEDEDFAL EHIKYLHSLNDFTNARVLFERVVSRLTSKPENVHKAKQLYVYFHKYESQFGELAQISK LEKRMAELFPEDPKLSHFSARFSTEKFDPITARVIVSPAAQLRPKIQPPGLMPSIEQH QPQQPISIRNSPTPAPQFRPTITNSPKRPLPLDDDESNPPRKIQRNDYNTEFVRGASP LKGAAGRRLDQQRRMQGSAGGASYSSTPAPIARDITFLLGLIPRAEAYDYHRMNPNKV VNLLQSTNVPEYHVWKAHANPSQNPHHRNVSTDFGSYGGGYGNRDSPAPRAGSPYGQQ RLVMGQGQGYRQSSLRPGSAGSGAGYEPPPAVPGQGAGGYQFGGLPPPPPPPGYGGYG GGY QC762_508910 MDDTQEFNPKDLPSYPKHTDFKDLNTKAVEKRIDLTPEAYVEDL DKFHPFASRPGWNDDAKARKVNVAINQYRIKVAKLPTIFQYNLDVSPNPDANVVFRKC WENPTLQAHMKQFKTPEGKSGDWLYDGGKILWSRNDIGNQAVKKQIDLRENEDGSRGK PQPLFITITKTKQLNLEAINAYLAGKMGWDNVVLETITFLDQVFRAGPTRVFGFIANK RTLIHPQSSESMPLNALTEAIKGIYSAIRLNSSNITGGQGLGVNVDVANNTYFIGQNM AQLARHLVINLCPNVGPHKPDEFFQFVKPVQDKNGKWGMSAGLKALRRLANLRFRVTH RGKKDKNGNLIPSPIYRVKGVVFEPKYGQEGASAKKVTFQRKEFNEVTQEITTSEMSI YDFYELHYKKRLRLWQLPLIETNKAGYFPMELCEVERFCPYPFKLDGDMTTKMLRFAV QAPKERKMQIEKMVAQLQWGNDRFLKQFGIQMDSTMPRVEARVIPNPGISFGNKIVNP GLSGRWDLRGLKFIEPNPQPLKSWGICVTQDCCDQPTVAAFYKNWSNIYKGHGGRIQN DPIIFRAQGSQYTEVVQNAWHTVGKKFNANPQIIFFILKDKSAWYYERLKRSSDCRYA MPTQMLNLQQVRKGQAQYCSNVCLKVNAKLGGCTAVAVKTQAGQPLKPNSVAPHFDNT PTMFIGADVSHGAAGHLSPSVAAMTVSMDKAATKYAAGAQTNGWRVEIIQPYNMMQML QPMIKQWRQRNGTFPKRVFYMRDGVSEGQYGHVMQHEFPAMKKAFEAAMASEKAAAPL FTIIIATKRHHIRFFPDSNAADKNGNALPGTLVEREVTHPFQYDFYLCSHVAIKGTAR PVHYTVLHDEVKLPPAKLQEMIYHQCYQYVRSTTPVSLHPAVYYAHLVSNRARPHEMG LFTDRVPEDVKHGILRAALGNYAKLQKARKARQKDSQTSQSDERDKICPKLMPLGREA KPGAKEAFEAGMWFV QC762_508920 MASFLRAKQSGVATDLSAGLLPGSFNPDELARYGINSQVSCLAY DPIQSLLAVGTSPSTFSPRGKIYVFGRDRVHRILQPPNPSGGVSYRQLAFVNHSLVSL DSNNEVALWDLETCTQTSKYSYVKVSSLVTDPALDWAFVGSASSSGDVQVYDLDRARP VPFRLGNLAKEVYPREMIGDRAGVAAMAIHPRDIGKLAVGYAGGVVGVYSFKMGGVQR WYEPPPTGGMMIMRGKVKLTNVVWHPSGTFLMGGYENGVMVFWDVKEGRVVGVRDVYG SLDDLEGDKRDRVPLGRVKWACKGNNPDDTGLVVQGGWDKGEQQSGKRGISFLDLGPT PVYATSSWEVLGGYVKGRGERVVMEMPPGAEVVDYVLIPRQSPYFDGAQDPLAVMAML SSGELITMSFPSGWAISPTNMLHPSLSFVHPFVQRIGVASVGRERWLGMVEMRSQGEA ILKGGKGGNTRRKMVDVRNVILVAHADSTVRIWDVGFADEVENSGQLQVDVARAVGRF EDVSVSEVHMAEGTGEFAAGTKTGEVVVYKWGVNKFHGRDATTALDPKPGGLTDISTR AEPSLKEGLQPFVLYEMAQGPISALCVSDVGFIAVGSEGGHFSIIDLRGPSVIYTSPV TEFITQEKKSFSLKKSSSSHNKLEFPTAISFGVMTLEGDSYSSICCFVGTNLGHIATF RLLPSGQSYTAKPAGFIKTSADRVISLSPINSETGSPATATGQSVAGLRSNVQTPGVL VAVTQSEIRIFKPSTNKGASKSFDDQLCDSARVIHPPNLPPSVVCLFGDRTTRAYSIP SLKEVGRASLSMLDPSRTLSCVISKTGELIAWNGPSEIAVMPVYGSGKGLPVSTDSLI SPERTLPPRPTISNLQWISGTQYVSPTDLDLLIGGEGRPPSKRVVRAEEVERATAGGG GYGGSSSQQQQEGWGDYLVRQVNERAEKLSFVDDAMMKLQEASSGWAEDVNKTVKEQK RGLLLGGLKKSFF QC762_508930 MNSSSEKVAAGEVNNIENADSKAGSKAGSDVHRSPADFGFTPEQ EKAILRRVDRRLVLTVGAMYCISLMDRTNLGAANIAGMGVDLVLIGNRYSLISLLFFV TYIIFQPPSTILVRKIGPRLHLAAITVLWGAVMIGMGFVVNWEQMAGMRLLLGVLEAG FFPSAVYLLSTWYTRYEVGKRNAVFYLIGSCASAFAGILAYGIMQMKGLADMNGWRWI FVIEGILTCVLGLVGYWLLVDFPDSKRESWSFLGPTEKEWICARVNADRGDVKIQEFS IKKYLGAGLDWKIWAYAMIFFNTTTVTYALAYFLPIILNLELGFSVGEAQCLVAPPYA FAAIIIYLSGWIGDKYHVRGPVIVGNIILCMIGLPIMGFAKSSAVRYFGVFFVTAGAN ANVSTALSYQANNIRGQWKRAFCSATFVMFGGIGGIAGSLVFRGEDAPHYRPGLYACI ATSGLTLILVGILSLSFYRSNSAADRGEKELEADGDEDYEPGFRYTY QC762_508940 MKFLSLLAAASTATAHTIFVQLDAGGKVYPVSHAIRTPTYDGPI TNVNSNDLACNGGPNPTMKSNEVITVQAGTTVKAVWRHTLTSGPNNVMDASHKGPTLA YLKKVSNALTDTGIGGGWFKIQEDGYNGGNWGTSKVINNAGLHYITSDIPSCIAPGQY LLRAEMIALHGAGSSGGAQLYMECAQINIVGGTGAVSPKTYSIPGIYKSNDPGILVNI YSMTTSSKYTIPGPPLFTCAGGSGGSGPVTTQPEPVVEEVPAPTQPEPVDSGCEAAQW QQCGGQNYSGCTRCAAGFTCKNINQYYHQCS QC762_508950 MAPTAVFTQNAPAPIPQLSQAIKHNGMVFCSGSLATDPKTGKFI EGSFQDRVRQCLDNLKEVLLAAGSSLDHVVKVNVFLTDMKNFKDMNEVYDQFFTKEPK PARTCVAVYQLPLGTDVEVECIAAEMPKAKL QC762_508955 MRTPILLSAHRAAAYYRPLGLTKSHRAALSNSTLIAHSRLHFQH RFNSSSSSSSSSSSSSSQPPLPLNLTPPDIQYNKTLNPPPTTRPPPFSIPENNPSLSL PRNLLAKGKAILTFYKTGLKSILVNRRLCYTPLDSLPEPLKQLKPYPDTRAALILKSR LRHDLGRLIPLSLILLVCAEFTPVVILGAPNLKVTPLTCRMPREVEAIRGRDRVVRKR ARELYGKGEVEMDVVVGEVLRVGRPRFVPGWIYPGVMRKGKLERRLAWIVADDAMIVQ GGGEGELVVEEVRVALEERGVDVLGKGEEELRGLLRKWLEITGQVEDLDVRREVVKGM VVQGEERWGRWERWEESKLTLEG QC762_508960 MGLFTYRFIEGLTEAEKQARRRALDNYGLAAQLSDLLPVVLILL YRLTKWVVRERVDGSANGEYAAVPSSPVMKKRREEGVRSWSVRKRRLRWWLGEDVVAA GHVYGQRDQWIVGVVWFLWLAVLSVKDTGEDYLHLTKRFGIVAVSQYPLQYLLSLKSL NPFSYLLKSSHEQVNRWHRVSARVTTTLLFIHAALYLNFYIQNNRLYRLGVPLVLAGV VAFFFLNLMLTTALRPVRRFSYRLFFITHLLLAISIPFLILFHAAPARPYMIQALLVF FVDLISRKMDTVTGHAKFESIPGTNLVKLSAAIPHVKINRFRGSPGSHIYLSIPAAAR ATFQDPTSISHLLFEFLFNPFTVASVGEQESEVTMIARHNGGPMTAALGHYAVLAKQQ QQQQQQQQPPSRSANSLSIPDARNEGKIPLNIEGPYGSVTHFPNLGQFDRVLLVAGGV GATFTVPVYKSVVAENPNAKVKMVWSVRTAGDATWALLREGLMDDENVQIYVTGVTEG RTRGGVWDQQQQDGGEGSGAEGTEMSRMFRRRSGSNSGGSGGGGAGGRFTSLHNRQRP DLRRVVDEMFRHGQEEKVAVVVCGPEEMARELREYVGVWVRRGRVVWFHKEGFGF QC762_508970 MRIRLPFAGVFTLLLLLSAYAGLSTLQLSPSLPLNDKALHFLTF FLLTLAFYWVIDTTRRRTLNLTLAICTVGGGIGSEILQGLLPNGREFDSYDVVANLVG SLAAVGLCSWYHKRMLERKRQRRYGGEGGAGEELERLHDGEEEDLELGEGLGLGRSFD GQQEQGVTTAAAAATTEERGKSLEEVVDDWDENEVDNWDDEEEEEEESEEDIGGAASG AGRVGVVNGKKRAD QC762_508980 MSIANGQNAWPPPSASQVNGDRGHGYHGGAADDGLPRTNTPSGG HHPPSLMPEASDLDDEHRRALFAQKFQVANRRLEMLFGDDGGYDQAALASFTRPPTPP APFIPAATDHAPIQEPPRKRAKRVIDEDDYGDDDDDDDDNDDDVDEESQDGANRIASK HSSAAAAKTLLSPSKSGSSPVHSVPSPGKQSREDGSQQKVKSSEDARKELEDARTATE EAAKRSFHTLFYTLENDRTAMLEHQQLEESEKQLQAEMDKTGHNSTSQPGSQGGHGSL SNANLGASSLTLKHLIARIDLKRDQVRASDAELRSLMNEVRKNRSKWASEENVGQEEL YEALDKVLSELKAHTEFSTPFLNRVNKRDAPDYYNIIRQPMDMGTMTKKLKQLQYKSK TEFVTDLNLIWDNCLRYNQDMAHPFRRLANSMRKEAEKLIPLIPDVVIRPRAEVEAEE RRKQNGGDDEDSDDEPIMSSRGRKATKGASKSRKAQSDQKEDTPNVETKPVLQLNGLL ARHREGSEIDGSNGFGTPPVAGSITPSGLNGHHSGVSNADAMDIDGPSLNGIHLNQAL GEAAEQAFEDDDYKMWKQVTKKDRALIAKERYRLFADGHLNVDEPALLRTKAGMRRFL KSQREAEAHGLLPGSNQADASAAGSKDGIKASETLAEGMEDDVVKNIPAYYEPQTIIP DIDPKLQWVEDGEGQVINQFEDMLQLVPQGHFISPMSKLTNKFDANIRQMQETRKLCS KISVIKQMQIQTQMYQNQFQKYNPEPFIEQDIEPHFLAGQGPVMAGEVCRAAMQRSVA KIFYHAGFEELQPSALDTITDIAGDYFQKLVRTFNVYREAELKPATGVFAERGAKFQR RYTPEEVILHTLDENGYDIEQLEAYARDDVGKLGSKLSTLHERMKAHLADLLRPALND AGADGSGAFNDGSEQFVGGDFAEDLGEDFFGFKSLGLDKELGLDMLSVPLHLLQSRVR NQFQLQTQTAGAGVGTVDMFEPLPPSEPVTRDSIQEQIGLVKNFFLAKLHANGDAPLV EDEDLPVKQRRPRPRLGATGKIVSPQKRPPKEQIALAKKKKKMESSGLGMVTAGQNAG SLVGGLGGGSPNKSRKVVVGGGGGGVGSITLPTVASGGGESGTEKEDGGGTQQAGGSG GGMGMMSPDSMDVR QC762_508990 MASSTYALSEEHKQLLEKSLVDSDPEVAEIMKHEIQRQRESIIL IASENVTSRAVFDALGSPMSNKYSEGLPGARYYGGNQHIDEIELLCQKRALEAFHLDP AKWGVNVQCLSGSPANLQVYQAIMPPHGRLMGLDLPHGGHLSHGYQTPQRKISAVSTY FETMPYRVNLDTGIIDYDQLEKNAQLFRPKILVAGTSAYCRLIDYERMRKIADSVGAY LVVDIAHISGLVASGVIPTPFEYADVVTTTTHKSLRGPRGAMIFFRKGVRSVDAKTGK ETLYDLEDKINFSVFPGHQGGPHNHTITALAVALKQAASPEFKAYQEKVVANAKTLER VFKEQGHKLVSDGTDSHMVLLDLRPFALDGARVEALLEQINITCNKNAVPGDKSALTP GGLRIGTPAMTSRGFGEADFERVAKYIDESIKLCKEVQAALPKEANKLKDFKAKVASG EVPRINELKKEIAEWSSTFPLPVEGWRVDAGL QC762_509000 MKGALLTAAVLLGAAQAGGTHKLKLKKVPLAEQLEAVPLETQMK HLGQKYMGIRPQQSHANAVFQGSLADPKGIHPVPISNFMNAQYFSEITIGTPPQSFKV VLDTGSSNLWVPSVDCGSIACYLHSKYDSSASSTFKANGSSFEIRYGSGSLSGYVSQD TMTIGDIKIKEQDFAEATSEPGLAFAFGRFDGIMGLGFDRISVNGIVPPFYKMIEQKL IDEPVFAFKLADTEGESEVTFGGVDKDAYKGKLITIPLRRKAYWEVDFDAISYGDDTA DLENTGIILDTGTSLIALPSQLAEMLNAQIGAKKGYTGQYTVDCAKRDSMKDVTFNLA GYNFTLGPYDYVLEAGSSCISSFFPMDMPEPVGPLAILGDSFLRRYYSIYDLGANTVS LAEAK QC762_509010 MATPDVAAPSVNGVAASSRADSPADSINSSTKRKRDANNDQQDH DDKNSKPQVNGLQKCHDDQSLIRNFFDVLQSHDKTTPAILKRHLQVPAPDGEPSLKRA KSEEDEPTTIADKVSQGAYRILDDLMCDLTKAVEDQVKELKIEDGAANGDVISQTKSF KAAALELYRRELSYPKLPQSLPAVRPGQKETGSEGSLVLSTFGQAPNLRPLFTSLQHP ASPDGVVKPLGDGQLPNGVTATKIYPDVCAASDKNARSLTLGELFPSPRNLPPLQPPK APKNTTKSNVLTFYHPELTEKSKYRSGTYFSQPLSTGHWLDYSNATPAIHAKTKQRER AQSLAGVKPSSVELELSEMEALFRGAFSSFAPSKDDSAAIVPSSLISRIWWQRVGRRN FEKMNEEAFDDKEEENAVDPALVTEIDDDLVKEAIENWDSVVVDPTLDEVFGKRSDGE KEVEDLLDEVTDMIETLSSFQRNRNLTVPTSQDRYSADPNNGDMLRNGNASQQPSEEE MMTYETLKAQLALIIQSLPPYAVARLNSDRLEELAVSTKIEVRSDDYRGVMEEDEPAR LARQAAQAATNAVQRQAHRTPSVSSATYGNHQYPGQFQPSARPIANAQHFPQTPVRPQ QNMFPRAPSTVPIPQPHHQVQPRQPPPTQYRPPPGPQMYAPQLAKAQGPYGHSGVPQP YVNSPTQQRMPQPPPPPHSNYMGQQGAPRYPQQGYQQSFPQHQQIHPQHQQHPQHQQV PHHQPLAQHPPQHPHNPQHPPQHGQQAPFPAYANGGPMQRTISPQIPQQNPYGQSPTP PQQHQQLPHGRPPYGPGTPGMPPNNVQQRGPYPASPNMMQGGNRPSSSLTGFATVMPE VQQRQVMEQARARADAEQRVSGHMGKVAQGEVVGLAGIGLGGQMDVHKMAAANKMQIG NNGASPGPKMAMHQPSPTPAMNGTQTPVPVPHVPSPMQGVVPTPSPGPQGPFVKPAMP QC762_509020 MAAPTTPQDLALPEPAPSSGGASQTFKDLFSGAAGGIAQVLIGQ PFDIVKVRLQTSTLYPSALAAATSIYTNEGPLAFYKGTLTPLLGIGACVSIQFGAFHY ARRHLESSLPPSQSQLSYSQYYSAGAFAGVANSVLSGPIEHVRIRLQTQPHGPARLYS GPLDCVRQLVRQGGVTHGLYRGQAVTLIREAQAYGLWFLSFEWLMNSDAKRNRVDRKE IPSWKVALYGGLAGEALWLGSYPFDVVKSKMQTDGFGQGQQRYRNMRHCFAQVWRQEG MRGFWKGLGPTLLRAMPVSAGTFAVVEMTMRAIN QC762_509030 MTTMEHDIRLEDYLDDKLQSTTDFDHLDTLLSSVEHQRSQLQSQ LDDATAALAQARQSESDRQNALMAQIDEFQSLQSSIDVRLQIIASSDAPDEALKRLEA PMGQLRKVDLAYKYLLLLQDVAKLRQEARSHLPESPKQALEPYTKLKQLCLRLKELQN NADGAAVHLVNFVEGVTETLWDEMKATMSAELEAVLKARKWPNVDPDNAEVDEEWLGC FEKLIDLQVPEVLYSPTVVTLLPFEVMAQIFVKEFRFHFMSDKPTSAPQAIGAHCFPW FTALLEKWEDFLRDNFGGVLAARFAETGVGERMVYMDPVCGFITAMLPVVREKVRDTL EATRGDAAFLSSLMGQLMSFDETLRGGFAYDGGDDDVEEGWGGLTSEVLQDHFRTWLE AEKQFALERYQGIMKTQDARMIDYDFAGAGKTKPTFAAVRVTDLLKNVTTQYERVRRF SHKLRFLIDIQLTILDEYHDHLRGTLEAYLSITSTVGRAFGVTKEQIAALEGTGALET LCKVYGSADHVVNALKDWSNEEFFITMWEQLQSRAKVTEDQSNLAGGMSYDHVRNRTS AVVGTEDNDNGVLFDETIAAYSARRQTAQKLLSEALASSHHKIFRAYLHRAQWTTISD EVDNLAITAELDEPLRILKRDLDFLSRALGTAPFRRVWRQALEKLNDILWTEVLMSHK FTASGAAQFARDLTAIEGVVERYIPDGSSALGSLSDAVRLLNLPLEGEGMTLKKATDM VYTDNTEAKKMLEEVGVADGGLTAANARQILGRRVENAE QC762_509040 MRPILPHLGFATRSISTSLAHVPRRPLLAQGHSPRPYRGIQITP SLLRADGGEPKTPTKPTPKEDKAQDERSDKPNNHQQQKRRKPPLRNSLHRVAIVAQKG KPTPKPTPSEKAPDAAEGSSTISAVCVADSFDMEKVVDILSSHNFTLDPDNSGFELSE VVHARGLNNGDIFVFPSGTVVTWALPPDVVNTLATKHLLPAAEQPFVENKEVEDLEFV ADPEAEESRVNGDVVVLGTRRELESGEGLDTTLAKIAFSSGLARSTKLAVLESSLTRY LESTRHIPDRLSQGLRAPLSRDLILKKAGELLNLRSQLNHYNDLTDSLPDIFWDTEEK LETYYAKIGKALDVGVRIKTLNDKMTYAQEVINMTQGVLDISEKMSSEAHSTRLEWII IILIAIEVGFELRRLYMERGEDKFEERVLEEVRGLREEVRGLKGGGKGAAAAA QC762_509050 MATPGGRPAQPNIQPCQYKVGKTLGAGSYSVVKECVHIDTGRYY AAKVINKRLMAGREHMVRNEIAVLRKVSMGHQNILTLVDYFETMNNLYLVTDLALGGE LFERICRKGSYYESDAADLIRATLSAIAYLHDHGIVHRDLKPENLLFRTPEDNADLLI ADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKTGHGKPVDLWALGVITYFLLCGYT PFDRDSDFEEMQAILNADYSFTPLEYWRGVSENAKDFIKRCLTIDPGKRMTAHEALQH PFVAGWLNKNGGGGEGQDGEGDKGANLLPTVKKNFNARRTLHAAIDTVRAINKLREGQ NGGGMNGGRSGEPGRGGLQAQRGIPPAGGVGVGGGRDDSGISGMGGSTTGSGGRDSGF VSGGSQQQQQDGDVSMEDAPLGGVPASLRPGSEANRVIELSKGLWSGGESRR QC762_509060 MTTQKVVDSRIPTLIRNGLQEKKRSFFVVVGDHAKDAIVHLYYI MSSMEVRHNKQVLWAYKNKLLGFTSHRKKRENKIKKEIKRGIREANSEDPFELFISLN DIRYVYYKDTEKILGNTYGMLILQDFEAMTPNILARTIETVEGGGLVVLLLKGMNSLK QLYTMSMDVHSRYRTEAHDDVVARFNERFILSLGSCDSCLVIDDELNVLPISGGKGVK ALPPPEEDEPLSKTKLELEKIKDSLQDTQPIGSLVKLAKTTDQAKALLTFVDAIAEKT LRNTVTLTAARGRGKSAAMGVAIAAAVAYGYSNIFITSPSPENLKTLFEFVFKGFDAL DYKDHADYSIIQSTNPDFNKAIVRVNIHRNHRQTIQYFRPQDAHVLGQAELVVIDEAA AIPLPLVKKLMGPYLVFMASTVSGYEGTGRSLSLKLIKQLREQSMTGGNPNGSGTAEV DRASGKTTKETAGIGGRSLKEITLSEPIRYAQGDSVEKWLNTLLCLDATLPRSKLSTQ GCPDPSQCELLHVNRDTLFSFHPVSERFLQQMVALYVASHYKNSPNDLQLMSDAPAHE LFVLTGPISEGRLPEPLCVIQVSLEGKISRQSILNSLARGSQPAGDLIPWLVSQQFQD DEFASLSGARVVRIATNPDYVSMGYGSKALELLVNYYEGKFANLSEDDDVTMAQAAPR VTGAELERGSLFDDIKVRDMSELPPLFAKLAERRPEKLDYVGVSYGLTQPLHKFWKRS QFAPVYLRQTANDLTGEHTCVMLRTLQDSNDSTWLGAFANDFHKRFLSLLSYKFREFP SILALTIEESAHAGAELDPSAKAGELSKAELDNVLTPFDHKRLESYSNGLLDYHVVLD LVPTIAHLFFNGRLKDVKLSGLQQAILLAVGLQRKEVDVVADELNLPASQLLAIFIKI MRKIVSHLSSVVSGAIEAEMPDQGRLGVSRENASGVHDDEVVDNKFVPLETSLEDELE EAGDEAAAELRKRQREMIDSLPLDQYEIEDDETVWRDAEKQVLKAAKSGKSGTTVSVK TKTKRKAVEDGGEEKGHSKAKKPKKEKSSKR QC762_509070 MSLLRRPQPLNLLFSGSRWVSVPPFPRFIRHQAFDASFDEEQLS EARRWHQSFKIDSLPEGNTSFARSSGPGGQHVNKTETKATTTWPVPQLLSRLPKLLHA GVRESKYFSKRSDSLVFQAQTQRSRTANSEENRQKLFDELQLLYEATVPNATRPEKAA KYEALKKSATETRIKTKKHHSSKKAYRKGASE QC762_509080 MSEQSPEIVAAASLSPLSPKPISVQIQSNSVVPMLQDQAATADT AMSGIIVDPGLETPDAPAPVSDTIVVAGDSSDFHDDSDGSIDYGEEDEAAEKPSATSD GAADAPPDNDEYARSFDSPVDPQSSSSEAGAGEPQPDVSEEAAASNSMNDQVTSAPAQ APAPAVIAHHEDRLPPPASSTATQPNVNSWPTLTSIENEPPSQSSGPETSSPSDAPTS TPNNKSAAASAEAADIQKLVDDITARATATVTSADTPSSVSAPLISGPLPPSLPPKPL LSAQPHAYQPRGPNPTHNHNHPLGPYRHSLDSMEPPASAPGAAGAGYAASFSNHAWDT FVNDERTYTSEQNWDRFPEGARIFVGNLSSDRVSKKEVFAVFSKYGRLAQISMKSAYG FVQYHNVSEAQAALEACQDMELGGRRIHLEISRRQKKKGGDDRGHSPDRRGGPRGMAN DRLDLNNQPRDPGWKRTNDHRRSASPRRDDPRGFYARDRDNGPMSHDRRRSRSPRRSR FGSESYRRRSPSPHHRTPSDVDRLDIPRRYGNDVPDVQILLLQDLDRPFVDWVQNALH AHGLKTAVMHLNPRFPRNTIVQRQVLEGVHAIIDLDQKSRDSGLISLQLFIRNTGTGS NIRFESYNSLNPELAGGLVMREKTRVVHAPPPPPPPHVAAYPPTYQPPPVAAPTAPYQ SPIVPPPATGYQSYPPASSIPQAAPAPPATPIDNDYLRSLLTGLQTQQQSQQQTAAAR APAPQIDINALLGSLQGGVPQQAPPQQYGVPQPPQFQGAAGYYGGGQNAVAPTPVALG NNAHIQNIMENLKRASGSK QC762_509090 MRLTTTLISLLLGSVAEAGPLQWRRGSNGNGHQGRRTVEEEEGV GRMKWARQFNTTVGGPVITTRTNIETTVVTTIVPETTVTEIEEAVPTTTTRSLVSQVT LPLVGAPETSAGAGLETSTAEATVTGLPGATVSSIASSGVESSVGETSVGEVTATETL ATETSTVETSTVETSTAETSETATASLETSLVETSTPATSLASETETSVETSKPLPTS STPLIISSFLTLSATATGTASTDLTSTATTEPADLTSSPTETGATSTLPTETASTPAT SAANVTVTGEPNTAIPTAITNLPTATASIPTNEFRNNIALAQEYNTIFSETTMSTACN VGQAACVNHNVVKCSENGNNFELQRECPSGTACFAMPLNNTVNGVIIGCVDPDLAQET LGIVPADMIPNLPSDAPSTPPATTAPASSTPADTFTATATITVSSGVGTVTETDVDTT PTESPAQSITSTATITISTGIETVTQTGEAPIQTETTPLTTAITKTATIDLTSETITM TITLSPTPRFPQLDETIIPLPTTTDLPSVEPFLPAPVESEPLQTSATPPPIIFPTPEP VPTTTTTRTRLSVIPIEDTTTITTTATPTPIPEQTTTIPDVANGGQEKEATVTVTERE TVTEQVRETVTVTVGA QC762_509100 MRVLDSWPSTGHDLEFNELIPTHDKTEAAILNQLRPLLPASLDH LPLLQNQDEPSPVVDSLPPSSPPVLPAQCHAIFPDAHSLPPVSMPGPEDSSWSPPPIL PDNCRAILPDAPCLPPVSLPEPQGSCSSQSLEPELVSLEMAVVAQAVIAQTSEILEEA PETLNETPAPCLPSPVPPNQQLSVEIPVSTLVTPRSQHDGFVPPFPVVKERIAVQALK EAHGAMDGDFMEFDLDRFSFYLDTKHYPLEMRSLQHIGMRKPTDRYYFDGVLSWKGHK YFVQKVEVAELPIGNYGVEHPSVDGEVWVRSVFNSRNEVYYRLRRPTVEYKRFYEPFV WAMDLAKHVVDYAGYLLQENRSLCLEVFKNSFSEWLESTHGGSDAFRKWRRQYPRIDF RVAVANNIDFIWKEVFGVHGEKKAGSMRLFREAMNLDIYESTKAVPELPKLLEGRPMK QDVEVAPTIVTPYINELFGHMVLGKVFHVVSSPDGGLRTPVPAREATPDVQIKMECNV PGRRRGTTQFLPLDVTDAIQVGDTISTPHDDESTNTKWKKEEGVTDSRWFGLVQKVYK AKDGARSFDVTWLYRPAETPCCLMRYPWPNELFLSDHCTCEEGKHARVKEHEVMAVHK ANWFGSPETSGDDFFVRHTYIVEHRRWVSLQESHIRCSHGSEKLGYKSGDTVLVLLNL SDKYTEPCEIVKVFKQGKTIFVRLRRLLRRGEVDSVVKNAAANELVYTDKFVVMKPDR ITGRCQVRVFEADSPIPSPYNRGGTGNLFYITHQLDGTRQKVVPLGNIPLTLRQGFDP RADNVRKLRGMDLFCGSGNLGRGLEDGGAIEMRWAADTWDKAIHTYMANAPDQDIVHP FYGSVDDLLRLALEGKFSDNVPRPGDVEVISAGSPCPGFSLLTIDKKDLKQTKNQSMV ASFAAFIDFYRPKYAVLENVASIVQAHQNRSQDTLSQLFCAVIGLGYQAQLVNGDAWT HGAPQSRNRVFLLIATPDVRLPEAPAPSHSHYPGTKQRGIGKLCNGEAYVRRFLDIPT PFKYTSSSEATADLPDIIDGMVDACVSHPEHRLTIGFTGTIRQQVAVIPIFPHGMNFV KTWNGGNGVMTAADRELFPSGEGTMRGRVASTAQGWSRLAPNLTFPTITTALDPRDAR TANGLHWRESRPFTLAEARRAQGFSEEEVLLGRQSDKWKLVGNSVSRHMAVALGLKFR EAWTGCLGDDGRGRREGTMETSVEDAVEEQLRREEWEEMAGLVATNVDEVEGVEINGY GNRVLSEETVKGGWGGIKIQQVGRVMVARMAERASTAGSSKVAQSESDNAVQSLPSPC TSPEKSPGPTIVRISGDDGH QC762_509110 MLGARIIALRGGAKNRGHLLLRLHGHPQQYLNQASFTRASICLP NNGTHFSTFGSGQDAPLPQRFSEPMRTLETEFAEEEEEVEEEEEEEEEENQVSELPRR VDCLPKKYSNLWDHLSAQEQDDELWMDRAGPSLALTWGWARPVAGDAWKGEAFQYTPS YHKDFDWAAPAMTLAALMRFPSDQWTISEWPLARGVACNTSSRLPVLTAQLLLRRDAY MRARARKHIPLARYLEDTVAWNARIKQLESSKGITEQDIATWLWILSCHNADLRVERF LRSSCRKPFFLLQAMFATDRLFANPKSFMDLVQYLSDNYVHRHRPESEDRHLLPQSRG RDMTWWHFNGVLHRLVWHTRDSWPSAVTAIAQLVVDYINTISDDSSKGHSSHAVRSFV FNKALQYFSWPSRMRPLANMPYNWAAQRLVLSIASSFDPPLVPDKESYSAIQAVLAAL PKSKGEIKNAERIAATWPPYRQAVDGVDERRDPEEDLSRSSMAGLLAREAGYPTNVID QGISVLAGSTFGQPPSIQTRSVPLPTVSGEHAALNLHRNWALQIKATRNAREAWRLFQ RPPLPAMQPDAQVAGQMMAKLYAHKAPSVKRLRPGDVKETFPINNGNLTALEIARITP PAPDELYHTLLSQGLKPVGHYLDILLTNAPSKQEALNYVRDSPFHFAGDILSDFRRWD TQEGKAALGALDFQLVNAWVAMLCRVHVDSASYTRHTTLFTREFGCGPVAEAILLAHL CQAARPVLSRNDRKPWHTILTALSKKALLHNPRGHGMDHLVLTMDAFLRIYERTLHIQ GDDTVAFLALCYMIRKTLKMATFQHSLTERRPIPRKKRLANLLARARLRAVQSFVNLS SPVEAGTRLFPQDMTRYHMSALTVYRYMKAMACCGDGKEMVHIMDWVLDGWMSDYFSE EIKEPKQHAHQQMMHTIAYFARIGKDLVEEAEMERVRKRLEHLVEHHGCTWAWPSAYR GYGKDDVIDSTLAARWADRIARASSEQAFDDD QC762_509120 MPGLVRKKRISDEPDTQDAPATTSALPGAPSRRATRQSSTAPLP TPEDPEPRRRRRRVESEAEEELPVTLPLRKSRALQGKENVPLTTVENLPKATRSTRHS GGLETGVAENLAPSVPSQPAPASLPVPTVPHRSPVAPRPVGRPPGRPSSHAQTVPPNQ SVTVGRNKPITMATSGSQPGHPASKTDSVTATKTSDRKSAIKNTQTDRNIDKVVLGDL CFKTWYPSYFGKEVLDNGPGTLDRLYVCDCCFKYSKELVAWWQHVQLCRAKNFIPGSK IYTHPKGQRTVLKACGPPPKSGRGRKSNASQKMVEELVQDQGEWSIWEVDGEVDVLFC QNLSLFAKLFLDNKSVFFDVTGFKYFLLVYTTPAVPAPSLPTPPEHPSSSSGSGNAPR QPRSQVVGFFSKEKMSWDNNNLACILVFPPWQRKGLGSLLMGVSYEISKREGVLGGPE KPISELGKKGYKRFWGNEIAMWLLSIPPTSSGAIEDGQEVATVDVYDCSKATWIVPED CLMVLRDMGVVEDAGVGPVVKHSALGTPTELEGSIGAPLPAPDGVANNEKEGTPQQEE PVAHQRRVMIRHEAVMEWVKKNRISLKKPCDPNGFVEGYAMKKENDSAAAEESG QC762_509140 MASTRVLASRLASQMATKVARPAVRVPARAFTAGTKATPLQAVK RQQMSSIITATRQITQKRAYSSEIAQAMVEVSKNLGMGTAAIGLTGAGIGIGLVFAAL LNGVARNPALRGQLFSYAILGFAFVEAIGLFDLMVALMAKFT QC762_509150 MAPDHKACLIVIDGWGIPSEESPKNGDAIAAAETPVMDEFSRST TGFTELDASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTIKQGKLAENDVI KKVMEAAKNSNGRLHLCGLVSHGGVHAKQTHLYALLEAAKQYGIPKVYIHFFGDGRDT DPKSGAGYLEELLAKIKELGIGEIATIVGRYYAMDRDKRWERVEIALKGLVLGEGEES TDPVKTIKERYDKGENDEFLKPIIVGGQESRIEDGDNVFFFNYRSDRVRQITQVLGDV DRSVLPDFPYPKINLVTMTQYKVDYPFEVAFKPQHMGNVLAEWLGKQGVKQVHVAETE KYAHVTFFFNGGVEKVFPLEVRDESQDLVPSNKSVATYDKAPEMSADGVAEQVVKRLG EGEFPFVMNNFAPPDMVGHTGVYEAAIVGCAATDKAIGKIYEGCKKNGYILFITSDHG NAEEMKFPDGKPKTSHTTNKVPFIMANAPEGWSLKKEEGVLGDVAPTVLAAMGLPIPE EMTGRSLLVRN QC762_509160 MINMAHQEDAKERFYRNFRMQQANIQEQITHLPSIAPVAGERQD ATEVILTNISRLTNDVRDATHFLPAYDHRVYTDAVNTLRKELDETTAKLAPKSRFQFR PRPPAAVDGDDAPKSDSRRLVNNRDGTKGEKTNGQPPISPPLSPGGGGSRGRSRSPRA IKVEGERDAVITLPADHSRTSSAGQLIDLSSCVVNLCSPTVEACRAAPFASLMLKGVE KSVIVAGHVDGPVHVTGLKKCVVVVTARQVRIHDCEEVDFYLWVRSEPIIEGCKGVRF APLPEGMETGAEGENKWKEVKDFLWLKEGQSPNWGVLGEGERVKGEVWREVMGDEGGD VKGVLGRFGIA QC762_0086200 MPENDGLMRIFWPADLPRSDLRGVVVGWRNSALDVFVLAILEEV EPRNVDSALKLGTLTRNAPHPVSRIYELCGQSSLHILGLSNTSDDVQIDPSWIRATTG PSIRVPIITCARASNIQIILFERPFPGRMQYISLSPIALALGDKHETFRIGLSDGAEE VDEREERLKKEKKKKLVEKLKQHSIFKRTPSAKERALPKIVNQINWARELEKLMQKNV SRIGTRPKRSLSVSERVVESATTMRDIILVWLWNLVTVYVLPVIKKLFVMGLMAHRIA AEVLLTVLEWRARPKYAALKDISATAQQVEIRLQQFCYWPMQYVTLRLRKNDWESVTT SHPDYIRFYNSLWLVANDVIIGIALGSYVIDNSSWLADEISHLLTQYTVEALQSSISW LMGWPAGLKLNSELALFLGDLFLWVIEYWSSCIETLRPVLPHMIWFIGFSSFAGASMP IALFSDLTSILTIHIYSFYLASARIYHWQLNILISLFHLFRGKKHNVLRNRIDSCDYD LDQLLVGTILFTLLFFLLPTVVVFYLNFAIARMVIISLKAVFDTMLSFLNHFPLFALM LRIKDPGRLPGGIRFELRDTQEIRHGVNDTTKPIPSTSVIHLKSIPLSFKAMFHQYFQ MGHRIRKHYLSPRVLLCLLTGKFVPPLDRRNLYSLQYSMLPARRAGIMEMWDAVNSLP SPKRRTAPLYIPALANGRRYPSNSGGGRSRSYG QC762_0086210 MSQSLAPECNELKERYDTCFLKWYSEKYLRGISTSDNNECATMF KEYNRALKERGIDKLLDEAREDFKENDATLLGKKKSHDKLPKACSAGSTPPPPRDSMH PFSVLTLGIFVAGYITARWDLVTRLYELAIFAWDYGVVSRAAKGFAALSLVFLLIFIP VERLAARESTLHPRAPGSGVSAREQLRRRGSF QC762_509180 MESSQDYNSLREAIQPALVTVTRTAGVVANEDLQFQRTVRPSVG DKLDKTADRMLGLVNGLLKSSSKVTGQSTTKLEDIDDIEIKWRGIVDVIDSFLEKADT CLDEYTGLIKRKNAPTVEEGRDPKRSKSTAPLDWSLKRANIVKPQNGFEKKPNNFDKG PWKPILTKKPHAIVPLEQSFNTILNEEHTTQYQNPYQPEIEAMKYPSHVFESKEPIKY LDVESTKPIWVDTWEGVLEMVEELKKAREIAIDLEHHDFRTYTGLLSLMQISTREKDW IVDTLVPWRHRLEVLNEVFADPGIVKVFHGAFMDVVWLQRDLGVYVVGLFDTHHASTV LGYGGGSLAFLLKKFVGFEADKRWQLADWRIRPLPAEMLYYARADTHYLLYVYDMIRN DLAAAAHTVHPDGKPIERVIAKSKKTALSRYENPAFDEETGLGDRGWYNYLARSSYVY NKEEFAVFRALWNWRDKTAREEDESTGFVMKEHVMAEIVRVMPSDKKALWSLLDGHAR NLKGRLDELFGVVQEGREKGLAGGVSLLQFLSGGSGLAQAQVVPKVQKEEAVDIKELR SEKSQLWGSVPLSSAWEDKGGKKEGEDDEVLELPLYYSAAQEEEEVGGGDVEERVPGL KSAAEVYGQEEQEQENQEFTLKMGRKRKASEADVYSGPEEQVEDEEDVEEDVEMEDAT TPADGEQEDDSEEEDVEAAKKTAKQEKKLRRKAAKKAKREAATQEQAEEGEEKEAEVD EEARREAKRAERKARKAAKRAAKAQEQQQEEEEEEEEEAFDYSKAASVLKADGGARSE FDDKRKGKKGKKEKVFDPYAAKTGSDLKGVRNRNYERAGRSATFRK QC762_0086230 MTAAHHGPWTPIEIRAASFPWPSSESRRLRSLPLGHRQLQQHTR TPPDPKGIKPRTHWSLESWVCTTTATDRTVARDRTLGTARTVAGRAGRPSRRQA QC762_509200 MADDTSHQVTTDLGHPLPRRSTPEKSVTLYHHRLAHEASKRFEN EKQQSTLTSHSQPQIHAQPQATASPRRDSSGESNETDPKTWFDRSNNNPVGHYDASMD VDPPFFQKETDSSNEGRDAGGVIPDAPYPFEGGLRQTVTHSSSADDYRSVIDDLTIEN KRLKEELKRYKQYGPPMMQKEKLFEIKIHGLPRRKKRELEATLRDFAASLEGSSDSPS WRKSSRQEKKNMYSSGDSKHASSSSSHSRPVDSAYASMSTGPSSHGPNSIGRSLGRPS VGSRAKSSQQKVENYLRDTPDGLLPRQMLMTDKEKKKLVVKRLEQLFTGKISGRNMHR NQSMSSLEAPAPPPDAVMGPPKMSEASREAFIQPLDPSQKKTRSRDNASTSNGDQTES GGHGNGSGDGNGSGGRGGNNTSPPSVPLPEQRPTRPVDLDPDRLQIPSENLKYIQHLG LVPEEFLVSKQTEYDDVAPDADGWVYLNLLGNLAQLHMLNVTPGFIRAAVSEKSTKFQ LSPDGRKIRWRGGTDGTNFSSDSGDGSQKSPMTEDTDGSNESGQRKKLKKGQGSHSDS KFVPQASSRSDLFHYKPLFVHRTSSAETSVEGTGSLASDEVEDSNLENSKYGYSGSGS TQRKKRRHDGAIIYYSGAPFCTDLSGDPGDISPTTYMTSTGRDFSTLDSAPSLLQRSL SGSSLVIRPLSDARPFVSETLGMEIDKYLPSDEETDDDMEFPWSDSSALVRPKMSETP LEPCGLGGVIPEDHFAVVVVTRRPINCVVDTHLRDRSVSVDNAEVIARRLECMRTSSP LPPRRNSRTGGTNSPIQIEYVEGRMLRLKPASLPPPAMYLPPFSSESDTDSGSIDMTS AENDDVEQDSTSQSLISRRANPHFSDARLEEDESEESEELGDVNMGADAVVGAVSDDA HRPPADRMESSSTGKVAMLLHPGSSVATAGGDESGYSSSMEDDAT QC762_509210 MATTSATVKGKGVGPDGKDTNPRGIPYAPFVDKVEDYVSSRSDV EPTLRSFQEMVAKYQFMEQNLQRRVAGLRDKMPDIEKTLETVRFLKLRNEGDDEGEGG EIETTFELNDTLYAKAKIGRTEEVYLWLGANVMLSYPIEEAEELLDGKLEAARRSLRN CEEDLDFLREQITTMEVAVARVYNWDVVQKRKEKEEEEKQGKGKEEGTAGG QC762_509220 MAAAAPVPRPLELDPKYDDYDFPTTAAVPLSGHAGYLTAEQQAQ VSQLRLMLESEGYTKRLDTLTLLRFLRARKFDVNLSKQMFIECEKWRQETNLDDVVPS WDYPEKEEVFKYYPQYYHKTDKDGRPVYIEQLGNIDLTAMYKITTAERMLTNLAVEYE RVADPRLPACSRKSGVLLETCCTVMDLKGVGISKAPQVFNYVKQASVLSQNYYPERLG RLYLINAPWGFSTVWGVVKAWLDPVTVQKIHVLGSGYQKDLLAQVPAENLPKQFGGSC ECAGGCQFSDMGPWREEQWAKPAKWEKKEGAAAASAADATIQNAGADVKEGGAAAAGQ GNAALPVDVKADAPAPAAA QC762_509230 MDDSIQRKIELQSPDDLRYLITNVRKAATDSINAAFPPVLDDEG NPIPQEEDELRNEIDKLVNDVLHNPPLHPLPPLPLNKRLPLGPPLPKTLFSPDPQDHH NPQTDHYEPFDPRKRDRIESLVSQEEDLLRSIAQLKRRVPAATASAYMTATNAQIATD EEMLSAVLQRAKEEGLESGKKALEGMGELDRQEVVEGTYTKAVETLGRLKRDMPAVVA KMERARVAGGYVVGKQNK QC762_509240 MDNMPTSIAIPDQRHRQANRQDGSSPSSYSSSPSTPGHSPKASP RAPQKQKVHARRPSLLSSAFTKQECTTIRIGGEDHEGPMRLVTYLERGQGFVWNPEIF LPSMSDFEYTPLDQRRDPIVEIHISDEEIKKMLPQ QC762_509250 MGDVLVESAANSVQPHKKSIPSTIPSIENFEGVTTEGNDEYENL KRLQRQLEYIQLQEEYIKDEQSRRGTGAKSFGVRSLKRELVRAQEEIKRIQSVPLVIG QFMEAIDQNTGIVQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNALVDILPPEAD SSIAMLGADEKPDVTYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYG PPGTGKTMLVKAVANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENAPAIIFI DEIDAIATKRFDAQTGADREVQRILLELLNQMDGFDQTANVKVIMATNRADTLDPALL RPGRLDRKIEFPNLRDRRERRLIFTTIAGKMSLAPEVDLDSLITRNDPLSGAVIAAIM QEAGLRAVRKNRYNIIQSDLEDAYSSQVKGTTDENKFDFYK QC762_509260 MTSILCLAHYCDLHGPTPLMVTEGLPVPCNVCYDDELHDRPGSG RDRPRSSVPMPGGESTQATTAVTEALRRMNFADARRSSSLPATEQEAQTHRAALRRAA QVAASSSSGGLGGSAVETPPQSPQLPPEGAPPNSKQQQQQQQQQQSKPRQDSSFRKTY DDYVTRRAGPCDNCALTLPKLQPGSGGVGADSRPERGPTLRSKAPCARVYNTTSPPTS QSSSSTASEDESSNPTLRPTHRRTPTAASVASRSSESSSVANMNSHTHYLEYISTHEP LAPSSFSIVRASCLRTLSLETLPRAPQTSPTNPSAMSTPCASPITPAFVTTHSVGNAV SGGPIFFGDPAAGYTTAYIFRIPDVHARGHKRVYAFLALSTQRERLAMKTFGYVASAF RDMATWIQALAEAEAERALAESMGGSNASSPIMPGPGYGSFAMGEPPPQQQQQQGNNN ERSSFLTGGMGGLSRRMGSGFAGAGGGVALKQRGLAELVGMPDFFLELHTKFVKLLLE LGVAMGT QC762_509270 MPTMAHLPRFRRAKKKLPAGPPLITNGLAGPSSSNNNLTTDPTG KITPPPGDLPLPVPESPLRPFGGKLSPFSRVFHRSQKRARDSPPASMPHSPLATAPVA GSVDGRPVSPLSLKMDTSGLQTAGENNGESGQQLLQVQQQQQQQQQLKEKPSSSSSSS SSSSSSSSLLRVPKQVKMPAFLESNEIDIEFKFGELVWLERMRIQQGLENENSSSFSP DFRWARVKGPHLRKLDRYMNIQPWHNHRIKLQVPEGKLDYVNASPIVLHPFPISGRPR EPDRYIAMQGPKQNSMDHVWRMVVEQVESPGVIVMLTETHEGHMEKCFPYFPRSQEDP PLEINERDEFGDGFRATVRCDGLEPTPAGDAIELRKLVITIHSRGGGGGGQNRKKSRS PVRLFTNGRDKTPEPSSAATTRETERDADMDVKMKSPGAATSSPGKLEVPVLEEDYVP SPTESSVSSGGGGGGAVEEKILWHFLYKKWPDFGVPAMEDLDSFFTLMGLSREKNAGP HNPRIVHCSAGVGRSGTFIALEHLMRELEAGVLERWDEMVATANNSRRGSVSERGGKR EGGEWDRDRDGEGEGSEGGSSETGGMVLGEDLIFDTVNQLREQRKMMVQAEGQYQFIY GVLRKLWVERYGGGGGGGGEVVGGLRGGEGQGREEEVEVEEVKDDHREGEPAKKRVEV EFEKSEGRDPFA QC762_509290 MFEDSWYSFVPDYQQQQRQAQSSGHQRKKSLLQPPNGVDNVDGA GSLPVLFEDQEDTNSPPEPTLSRRAKSYSDFYDIVKAQIGGKPHHKKKKGRRIRHGRT WEALGVPESVAAKLPVEQDAHDAELDKELLEASQQEFLLYHDQLVMTERHLGTLVEDA NSALKVLESLSQSFRAVDDQTSSFKAQCDDLLTEQKRLQKLADDVGTDLHYYAYLDNV SRRLNAPGAGRLVEDDTFADILSSLDSCIAFMTKNTTYRDAESYLARYQALLTKALHL LEVGFTNRLNRVSAEISKQILATRSESARHALAYGRFEETLLESYSLIPNIQTVIRNV YDQNGHPQTALNWDIYANTANNIFHAYWAVRERDLKPLIQSDLDAFRSEAKESVETAA RNFVKQCYERSYNEASLFRKIFAIETQYSTDPKSAFTALKSSHAGSLVTGTNVAPVAT NLQSVLHNSDLRTVCNITGWVTNEYLLLDFDEDETPFVAHCRELTARLLTEHLWTFTD ALFEAEISKSITKAAVAPDSLKIGPVTNNDSSSNAFPPIKRAIELLVMFDQSMPKERC QRNSPIIFSLLRESISCLHRAEARIRAAPHKGTDPDLFMIKNLLILKNELVTLEIGDI RNVHIGTQSVSGRIREMQHFGQIWDGILKPVGGNLIRGVWERVGSLGGTIGGYIPGSS LLGLGGGGGGGRGNGVKEGGGGKEGEVDVHEQLDDELRRSIVAFTKRWAGVFVDLKVG GGGNKNMGGRNVAKVEREMDEILERAFSGQGEVVQKLREAVKIQVEAGVEEVGRGRER ERGGKK QC762_509300 MYRTALRRTARTAKPSQWVRHSSTGGEAQPRRSIIPLVAGAVLV GAGAGGFWAYQNYSQLSKQQEQARSPKQAEKAEILREKPRKKAKSREESNELISPQHV QVKHSHDHPGVYAWGSNVGKVAAPDSKESNIKTPRRISFFDGQILRDLKLERDFGVAV NEKGDLVQWGVGYNRDAPGPEVTLRGKDVTKIAVSRDRVIALSSNGQVYSIPVAKADQ AFAENTPNSHSSWSIWSGTPSLTQHYRTLKPANLGWNEQVVDVKSGLEHCLMLTSRGR VFSAASSTEDFPSRGQLGVPGLTWQNRPAGPYDQPHEVGGLRGFKVREIATGDYHSLA LDNEGRVFSFGDNTVGQLGFKTDLTATHIDTPSLLPVNQLYAGTNLKPKVTSIAAGGL SSFFTVDATKVQSESGSEVMPASELGRVVAETWACGSGIHGELGAGKWLHVSVAPSKV KALSDLYEYDEATNTVHPIRLKKIAVGSTHVCAVMDNVHDSKGNSKGGPQFGNDVLFW GGNESYQLGTGKRNNTNVPIYIGALDGDSVGAGKMDGELGRNRLQIAPRARVNLLTDK GGKAGKGRQVDVEQRVECGRCVSAIYSAV QC762_509310 MRLQTSAGLLPLISLTTALTETPFLGFPSPWISPDPSDAEWQAA YEKAVAFVSQLTLTEKVNLTTGTGWEGDRCIGKTGSVPRLGFEGFCLMDGPLGVRYVD KASAFPAGMNAAATFSKRLIRARGEAMGEEFRGKGVDVQLGPVAGALGRTPQGGRNWE GFSPDPYLTGVAIAETIRGIQSRGVIACAKHYILNEQEHFRGSVDVRIGDKTMHELYL WPFADAVRAGVGSVMCSYNRVNGTYACENKWVQNYLLKSELGFRGFVMSDWGAQHSTL GSALSGLDMAMPGDMMGPPSASSPPYGSHWGGALTQAVLKGEVPQWRLDDMVTRIMTS FFRVHVGSYTSRPEPNFSAWTQNTTGPQYKYSNRPWTTVNSHVDVQANHSALIRELAA KSTVILKNTGILPLSKSSLTSLAVIGNDAHDNPNGPNSCPERACINGTVAMGYGSGTT DFPYLISPATALLGLSQEHNITFFNTTSNWDLPTAQSTASNASVAIVFATATSGENFV SIDGNAGDRNNLTLWDNGDALIRAVAAVNPNTIVVLHTSGPVVIDHADKHPNISAILW AGFPGQESGNGLADVLFGDVHPQGRSPFTWGKDLESYGVELLTVAPDPRSPRQEFPEG VFVDYRWFLSEGKGERGRVTYGFGHGVGYTEFEYGDLVVERVGERGGYEVNKGRTIPA PVMGEVVDIGDLEGWTEPEGFEGWRIPRYVYPWVNATVYNSENGTARSPGIGDFPPEA RDGGVQEELPAGGKEGGNEGLYEVLFEVKVDVKNAGTREGVEVVQLYLSPNNPLAPSL LLRGFDDVLLAPNATKTVTFSLTRRDISEWNTEAQNWEILTEYQQTVFVGSSSMDLRL NRTLPL QC762_509320 MPDFSPVLPSTTSAPPSPPHSLSAKTITTSPNPLLPLYNLAANI PIPAKFKKAKPSLRLQRVKSKDEATSHDVTKLQTSFAILPSLKQLQTRKWTLWDLQHV VTFGCLAFCLLITPSAPFVKSAAMGLLAVLLAMPATRQFFLPSLPIWVYLFYFFASRF IAPEYRPHIWVKVLPALENVLYGANLSNILSAHTYTILDIIAWIPYGLGHFALPLVTT VMLFLFAAPGTTPVWARAFGYMSILGVTIQILFPCTPPWYEGLHGLEPAHYGMEGSPA GLKRIDELFGVDMYTTSFTTAPVPFGAFPSLHGGDAVLEMLFLQYCFPRFRAFFVGYV VWIAWSTMYLNHHYAIDLVGGGVIAAVTYYIARTKWLPRPQLDKTTRWEYEYVEFGDR PRVALDEEYGGMGLGLLQRRGSDEWTLGSSSSFDSMSRGDTLCGSSSSSPNILSPTTP NDDHHGGDLWSKVRTTSPRAAGLTGVVVEEEQREVYVR QC762_509325 MSERKKREGFLPPSAFTLPTYTVPSSSPASNSTSLTRVHVPGKS TPYPGRARSMSMPPPPSPLKNMIKQEPSDEASSSIPLSTIPSSPSKSMSTLKPSVGAA SQVLSSPMKSILKKYDSGVKDSTAKVLFAKDKNRDDSPLKMKHKKEKKADRKKNKLKS KSKVRF QC762_509330 MAGPKAPSATLSQHIAKAAGLRNALSQKALPKPVKKEESESESQ SDSDTDSTSTSTDDEADDDNDDWADKLNLKKEKEAAKPTTNGTKPASETETKTKKDVE ASDSSSSESDSESESESDSDDGDGDVEMKDAPAKSTKKAAAPAASDSESESESSESEE SSDEDAPAAGAKVNGAKVNEKAKDAESESESESGSESELESESESESEAEKPVPKAKP APVARSSTAKSPATSKDKAKSKAKSKETVGESESESESEPEPEAQNAAAVKSSRPAEL IQQRFDLRKVDPDLDTASVAKMLANAKAEGKQLWCFTTPKSIGIDVIRKYKISTDKLI SGQPLFTHEGVDYGGELEQLSHSVKILIPGKDGKKYESADQPIGQFLHIKRKTHIGQE TVVPAPPVPPRPQPKGLKVRYTPFGATNNGLTVMGDESEHEEVEMGDAAPAAPAATSK AAAKKRKLGGEETAATPSKKARKSRVGASTPAVTPIPPPVPAGKSA QC762_509340 MNNKRRKLEGVDIPPKAPASPATPVMSAFAARQQLWGAPSPVAK TPVNENPTPAQKDSTPAKTISTRRNKRGAAKEQVSTPVNEDPTPDLNRERGDSIPASP ILSPVLGTLIPPVVRERQPQYSSLKPHKKNYQQKPDGCVALRTPDGERLVILGSYGIG VHQGEATIAGAQLTPLDPVQWIHAPHCHALPVLRTSEDTVVELHPHPAADGLRQLAKL NPAFGKLWNEVPTQGVPSKKDSTFQILFSPEDVPKKVALQELVSPPEWNKKLASLIAT KRKGALSPIFFLCGPKSSGKSTFGKLLANRLITDRAGNKNAPWSPIYILDIDPGQPEF GPPGVISLVKLTSPNLQPPFCHPTLEPVTSMIRSHAIAAVTPALDPEHFIECVMDLFT TYQTQTHPGEEKKPPMVVNTPGWIQGLGLDILSDLVKGIKPTEVVYMSTEGPEETISG LQAAISFIPTTTFSTLPSQNTTEIISPSRIPSSLRTMQAMSYFHLLPSLSTWNSTPLS HAPPWRVRYTGPDRGFRGILCYDYQPSPRILAEAINGMVLALVKISNLAALRGLDNII EAGSGKLPLIDNPMGKSLDPKFSELVGLVLVRGVDERRGELQLLTPVGMGAVEKGEGR LVLVMGKFDTPSWCYSEELLHGSQNRGAGGKVWRVRRDLGRS QC762_509350 MPHSESTPEQQDPPARTELTPTMEDTTLNLGNGGLTDEDLLMAD VDEVESPPVVPPAAVEQQPPPSSAPAEEDAPVEIKQETKSDIKLEDLFDGMDSDSDDE FSGKNNHTNKDLVTGQVPPSSPIPAAPSGGGSNPDLLRAFYQLLFPWRHYFQWLNHSP TPTPDFKHREFSLWLSGGVVFRFQSYATLDLLRKDVFKHLPERIEIGPIYTANPRDRK TFRNSSAFKPVAKELCFDIDLTDYDDVRTCCDKANICGKCWRFITMAIKVLGVALKED FGYEHVMWVYSGRRGAHAWVCDRKARGLDDHKRKAIGNYFSVVKGGEKTGKRVNVRRP LHPHLARSLGILKEHFLTDVLEGQDPWREEDRAEKLLMLLPDVKLRVALKEKWEANPG RSSVVKWGDIDSVAKTMGSVNTKDLLEAKQDIVLEYTYPRLDILVTEQKTHLLKSPFV VHPGTGRVCVPIDTDDLDGFDPLGVPTIGGLVNEIDTAGGVVKKEGDDEDRRGAEWER TSIKPYIDQFRGFVHGLLREEREFARVKREREEEGGLDF QC762_509360 MTGAGFRLIVENGKFRDAHGRQITLRGINVAGDAKYPSIPDQPS HMAENFFDGDSVRFTGRPFPKEEAHLHFSRLKRFGYNTIRYVFTWEAIEAAGPGIYDE EWIDNTIGVLRAARDYGFYIFMDPHQDVWSRFSGGSGAPMWTLYAAGLNPQSFAATEA AIVHNVYPEPEKFPKMIWSTNYYRLAAATMFTFFFAGKTFAPKCIIDGMNIQDYLQGH FIRACEHLAKRIHEAGDIENDVVFGWESLNEPNKGMIGYEDISVIPKEQNLKKGTCPT IWQTILTGSGRAVEVETWDMGQIGPYKVGRTLVDPHGEVAWLPADYDESRYGYKRDPG WKLGECIWAQHGVWDPATDTLLKKDYFGIHPTTGEHIDYPYFTNNFFMEYFRVYRDAI RSHHKNAVILLQGPTMELPPKIKGTPDGDDPLLVYAPHWYDGITLMTKKWNRYWNIDV IGVLRGKYWTPASGLRFGETAIRKCFAEQHATMRQEGLDYIGNVPCVMTEFGIPYDMD DQKAYKTGDYASQSAAMDANHFAVESSGLEGYTLWLYMTKNVHERGDQWNGEDLSIFS IDDKLLPVSPIPRSPSTSSLLKPGDGSQRKEVDDDGSVTPANLRRSITNPSISSEVTS RQPELTVAPGYRAAEAYVRPAPVVTVGTIKNYFFDLKQCQFNMTIIAPKAAETDTPTI ILLPDYHFPKDECIVEVSSGKWEISSDEEESIMLQRLRWWHGKGEQVLKITGVVKKHN IGEGEGGYYDQLSNAVDFVGSCSVM QC762_509370 MYNPPGQRDATRPFHVPPPPPPMSPPPPTVGINNPMMTIPPPPP RHPNAPSTAGNVLLPPPPSGPPPNSGFPNSAIAPPSALGSTAPWHGTWGRAYDGRTAF NIPPPPPGGGAGGGGGGGSGGVLRAYDPKYHAQVLAAGNNGATYTVPPPPPPQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQSDQMGALTSATYIPQGDTYGEGVGIPGLGLE DSAIGWNMGAHLESATATPLDESSGRDRLYANAMAQRGSSTTSNATVSSVSVPPELAA QWPMEKVLGWLQANNFSSHWQETFKYLGLDGARFLELGSSHGGRGNFGMMHQQVYPRL LALSGPEWNQAREREEGKRMRRLVRSIVTGRPVEVKGGRLGGGQQQPQHARQNSTSAP PAVTGGQTGNRSAESPNTPNPIKIPEFGFSRRFSQSRATTMPTLSSTMPTDHRNMMKE IDTSRRHSPTLSESGEAGGTFRGGSTPLGGGGGGGGGGRDSPIAGSPNPASGLFPSST AGNLSASPHSSRFGHRSRNSTDSVSSSAAIYGSGVPADAAAMLKSGMNIADVVNAARN ANDRRHAQDGGRPSPQESGGGDRSAGEPPGSAKGSGSFLSIFTRKKHGRKDDGFGGDE DSPTSPGLSFKPQSLGDNRPGSSAGTYGHHHAGNVRANRGGPRVFILATADCWNYRML DVTDIETASDLRQLICINLGLPESEGAYLYPTELGRFEHDGEALDDSKLLAIKAQRAD AIGTLKLFVRVGGPPQMRQTGAQIAMDEETYVKLNGRMRSSSSPPTSRQNTLTGKEEE DKMLTAEAIEYRTEQLRKQKEYLAKRKQVAENNHVADRSPSSSIVGRTVDFDQPRHSP FEDRKMDTLLPQRKPPAPPSDPSATLIKANSLKKTGHGLRLSGDSGYHPKRKTSSDLR SSGDVVFEKQKRWGPVADPSAGIGALLVGMSDGMASIARPRPGGGMMQQRQPSPHRVA TEPITSQELQRGKKAMSTVNFGPAHQRERSGSGGGSPRPGGMPGSPGSYTWSSKNVPF LVPDYSPNGTPAPPPASRMPDGGGSSGDGCLDQNHNHQAQNGTIAKMRNVARAPSPGN VSPSSRRRPSDSFSSQAAVAKKRKSHGPDTDFTDNDVTFSASSTRASKKVDDSDSDDD SDDGLFAIPIAARNSGPDKGKTTAPAEGNSGDSDGASGTGTGKRPSLRLNTRSGSNSR AKKTLSVAFAASPQSSSAGGKTPLEDDESGRSSSQRRGTPGTPQSEGGWDSEEKDSKI SRRKSFIEKDVWANRPPTDALVNNLEDFFPDLDVDQPVLEEGVDQEPPSPIAEGDENN QGSASVPPLPPLPPGLSTGKLHTFYNDNDTLGSDESTLKALESRPVSMQSLAHRSIRR SGGGGGLGRMKSIREVARGANEFGKRYTQGPGQIDAQSSAAAAGNRNTNLMRRKSTKM FNANIVQIQPDRGSLNLAMGGLTTIPQDSLPTRSTNSRESIPKRQTTFRWFKGQLIGK GTFGRVYLGMNATTGEFLAVKEVEVNPKAAQGDKKKMQELVAALDQEIDTMQHLDHVN IVQYLGCERKETSISIFLEYISGGSIGSCLRKHGKFEEPVVASLTRQTLSGLAYLHRE GILHRDLKADNILLDLDGTCKISDFGISKKTDNIYGNDKTNSMQGSVFWMAPEVIRSQ GEGYSAKVDIWSLGCVVLEMFAGRRPWSREEAVGAIYKIANGETPPIPEDIREVISPV AIAFMLDCFTVVSSERPTADVLLSQHPFCELDPTYSFLDTELYAKIAGRVNDLGRGQ QC762_0086420 MFRLRRYRVFLICAFVITVLLYHVSKNSQWDRTQEIWHTSTRHR ETKVETKPAEKAQAPVVAKPPVVKEDPAVVEVKPPAPKVQVEEKPKPEVVDDHDHDHG HGDTPVKIPSLKEESKEKGSYDLPTKPPPLKGTHEKEQPPKDKSTNPATIPDRLPGSG IGSHNHDNTPSEPDLATTSTTAVHWTKPSEWFPIPPESIIPLPTGKPKPIPTIQAKFE PETPQAREKRELRLAKVKAEAQRAWQGYKIYAWTHDELRPVSKAYHDPFCGWAATLVD SLDTLWIMGLKSEFEDALKAVAEIDFTTTPYRTDIPVFETIIRYLGGLIAAYDISGMK KEYKVLLDKAEELAEILMSVFDTPNRLPILYYQWHPAYNIAPKRAAQNAGVAELGSMS MEFTRLAQLTGENKYYDAVARITDAFEELQNWKGRGRSAIEGIFPEHLDASGCNRSAP WPPVDQQEREDGRVDLGVVGVDGLGVVGGTGVKVQRREEVVRGDDGVGGRDQMTPGRP GKKKLPDGFECAPQGLVSSNAWGSYGMGGSQDSAYEYFPKQYLLLGGLVPKYKTMHEK TVAAVKKHLLFRPMAKGDPDILFSAKATSKDGTADSLDYEWEITHLTCFLGGMFGLGG KIFESPEDVEIGKKLAEGCFWAYDVMPTGIMPEYAKIMPCKSATDCKFNETAWHEQLD YRASYRAQEMERYYESKAAWKEQVEEIKKEQALQKERVEKAKEEAARRPVAKSNANSD TGAGSDRKTEPKQPVQQQQQQEEKPQAREGSFPSRFQRRQLNGTFNEPRGADLQNSLD LNSAPVTNTNTSDDDWATTYSDDDDSQIPLTELTLPPEPIKPMTHEEYIADRLKNEII PPGFTSLSDKRYILRPEAIESVWYMYRITGDPKWQEKGWKMFESVIKHTQTEVGHSAI RDVTAKGDAGGMDDSMESFWLAETLKYFYLLFAEPEVVSLDEWVLNTEAHPFRRPT QC762_509400 MATVTDPQTVAPDVEMTDSLATTSDSNNLSTANGKRKADTDVSE LDNRTIKKNTPSVNNDEQPANPSEAAIDTNMIPAEQAGQTPASTETPSTEEVKPGPSK RELKKLRKQAAYEAYAEQRKQKRKDKRHEKQAQRREEKEELMAKAVEKGLDPMAFIPT KKPLEPNFLPISVVIDCDFEQYMREGELISLSSQLTRSYAMNRKEKNQAHLSLSGFGG KLKERFETKLKNTHLNWKNVIITENNFVDCAQQAIDEFIQPYTAYNLPDHVASKETGN VPHMSLDEKFDNKSTEDVEEDSVHKNIVYLTSDSPYTLDRLEPGTAYIIGGLVDRNRE KGLCYKRAQEHKVRHAKLPIGDFMAMQSRFVLTTNQVVEIMGKWLQCGDWGQAFLEVI PKRKGGVLKEGGGSQAGGDDEGNVKEEDTKEDQKEDEPDKSTDTEIKPEMD QC762_509410 MSSRPELKVDDEHGFIRYYKSLPKVDEDVIRIFDRGDWYTAHGE DANFIARTVYKTTSVVRQLGKNDHTGLPSVTMTVTVFRQFLREALYKLGKRIEIYASP NGRMNWKIVKQASPGNLQDVEDELGGQFEGAPVILAVKITAKASEGRTVGVCFADASV RELGVSEFLDNDLYSNFESLVIQLGVKECLMQIDKAEKNKDPELAKLRQILDSCGISV SERPAGEFSTKDIEQDLARLLKDERSATLLPQTDLKLAMGSAAALIKYLGVLHDPSNF GQYQLYQHDLAQFMKLDAAALKALNLMPGARDGAKTMSLYGLLNHCKTPVGSRLLSQW LKQPLMDKAEIEKRQQLVEVFVNDTELRQTMQEEHLRSIPDLYRLSKRFQRKKATLED VVRAYQVVIRLPGFLGTLEGVMDEAARDPLDEAYTNKLRELSNSLVKLQEMVETTVDL DALENHEFIIKPDFDDGLRIIRKRLDKLRSDMDKEFSEAANDLDQERDKKIFLENHKV HGWCMRLTRTEASCIRNKSRYQECSTQKNGVYFTTKTLQAYRREFDQLSQNYNRTQSG LVNEVVGVAASYCPVLEKLGGVLAHLDVIVSLAHCAENAPISYARPKIHPRGQGQTIL TEARHPCMEMQDDVTFITNDVSLTRDNSSFLIITGPNMGGKSTYIRQIGVIALMAQIG SFVPCESAELTIFDSILARVGASDSQLKGVSTFMAEMLETANILKSATSESLIIIDEL GRGTSTYDGFGLAWAISEHIIQQIGCFALFATHFHELTALAEKYPQVQNLHVTAHITS DRDVKREVTLLYKLAPGICDQSFGIHVAELVRFPDKVVRMAKRKADELEDFTSKHEAG SVKYGKGDVEEGSALLKDVLVKWKDQVKGGGMTKQEMVERLRELVRSDERLEGNPFFQ SVKAL QC762_509500 MPGKTPDKEPARGGNNNNNNNNKMKGKGKKGEDEKMTVVVPPSK KKEDVEGDVVVMEGVENEGEGEDPVEKTVVDIKSNFALLDRAVALFDARFTLRALRSV SSIRKRLTGDILARVVAETYMASSSGAEIAVGLLKAIGREEVQLGGKVGGGGGEMEID SEPTTKAGSGKNGVKKEQKEVIPEIGVFLGILTQVLLHDGKQWQQGLEFSRYLIEKIQ ELNRRALDGLSAKVYFYFELFAEQLAPLPPSSQSTIVAIRPTLLAALRTAVLRKDTDT QASVIVLLLRSYLLTSHISQADLLVSHTQFPENAANNQVARYLYYLGKVRAIQLLYTE AHNHLTAATRKAPSSACALGFGQTATKLLLVVELLMGDIPDRATFRQPAMEASLHPYF LLVRAVRVGDLEDFESVVAQHGDTFRRDGTYTLILRLRQNVIKTGIRMMSLSYSRISL RDICIRLHLGSEESAEYIVAKAIRDGVIEATLDREKGYMKSKEVGDVYATSEPGETFH DRIRACLSLHDESVKAMRFPMNQHRLELKNAQEAREREREMAKEIQEGDLDEDDLGGE FEGM QC762_509420 MANRTDHCPLPYHDTRSIQGLEVAATRYRLQSSDLNNPSVIPNK ADKMNQAPGQGMAGQPNGQGIQGPGGVPNRPTGVPPPNNVPLYRPEQMQQIHILTAEE KSKYTQGLAALWKMHDNSPPGSQEQMTAKNKIADFGRMLASKVTSRRQHAQQAAQANQ VNQATQVQVQQQQMQQRAAQQAQAAQQAQQQQLQLQQQLQLQQQAQQQLQQHNGQAQA NQGNAGPGVQGAGGMPGGVQAQGQAQAGGQAGQVAGGANRALQGQPRPMPPYIASHIA ELIFTPPANTTDKAKWLETVKNQYARALIQSETSRNSMRQLDALMKPGLSPNDAEQIK KKREIFEKMHNEGLNLANSIRKQFVTNKPGSTPNGTPGAGGGSGGDAAAAAGQAGAGV GGAGVTGVPGGAMQPATAAVSAAFEAAKKQQLAAGRMAGPGAVNNNQPPQQQQQQQQQ APSQPQQHPHQTPSQTPATPVQSQTTPISQAPSNQVPLPQSQSQSHSQPPTQAIKVEP GTQPIPVPAPLNTALAAARGGIPSAGTPTQNSARIQTPQSATPTISNIQPLTHAAAVN AAAVNSASQSRPGSIAGPPPNTTPGSAPPPSASIATGPQGHSHAHPSQQQIQTQASAM NTKFAIPKTLDEKAIKAPQPVPHIGGIGSGRPTLSGGGGTAGGVLNQPVLPKTPAYQI EGEGERILNKKKLDELVRQVCGGTAEGQDGNMLTPDVEESVLNLADAFIDNVLHQACR NAKERGSKVLEIRDLQLVLERTYNIRIPGYSSEELRTVRKMQPNSSWIKKMSAVQAAK VVPGKGDL QC762_509430 MWPNGKISQNVGPTSPPETPRTASPVFGRKSSPPPSGVSAPTPP PLPHSRHHHHHHHNHLRPMAPPPLSTGGVPVAFNGGRPDQLSPRTTSCSSSSSSEDES DNDSQPAGPSRSATPEQPQLEPSPLLPQIPHISARIGRSASITIGRVIAETDSNFVIE ELSDFADSDDERDGVLRPDYIEYAESNRSRSRSRTRRPAVIDRTFIFSLHNLNCDDES DETDLDEAEYREFLIKSREEKKKRRMTSGSIGKRTISESIGSDTDLEDLKPWLGPNSS EDQTGGRRMRRRVSMVDHHMRRRSSIFQHEARTSRIEELDEPESDDGVFLEVGGVGEK LARELPYYEYVSMEVDSP QC762_509450 MSLISTHLAQIALSCEGIDSLPFPPPKIFTNALLSNPDITSLIR DTEAHERALFSVPAPPPPPTTTPHPEQPKPSNRRQTVFNVAAGEVTTGPAPNSSTRRS TAVAAVLGGDLHAHLTRRHKEGEADIELLLQGAEKLCGVYALPGALERIPQLRRKWEM QGHTLAYYEQKVAEQQEQLQAMNQRNEWDEDEEMEHVEEEKGDYITEEDLRRDEEELR KLERKRRELQAKLKSLEGDIGGLMDI QC762_0086500 MRDDRQGSLYPLQNLHQLLGILGAFHSVFSIDCEIRHSGHSIPV RLLNLLVDFGSALALIEPGFNLSLVQPSLLAGGDQNVMGSDVSIFLEMQLEKRRDNTV FELVRLCLCQLNQPMGVTGVSDPGLEREVDALGFAEALEHHGGHGQAVGIAEFLEEVL IAVNRGFGEGRVEVEGVPVDFESVGAGVGNGGFVEVDGAFEALFA QC762_0086490 MTAFDIKIVSDAICPWCYLGKKRLERAINLYKASVPNASSDTFK IHWHPFYLDPSLPKTSVDRDEHLLKKFGDPNRLAMSTMMLKRFGEAEGINFSFKARIG NTRDAHRLVQLAKTKSNELENSVISALFKLHFEEDGDITSHDVLIAAGEKGGLDKAEV ESWLDEGKGGPEVDKEVEEAYRDGVSGVPNFTINGKYRVEGAQDPEKLVEVLKRIKAS APAVSASSEGVSC QC762_509510 MATRVQLGWYRWVPFLGYHHVLMILIAVTIILLSLLLAGCSSSS PLIPDIFLLSIYYEKYTAVPDTAQVDYRVSEAISNIVGDASLQARVGYFGICISTDGG SWLCSNNATSLANEISVEQDPLNLIWLSAQFKDMIVFPYLLIIAIIFAFICLLLLATF PGWHEEEDSEGSEREVKPFPSRPVSQVALAIIFIASIFVLVSVLWQHTASVAASIIAQ DFGNGSVLAGVGSSAMVMGWFSFTLLIIVTIGLLVMILSMAVLSDIMA QC762_509520 MRSLQGRAKTRPGPCSPALKDSLKESSSLYVFNTYFVPRCKYIL LGEPPAQVNKPTDTHHNMAEPPIPLPDDTNPADIKSQVLQLTLTSIQSSDSDENPCVI CLETITSPSTALPCGHSNYDFLCLASWLQQRPFCPLCKTGVTQVRYTDADTQNEHLYN VPPIAPTPEQPVRQTVSRATNPADVLQLGPLDFERYLSGDVTSIQVPPVRRSLRRRQS NHQPPPPPSTPDQALQRRQHIYRHNLFSLHIGSSPHTSYSPSVPSPAVLSSTPHLLSK ARLFLRRELQVFFPPTTAAPSTGDSIHQNREFLLEYVIAMLKTVDLQSPSGATHAENL LRDYITLPDTGDDRTALFLHELKNWMRWRGEPPGNVSLEGWDRGVQYPSLDEGRKRRY DRGEEDDEEREGRGYSWQDKAGDHWRPDPPHCGQHGGRNDSSRRRGGVVGNGREDERS RRRRHDV QC762_509470 MDDQARAEFELQSLQVRAELKKWETDWQEEHGGNKPSRNDIKQN PDIAKKYKQYSKLRDVLSGKIPPEELSKPPRPKSSSHRPPQTPSKHSKTAQTPRKHHV ANPYMQSPTARTPGAATPSTARKLFSPALATSIGPTPQKDGRVLGLFDLLGKTPSKPT ESPFPKPIGSATPSKRRASELGDLTTPSAKRIAHDASTPLAGRTNVFGAVTTPLHEKP NNTTTTPSTTRSKLFNTPAFLRRTTLPPPVDETDENGPWKVGPLRLPRVLSRKGVKVK GLSEVVADLRKIEDEAHQDEEDALREMEAEELGVPVIKPAVPKLATVPEGVEIEVGDG QTVSPQPAQPRYTEEKPVLLSHFDDEAYDDEIDLSREGVDTQGNPLRVYKKRGQKRTT RMVKMKPTRFQRPTDNSPHDSDDEPIPETQYPDQPPQAPQDDLLLSGSDFEGNDEDDD DFDTLRPTPKSTSKGARKTLAETKGRKKVDEREEGTVKKAVRKVKATAHANFKRLKLK QGGAKGGPGYNSRFRRRR QC762_509480 MNTASSTMPRLSTMDSLNTGGRLARRLIEDWYRGALQHANRSEP RLQSCYHLRGARPGPVGPNDDHDLIMIPSQTHGHQMACLCKHCRYHFVFTWAEPEFSS PGHPQHHFVMAPGDDESFGEEKGKQLLATWPSRDKKLGPLMRKTSYRCSFCGFSIRLD VSAPRLASRWIDIVTDESRAKRNLKRAMDEDPGRFKDMTQEKLAKLESSALMTLNMYI TNIMSNDSTSAEKKISERNKTFMVQFGPECEELFLYLGFTKRQEAEDTFYISPQVPPE NGGRTFLYSERSFFENVKSEIQSVIDQKRPELVHQHSPARIKLQTVLGVDDAQTRTVH WKVSESDLHYFYLLGASSKTTEPMLIWAYERQAAVDRDHEHYYREAFNCLAYHGDEEM QMYAVHLDQKALPRPEKSEYDKDWEWFGFWRAQGRTLTKDRIIERYKHYRETRPEAGH LHRLHLAKIARDLGQRDLVYIAVVDMDEAEANGVLDATAQTAPDTIAYAALESVNNNE KDAAVVVTAMNLIGARMARQRRGDKDFDEALGDFETISGQLESLVSGETQNQDFCDPV SNGQEMEVGAGELTLPVGLDNIRNTCYLNSILQYLYTVDVIRDLLRTLDLDTPEASTD RVGEILSGSVSGVEEGQKKEAFLGHEFARELKTLFHEMEETRYTHVKPRQRLANAAMA RADKGGSTAESKATGPKAAKSAVPELKITISTDADAKMVNGEETSELEHVETASVSSS QTLVGETPAAASTGSGKRMEKVGPLEALVKELDQDEVKGTAQQDVDEAMGNILEHLQA ALKLARARRNAGEDGSEEIPDPIDDHFYSNFRIYNRTRGNDDVQGWTTHEDRNRMIMA PLHETKAVQEDLYQAIGRGMDVQLNEETNKMTYSVFKRPADILHFLFPRSRALDLKNE NPVELNDPLYLDLFMDVVPGDERYGKRTRLWALNKRLEELNTKPRAFEPGSAKILSEE DIDRLVTENGLVDADGDYELVDADERTALATKEVQGGWVAVSPEKLKQFEERQRADDS AELLKEKQGLVVGEVEYRLHAVFCHTGTPRSGHYWVWIKDFERGAWHKYNDELVTVHS EEDFRKQAGTAAEPCWAAYVRADKVAGLVSTPLRKRVRGG QC762_509540 MAPHAGGDSSSSSSSSSSSDKYKPQDAVHAALTMGALFGTGGLF LAAVKTSLEKKHVGPWAVFSKHGNIAATFAAVGSVYEFSRVASANLREKNDHYNNAIA GAFGGAVLGLRAGRIPAILGYGALMSVTSAVFEYTGGRIQGAGRNPDVDEFERKEMLR KRYRRPVEETIAEIGEGRSIKLPGYDERRAQRLKEAYGFEVKPVCADPERA QC762_509545 MNKTNSSPSSPSQTRRGAFEKFRQKIRRSKSVSFPATEPESEQH HAADGRERRRANRDRLEEPAQGASPLFKRWGCGRRPSLDLIAVAGMMIAAGELDRLSL RADDVERVRRGREGVGLVSDGGQMSPPGSGDGNGSGGGVVVVPPNTPNTAVGSPASPV SPGGGMGDSSIMGRQLGSALSAERKEGGFAPFPPANTPVFGGSGAVTPSSRSGSGLGL GGGKQRAARPSKLSEVRRFEEVVRRLSVSDSAVSPGARETEEEPVYRLESFPEFPDGG DHSEDRYESGESTPRYKPGEHYFVEGGLQSGAGVGGSGLKLRGHGDCEEVEGEHKGEE THARPDIWRSEDGVGSGEGWVSSTRDSKGSRLSFATSEERRRSWLDVARRATKGRWDH ELEECRGLTEEEEGDGKEGLVMKRKHTMADIVELLRSGKGVQKE QC762_509550 MGELGLLGANLQGYGCAGVSDVAGALITRAVERVDSGYRSGMSV QSSLVMGGIHEFGSEEQKERYLPDMAKGKLLGAFGLTEPNHGSDPGSMETTAREHPTK KGCYLLKGSKTWITNSPIADVLLVWAKLQETGKIRGFLVDRKDCPAGTLETPKISNKT GLRASITGMIHLEDCPVPKENMFPGVEGLKGPFACLNSARYGISLGVMGALEDCLARA RTYALERKQFKGNPLAKYQLIQKKLADAATDISYGVLASIQVGRLKEQGKATPEMISM VKRQNCDRALWNARVLQEIFGGNAVSDEYGIGRHVANLYVTQTYEGQSDIHSLILGRA ITGVQAFV QC762_0086580 MATCGGGLGGGAAVTIGRQTSSSPAAGSRLKIRGGPSVVPPSPA RSAFGVGVLGTYRIEIRLSPRPSST QC762_509570 MALKRINKELADLGRDPPSSCSAGPAGDDLFQWQATIMGPSDSP YTGGVFFLQIQFPTDYPFKPPKVQFTTRIYHPNINANGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRAKYEQTAREWTRKYAV QC762_0086600 MEPRPEGQRYNSLDVVSTTWGEAGRKFQPRSISLDFPEHHRYLA PAWHAAPAQAVAAVSILCAIAPYPLITILSHGRGLTFTTVV QC762_509580 MSGYGGYDGGLRSSGSMKQSERSRWTPLTRMLLSGEMTQERQKE LTPREKFDKWMVNEGYRRIFVFVFMFLHAILFAFSFVNFAVKENLQIARDTFGPTFMI ARSAALVLHVDVALILFPVCRTLISMARQTPLNGIIQFDKNITFHITTAWSIVFWSWV HTIAHWNNFAQVAAKNNLGIYGWLLANFVSGPGWTGYVMLIALMGMVITSVEKTRRAN YERFWYTHHMFIVFFFFWSIHGAFCMIQPDFAPFCISIGTQAIGVFWQYWMYGGFAYL AERVAREIRGRHKTYISKVIQHPSNVCEIQIKKEHTKTRAGQYIFFCCPAVSLWQYHP FTLTSAPEEDYISIHMRVVGDFTRAVAETLGCEFDKKKGDASKVVGVDQSNDEVDPAL RRVLPRVYIDGPFGSASEDVFKYEISVLCGAGIGVTPFASILKSIWYRMNYPQKRTRL SKVYFFWICRDFGSFEWFRSLLLAIEAQDVDNRIEIHTYLTAKIKVDDATNIMINDAN ADKDTITGLRSPTNFGRPNWDMIFRGIRKLHTPAEAGVFFCGPKGLGSQLHVFCNKYS EPGFNFVWGKENF QC762_509590 MGIKNLFQIIKDEAPDAVKEGEIKNQFGRKVAIDASMSIYSFLI AVRSDGQQLMNEDGETTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRFQ RKQEAHEGLEEAKETGTTEEVEKFSRRTVRVTREHNAECQKLLKLMGIPYIIAPTEAE AQCAVLARAGKVYAAASEDMDTLCFDSPILLRHLTFSEQRKEPIQEIHVAKVLEGLGM ERKQACYFVDLCILLGCDYLDPIPKVGPSTALKLIREHKTLEGVVKFMKEDPKSKYVI PEDWPFEDARDLFFNPDVRQADDPLCDFKWEKPDIEGLVQFLVKEKGFSEDRVRGAAA RLEKNMKSSQQSRIEGFFKVQPKTEEQKKAHKRKLEEQNEAKKKKLKDEKKEKAKLKA KPRGTA QC762_509600 MTIQGGKKILQRVAGKDASKQFWKYHNEGILKKYQKLQIGSLDT KPKKEAPPAPAPKKEAPKPKPAAAAAASAPAGEAEALEPFGEQIPFGDPAWYQGHHSP YFNETHAALRAEVREWVETEVEPYVTEWDEKKEVPAHIYKQMGERGYLAGLLGTHYQK DYVKNPIKSVPAEKWDLFHEMLLTDELSRVGSGGFVWNVIGGFGIGCPPLVKFGKKSV VDRVLPGILNGDKRICLAITEPDAGSDVANLTCEAKLSEDGKHYIVNGEKKWITNGIW CDYFTVAVRTGGPGMNGVSLLLVERDFGGVSTRRMDCQGVWSSGTTYITFEDVKVPVE NLIGKENQGFRVIMTNFNHERIGIIIQCLRFSRVCYEESVKYANKRRTFGKKLIEHPV IRLKLAHMARQIEASYNWLENVIYQCEKMGDTEAMLRLGGPIAGLKAQATVTFEFCAR EASQIFGGLSYSRGGQGGKVERLYRDVRAYAIPGGSEEIMLDLSIRQSMRVAKMLGMK L QC762_509610 MARRRRPPRPGSLSELPPLKIASQILALQALYYTFSFTLLLFSA LVAGTAFTLDLVLGWQSIRGDTTQGWLCGFLAMLNGGVLMGAAIVVLIGRSKLVTDFA LSLHFIHLVVVTFYTGELPKHMAWWVSMACASVLGVVLGTWGCRYRELKPISFGGNGA GNNNGHARGQSQPGELRNSNEHVRGAGDGEEDLEQGFSRGRGRGRGRDGGGGYEMVDM PPGEGSGTR QC762_509620 MGWLSSSSPEDQRSQEIRAGTVAPSRAERARCWEARDGYFACLD KNNIVDALKEEKAAGKACGAEGKVFERDCAAQWVTYFKKWRVQDIQKRQRIKELEAQG ANRLDVQTDFTPRG QC762_509630 MPSFLPDGSAAIDSQSSGLVHLDQAAQYGLSNPGKVNVHVARQP HSHTSNESFDESSYNSSNSSQTSEASADPRTRLNHDVNGEYPKKAPPSMNTLPNGSPA GGLTNGLGNTTIDSTSRKPLPNGNSTVLVDRTLKANGRGNDSTTSSPQEAFPRISVSS GLDGNGTAQADPYARPISKGGIRTVQDNNESSVATLPVNASTATSDSLSPEPKNQKAP HRYSSPPFPGPTASGPLAPPPPPAPGIKHRHTLEVPKVTQGRGSRDGAEGYASGRFSP TIGGGVRRASLSLARRNTRSLHSEAHHEVMPDEDAMRWAEAYRQKRATKRRRREIEDD DRVLVGTKVDETHANWVTAYNMLTGIRVAVSRTNAKLDRQLTDADFDVKQKSTFDIAG NELVPSAKYDFKFKDYAPWVFRHLRALFRLDPADYLMSLTGKYILSELGSPGKSGSFF YFSRDYKYIIKTIHHAEHKFLRKILKDYYQHVTNNPNTLLSQFYGLHRVKMPYGKKIH FVVMNNLFPPHRDIHQTFDLKGSTIGRDYREEELANNPRATLKDLNWLRRKRHLELGI QKKKMFLEQLQKDVRLLQKLHIMDYSLLIGIHDLQRGNEENLRDKTLRVFNPGGNGVP EDENPHSVLMRTPSKLENQRKARELRQMIQTEKPVPMGETSSRMPDELEDGQKSGFVF NQDDGGFRATHEDNAPGDEIYYLGVIDCLTHYGMIKKIEHFWKGLSSDRTQISALPPH EYGERFINFINGVTMSQEEALREAQERDAAQAAAAASEERQRVGSWNSLRGRSSNAAP PVPSYLPPAPPGASSSGMKSPEVELTMQKAEEQARRSEHRGPSEENIPDRTLRTTASP SNNPGSSSGKHQSPPLGDRRDTAVLPVVEEAAESQSTKSRRSQKSHTSRLSQEDDHRP PTPAKDGDDFRTGTFTSHLMGGRSRGSDSGRPPPTPPKTGHGYGGVSHHTMMKPDSVD SGYGQGGGLRSLSGSQKSRLDQGHVKNQISRDSLDKALPPLPRVNGSS QC762_509640 MATTLQQNEQPLVVLASTNTIITLPDDTLLLTPATVTVSPVTGK ILSVVREVLPGSSFPPNTRYNDLSPKFLLPGLVDAHVHLNEPGRTEWEGFNTGTKAAA SGGVTTVIDMPLNAIPPTTTLAGFQEKLRASQGQCWVDVGFYGGVIPGNAGELLPLVE AGVRGFKGFLIDSGVDEFPAVSSKDIALAMTTLKDSPTTLMFHAEMLPPITESVGDYV QISDPPLAPKGDLFSYSTFLESRPPAFETYAIEEIVSLAELAPKLHLHIVHLSAVEAI PILRNARKEGVNITAETCFHYLGLAADDIEDGDTRHKCCPPIRSQTNQDRLWEEIVEA QGEGCIKTIVSDHSPCTPELKLLPPHLQTVDDDSLRPNMHHSDSGVDMTLPSDQTHDK SCSHASSRPELKPTDSGVVMTLASETPSPTEEQPTNPLSTTTATTCCADTTHHPNPAP QQRLLPITNTNQGDFFAAWGGISSVGLGLPILHTIATDRAAHGLPAPDLVDMVRMCSQ ATAQQVGLYHRKGAIKVGHDADFCVFDSEERWVLRSGEMRWKNKVSPWEGRQFVGRVR ESWIRGEKVFQLGAVGGGFVGGKPRGEAIVERRTA QC762_0086680 MDCRTGHCVWLVDATVPYVPEDPEWQSFSPRKLPVSPAEIVGAA PEPRCLGIACLDPCLSDVDGHPS QC762_509650 MELSLLPPVQMLLGSTHNSTFPQIAPASRQQSSICFRLLEVQEP CDFIPSIHLFTCSWAGTWKWTEKPPSHLSPASNPIYCLLLGFCALSSLPLAQQTTNGR IPPTAGIPSHNLEFPLLICQTAWCQPTNSRNYKFGTAPHSKAPIMASTNVRNGFPKDV QAYGNWPGQYLLLSYGDRVRIIAHAMTYDQLLSMIRRGFGIGRYDNLTLLFKHMMPQG NTIEAELDESAYYLIKNQSEIRCVDTLQYLNTKRQAPQVPLAGYTQANVYPRYSSGYD RLFAPFSTAPSNPYVVPMPAPSMQHQHVPQITGMTVAGEKNNAFGGVAGQPTSTGAAT AAQVSQQAPVNEPERDPRTGLPKGWLMLSDDELPRDPTVKLGNNLFGKKAINTAATEG PNQTKNSIIHPNLWRPVITSEKQAEAPQDKGKGVMKKESAGESSKEEKATGWREVTAT YTAIKSALASRSTPVDRRLVHDYLVASTYMNGGKEPLCEPERKFLLSVFGRCYATKPI KYLADLRHDSEILEILLSHTPGCNMRCAADVRDKCTCGGPQGPKECCEEGLKPSMIGN ILECAKSRLRDALDRTGIVSQRDIKGETFYFHHEKCVQSMCICAIFATLAQHEPICLM RSRLDVEMVCDCDRNTKRSQVPKPQDTKLIQTTLGWVGDLGEVDGETCAQEIFRRKHI DSLVRNFHELTCSPLKDENCGDCSVFRILLGHHWDCPMRLKVDLSDECQCDRLRREDD EYLEGDDEVGSIKWVTDGLHEAAKPYRGNNLTREEWKEAITDFHNEWCHGWCCCMRLR DIAEHDPDCGGVQDTFEDWKLCSCKKSLNPRGTSDVAEEAIHVEASTKVKESGVDDES DNGEEWTPTTSVVDEDDDDLPDLIPHLGSDTGGWKFPGWREPLLEERTRSFEAPEHLS KQLTSKQNWLRNMTDKKPTEERDINLAELTATNRQGLSMDETTELIAKQVDRLNQASY RYWESKKAKLEDDSVKESLQTSEGEHGSNDNESNATASSRVSVKMPEANSGASSSRAS HRATVEDFPEMPSEIATGGGFDSAKKTHSAFGQPDL QC762_509660 MTTNTSLVLSQRPSGPIEKGKTFSLKSSPAPTEADLKDGQVLLE TLYISFDPAMRGWLDDRRSYIPPVQLNEVMRALTVSRVLASKSPKFSPGTIVTSASGI QEYAIMPDSQVEKAYDLPQGGKLTDLLGVLGSTGLTAYFGMTKIGLPKPGDTVVVSAA AGATGSVAAQIAKIAGARVIGIAGGEQKCRWLKEELGLDEAIDYKSPDYKQKFKEATP KFVDVYFDNVGGEILDMVMARAAQFSRFVMCGGISQYNSAEKKGPGASFFNVITQRIR MQGFIVFDYITEYDAARKQLAQWLAEGKLRRKETVVKGGVRSAEEAFDWLFTGKNTGK LMVEVKGEEGGARL QC762_509670 MQGPTMVRGVSRLRMSTFSRATTTTCTTCLRSRAPLDSRIAQSR APFHTGRRDSSAWAAAVSVAGNIVNNAITRATRGDMPSVDPLRIVAKEMKFLTGNIRK LLGSGHPSLDRAAKYYTQAEGKHVRPLIVLLMSRATSLCPKAPQRQQATLQASMGIDS SISPLSVLSDFNPSATAVAPEAETNDHPDILPSQRRLAEITELIHTASLLHDDVIDHS ESRRGSPSANLEFGNKMAVLAGDFLLGRASVALARLRHAEVIELLATVIANLVEGEFM QLKNTARDERNPQWSEETLTYYLQKTYLKTASLISKSCRAAALLGGSDAATVDAAYAY GKNLGLAFQLVDDMLDYTRSEQELGKPAGADLELGLATAPLLFAWKTMPELGALVGRK FEKEGDVVRARDLVAQSDGIEQTRALAEDYAQKAIDAIAPFPDSEAKDGLIEMAVKTL KRKK QC762_509680 MVLLTMTPSIVEALQIWDNLGYPSKDKLQAGKDDPALDDAAVGK PILHSQIIELWLILRDAGHEEHTLENMLKGAWVYVPPPPPKPEPSNEYKALMARLRRE EEQRAYERMTNPLPPTETFAQRFPAANMARSFAAVNRVTVDKDLGDDDVTYNDVHRQL MLILNFMVSILGVAGTLWVLARWWSTPARLFLTLGGSLLVGIAEIAVYSGYIWHLGEA KKQDKKFKEVKQIVQTWAVGVDEKEEATLIGDKSSSDENTDLRRRKKDAQI QC762_509690 MNNRLKTLDYGFFKDKKGWFFFSSCGLFDFDQLTGAGTSNTNTA IMVHQHGDHHDVPTHSLVKRMGSWLPTDHRIHQEWLSRTIDRAHKRSGPPEQTKLIPV LQEFKELIEGNARIYMYFNQMWDEVPRRPPYNKDPTGKSQIRDYKHMLAVLNEVFGRA PEWTDAAAHAGMVGVPMAAIFDYAMGTPSGHAAFLDPDVNKMLKKILNEWGRYLKTPE SAEVLGDHSAGWFGETGYKDLMEVANTPTKTSFKFEEMYHCDPSKKHYGFTSWDDFFT RKITDKSRPVASPDDDKVVVNSCESRPYCVANNVKLRDRFWVKGQPYSVTDMLAHDPL AEKFARGTIYQAFLSALSYHRWHAPVSGTIKRAFVEDGTYFSEPLMFDQSGGEDKVDI DTKGIQGALGYLTALATRAVIFIEADNPKIGLMAFIGVGMDEVSTCEITVKEGQKVKK GEELGMFHFGGSTYCLMFRNETRLKGWPDIGKYAEANENYPVRGALAVVE QC762_509700 MSRSLPVVSSDGNLPWSDSHSHSMDSDEIPHVGLPSPWLDSLPT ASNSLSTSSSTLSFARTGQLSPQLSPISTGMAMSCGGSSCLASPSLSPPTAAMNSHSL SSSASTESSWTMNFSGSGSGSDEGHEHEQLPRGAMSHHHDIHHEHMPWEQDDPDELLM APKLEPLDDDGFCMDDLGEAPATPIGGVAHALGLDQPKPKRPRGRPRKHPLTPNLTAN KVTKGRTKTGCLTCRKRKKKCDEAKPRCMNCEKNAVVCEGYPEKQIWKSGKERAEEER LKSHSVMSITMQPIFHGLETVEDMIFWKHYNEHLSAVLTVEGEHKNAFKDMMVPIAVK HQGLMHSILSLASKHIDFDTPYGATVLRNNPSTSLDLIRERSIFHHAAARQKFFEDVE FSKGKPCTDDEVLVSARYGQMLCFLLEALAEGNPRGEHRVHLSAYRSLIASSPPGDSA FLSFIAEFFQYHIFADELIHSSDKSGYRSMSDAQELPSVPHIHPPRLLGVADGLLEHI VPITTIRNSIRRNMAAHEPVIVDYISLYRAAEIDAAIREWAPHLPPGDNRGNVSLLYK HMMQIYLIRTIWPPVATSPTPTAASVSSFSHIRSSPSVGRPTSSVVNTPPQSASTSCA SSPKLGASHPMSEPNVYKRNSTGMGSSSNVSPIRKNSGGGIAAALAAENRPDSPPPIR QPVSHDDRITRAVEESLDILDTFKPSDPSQTLLLLPCFVIGTVCFKPRQQERIRAAIK SVRGYTGLRNADRVVEVLEEVWRLMEMGEWVRVWDWGRVAKDMGLDFIPA QC762_509710 MGLIRAGSRLASRGYSGGAAAVAAQRGLWARGPRIPNERSAPPP PPPTTLRRIHWTPQPGPRQERRHYSKDKDKCDDDDHRHEPSIWYKMLESAATSLASIL VLGTGFALAAYTYHKSYKYFVLKKMSNAFEPGDPVLDLAAIGKDLPLSKTAAATHWIE RPEQSIVDEIVAGKEVGHYHLFIGEKGTGKSSMLLEAMRKIDGDGVAMFEAHADLEIF RIRLGKALDYEFHEDYMGSYFSERGPRDTTALLDIERALNKVEKVALKRRARVGRPLV IIINQMHLIRDDDDGKDLIELLQQRAEQWAASNLVTMVFNSDDYWVYERLKQLSTRMA VLTVLDLPKHQAIAALKNYRRRYFSEVPSSEILEEIYDRVGGRLNFLNRVAKSQDMLA TCEEIKRVEKTWFLNQCWILGEEMDDDVMDQQKWAAAAVVLATALVDKEEEMEETYDP AKGHILPSYPLHIAQEIMTRADFVRALDRLNLFSITSKAEVRASSVPMHIAFREIVAQ PGFREHLQATIDRIAAIESLGRTREIVAKDLVWGGKYEIKKSYGGVEVSLKQEGKKRD VTVVEEDDDDDDGKSDD QC762_509715 METGIWSEEGAAGGSSSAFSWLDELAEKAWLNEEVEEDVGVHGD GLISKSWPFPAVEAARSLPRLPSTAFAGQRSRRRQPRFQPTLSVVRDLSPAPPPPTPS CAPPPPPTTSVIGQAEESLDRLGRVDKSKGKAVDEEQPAGRPTFQQTVAATPTSSPSQ APPIPRLPSPLPSFVHHMLETSAPPRLADRPLHPDLLRERVPVATASPPTSATTLPVP RTRPPIPQLPPFVHSPSPTKRASTTPMAPTERPVTPLQVAQPELKSRWSPSPPPSPTK VQKLMKAMSFASLRSQKSKTSLREQSSSSSVPPVPDLPPTTPETTKRQSDRSSASTKK NFGSFGKSSLGRGSSSSQKSNWVAAAFGRDSSSITRPRTASGRTERSSTTERSSGSSL MSSALSKQASTVTPERPQAGLSSLPLLPAASTFHPEPPSATHIVPPMPPVPQSPPNPS DLHRKISMRSMFLRKKKSSLNKKEDPRENRGEDEEVK QC762_509720 MTMGQEQSAPRENDDDNTDIQSGRSSPLPRWDHPENQEQVERQH AATTEPLPETEPPPEASKKEKKKRKKKKDRERSVGEVMDSPGRSLSQLTPLKRKKTRP PSLGNVENVGQSPDGGGSARRKLKKSKRMSLGTILNDNADAVENNDNQDSFVPQPTLP ELESEPRLENNEEEDKGMEDAMASQRRKSSSKKKGRNKKAEPEPEPEPEPEVEPEPEP GPEQEQESVPEVGPAPEAAAVEDDMELDEAEEDLANLKTERPDHDSDDDVDMEDGSAA RSQHVSETPAPQEEEEESPTSPIVHVKSESGVYDEDEDMSRLGKGDATDEEESPVPTK TERLQRVMDEAQSAYDSGYIETDGSNERSQRFATQVSPALDHEEATSSEDEVMQNVKS APEEDEEEVNGTPASEASPAQALKAAPESEGEEEGTPESEAEEEQVLKAEEEESEVDD EEPVNDPLEDSADEEEVSEERFSEIPESDIEQPEMQHEEPRVEPPSEAGNVPTSQSEQ AHVNGTTVQSSPDLGGDDVAYAASPSPPPPSSARARSSTKRKVKRAFNPDAPVSEPDA QPETSSTRSQKKRKSRDQPEEPEEEEKPEEPEEEPEEEEAPALTPAPKKKKSKKIREV LQEQEGGEIDEAPAASTPAPKQKKTPRSKKSKKAASEEQEEKLDKHGYATGRLTAVEE DKVTKAVNKFRKDEGLTQAEINRIIQENPAVAVHNAKGAPTLHAALWTAVCEACPSRS RLKLQKFCRRKFHNFVARGQWTADQDEELQEMMKIHGNKWTVIGGLINRHPQDVRDRW RDYIVCRDKVVKHDWSNEEEAKLTQAVKEAVDKIRKDLISRREDEGQAESLVNWQAIS EAMGRTRNRLQCMEKWKRILKAEPIADRDRVITLLPDTDNWRVKLARQDLTKITPAEK YRLACVIRDGCTETEREIDWKKITERIFKDKYQRQALVVIWGRLRSSVPHHGDKTVHE CAQHIVNRYDEEGGFGDSYGNYPDEQSLPPSAKKPRGRAKKPKEPTTRRRSTSVASAK KKKKELSEAFIVDETDSEGETVVEDTVMEDTVREDAASIASDAPPIDSDEEHEEEAPE ETADEEAEAPPQSSVVKRLAPEEDDTLDQEDETRPPFVPSPSVEADAARTRRRERSLS NKPPTPAAIEEESGEEVQDEDMVTSTRLKSAKKRPATEEMSPRKKRKTNSESTVRPAV MSSSSPAVTYGRKSNSRKENKKAAAEAVKARQARALSTISSDMDDMDDIPARLPGQTP PGRVTRRVARK QC762_0086780 MAAAQGVTMPGSVGDDVFVDTTTGGPVPMQEGQPLTHPTSAIRE TQQPGSHQHVAQQQALALAHQQQQQQQQQQRGHVTSPSTLSVGSESTGTGANSREAAE RIIREQFEGGRGGGGQRGGRGDGLGSPKEGERLGRFYFEER QC762_0086790 MAPTPSATSDRPAAPGSRRSVFLWDGSSACKVHRAILSPFHPAA SDIHLRRVLFVVSLASTSNNSEPAKRADNITHFVFVPRRHRRTTTQKAQIPCVATPII SCPQPRTKSHLQHPHTPSAKMSQTSHPTLINLPPGPSNPDTPEIPGTPTSTTTSLSAL STTAIKDGHKGTVHHHPHPLNPRGLPPQYDPSNSSLEAERADRISRLTGLAPAAFSRQ QQFRSGQQQPIQPYTQTQQFQPPTGIAYFDAQGQPQMQNKMSTVGSASATEVSPSLGG GSVSGRSRTTTMRGREDGDEDMLTEMDSASVAGGSGYVDEDMMIDEMDDDGLSRRSAG TYDEEDDGRESLVGFGEGAGSTGHAEWWRARGDRGFGEE QC762_509730 MGVMHVVMFSFKPLATPEEVQEVCDKLLALKDTCLHPETNRPYM KNITGGVNTHMSDSQDRVTHAFVSEFDSDEDREYYLKTDPAYAAFWEGAKGIVEKTEV VGFMPGKF QC762_509740 MVANQDAKAAQAPVIETDNTAAVKAAIVSSETTKAAPVTHPLGP LTGEEISKGADLVRSVWPEGTKLQFKVNTLHEPEKKILAPWLAAERAGEKPAPLERKS FIVYTLRGTHNVHEAVVNLTTQKVEFNAKLGPFEHPNSDMAELEEVEKAVLEIPEVKA EIEKLGLPEGAVVVMDPWIYGADGIKEAKFFDDKRVMQCNLYLRDPKNSSEEDSCHYS FPLPVSPVIDPATLELVRIDIMPTGHDETIKPFTWQDRPANEYIPEAHTMRTDLKPLQ VVQPEGASFTVEPFSELGRTLKWQKWDFKVGFNQREGMVLYDVHYDNRPLFYRLSLSD MAIPYGDPRNPFHRKCAFDLGDVGAGLTANNLQLGCDCLGSIYYISSVLGNAEGKPVD APNVVCIHEQDSGLLWKHTNYRTNRAVVVRNRELVLQSILTVSNYEYILAFIFNQAGD ITYEVRATGILSTQPLDLDLTEVPHPFGTVVHPGVLGGYHQHFFSLRVDPMIGGHGNQ IAYEEAEAMPRDPKLNPNGHGYMVKKTVIDTTGGYDLDPSKNRTFKILNPSVKNTVNK LPVGYKVHVPPFQPILADKDSYHYKRAEFADRSFYVTKYVDGELFAGGKYTNQSHGGK GVRSWAERKEDLTKEQDPVLWVNFGINHIPRVEDFPVMPMEMLKVMLRPVNFFDKNPA LDVPSSKQSFNCSTALNTADELAKKVDGLAVTGGEMKGCCKA QC762_509750 MGIIRKTFTTAFLATAGTVGYLGTTTRLESPLPEDDPLWRSKSF RKYNRHNNASTQDLVYKRIPLDKIRPELLQREGDLALEFCRGVWGGLGYRFQRAYLAR KYQGPATAAQLWTADQLSKSTYEPGTQLTDHFEVVEKTPTEIVVRCGDTPRNAGPRDS DGLFVISASVDKARGEVVLGLKSCFFNGNSRVEGIQGPMPGWMEELHRWYSRLWLVTG SWRVTSSFL QC762_509755 MDDMPPSIDEWFMNLTGNRSTSIVIVAAIVWAVVSFLLASAMVC SRAWADDEPKCIRVCRQEGCLFPCYFMLYFLFVFTLPALLVVGLGGFLVVMASGSLSV LPYAAVQKIGIKTCCGVECPCLNLPEFEKENKKAKNKRKTDVDLEVGGESETDRAPSA IRGEGAAPGTGLVNFAPQLQVPMVAAPERARTAVPKPSRHTAAEQPRLNTYTQRRSRS RGGGSTSQQSRINTSAAQRGSLQSRTLTAENLCILHHRMADVESSQARAGASVTATQS GNETPPPRYEESDTQNRTQ QC762_0086840 MCRQKVNQHCSQLVTIFLDIFFYSPNLLSPRSTVRVPNDVAQQN FTEELCITECWQNDYGI QC762_0086850 MTLCMDRRASGGSARLRVRDKLPFPPRRLGTYLAFGCISFMMRR LTGTYEGSRTMGATA QC762_509759 MFIPTSTLTTLAVAFLSLASAAPTTPAPASPLEVEAREIAARAR GQFTWYNTGLGACGKWNNDGELVVALNRHVFDPQTPNGNPNNNPLCGRMIRASYNGKT VDVRVVDRCPGCAAGDLDLSPTAFQRLASLGTGRITGDWWWI QC762_509762 MGWTYEMKNDDTHKPDPEALIKEAVEKNKAALFGSLRNLPIENF SPVGFSSVIKINSATRSGNVVGDNFHQRSDFILPGEDIEISRASSVKKVREIFDQKDG AEVLRIFKRSAGIKRIFRALSSETVGENSNVGPFVRRAFTMLKAEDSVAGKNAKQRQL RKVIYNFLRIR QC762_509765 MLTPKSPVLKTPLHLAAENDKLEAAHLLVCQGANTLVQDKCGRC PTEYAIMRGHTDMFELFIEDTGDTRYTGALPQRDFVTSVQCSAVDIVRCILDRLPRIE NSSGGVDSFLHIAARDSNGEIIKLLRDRLPGLDTNHAGVKGTTPIHDAVAHKNVEALQ PLLKANPDLEKTDDDGKTPLLLSVRKGMSPGICRLPLNAGAAKLLLEHGAQVNNPGKT MAQSQHYKAAYTGNSRTVEVLQSFKAEVNWHNSQIVVKPPRSNDNLAICQSLEWPMRS FSTACGCEVLCKKR QC762_509770 MKLPFSLTASAALCVAAGSALSIDRREPYEIYRFPGRIPGLGGN EAQQDVGSTWSSGVNIPLEVWRRGKTDLQWAGEITVGTPPQRFKVIFDTGSPYLLLPR DNCTTCSPEQNLFNPFLSSTFSSSPGIPLQLFFGTAGGGTRPTNTSQGANCTAVTDTV AISFPSITGYGQQFLLCDYYSSGLATQPADGIFGLGPLPTDFWPDQASNTTAEFETAY WNWINNTGGNLGPEFGFYLLGPKPQLTVGGTDARLYYPSTVRTIGLDVQLSIMRASWV AGLGAVRVNNQYLLSNTSSAIRDDVTLLDTGSAIILTPDFTTAAQIYNFLSPEIGPLD NLGSWGGPCEVLDEIAWTEDITFTVGTGERSVEVGLVKGAFNLGVFDDSRPGICQAVF VSPTQTAREPIRGRLAWVLGTPVLKGYYTVWNGREMEVGFGELKTGRGGEWVKGKGKR GKGWGKGFGKGKGRGSGAFSLLGSRWRRD QC762_509780 MANIPPSLGASCPSGGSFYVCLGKKTEFIGCCTINPCTTGYQRE PGTCPPENLRPASFSKDSYLDLPAQECDSLDSSALWWTCAGNTPPFLGCCKINPCHRG SCPTDRLVAARLSPDPDNRQIFVGASPTTTSIEPTSTTQSTPTATPTPTTGVDPRPEL STTTPSSNSGLPPAAIGGIAAGAAVLFLAVIAFLLWRWRRNVRQSQENHIRPFVTEAG GYQPTPTFKPVGYEPTVTSTFSSPESTPYLQNSFPGFGHPPKQAGLGGSPSPYDPHHS FQAYQPHGHSRTVSEFSQTPTYYHQSPPMGPSPNMAELSATDSTAFRMGHNVPTELAT TGPMRGPVEGQR QC762_509790 MAKQAFDGKHHLVLRLCFVWQSSCLAHCQSQLCTRDQLYMTHHL PSRRDWQTRFEVQDMRCLWDLPPLATPVPGGNGDSRTPAQQSHCSMHGTKMEWGRRQL KAIGRRRIISRPRHNYLELPTTGGNRPTSSLLMMAFTRVRTMMLLLLSNSSTVCHAIP SPNLKIQTYITHHSGNTDEVLNVPLYRTTVTNAMIASGPNSQSARESNSNMSCFSLGY GLSARDCEYMASIGMFDQGRNAIYNNGKMWIGRDGPNTFTFINGAGVPIILVMWYAFN KDNTSSFMNIRRPEITYSLPETGSAVEISAANEVPGGWSMIYNYSTPLSEYGQIRNTF GEFSTGDYATVDVSRLVNMAGNSVTVRVFGHQPVDTTLQPVCVTDMRTCAYVCTSKSV GSCGATGSYQLVNCGGPNAVEGVDEHGNPTGGCQGWTNGGHIEVIFL QC762_509800 MSDANKTTDVVAPADAPVVAPEPVTATAPATEPAAKPEAPVTTA ITSATEPTATATTTTAAPAATETTGDAKPETEKEASPLAQLWEAAKNHGHPEIWGVPL ADPANHIPSQIVFQKYLNANDGDLAKARDQLIKTLDWRKKSDPLDLVRRMYSKSKFEG LGFVTTYVVDGKEVDEPEEREIFTWNIYGGVKSIDETFGNLEEFINWRVALMELALQE LNICGAIKPITADYDPYKLFQVHDYKSISFLRSPPHVKSASAETIKVFAQNYPELLKE KFFVNVPAIMGFVYGFMKLFVAPKTIKKFHPMSNGANLAKEFAESRIKGLGEKLPAEY GGKGGELKAVGKEPFLTAE QC762_509810 MVLFGGPHLFCVASYFSEEPSFERRCFCHYIVAIAPSAHPPDHW NCLTYCDKMPLITGLHHVNLIVPPNTLPEANAFYGKTLGLTPRPVPQLQKDRLAWFDI GTSGQQVHIAFGRPEVDFTEEAKGASRHPCFKVGSLGELGELQRRVWGHFRGVGEEWE RGRPLGCDEPGKEDSGEYS QC762_509820 MGEHLLLGFTYRVGEMPTPRLRSMSDLERWRWRWNVTLKRMATD EIYDFIIVGGGPAGSTLAYGLSQCPKPPKVLILEAGGDNEDKNLRVDGQRWLTFMKEG MNWGYKTTPQEFCNNREIDYSRGKGLGGSTAINFGVFTVGAKDDYETWAEMTGDDDFA WDKINDRFKRIVTVHPEVPPGTDKKYASLTQNGSNGPVHVGYAAEFEEDLLPLLDQFE QGGFPLNSDHNSGNPLGMSVLISSAYRGLRSTSKDLLANLPPSFTVLINSPVQRIIFD SNKKAAGVESNSRVFHAKNEVLLSAGALDSPRVLIHSGVGPADQLSQFNIPIIADIPS VGQNLRDHCFVPLVYKRSPNSITPLSSRSAFWSDQTQMDLALEQWKTNPGATPVNPWG KYACELGIGFFKLDSITSSKEFLSLPEEEKKYLNKETIPHYEVITHFPMHWFLPGFPA NRGTNTLIDYTCFLVFLYNAQTLGTVRLQSSDPAVPLLFDPRFLAHEFDRKAAVESLR EIVKFTESENFKQGVDVDGIIAGPKGKDAGDEELLEYWKENISSSWHMTGTCKMGEVV DADFKVKGVEGVRVVDMSAVPVLVSGHTQAVAYVTGYTAAEKILREYGL QC762_509830 MKRLPATISLLVISTFSSSVLASVQYTTCLPTPLLSSPIPTCPL ESDGSFWSPTPWCPTPSDGYESGPPDCIFTTPHIRNQGLSVITFPPLAAALISPALDD SLIPPPFRRFNTSAEKWKIAPLPNRGLGLVATGNFKQHETIMVGFPVLIVRLEFINGD RYSAKNKRRMLDVAVGNLPEETRREVEGLARSNPSNEKDWVVDVLRTNGFGIEIAGEG HLALFLEGSRVNHNCRPNAFWRWVPSKMAMEVVALRGIGKGEEVAHSYAPLGYTHEQR KAVLQPWGFQCQCALCAAPAREREAADDRRDRILEIYQTLGKAAELGSVERVDQLVRE AMMLIEKEELQPQLVEYYAHFARAYIELNELKKAEVFIQKADEMWLLYGGEEHENVDG MSELWKQFAEAVSEMEDD QC762_509840 MPPSKRTSLQAQSSASKDQKKKKNNTTTAAAPTATTAPEESEPM SPRNSITVAHRTMPPPPATHARSSSASSKSGSSSLSSSHSSPPPVSAPKEKAMPTPVS DEVEMRSPTSAKAAPVSTSPSAKTNGNPVVNSDTNGTVDDIPPVVHAKGTLQVIAPRK AQSQMMQPAYSFEFCNNIDEITVRQLEEDIAAYHNDMAFVQAQLSDETLTPQESRTFQ LRLLDLGHQIRHCRHRIEQISFQNRKMAKPIGYSRPAYTNAVTPMVPAATAAAAAAGG INGTSSFTAKQRPDDTVSSTPTTSKRPAEDDEDEGMTDGPSKRAKQSPSPTTVAQLTE EYDLSEIEIVDTGEEGDLPGVITVLQRLGFWKCRLCCSPKYLLAGSGRSPAAPCKWPL KDISKMITHFTEMHSEHSVNERCYELGIALAKNRGPFEYWLRRTRNQNIGDGRCLDEA IYKLVNGQMPALLRQHSRAAAGVAME QC762_509845 MISLTTFLTSTLALFGVVVHTGFGPDGKTMVDLTFDLPINPAEP NSAKISVTGTIEQAVAKMEGEYPGWDATFASQPGAAGGGGGGGSLSRIFEPDHYDCNV PGDEDALQEQIFEGIEYLRRLNDTARNGPGPENCGRVSCSWNSAIIWCNNNDFEKELQ WRDIANAAAYVTVKCALDETVYVKGHGEYTKEGWYVVLRRDWC QC762_509850 MLTISTVFASLLALSTVTVAIPARRADDPGPNSGIDPQGTLGYG TVPISWDLPTDLNNPSAGTITVTGTVQEAVAAMEAAYPGWNATFQSKLPAPTAEQQED GDDTSDLGLFSFPTRESFTCDLPYVQASKRMIWDGIRYLRTLSGSAKNGPGNPGKGNC GRVSCSWSAAIWWCNDNNFEKEVGWNDIADGAEFLCRSDCVHRKKDVLGQVFYTDKWN VIVRHDQNNC QC762_509852 MYATLDIQSQVLLPINVATTPHHTTVHHLPRFFHTSSESSSGSS SLLILWSYGASILTPTKTPVILAIINTQNAEYEKKITMAWSTMQTSSPTSSGPTKPAI GSLGQFSINSSPSKSQCKNYKYNLHHPHQSHPLHPSSSYTSTQTNQPTTKMQLSLTSL VSLLAAAQSASAWQSTSTTPPLPLPSSPSLTYSTVIGYADSRICNDQVQNRVLRGSTF HDTCFTFGQSMPGVSCTHYARNGAPAQACSGHLPIVSIRHGTGENCIFYRNGGCTGDQ IWKNGVNGCATFGDRTTIGSYRCSTR QC762_0087000 MSYTWVPEETPTPESLHTCSTFERPRLFQDTRVASDSRNAQCNL ITFLAVVQKHRLPFLRETDWQPSLLARKGGPQDVTQETLREGIDYVYKHVSEKDRSFP SETYRRLIDEINFCAAQL QC762_0087010 MHSNHIIHGDIKPENVLMLKREDGSLSAKVADFGYLASSGDSSN LLELLNTSPWHAPERRERSNLAPAEVMTADIFSFGMVSMLLKMAHSPDHVPVSCLDYL SDPGLDRSQAIANKLRPANYLPDPAILKIFAGQQSFAVQLAQTLISGRDGLDGKLETE FKPLFDGTLALDPKEPVADLRVLLELKAPGPLRRLYACDFRLRYCVLRALAEIVTPNP ESPCAADLALCHDLCFGSLKKTKEATGSKPDLDLDTDLAQKMAALDLEGLGFAWDEMS RINSKVVRSYVEQDILAQAAAVTRQDLEHVQHLLGPGNTVALDIKCRLFGILLAQGRY DKARRLNPKVMTESTAALGAKYRRRLMASNHLAVIDYHHFYEDVEGEGQKFRNAMPLA AEFFHQWKEAFGERDLDTFKSMSLLAGVVVEQTQWVRAKEIHTSGEDYERNAGA QC762_509860 MKQTLLALGAFFTAVTAIALPLDSLQSNPHLTPLKPRQGCENTP TSRECWGEFGLDTNYYTTWPNTGNTREYWLSVQQGDCSPDGYLRTCMTFNGTIPGPTI FADWGDDLVIHVTNNMLENGTAIHWHGLHMRDNSLNDGVPGVTQCGIRPGDTQTYRFK VTQYGSTWYHSHFSLQYAEGLFGGMIFNGPATADYEVDLGNLFLSDWGHTEAFHLWST VAKGGRPPTLETGLINGTNTITPNCNPATDARCVGQGAKHEIIFKENTTYLIRLVNPA VDSVFDFSIDQHDLTVIAQDLVPIKPYTTDSVQISMGQRYDIVVTAKPKNKTADGNYW LRAGLIAGCSGPNLNAASITGIVRYDEDSTALPSSTNHNTISTSCLGEPLASLKPYLE LNVTNMFNTTLENLGTRPQGVDGAEWFQWTLNTSSLHLDPSHPTLEHVFNNESIFPTP YNVVGVQPSGTGEQQWQVLIIQDQTQIGAAHPIHLHGHDFWVLAAVPQATFNGDTSGF NTANPSRRDVAVLPGRGYLAIAFELDNPGAWLVHCHIAWHASQGLSLEFVESQSKIRS GLLQRDIDEFERTCQRWTGWPPVHPQEDSGI QC762_509870 MARIPTTELRKYLLTGQLTRRGCLHLRSSTLAPPILPLRTLSTT PTTPSDQQPQIIPLRKQLKDQAKAAKLSGSPKKKLKKSDNQTVPGWDLTVGIEIHAQL NTPSKLFSPASTPSSSSDDAAHHHHPNTHVAPFDLAIPGSQPSFQPTALIPAIRAALA LNCHIEFISRFDRKHYFHWDQPSGYQITQYYHPFARSGSVTLYPRDGIAAEDGEQVTV GIKQVQMEQDTAKTLAQPNSTHYLDFNRVGVPLVEIITEPCIHRPATAAAFVRKVQML LKSVDACISGLEQGGLRADVNVSVKRTGEEELGTRTEIKNLSSFKAVEDAIIAERDRQ IRVLEEGGRIEGETRGWSLGSMETRRLRGKEGEVDYRYMPDPDLGPVVIGRDLVERLG ETMGMLPDEEVGVLMGRFGLSERDAMALMLMEGRLEYFYGVLEELERMLGVEAVEGGE QRHTMLAANWCLHELGKLAEAEEVGEAAAKEVTSQVPEQDLAAILFYLHQKKVTAKVA KDLLWDVFRGTIATGGVTEQIDSQDLWYEEINEADYAAIADEVIEGQEKVLQDFLKFK QGKSKAYPQGKLGFLVGKMMRAGPEQGKGMDPASAERVMRLRIEQVYIPRLEEAQ QC762_509895 MGGVPSLLSFHVSLPSHFASSSALHLNVPPESHSNSLRLQFLDK AKWVVYGIFAPEVVVATAAAQFIVATWLKGQIEIDVKSRGGNGQVWDIGQCFFAVMGG FVADLPESAGMDVDGKPRRVTVTAEGIRLLSFLGDLPTIQESQIRDKSKADWLAKSIV CFQAGWMVIQVIGRAAKHLPISLLEINTCGHVACALGIYLLWWHKPLDILVPTLLPVD ERRSEALALMHLCSPETSGVDEHGIMDIRCFVHVVEDEDDERIWRLPESYPSPALSSP DQTPALALQTSRQELSELRTHLSIGSPGSRNPAAFLGFGQLWSETDTATAESKRPQNA RNTSYRYQFNLNAPLVSPQCLDYFKPPYDTFSMRHSRYCRRGFPDAQKPPSSTDPTAQ TRPLIPPPLLQTASHAADRLRTLCSSRPDYQPYYFTLGFGPKLAHFFGEADYLAPHIV NFPSLHKLSLGQVNIHRDRLRAVLAATAAAYGALHCSAGFNNFETFSFVAEAERILWI VSALTITASGVVLWGFFSARQCWPGFDGWVSGVNPSMPITLTGKDGKGRGKLVRWGQR LGVYVVLGAFVVARVYLVVEAFVSLRGAPRGLYETVEWTEFLPHL QC762_0087050 MTTTEACRGLRRIVPVNDTPDDATIDIIAIHGLGTESPRTWEFK KRNGDGVVNWLSDGDMLPAALPKACIYTYDWNANYFANAPVQTLLGHADTLLGLIAEG RGSQTRPIIFVASCFGGLILAEAIIRAAQEGSAYRHILISIVGIVFLATPFHGSDAAK QAQWQVLVAGIMGKQASDQLIKDLEQKHDFVRQRVQKFAEIANAEAVRLPLNCFFETR KTKILKRILPSEWANRLSIGITRKILVTESSACLHGFPRRGLDATHSGMNKFKGPECP NFKLVKDAVQQFAGNASDVLKRRENSTVKGHWIVRFGRNKEFVGRESILEDLFKRVLP SGDEDDCQRTAIEGLGGVGKTQIALETAYRIRDLQPECSVFWVPAVDATAFENAYRAI GQQLKVPGIDEEKADVKALIKSALGRENIGNWLLIIDNADDEKLLFGNTALADYLPFS RKGSILFTTRNHKLGLRLVESENHIIAVEEMSHDEALKLLGKNLKSSQMSDTGSNNAL LEFLTNLPLAIRQASAYMAKEQISTARYLKLCKSSDEDMVKLLSSHFDDRHRYKNIQN AVATTWLISFQQISDHDALAADYLRFLCFLAGKDIPHSLLPPAGTLETVEAIGTLKAY AFISQQNESDSYDIHRLVQISMLSWLDGKGERQEWTAKVLERLNDIFPWPKHENREEW IRYLPHTQHALQLRKRTDDEEATTGLLSKVGESFRNLGKYEEAEQMHRQALQLSEKVL GKEHPHTLGSMNNLALVLYSQGKYEEAEQIHQQALQLREKVLGKEHPDTLGSMNNLAL VLYSQGKYEEVEQIHRQLLQLSEKVLGKEHPDTLGSMNNLALVLYSQGKYEEAEQIHR QALQLREKVLGKEHPDTLISMNNLALVLHSQGKYEEAEQMYQQALQLSEKVLGKEHPS TLTSMNNLAGVLNSQGKYEEAEQMHRQALQLSEKVLGKEHPSTLTSMNNLALVFHSQG KYEEAEQIHRQALQLREKVLGKEHPDTLASMNNLALVFHSQGKYEEAEQIHRQALQLR EKVLGKEHPDTLTSMNNLAGVLDSQGKYEEAEQIHRQALQLSQVSEIR QC762_509900 MTLWRFITTTAVAILLSTFHALTSPSPLPNLHSATTTSTCKRNH HFQPRQSQGQHDSRQARHPKCNSQYLSSILHTLNTPATVENVTPVPANGSYGEGASDP AYPVNPTNLPPLCAVTFQIDYSTMASYRFGLFLPDKLPSTKRLLVVGNGGFSGGINWL DMAAGPHHGMAALSTDTGHNSTALETSWAHGHAEKKELWGWRAMHGSVVLGKQIFQRY YQQLDHDKLWTYYSGCSTGGRQGLRELQEFPDSFDGALIGAPAWWTARLNPFLMQVGL YNVPADDSNPYHIPLNKFSLLLEEVIRQCDNVDGVQDGIVSSPDKCGFDLGTLVCSEF GTNISKCLTAEQAETARKVYEGWLSEDGELLYPGLTLSSENQWSILLGGTVPSPYGLG YVRDFLFDNDDKELDWTGTSKLGKDTIDKAQRLDPGHSSAIQYDISNFKDRGGKVILY HGLADGLVPEKGSWWYYNKTIDTFGADLDAVREFIRYFQIPGMGHCFSTPDCKPNAPW NIGGAFQAGLMGSDTWSVPGFEDVDHDALLALMAWTEEGKAVDKLVATTWHRPTDSNT GVFIQRPICPWPEKAVYDGVGDVNEAGSWECAMEKVSCCRNGKGGWRAKKGWLPSG QC762_509910 MASNSLGKPATDGLTAESQAPTVAPSSKSISDDEKVSNSGDRTD IDAGSSTDATEKVSKQPEITTSAADNEKTDNILTKHPTTVSQAGSKKLEPSKTREDGE EYPTGIKLAAIVTALCLSVFLMALDNSIIATAIPKITDQFQSLPDVGWYASAYLLTTA AFQLLFGRFYTFFSIKWVYLIAIFIFEVGSLICAVANNSVTLIIGRAIAGVGAAGIFS GALTILAYSVPLAKRPIYTGAIGSMYGLASISGPLMGGAFTDHVTWRWCFYINLPIGA VTIAVIMFIFPDPKREIKNNDTLAQRVMRFDPFGTAVFMPAIICLLLALQWGGTQYAW NSWRVILLFVLFGVLIIIFVGVQIYQGDLATVPPRIVKKRSVWSSGFFIMMIGGAFLG AAYYYPIWFQSVKDATAVGSGIMNLPMLISLVLVSVIAGAAVTIWGQYVPFMIACSIL SSIGFGLTTTFTPDVGAGAWIGYQIIIGAGIGIGFQQPLMAVQTVLSIEDVPTGASLI IFLQTLGAALFVAVSQNVFTNKLVENVAKYVPDMPDPMTILHVGATSVENVVRPEDLG AVTWAFNDALTQTFTVFTALSAISILGAVFVEWNSVKGKPVEMGMA QC762_509920 MADPLSITASIVGIVAAASKVLSLLGDITDAPRSIADLLNEVYD LRLIFCNLQSFIDRTRRFDPSRLALIQLGDLVAILTRTVITFSELESIVRPLCDREKL STWQLVSWRWQQTAALRILTQLQRHKTSLSLMLQIYQWHVTCPLWSRALLTAVSISVT DLEARQKAGALRDHLEQELDDNQGLADRLAGMELSPELEEMSTAVFDMNDTSSALQTP GDRQDPTTADLELAKTGVINTDLPLNTPPDQASTNKSEDDDGMTDSEFQLSRAFEVVL FRTWPDSPYAGGVFIFSLRFPIDYPLRAPAVRFLTRIYHPNITMAGDINPPVTGIPWV PATTLSIIVDTLHQLLQEPRIDFPLNEEIARVYETDRQSYLATARQWTKRYAI QC762_509930 MTEIASPSPTHALPPATNGDNNGHHHHDEPSCTTHPEPVDLDLE PPETKDSSSEISEFEDDEQVLAKLDQIKRNAINQAPKSNGIDHAHDTPSTDDGPPAKR RRVRDATPNNTIRKPKVESPPWKKVEAEGPTRLIAEDGRRKSGRINLVNLEQDKRTPR GNNQASPPRRGRWQANGSASANSSTPASGGASKRPIPSSKHAASKASMSKPPPRKSMT QEVRSSARSRRRSTSPRRPTTPTRQSLGTRRSTRRLKDNADDDDSTSPAAIRFTPRIK LRHPPLTELPLVHRDQANLRPKLGPSFEEYVVRAANIPVEEGGLLITAEDGPRYTDKL VQEDASIILRVEKEVEPGGLLAEERCSVFEPEAEEEPPRQWAHLDHLTRAMSNFRKLM LNEQQRHRATAKRIALACEAEWRRRNPQPKTAEEIEFEEREKSKARWRQVGKAIVGTW ENVRVEVNRRRLVEWEAEEQRRVKAALNQAVNLSEQKLQARQTQYDAEEMSGEDDDDG EDMDSDMDDEEDISDVSESDKGSEADDESNMSSSEDDDDKESVLSDEGLTQDQLREKY ANLPVLESKEVDDSKPDVGTTPADTPHGGPTDMDTTDESVDMDDDLGSSEEEEEDEGS EESDDEPAGLLSLFFKKSELKKLEVEAAPEEPSAEGDGDVEMADVDPSDAPAPTEERD SVMPDLKESASEPISTAAEAGEPMSGGLAEVLVENPQSATATATAEHPPPQVDVSATK STASVSPIPPPADVQPVNKVRTFASQATRVKAEHDVDVSMVDAPVVDEALDAQSTAAN HHNSPETEPMTNAHSVSRSQSPRTSDDTKPTDAETPVSTSQLNAAKSDSRELTPQQDA PKTEIPFLLRGTLREYQHHGLDWLAALYANNTNGILADEMGLGKTIQTIALLAHLACH HEVWGPHLVIVPTSVMLNWEMEFKKWCPGFKILTYYGNQEERKRKRQGWTNDDVWNVC ITSYQMVLQDQQVFRRRRWHYMILDEAHNIKNFKSQRWQTLLGFNTQARLLLTGTPLQ NNLTELWSLLYFLAPPENGEGGFVDLREFHNWFSRPESQILESGREQLDDEARAIISK LHKVLRPYLLRRLKADVEKQMPAKYEHVEFCRLSKRQRELYDGFLSRADTRETLSSGN YMSIINCLMQLRKVCNHPDLFVDRPIMTSFRMQRSVAADYEINERIVRKKLLAEKLMS TVSLSFLNMIPTQYEDMSTSHADRIAQLSALRIFPDLRDAQKIRANNAYHNLDPASVK SNIVYLESAARWGRFEELQHCVYLNALRRQQRPIYGKRLVEMLTLDTHRRPYKQRPKL PQKIMSWFEEDLHFLHSAIPTLQQRAESMETTITKFACVTPAVVTGDLNRFLLGEKGI QAFQEADMRLSAPVKYAPYMPKERPVDPWHEARMRLSIQFPDKRLLQYDCGKLQALDK LLRRLHAGGHRALIFTQMTKVLDILEQFLNIHGHKYLRLDGATKVEQRQILTDRFNHD TRITCFILSTRSGGLGINLTGADTVIFYDQDWNPAMDKQCQDRAHRIGQTRDVHIYRL VSEHTIEANILRKASQKQMLDDVVIQEGEFTTDYFNKISVRDVITTSGEICANENDVA ANAAMDRVLGGVESTNPRNVGRVLEQAEDKEDVAAARVAEKEIQEDEADFSEQQPSGV SSARNGTPREGTEPPTSATTKPSGLGLFSESADDGEVVEVEEKEVEYNAWGERMQTID DYMLKFMTAALEGTPLDLPKDKKKSKKKGKDTRKR QC762_509940 MTSEQQHPANHSSHSSNHDEAKPLGESFETQSSSISPHLTLSHC GTPNATMLSSRIVRAAPLRTAALAVRRLPLIQTRTFLPESMVGRAKLDEKYPDSDYPK LTAAEDPEMNGGYINPPRVKRQFRDPHADWWDKQERRNLGEPVHEDNDILGIFSTYEY TWISPGKGLAQIGAFIVVFLGVVFTVKQVYPDRVSYPREFEGGLVRELGGEGAIRARM EGDEDP QC762_509950 MRYDDWDVILFPTGRDAKIPFKEFKVACHAIPDVELSHIHGSAG MSVMMPVMTCFVPSLPAGSSFQISLHCWRNPEISQFTRTYSKHMELVKYEARILLDGR LVASTVLDRKVNGPHLITSTFEFTKTGELERLKFPHFRRELMFQSHWNPADDIGRIKV VISEGFPRDSLSVPIERVKNVVAFSFQHAPLEILEANGIAWPNPSMWRRPSANPSMPV PTYQTNDGAELHSHSPRRKSLLLRNVKSQGFPTPPIPGSVFQPQTTSGYLGSSTFPTP LLPRTSVNTYADPFSSSSAYMDWVNSMSSIVNSGQSSDSNNSFKAFWSSSTMQARKQT SDISMPDYSATMDEVDDMKVPTNTPTALDLDHQYHLPQHRHDASLLPPNLASSLTQSL LNQPIPLPSSEVKSKKETRHHLGMGLGEVDPNTAFRMGTPIPGSGGAACHSARSSSTT SSSEECGDRGGLFGDKGKENAAPSTLPDQTVFSGSSRSTSGGELCYNSGVKRNRTFTP ASARAIDDEDEPRRASPHVRVADYASESETLGTSVA QC762_509960 MSTPEAGNTLSIPAYTPDADSALQEITWSQSLRTKKANYYIVKA KNITQNQADVLLYIQDTFYKDESSPTHLSKLPDAKKEGDNFILPINDRFQYGQKNAQG ENRWLVLHDKDNKLYQHRFIVATVQGHAAEWAKTLANSFGAGELASQVTSIGKSFVGH YLHTF QC762_0087130 MSGNPSDSKGQVSSGSEPQFTRQKRMKFENGQLVEYWIDVPVEK PKPVEKPKGPMELFKEFKFDEDISLGSIFPSLNGTDFDALTMKNTSMIWLGRDASALK KAGLWFETDVEFRGLLQPIHDVLRDVFAQEQPGLHLSAHLGIQQDYSDDLIATGFTFK GSINGINRGFGEFLTFRNAGVELNVVPSKGVDLETMWGFFGTLHLAIPNSVVPLVLEY KLQPKADSLDIAMNFGGSEKWESVFGVSGLDLDKVTFGTSIIKSDVGRTLEFSILSTW NLGGVVVELSGHVKKSGSLLRGYIKTLTMDDIRRLFNTMTGSNLDPVEQDVRFSELTV EISQGRLVLYGEVWVDSYKVAAAEVLISVDGVMISGAVDDLHIGEDVCIKQAGLELII GNVNPPKPPSQDHAEKGKEATGGTQLPPSSMKGVPGQSPAQKKGTPVAAVIRGDVEVH TGDANLNFKVAAAITKSADGPLNYFVYGRLDCENVSIGKMLGGAMGDDHPMDLQLDCV TLVAASKDISNDYGLNTARFPIKEGIFLCAELKSVPFVGDLCKGTSPEDRYILRAGYS KKGGLSVGIILPESIRIELSPTVVSGPLTLIIETQPELRVLFQATLWVTPEGEEKPLQ LDLGVAANNLQAAAYAQMSGWWKNPLGLSPRLKIGPRLTLEVEIVYEQFLATGTPSGM GFEGGFVVDEVDAYALAINLGTNPKETLVKLQATRFESSQVINLVNAAADLDIDKPDR EIIRFQDVNVYASPLGCIIGTQVYPPGFVVQGKAFILDKKVEIDCRIGSEGLKLKGEI EGFTLGPLVIRGGKRADGTQGENAFIDFEITKERQCFELSGSVALWDLEAGVFVKAQI MPDPELEFNFELAWSDLIKFQVDGKLIKPEPADRELEKGGASANTGALANLEDADFQL HALMEQRILTEISEAMQKWFASAQASVDQGIEEAKRKVDEAKLEFERKCEEAKQEVKR TQAKFDAAMEAAQADLRAEEEKCRREQVENEQYILEEEKRADEHIRQAVAVLEGKKRD FQDDMDGKKRDLAQKRRDGEEAINGKIRDLQGSREKLQRDFGNAIQALESARARVNEE EWRVDRARRDYDDAVDDLDDAAWYEKPFKAFRVGVLGAELAVMKMALGAANLILDGAR FIVEGVAYNIALGAVNLAEGALRAARVMWDGIIAAAQVAVDGVVAIHAAGIEIAKGAV VMAEKTALGIKQAAAATKGVLLAVQEKILEAARAVVKGVAEGIHFIAFQTALAALDFA QKNTTWVDIAKAGLDAAKAVAAAVLAAGKWLAQRLADTLNIELVELTGSLKTITKGGP FTIRVKGFILGEAFDFRATWSPRDVLGFVVGLCKELWDRFMENVLELFEASK QC762_509975 MTTKTTKMSSHKPIWLANTLALLLVSGRIDGVRAQEPTCYDTWG NKDTNQVPCYGSGSTPDTKTTTHCCNKNDYCLSNGLCMSPQANNLMTQQGCTDKDWND SSCNRLCQPEKRNNLLPSIPLIPCPSTLNSSSGLQFCCGNTPSEAATCCSSSSSSSPF PIRPGALLLPSSSSPSDTTSTSSETLKIGLGIGLGIGIPIFALLLVLTYLLAHPRSPR SSQPPSQSKHRYDPSTLTTGWHLRAPSRTTIRGGYRSHEKRDSFGRVDTNVGPPSEDG DFPNHNPASASAAAWPPTGTNVAAAIAAWANINANHHLNNDEVPPSPKEMDARSRAGS RLRYYFRGETPTPGRYELPASEGGGDQKGRKIGVGIGVREMGEQELPGYEQDEQGVGT MGTVGADSMTIGNVGAVPVTPMGAGTMNRTMPRAEQVSPLTAVEGGQGMFAAGGGGVL DKVVSGEVMGQGHER QC762_509980 MKLISVVALASLAKAHSIFQQKVSVNGVEHPQLHGLRAPSQPNP VTNVNDAQLACGIRGTQSNQVISVKAGDRIGAWWGHVIGGAQWPNDPDHPIASSHKGP ISTYMAKVDNAATASPNNLKWFKISEEGLNTQTGKWGVDTMIANKGWSYATIPTCLAP GHYLLRQELLALHSAYSNMGAQFYQSCAQLSVSGSGNHVPSETVSIPGAYKQNDPGIL LQIWVASVPDNGRKPYPIPGPRPMTCPA QC762_509990 MSFIPIKGRKARVLVFGSGGVGTMAAYALEKGGMAEVTTVLRSN FKAVTESGFKINSIDHGLDISWSPTHIRNAKDERHCSDNASQPYDFIVVATKNLADIT PGVASLIDRDVISDTTAIVLLQNGLNIERPIVDAFPYNPVISGVPYIGAIESPPGTIN HVNHDELIISPFRNDNIPCKLSEDAAMNFQEIYGSCPNVTCIYERSVEKARWRKLLYN ASYNTIAAILGMDTSRMRASEFIIDDLVRPAMKEIQATARQVSGVHLADDLIETVIRQ DNYKAFFRPSMLQDIDKGKHIEVENIIGEPVREAEKAGVETPTLRVIYSLLKALQFKI KIVEGLVEVPASGEKLKYGISRTRGG QC762_0087170 MFRNHAQRTETMNIANLINPVDETPAWEPKCELRSEPNATPPAV TPAADPAAPPPPPPTAPTAPTAPTVAPTAAEPEPDPKPAETESTNTKRKTPPSSTQPK ATPKRAKTPGDLSREWQRQYFQGAPLSEFKGITSGELLLGTTGYVASQAPIQGQMMVE IIAAAPDQPLGGAVRLRALPEGVRPGEEEMMGELGQAQDVFLFPLRHVEDIIITRGKA IQREAWNILIVPSQAVGAQPLRWSLPEIVSFSWTGNLMGREKANLRLGGRAAKTVEEA SKDKKVLNALLCVDVLEEALNEALEPYRKRVQKVDLIDEFTKSLRADVQATLSSAPEL KGKPCGNIHVYPAGILFKSKSRILYMPFGIIECVTLTVAFCLNQKRLAGLSMGVQVKK GQREREADEAEAKRWERETVGIYFKKIELRCVRTLKRWLEEAGVRKLELEKESYYDYA KGSITGECVPYVLPPPTAKPSPPGGAREVAKEQP QC762_0087180 MTDATTATYTPLPTPTSIRILVLAPALPSSPEINCYLLPSDLNS DHNLFPETNPRPIKSLSVMYTSPPLPGKPNEFIVWTDTTPTQPRKMHPFQRYSALSYV WGDPSDPVYINLDGRQVPVTRNLYAALRAVRKLHAGKRLWVDALCIDQSDCEEKRVQI GLMRRVYEQAKRVIAFVPLEKGDGGRVVELVGRVCRAERLLRAEMEGGDSQDDEDDED GDDGEDGDDGENGEDGEDGEDGEDHTFKVVPQTDDLELQLQQLGIADPGPTKFLERFG LPTVDSPLWVSWRRFFASPYFQRIWILQEFLSAKKVRFHFGDARLDASAVIVACYAIR EYSEVDNRAYMQRRGEELADSGQPHRGLKRAWLMFEKRVIWKKCPAALAELIVLAGQF FMATDLRDKVYALIGLAKDGQEYMGHVSYEPSETHIKVFTRFARLLIEKGYAEELLRC SGISGSADRDPELPTWVPNWADTSPSVNPGARMVFTAQAGPARTASMRVLDDTKLCAR GVVVDTIKATSERMFPVSPSQDWMDWIVATVKATIMIRDTLPEVNPMEIIFELFIRKR SLAFDTDDLPRLKKGFEVFLGQLSSLSRALPMRDKLGGGETVIMPRLEPLRAFFFFRD RSNRATAGTRFCITEEGFCGVAPEKVIVGDQVVVLDGNATALIVRDSGKGEGSYVLVG GAYFHGLGELDRLSTEPSRDIVLV QC762_510030 MDLSGLDPETLRLMVQMQLDDLHALGETASQKGKGRAGEKSDFA LAIEGYRDELLRTSQLLADEAISKSITQAMRQDAAVIRALEAEEQRAASDREMAFQLS GTKNPYSSTVPRPATPALDGETLGRLEQLWISPDRAGTSGHAESSAWASSRKQEVSGE NNKKKECVACNDAFFSFDMVNSNGCGHDYCRGCIKTLFQSSILDESLFPPRCCGNQLL LDSCRHLLPSALVGQFRAKKIELETPNRTYCHLPTCSTFVPPQAIKGNIATCPRCSAR TCDVCKKAAHANSDCPEDPVTQELIRLAAAEGWQKCRSCLRFVELGHGCYHITCRCGA QFCYVCGEPWKNCSCPQWEENRLLDRANAIVGRNAGAARLPDWQRANLVEQERQNQII NHECRHERWKSRRGPQECDECHDELPNFIYECRQCRIMACRRCRFNRL QC762_510035 MPVATISVNPFNPACLDTSCLNQVVGLSGNDAAVQFSSCVAQFG SPVVSTVTPTETVFATATTTVEYIDVIVSFTTATSTLEETLTSYDSLVQTATEYTTTN VVTVSTTVTASPAPPVGKKMRKRRGCTRKSSSSTVSRVETVTTTEPSTTETETITSTL PAASVCSDEAEYSSACSCIGAVGDITETVTATADISTSTLYETVSSATPSVSESVINV VVTTVLVKPATVTTTTTVSTNLQTTTTVTSIIQPTQQSKLVLNNGPRQGRYLTIVNGY LQYDINNVGAAVAADFGFTSSGQPWLVSNPDIKLYSTQTSAQVGVLYVETDAQAASKG DPIVTCNVDGNGIMSCGIPERGFGAVFSCGAYLYVARPTWSQSGCTAVTFRTV QC762_510040 MLGFAGGLERAAAAAQTDDVLHFTEQPRVTANAFLPPRIPAPKP WQRVAVDPVAGHKQRKIWKRVPGSSGSNPARDAYLRDMAELEDARGQNPRKRVRGSAH VPVYGDAKWTNRPRNARLIGSVDLGEARAFVNKVNEEAKTLETSFTTKDATFPDNRLS WVPRKRHNSRWPIPPNMDRTTDFEIPSAPQAAEPTIQIDDKASLNRSTRRLSRRFTLL PYGDESPRKLLMPRLSPTKKSASALSPVKKVPAITMLSPIKVSDSPLRAFRIHATPTK VVLESPKMSPPEKSPSKPSPATSTPGAMLPPATTPRAIASQLQHTDSPVPLIFDQPTS DSVAEPQHEARRRISLAAARRTERKSLGISRLDAVRNSPNRRHSFNNLDALIAEGMDS GKGRRSTLGGGFLSSKEDVIEIDAKTNLDIFGEPSKAVASTPRRFAFGVDKLDETPQP SAPLDGFFSPLMTDISDMTESAPAKDASPQQQPEQAAASPAPCATDAGDEPSVLEDST PLPASIEESPVEEHQPAEVEKSPAPEEQPTEVEEVSALVKEEQVAEIEESPVYEHQAA EGDISFTPYEPEGLSTIYEESTIIESPRKSPRKSPVKAVSAVEVQDTSIVIEEHEAIS FIEPGVVLKATEESSIEKNDMPAAPSTPRSTRVAPSSPSTPLQNHDLDAVLQLSIKRS IKRSARKDEVPRFNQLDGSPSTPGAHEDSFASMDDTCELSDLSICSVDISTEHLVTTT TTSPPIASPQQQPTDSPVASPSQPEAEANAKDEEVSLPETAPLPVALSFESDADTVVD PFAQDTVDEKEPVEVEKTSSPTPECDAPLSPQVAEDEAIVPQAKVLAPGTPPQATPIA QSEETGKVSTLEQRTEDNVDASEASGFTPIHGRQSSPTELVQPAVTTLADELEAESDD LDEDEVVEQDVVDEACDELTMAVDDDFTSVPPPQPENDTIQLQARHDDSEAELLRKFV TRVTADKNAKAAAAAAAAASIAQNSRLKRRSGSMSTITSSTGSPMLNAEADTPADRKP LDAPDSPSQAPPPKRRRKRVLGSSENTLDRSTPVAPPPPDDEAPRRSTRARSTRTLRP TAPSANSIALSLIPVRLPGMGAMDDSTMDATLNMARQRNEEKDLATMTRVNTRKNKGQ AVHPAVILARHAEDSSWKVNEAKAEPKEPRTNPDRTVKNVRWAEELASYQGESPVLPA ADANDVKDVPVVKTTTTTNFMMSRAMEDDDDMDELAIPTITEVPLPPTVSKAASVAEN KPEPPKTRTRKVVAATASTSTAAVPKAAAPKAAAPKSVATKVDAPKTAAAKAAAPVAA ASTRRSTRSTRLQTPTPMKKVVSAESTTAPKRAIPSRAKTALPKPAASTAATKATAAA PAKPATASASGIKKAAGRPTRRTDVAKLGMTTVNGTPAPKRRGRPAASSS QC762_510050 MSKNPIRLPPLPRLRVRNPNKREQNPCLTIMSSVLACWASAGHT GRACNTVEDALRACMDAPKPPPKPSNTINYHLQRLSSKLIKQASKNK QC762_510060 MAHAPLLRMNTGPAATMDVVDRLTSMTVPGAPPRVSQLSGSSTF PVTNSSTSLNSLNNATTFAASSTGNVVATNNIINQKADASRSLYQICVSLKQRLAKVP GFEGYLEQLNEMAAESMEGPVESLWELLRSGFPLLAIYNALQPEVPLQVDEPPGANKS KLAKIAILRFVEACKSKLNVPAADSFIITDLTGQDTTGFVKVTSVINYVLDLLEVKGL MLEVQPYPEDDMMQPGSQMSHRDYVVREMVDTERKYVQDLENLQDLKKTLEERGIIPG DVVHNIFLNINAILDCQRKFLIRVETTNSMPAARQEWGSPFVAYEEVFNNCYQPFIAN QKKAGKLASQVFDKIQTAAHAVACDLNTLDGFLLKPMQRLVKYPLLLNELLKKCDDET VRADLSAGIEAASRVLQKANEAVDRAELDEALEELMGRVDDWKNHQVSQFGKLILHGV YTVIPGKSDQEKDYEIYLFENILLCCKELLPGKNKDKKDKTKSTGPKVRNKNNKLQLK GRIFMTNVTDVVALSKTGSYTVQIWWKGDPGVENFIIKFQNEEMMKKWATGLEQQRKE KTGQVQQSPERPATNFTWMASQSSGLENPYAEKDDDDDDDSSTLIAPSGTATPAAYNP PMALPGTMPRNASSTSLRTRSATNDSSQSLAGIARAPPSSFPLLQPPTPLNIQTNQAS PLRGAESYFSPVAESPASSRTSTTSGIFSQTGYPFPKTGTPQPGWAPEDSNRYTAPAA PRAPSRDGSTPNNAYGMVTANGRNPRGPSMPVMPRERDSAHAAQLQQQRSRSYSTPDI NGQAARTGQSVPAVPGIPAHLNQGHPPHPIHVRHDSNIPRSNTGSPANDLPLRTNTSS PGAQRQRQYGGMAQFPTQPVYPRQGTPGSGANIPPPAGPPPPGLAPLAPVDPSRPVAP GLVTAPITSSQSMVPPTPDTAFSSQLRVRVNYDTGNYFTLIVHFDKLNYVNLIDRIDH RLSKFTNSSISKGELRLRYRDEDGDFVTIESDEDIQIAISEWQEGMRGSQGMDEIELF CVGEM QC762_510070 MAGFASQADEGYSEDPLTAISASASFSHKTRDDSVSALSSSQAA SDFPAWMLQHISNLSISRKTELAMALLNDLPTSVISEIVEHLNPRLNIDFIRYLPPEV CLKILSFLDPVSLISVARACRTWYGLALDRKLWEQLYYMEGWSAKPKEIAAWEKSING VRQGVSRRVDSETEGHAHKKRAITVSSNLDADMDSVMLDAGAIKQEPAEIDASESSLF GGPTGSADGGSISRRLDDLEVKSVGSGSGSANKSASLDKGKGRARSPESSSSTRSKGN FLDTVLATPLSRLPRSTLWVLDDHDRRYKLNWKHVYTMRRRLESNWDLGKYTNFQLPH PNYPEEGHGECIYSLQFNPQYLVSGSRDRTIKVWDLETRRCLRTLSQHRGSVLCLQFD SDPEEDIIVSGSSDSDVIIWKFSTGKVIQTLKTAHRESVLNVKFDKRILVTCSKDKLI KVFNRRPLRAGDLGYREVSPVPTTINYGYNIPMAPEDLPQTPAWTLIGVLEGHSAAVN AVQIHDREIVSASGDRHIKVWDWPTQTCSRTIVGHTKGIACVQYDGRRIVSGSSDHEV KVFDRATGLEVASLRAHSALVRTVQAGFGDLPFQAEDDAEAAKKVDEAYFKALEAGLL DGTNRPKAGRRQGNAGSRRPEDICAYGAKLPPGGGGGKYGRIVSGSYDTSIIIWRRDK EGIWKDQQHLKQEEAAAVAVKLGRTTLPPVSNFLDAAAAAIPLHPSVRPGPSQQPGGS SSTLTANNSLPTQTASAPPSTSAGPSVPPPRPDSEQIRALIDEAIQAGAQTFTRAIGN HPIILTQRQYIEGKIDRLQNAVTRSQLRQAFSGALIRAQFEQTRLRREAQRNAEAIAA ATNALAGPSTSSQQHRNNTEPAQASSSSSSSAAPALPAARLLTASQQQAAVAYQAHAE LASGGHGRVHQLQYDARRIICCSQTSVIVGWDFCNGDKELEQAAQFFGPVE QC762_0087250 MNRLFGTPAPKAPKPTLNTAITSLDTRISSIDVKLSALNTELTT YQTKLSKMRDGPGKTALKQKALKVLQRRKQYEAQRDQLQSQVWNMEQAQTMQDNLKNT MVTIDALKQTNKALKKEYGKVDIDKIERLQDEMADLLDVGNEIQESLARSYDIPEDVD EAELDAELEALGQEVELEREMMGGDMNSLPSFMQDEVPEFIDEPPVAGQAGKVKEAAG QC762_0087260 MASNTILVIGATGQQGGAVVKALLELPTQTPPLRILALTRNAQA DRAKKLAESHKGVLELVEGDSSKPKPIFNSLPKGSVNSIFIVTTPAMGGSKLTEEEQA LPLVDAAVEHGVKHIVFSSVERGGDERSWENPTSVPHFLAKHNIEIYLRDKAEKEQGK FTWTILRPVAFLENFKPGMFCAVFTAMWASALSAETKLQLISVRDIGKFGAIALTEPA KWSKKAVGLAGDELTLDEARAKFDSVTGKKLPQAWGIFGKVMLWAIKEVGTMFQFFED EGYHVDIEARRRDVPDLQNFAAWLKAPSNGWTN QC762_510082 MPIARVSLSPSNLACFATESFATETTTLNCIDTVLSLTTSTSTV EATSNITATQEEITTVYAVTNVVTTTATTTATADPTPEGKTRKRQAPFGPFGPFGPGR PFGSGRPFGQFGSFGPFGPFGLRLRTRTSSSTQSRTTRTSSLRMSSSRTSSSTRSSIR QGSSTQRNIATSTTTETTEMVTATTSSAPVCSDYTKYWSACSCIGAVADITETVTAEI AETSMSVISATASNTISSTSVFVVDETVTTTDYPLPVQTINVVVVGGIYSARYLSGSD NSYISSAANLAGATNILYRPGEQPLLAADPRIKLYARQYDTSPHGILYFASDQVAAGR GDAVVTCKVDQDGLVICIAPETGYTKLTTCPSSGIFTLSAPDYRSPGCAEPVQFKVGN RVSKLVTLGDPSQFRGMYLTGSVSASLSFSDSAGGATDFGHTSSGLWDVS QC762_510090 MKVSLGSVLLGALLASTPAEVVAHPGHPSTCVVTTKRKEFRTLS NVQKLNFLASVKCLMNRPPALSATYPASTSRWTDFLLVHQANTPFVHWVGQFLPWHRA FLQDFEDALRNECGLIGGIPYWNSALDFANLTASPVLSGPLSFGGNGVGPVIVPPGGQ SSDGNCVIDGFFGNVSVRVAQGPPPGQVADRCLLRYIRQDFASYWMNPSHVATVLAQP DYATFAPLIEGDMIPPDVFPTMGIHTGGHATMGGDMSDMFTSNSDPIFYPFHANIDRL WAKWQAANPTARQYDISNPIAPRGVIQMWPNPPAGNVTLDYQLSPLKVGGSSTVTTVG QIMNTKGKGVPSAPGKPNGILCYEYVE QC762_510100 MLAETLTTGLLLITSASALPVMSPLEYVKRAVNPGVVISKCSQP NMLALAYDDGPYTYTSQLVDILDRGGAKATFFWCGTLYGCIYRQEAAVKKAFASGHQV ASHSWSHNRMGSMNANQIRTEMTRVEDALVNMVGVKPAYMRPPYLDTGGQFLNTMKTM NYKVITNDIDSGDWNNVSPQQAQQRFQQAGARGNGHIPLMHEVYPGTVNTLTPWLINW ANQNNLKLVTVAECVGDPEGSYQPGNWTAKVGPYNC QC762_510110 MCDYTQREYSCGHFRWIASKWCRDYTLTHKRCQPNVTHFEYRAE ELCGECKPKTYPPWEHMIKRSNKQTLY QC762_0087320 MAECNAKSPCTLIIWLHLPASARDKALKHLVSVRGYAQCPVDSG TMRAAGTSCMAPAFAWQIQTWLEVDSMQPQAGPTIGQHFFHLSAVNDRESMAFAVGMS SRDMMMWTGGRLTPDLEIFVDWLLYGLSS QC762_510116 MLPFLARLVAYEAFRDYKIIYELLTIKPPEGEMWVVQWKEHHLE TPFFRSQPSEDIGTADAFSHRLPSLGLRAGYPTPPRHHDILAEGLHLMNQFESEATPV VYAGHTDPNTLATHYLLRNGADGQAAYHGQERRILVLDLF QC762_510120 MTSRLDSILEKYVAQGNNTANKVLGASLVVANEDEVIYFGSAGR IKMAPDAPAFSTDSFSFVASMTKIITIICVLQIVERGLIGLEDDIRPLVPELASAQIL KGFDEENGGVPILVENTVPITLRHLLTHTIGQGYDVGDPDLLRWSQYIGRTVNATTST REGWDTPLKFEPGTGWYYGTAIDWAGFALEELTGTTLGEYMQEHVLDPLGMDETTFHP LRPEIWEKVKDRYVEVSYRAADGTLTEGPLITPLEPPVESGGSGLFTTAADYIKFLQA LLQDTKGKGNLLKKETVDELFRPQLNDKQKEDEANILIGTGFAPEFDELTASEISWGL GGGINLVDLATGRKNGSMMWSGVVNPHWIMDPTTGITATLFVSVLPFGDPVPGRLFKE LEAVIYGELLSEC QC762_510125 MSTTRSASKKLTESLSNPPKKANQPSKPFPPKTDDGHYIIVNGR KWRATDPLVPDNALAELKHFLAKGRSGARRQNKNDMQKLLLSRKTTGLAKLGLGERGK PEWWNDTDEGRKERWENALIQLRELHAESST QC762_510130 MAAVRRSGSGTSTTSMRPPSLPSIPDLDYLDDISGLQFDGQDGE KEVVFLGAPADYLSLDERIAVAATRARARTVVTVASFPPARYASPPPTSSSRSSSTCF EKEATTTTPTIPYPVQRSKSQSTILQTRSPPFRVNTPDLSSQNRPKSVSCLGDLLYQS KALHASPGPSTSSSTSNSSTLESWTITYHAPIERLTTRYCLLGLPFPLCRRTEIEEGR ILSITPNIHFTQTELLSEIASDKTHFTARTGKPPSMHAGDLDRRLRCLDWKVQDEIYD LLNDRTTSSSNAFKRREWKVVVMVAVEAGEIMTERGADEETRSSKTRGAGGKRRRLLD GAGARGLWLRNVLRKEKKMPKAAVTEYRLILRGRKIKASEQGWGYYNRYTRPSRGALG DNEKEKNKETGVKRRWSTMSSISTMTTRTGKSERYVDF QC762_510134 MRWTNVTKMIAKNFFTKPQTSAKPRESKTPSRS QC762_510230 MRTSPAIWLAACLLQANLVFSDLVGFGLHPFKIYCASACQWALQ AYPLECSEKIPKGFLGWATTSSECKANDTAWLTTLAWCLHVKCRAHRMSELQAFWETD ATRDMTNFGNTGTPVPPKWSYEESLQQITEPPRKMPVDTTTVLNFTALVPEIEYQAQL NTALGVTKEQETGAEYGVIILVTGFGIPIILTWLYHLPFTSGLLSKITPYLTQPSSIG TYSVRSLPFLLGNAPTIGQAAYIAIFFIINIVLMSVNYQSMQPHLYYPGESMEIKAYI FYRTGIAAYALLPLLILFASRNNVLLLWLTNWSHATYLLLHRWVARLFVLHALVHSFL ALPIFLPQQAVVDSDYWAWGVAAAVLCVAILFASVLPLRRWSYEIFLVTHVVLSVILV VGLWYHVVLWIGLDSYGYETWIYAACAVWFFDRAARVGKVLKNGVRRSKVTDLGNGYI RVDIPRASFANDGPGLHVYAYFPTLSLWRPWENHPFSIVPTSLMSRVERQRPEQSSGS SSPTAKESGVHIKVHDVEKHHGFRNTASAPLETGITLLIKKSMGITKHLNATDGLLTL MDGPYHNTSTREIRHCDRLLLIGGGIGITSLLPWIASHPNIKLFWSVKESARCLVEEV QGVLSEIEKDVRVGRRLDISRLLEQEADVGYCNIGVVVSGPGGLCDDVRAAVVAVGKK GSTSFELDVDAYSW QC762_0087390 MEFRRYFEDCIIGNEIPKTHGSKSNSFLRLRRPRCYSAKTRKLA FDEQSYPKMLGATITHECWRWLVWNWAPFYIDQLCVHPPYTVHADPIKKQEENQRVSK LNAIAYVRATHGILANPPGGRGEWTMVELRILGSRALHLPDNFGDPTALLKLGPGYST EPLDCLI QC762_0087400 MGYLLRYILLVTLIASSAVLSLPQSPAPSKASADGQCGGEFTCG NTEEHCGPGCNPAFGLCDIPASSTFRTVTQQPATSCQKTETVFRTSITNVTQTVNKTE IVERTVLLFSTSTVLQTSTILQTNTIAASTMATVTNSDGFRTTSYNSHSHSDTDGNDY RSWKPRYRAGDKDCNYDCDDNEDGGEPGHQDWNDNCYCYQNSDRYQADHGDCDENYYY YRKNHAQENNPDEDKDENKEWRRDN QC762_510220 MDNTWDMASSAPTSVMSERTKSRIKSFLFLIPSTKQIEYVLSFH CQRGSASAVKAISSHVTTPNKPLKHCQLFHPLIHAIKGGTSRHNKCVRELLVGRVNPN HRSKKSGLTPLQIALDRPNFKGYANLIWLLFTSEPRKLDPNGTDLRGEVPLFRFFLGA DEEPLEAHKRGGLIMLLKAGADPNRQQPGTGNSLLHLAVRRKDAVVTAILLHVGVDVN AMNLSGTSPLQITAAQFHQPQGGEEVLDHLLKNGAKVDQPAGALRRTTLHWAVRAGNT TAIRRLLDGGANVTTKDKEQRDAIALAVKHTNKVFVSKTVLGVGDHRLGEAVTEVEAG DHIEIMQGLMGKAPDDYHIKGFLHQGTYAI QC762_510210 MFEKWSSEVRICFASRHYPEISIKTGPQLVLENKREHSEDISHY INAHLKIDTSPQAKEIGAEVLVKWSYIFLWVALVVPILNKGMIVDGWKHSSPD QC762_510200 MKEFDQSRFDTLKRWAQDYGAALHPSLEVYEDDVTGYSLRVKPS ANEALAPGFCAVACHVAITLSYINALIDGPVSRAPQNKEQRPAFPPQFMSSNPPHVIG RFFLVKEYLKEKDSYWWPYISTLPQPDRVETWALPAVWPEDDIECLEETNAHVAVREI QANIKKEYKHARKLLKEVDFPGWQEYTQLLYKWAFCIFTSRSFRPSLILSQQTQDHVL GLTPHGTKVDDFSILQPLLDIGNHDPTSQYQWNLEVDGTCQLICNNAYQPGQQVFNNY GLKSNSELLLGYGFVLPVTDTLHNDYVHVKSRRPPSTLQKNELQDFLISLRPFSDPSS VAGRSRVFSQQDTRLNSLPGLSRIEPGLIRDLASAVATSAGELAALQHWAQGTEESIP TELHELLERIQQTIGAKVQFDYQRFKSIEIESADNQNQELAVRYRNQYEAVLEAAMDE LSRALVPNHLEKLVKGEQ QC762_510190 MSMAIRDPPPCPNNLAKASNSPKMAIPSSTGPPSGAKANGPPPF AQGGPPPGIPLGAGPPPGVIPGPGGPPPGIFGPPIDLSTLPKPPPSNPSLPAILILCT SSTAPGHVLPLTAIATHLVQKGYPVHFIGGVQHAHIIASSGAHFISIHESLSLAKGPY RKWGMERANYPEGLPRMVQDFKTFFIGQIEGQFLSSKAALEDLRDRYGKERKIIVVNE TMWFGFLPFKYGASLGQIKGWDDGEEVPKTVGINVTPVMLDGEGMPPFPLGILPGEGE GVRERDRVLKEWYYKYVVKEAYDGFRENIRRCGGVEVPDEWMVNLSYTAHDVTLQLCD EVLEYPRPDLPPHVMFAGSLPPKKGGKEGYKWPEWWKPEVLERKEGRRIVVVSQGTLA RDYTMLLAPTVKGLAGREDVLVIALLGKQGLETPPEVLPRGVELGNVKVVDFLPYDSV LEHADVMVFNAGYGGFVHCIVNGVPVVAAGLTEDKCEVSARVEWTGAGINLRTGRPTP DAVAAAVDTILGDNKYRLRVRELAAHVAKGNPVEVVEKEIIALS QC762_510180 MVSFKSLATLLAFGVLSATAAPAGDALNGSHLEARQSPRQVFAC KDWYWQGQCITWDMTYAECKNRPAEWNDVISSIKSVHKTGVYCEWWEHANCSGERYDN QEDANLHDGSGFFADRISSIRCY QC762_511660 MERQRPPEPATAVAGQLGSTARLLEDDDDEAPDDGAVLENEGGG LPATTGDIRKSSPLALGGSRSRIPLKQTELLSAPTFTGTRQAIRTAQTPPSTQPPTPK GENTALPGGSKSHSPQAARHRSLSASSLRKPSSMPSMVGMKIRPKPSSGPKQSKSNSR LTSPSSYSLPGPRSSTTPIGPRSSTTQHGRGATPSSKAPVPPRATSSTETRRQSLSGI PVALGSPIALLSSGVLKTEPRPRPASLLAVPSSGPRTWQTDSKVPSPAPTPTLTKAER LPAQLETREVGSASTVGNPTNGTLLPTGSPTPRQPVRASATQSAIPARSSPVQDAVKT QDKQGTSSSRPSTIQATSRQLGTASTETLVNQEGSGRLTKQTTVTAPGGAPGGISERL PTPTTPIRRSSTHEVTLRPERASGHSVSTRILAKSAASHTTATPSGRLSAAQENQDTR IAKDPNRGCSSHYPLLSAKSRSLTRTQGKQLQMQIPSRNHTAHASLPTTPVSATSTAS SKLVSPSTSASRRSSSCASVTQGGSRDSVKLSPTPPSLAAPSRPPATPAAIPTVPTLP PTPASPKCPLKPPGSPALFTEALFQSISSPHCVDVNLKHPAEIQGLSTTPIISPPEPT PAVEKESQSRQGESSAGKTSPSCSEGHQSPSDLRAPPDTPTVRVPANDVGTEAADKPA VPFHPLASGGLFICGNEPRIQKQESPKLPRFVGYAPPVRILRSPDRAPVPLEYPPWDR TVEVTWERTLNNPADKFIFEKELSFQQGVLRLRRERASRDPDGTHTLNHTGLQNGRYS QLKGLARSNRCLYFLLPDRARFKITNMILNDHNTGNLNPKPVRMNPPHCYEPIWPLNP LDGRKLWTTEYLDSFASAISPLYPYMSVCYDMRVDFLAAFFLARRFHVVYSPFVAEKN CPTATLLMDSFVPLMRYITLEVDYTKLGGNVHPTAVGVDQWRGLQRVRQLVLRFADLQ CTRADGVNIGNLCLMVRRYYGFREGMKWKKGSAERRVRHDEPETEEESSGWSDTSHAS DETAENDDEEFVPYHPDAYLCILDPLKTIGHHIDSLTIVGTTRSYANELIYAVWGKDE IPRGPGWKTRIEKHRKYRTAATFPFTPGQRSALTRSGRLQITRHTRDPRCWVGSYGCR LRPEVKLAESKLVPGKTKYGFQWNEEVRPGPPGGVLTIVKLPADEHLTKVVMKPAESP QRFTSTILSKVFNRTPKGIRPPLSAPSSPGLNTTPKSTVAPASGPVSPSITKPIPLSQ ITYLGEESNDEGRHRLRQSSPNSDAQHMEDGDDHRKRHFWKPSKIPLGTLIKRHAKSI TKKISSNKLGEINSEHDSHSGGGSEDQKGTFGKLMKRASSNMLRKGFFGKRGIQTHRY QC762_511650 MSVVGGPVPKNFDAENADNLEDIEKQFAVKAVQHMATYWSILEK VKGSTLRLTKIDDEIYEHLKTDFPEFDPAATIDEDEMKSKTGKERWRKFLMAYDKRVD DYNFGTMLRSNPKAEYTEEDTIFVPRMQFYAIEIARNKLGLNDWIYEKAQQEKAAGSS A QC762_511640 MVSPSIPQSSSLNGYPTPTTERDDIAIKMEETPSEPEKAGPVLT TRSTFATLFSFTRPKHIPLILLSFLTASLVAAGRTAYAIMLGKIFEIVSEWGAGTLTP NEFVSQISQWSIYFVLLGLGVWLFSSIDIALWVVSGELRARTTREIMFGSLLRKTAGW YDLRGEGVWALLVQVQTQTRELQLATSQTLGFLVCDVLVFVACFIVAFSFSWKLTLVL LATGVPSAVILWALGRFLDPAIEGQKRELAGAAKHATAATTSIDIVKVYNAADQEAFQ FISAIRRSAKYYSRQVLCNCGQMSYIKMWMIMLFVVGFYFAVVLVGRGDLTPGNALTT FYAALSAFKSIETLGPEWLILAKGMQAAQLLKNVAGEEANEELDKNNGWKKPSSCTGN IKITNVSFAYPSNPTKTVLEPSTFHFPEGELTFVVGRSGSGKSTLGNLLLRFYEPTDG RITVDGHDITTLDLEWLRRNVTLIQQSSILFNDTFAKNVAFGATEPDKVPPEAIQHAC GMALLQSTITGMPDGINTQLGSGGHNLSGGQKQRLALARAKLRDPPVLILDEITSGLD PVSRTMIMEAIRIWRKDRTTIIITHEVGHIENDDFVYVMADGRVVQQGPSKEIAAEED GLFGSLLASADDACSSRGSASIDSDVDSVYELSDDEPVQEASYGKFLRGALIDNPRMH SLGFSPRMSWKSDPVQPPPPPPQQRRRSTAARPTSRVSLRPQSMHIVTTMGREVQSSR IPNARQEEKPSVAESSASLDSLDKFFLEHLASKKDRKKGRTIKGHRLPSIGAILKTVW PTLDKRGRLQLIAGLLLCLVIAAGNPVFSFIFANLIGAFWLPEGPESAGSTWAGYLAI VAVVDASATFLGYFFLEKVAQKWVNALRAEAIRRILSQPKSWFDKANHSPNRITQCLD RNAEEMRKLVGMFLPIVLTVSLMILTSLVWALIIKWDLTLVALAGLPVAIAAARGNAA MSDKWESIANEAAAATSAIFNETFANIKVVRALTLERYFSDKHTKSAHSTYLLGYKRA GFVGIFYGFYQSIAFFLTALVFYYGAKILSTNSTTVTDVIKVINLILFSLGTSVMALS NVPQIAASKVTATQILYYANLPHNSSHEAKGDRRVDSPLPVKMTNLRFAYPGSPKTEV LRSVNLEIQPGTCTAIVGSSGCGKSTIAALLLGLYEPLNDTIPSYHRPPPDNVSPTPS SSKRFSNIGPKLTLSPPTLMWETTTTPSVSPRNSIPLFPASSLDQNPSSPPPLTFAGW AASTLDTAALREFISYVPQTPILFPYTIRENITYGLPDSSPLLTDGNNIETAAREAGI HDFIISLPLGYDTLVGEGGQTVSGGQAQRLCIARALARRPKLLVLDEPTSALDAEGAE GVRGVVQDLVEGGDREMAVVVVTHSKEMMRVAGEVVMVEGGVVVERGGYEELIRRGGK FASLVTGGVWEARRGKGRREEEGGGDDGGLRTRREEALRRLEGFSFQ QC762_511630 MMVPAILAAFLAAALLPGPASAHGGLSNYTVGETWYRGYDPSTP PEEQLNKPWLTQRQWTTIDPLFSPTSPFLACNNPGTAPPSSIPISAGEVITAVYYYWL HPVGPMTAWLTRCPDDNCNAVNLTEAKWFKIWEAGLLDGTLELGTWYQKSFQRWDGEP GLWPVRLPEGLGSGRYIIRHEILSLHVGFRPQFYPECAHLEVTGGKEGKGVEGEVPSE YLKVFPGAYDQDDESIFVDIYSEENVNTTTYVIPGGPIWEGLGLDLEV QC762_511620 MVHLASALLVASAAFAVAAPANEIFERQTCSVQANYPTQNNAKL PDPFTSASGQKITTKADFECRQEEISKIMQQYEFGVYPPPPDSVTGTMSGNNIQVRVT VGSKSITFSAGIRKPSGSGPFPAIIGVGGASIPIPSNVATITFGNDAFGAQSGMGSRG RGQFYDLFGSSHSAGSLTAWAWGIDRLIDALEKTPAAGIDTTRLGVTGCSRNGKGAFV AGAFVKRIALTIPQESGAGGAACWRISDQQKSSGANIQTASQIIGEQPWFSKNFDAHV RSITNIPQDHHFLAAMIVPRGLAVFENNIDWLGPVSTTGCMRAGRQIYKAYGVPNNMG FSLIGGHNHCQFPSGQNSELNQYINYFLLKSGTAPGSVERSTSNANTDAWYPWAASAP TLS QC762_511610 MASPGPSTTTAVDTHAKELNITTLYLTFETALPSPLFNQNTHPA TPTSRVPQPPDLTPYADPRTWAPHRKNVLLFLSCVATFLTAYTSGSYSPPAELIQASL SPVPSSVEPVLAGITTFCVGFGFAPMMLAPLSEMNGRYPVFVCAGIVYVVFQGVCGVV TSLAGMLVARFLVGVGASVFSTMVGGVIADMYANEERNTPMALFSGSVLAGTGLGPMV CAVMAERWGDVERYPNMWKWTWWHQVIMSGVLMVAFVAFFKESRGSVLLSRKAKALNR WYEELENEGYYGLCFEDEQGQEKASENMTSSEDSTDDRYDEKRSFSASASRHERGPSI KRVRWVVKEDEERASIGKMIAISSSRPFYLLFTEPVIFFFSAWVAFAWGVLYLTFGSI PYVFQHVYGWSLEQSGHAFTAIILGAVVGTTMSIYQQRLLSHPKWVDPREVLTSTSTT TSSLSDETPAPANGTDKIWSILRTYFPSSSPESRLYFSCLTSTLLPIGLYLFGFTAKP EIHWIFPAIGIFLCTVGILSIYLAVFNYFADVYHKYASSALAAQSLCRNLVGGAFPLV TRLMYRNLGEAKAGAVLGSIAVVLSVVPWVLVWKGEEIRGRSVFAFVA QC762_511600 MADKYVLRVTAGPSYDLSTHQLVNVNSPTPTIISSDLISASLNV RIQNHHRSVPPTAPRTSPYFEQEPHKSNNDHLSFTLRRGTSHTGTRSGKRRMDDEGEE IEADDDIGVKGGDLQFGNDFDHPIRDRLPPGFGAAMRIVKWWVDPGLEGDPYADTPYL YGAGLSSFNAVHVGPGVEDDPKKGGIWVEEGGDEKWRIERGVPREGRERMKWGLKGEN LERWVWEYGREYAVDFYNPYIDFGEFALRLPGFGLGIMRYWDGQGLRYVLRNKKTKQV YLVVLFTLHLKGDVNEDGSLKPAAIETLKKRSGALEGEQGAPLADDVEIREDGEDSKN GKVNEDKMVEEARKKLEGAKVEADEDVD QC762_511590 MPGLVTASGVLAFLTDEEPELKVFALKTLNDDIDTVWTEVASSL SQIEALYEDESFPERRLAALVLAKVYFHLQAYNESMTFALAAGPLFNFDAPGEFEETI LSKCVDQYIAVSSARHVPSKQSKANISLETTFGTGSIDGSALISPTTPFSQSTLPPKS FLSRASTDNTILDPTFQPTKEGRSNSVVQITDSSTQKALQNVIERLFESCLKQGKYRQ VVGIAVEAKNLEVLRRVIKRASEEGKQSKDSTQSPAEELMDYLLKISMDIVQERGLRT EILRLILDLLSEIPNPDYFAIAKCVVHLDSDEEADKMIRQLVTKGDPNSIAIAYQIAF DLYDNATQEFLGKVLKSLPSGRAPEPPATATEDGPAEEEALLESQEGSEEEQLPEETA KVYRNIRSILDGSKAIKLNLEFLYRNNHTDITILNKVRDSLEGRNSIFHTAVTFCNAF MNAGTTNDKFFRDNLDWLGKAINWSKFTATAALGVIHRGNITQSRKLLEPYLPKQTGV SSGGSIFSQGGALYAYGLIHANHGADALEYLRGQFNGAEEEVIQHGGALGLGIAGMAT GDEQIFEDLTKVLFADSALNGEAVGLAMGLIMLGTGNAKAVETMFTYAHETTHEKIVR GLALGMALIMFGQQENADVMIEGLLADPDPTLRYGGVLTVAMAYCGTGSNKAIRKLLH LAVSDVNDDVRRIAVMSLGFILFRKPGSVPRMVELLAESYNPHVRYGSAMALGISCAG TGLDEAIDLLEPMMKDPTDFVRQGALIALSMIMIQQNEVMNPKVAAIRKTLKKVVGDR HEDAMTKFGAAVAMGILDAGGRNCSVGLQTQTGNLNMPGIVGMAVFTQYWYWFPFTHF LSLSFVPTSIIGLDHDLEIPSFKFHCNTRPSLFDYPPEQEVKTEEGPALIATAILSTT AQAKRRAQKKERAQRRESMDIDTPTLAKTPAPAAGDKMDVDEDKKAKTEEPKDKKEET GAEREGSVDSKKKAEKEKVGYEVENMSRVLPGQFKYISFPTGRYKPVKKPTGGPLLLH DTQPDEPKTLIEEKLKKVTTERAPVVGAQAGRGGAQTSATQLLQSMEAARSRAGLGSE LNEILRLSAQAAREIPQGRSSSTADGDGSGAAAAAGVLTAVDEDNEGDEEAPKPADFE YFTDAEDEE QC762_511580 MDLRVMLNDNGPAASTPSKPPQPPTLQPAPQHHQQQHHHQQQMH PQPTLPSTPIQTNPHQSFRDYGQQPQPSPSRHVSHDYGARQRAPSGAFTSPPPYPSAG PYGAGRPPPPSIQPMPMPPAELRSPSMSSGPVPSPYRQTPGSSSISTASGYPFPPQQA PTSPVQRHQYTPTSAYPREGYGQPTGVAGMTGPPSSYMQGSHVPQTPPVGTPGGPHAY VQRSHSAHSTPTPTSAHSQPAQYGAPFVQGSPVAAPHPLPQPDLQRQSSLPPTPGGGA GAVPLSARPAQVSTGYGQPTSPYGQRLPAPSFHGHSTPHTSPPPPPPPSLPRNSSVQS SGQHDPHSRDSVGRGPPSHGDRDRSLSVSPKTRVPSLPSSSGRPRSSVSDFDSRIINP PIHPPPSTTTMAPIAEQDAARDRASTPAKRKLAERELRPDELENRDTRPPPLRDSSGR PALVDAGAVPLNARRAMVAPEKKRRKVYTQPPVWAQSQDGRPLHKANSILFHPVPFSG SVSHQTNGTKTEPQPSRQTSPEEKRSIAAPRDHQSAPLPPPGPPQPDAAAIASGLGPW EPSIVNTALPYDSCTKHIADWLTHFVLGSPDIQEMEARGVKFEIEAKLGTIIDKDTSE RLRLPIYTECVLEEGEWVKFQSSMSESEHRSFNDFLNEMVKETHVNRTRVPIEYLHRR EIDRFVELPPEIRDRHLPKCVTRLAGRKPPRVRITYEKKTNQVLARIVKARVADTNIH FPRSPLDCRISINLEWTWDGPPQVLDQLVKEQGGGSYQRDKDRLCYKHRFYQVDLTQV ISQNKEHELEVELDPAALFDQGRRAMDQQPNQYMELVGGLVNNIRVLAHKAAEFRS QC762_511570 MDSVKTVAVLGGTGNLGPSIVHELLSAGFTVTGLTRFSSTNSTP AYPDSVTVHKVDFGSFDSLKDAFSGQDAVVSVVGSPGVSAQRLAVDAAIAAGVKRFIP SEFGVNTRKVRDRPMGAILRGKVEVVDYLIEREREIEWTGVSTGLFFDWGLEKHGLST INLDDKTSSTVDSGNEKFQVSTLAQVGRAVVGVLKHLEETRNRYLVTSSFQVSQNEII QAVEELTGEEYPVVKRERAEDLQRAGEEKLAVGDYRAFIDFLRAYNNADGAGNAVGEE ESSNGLVGLEEEDLRECVRGWLVRAGVIS QC762_511560 MGGADAGAGAFYDAALHKRETLMGKSGPSALIKNFRVFRIALFA CIGGVLYGYNQGMFSGILAMPAFERHMGEYVTDSTKKGWLTAILELGAWIGTLLSGFI AEVLSRKYSVLVASAVFMLGVVIQATAITGVGHDAILAGRFITGMGVGSLAMIIPIYN SEVAPPEVRGALVATQQLAICFGIMISFWIDYGTNFIGGTSAETQSDAAWLTPICLQL APAVILFVGMIFMPFSPRWLVHHGREEEARQVLSSLRGLSPDHELVELEFLEIKAQSL FEKRSVAELFPNLREQTAWNIFKLQFVSIKKLFQTRAMFKRVVVATVTMFFQQWSGIN AVLYYAPSIFKQLGLDDTSTSLLATGVVGIVMFIATIPAVLWIDRVGRKPVLTVGAIG MATCHIIIAVIVAKNIDQWESHKAAGWAAVCMVWLFVIHFGYSWGPCAWIIVAEIWPL STRPYGVALGASSNWMNNFIVGQVTPDMLEGITYGTYILFGILTYMGAAFVWFLVPET KRLTLEEMDIIFGSEGTAAADFERMAEINNEIGLSRILRAESSNTTGTPYETLPEKGN VSDHSERIQAV QC762_0087570 MTGRFSSQMPRAGIGNPGYRGDQESVLSKVQSRLHCLSNPGCRQ ASAERLDILLAAPGAPWRDNIPRPQHWLGQETGQVR QC762_0087580 MGRGITHASGEYFPTQPSGASITGGGKTPCARQLTERPKSRPGL TERPALNVFVSKLFDLGETNVDLGCVSGHLCQSPA QC762_511550 MPNLTRAPSSSGSNANSNNTYYHYPDVTSAPDTMSYYQPTRQLS GFYSDLTTTAGGNPMMAASYDPYAVNPVGHAVPSLQISTHHQPPHMGGPVGGTLPTQH RASSGAWNQEDDRTLLALRAMGKNWNQIQREAFPGKTGNACRKRHERLMERRGQNDFD NRKLERLCKEYMSMRKEIWQPLAARCGEKWNVVEMQCMSNGLKNIQSHARAYARRERL ETGQPLPSSYDDDIGLGALTPIDDVAEQSYSSPETTGSTGAHSTPGAASSNGSSGHGM GHHYGGMPQYHQSYGHHTGYGHGYSNSVSSTGTAYGGQQQTQQQQQQSQNGGQSQGAS PYMGHGGRLPSVGDMGIDAMLNRGQQQ QC762_511540 MELSKRSASKEMTSIVCSRLRSGVFDNSACRQCTKRALLKGGAE RPVPNYPARFFTRSTQPRNTTPLRTGYFVSNGLLARFNGTNVAPTASTPDVTDTSTLL PHRRRQAERRNAAVLSGAGSGGSSATSDAPTATMAPDASSLLAAAAAQHPADSLRRKL SSLLSLSKPRLTVLVVLSAMVPYALYPVPAFLSTAATATPSLSPLTLLFLTTGTTLCS AAANALNMLYEPDTDAKMSRTRARPLVRRLLTTKAAILFAVGCGAAGTLALYWGVNPT VAFLGASNIAIYAGMYTPLKRLSVLNTWVGAIVGGIPPLMGWAAAAGESATADGTWRE LLFASDGSSLGGWLFASLLFAWQFPHFMPLSWGIREEYKAAGLRMLAWTNPARNSRVA LRYSLVFIPICLGLCAAGVTEWSFAVTSAPVNLWLVAEAVKFWKHEGHKGSAKGLFWA SVWHLPVVMVLALAQKKGMWGRVWRSVMGEPEEDELEEFYDEED QC762_511535 MTSLLDELRGLSSVACDTLDAEVASRFGPFVDCTSNQAIAYNEL SKLDSDGKLVYQQLIHESIEVAHWMFAKQSDATLEELAVELMMVNLALLIAPHITGRL HIQTNPKLAYSTAKTIKNAERVHSHFTHLSPSLSPSRICIKIPSTHEGLLACRHLEAK GITTLATTLFSLEQAILASASSCRYVAPYVNELKVHFEQGYVDPDRDNSLFLCGVMQE YFRRRGVGRTEVMAASFVTVEEVMQLAGVRNLTVSPGLLEVLAGTKVEGWTGATVGMV GRWVGGEGGWDEGRVREVERVVREGDEGGWRMAFMRAEGGRAEGKLVQALNLFVGVQE GLEEVVRRGVKQGL QC762_511530 MDPNASSIMVAVRVRPFTIREAAQLVRNDDSTVFLGDGSLAAAP TPKLHQRGLRSVIKVVDDRCLVFDPPEDNPVQKFSRSVVPRGKKVKDQVFAFDRVFDE NATQADLYEGTTRGLLDSVLDGYNATVFAYGATGCGKTHTITGTPQSPGIIFLTMQEL FEKIQDRSDEKHTEITLSYLEIYNETIRDLLVPGGSKQGLMLREDSNQAVSVPGLTSH RPNNVQEVMDMIVQGNEYRTVSPTAANAVSSRSHAVLQINIAQKDRNADINEPHTMAT LSIIDLAGSERASATKNRGERLIEGANINKSLLALGSCINALCDPRKKNHVPYRNSKL TRLLKFSLGGNCKTVMIVCVSPSSEHFDETQNTLRYANRAKNIQTKVTRNVFNVNRHV KDFLVKIDEQMAMINELKAQQKDAEKIFFTKFQKQMDKRDGIVKEGIARLRAAYENAA QERQEKVNMMKKLKIFERRCGLLSAWIAAFDAVCDSRGDEDAMPSNLSAMRKTAHGVL VELESSRHHIRQKMERSNWERALDSALHHSLGQLPKGDGIADTSERDTLTREAESLKT AFVRDAYWEVLEQDKAGDAAVLQVLMTAQFEILSSLSETLNMSEEEAITHAKGIINRL LETGYSAASHVVKPDGSMMPVELFPPTKRGTPKRKKSLSVHNLKPIPAPLGLAALSTA QQQVLVSPMRASSPRRRKVGTARKGVSFTPVKKKNSVRWRDDETEDGTLADFEKTPQK FSSPAEASLIDTTEKSLPAPPPAPSYLNHPDSPPPKEAPAPVPAPTACESPNDDDSIM SLPDVSTLHVSKPSTNRFQAGFLSKSRTSQIGTSSPPPPTFTLNLTGSSDVEDKPSPL RSIPVSRAGNSLSPPQYRSVSPKLSNNSILSTLKENESPQPPQPQRLSPSGISRLPRA SLGSGSDSESSTLDPLKIRSALHSHKRKERLSLMSGTASSNAKRVSTVGSVSGHRASL SCSGPATSAAASNGISRHRRGSAERRRSPPISCSPPGKGGELSFLSLNSAVASVGTNG RLTQGQARRMNMGGGGSLRNKDGPGHSPGSSRARQPGPPFPEIGGLPGIGDGKARRVT IQAFSGQAQDGGTRTGGGSLRSRPSMVWR QC762_511520 MFATGVKGALWLAFSSVVAGLGQERIISPNATGSVLQIAGGSVS TGQILVAPDEYWGVVRAAHDLARDFGRVTGINYTVSNGEAGAAPITYTYNPINNKNNT FFSTLGTANFTGPDFSDPSPADTVIIAGTIGHSAIIDALITSGAVDVSETEGKWEAFT SQVVENPIPGTAKALVIAGADPRGTIYGIYDVSEQIGVSPWYFWADTPPKKSKNLYVI KNKKVQGSPTVKYRGFFLNDEQPALTNWVASHWEDTPYGPGYGPAFYGLIFEVLLRNR ANYLWPALWATMFMVDDPGNQPLADAFEIVLGTSHTEPLMRAQNEFGKFYPLPWAYNE NNETIDEYFRYGVQRAKPYARNSLWTVGMRGTGDTHIEGLGVEHIVEMLTVLVDNQRK IMAEGLEVEDVTTVPQAWCLYKEVMTYLFAGLEVPEDITLLWADDNWGNVRRLPLLNE TERSGGAGVYYHFDYVGDTRSYKWINTNQLSKTTEQMHLSAARGADRIWIVNVGDMKA LEVPISHYFDLAYDYKRWHKDSTEEWARLFATREYGPKHAVHIGDILERYGMLAGRRK FELIEPHVYSQINYGEADAVLYQWAELHKEAQAIYDDLPVEQQPTFFQTILHPIMAGE IVNKIQIGGARNMFYSGQKRNAANKVISEVLSWSSEDANLTRRWDALLDGKWKHFMDQ THLGFDGYWQQPMRNTLPAMVHVQTDFASLAGHVGIGVEGSNATVQGDDKYHPNSANQ LSVPVMEPYGPITRYFDVFSRGTQDCAWTASPWQPWVKLSQYNGTVGPDTADTRVYIT IDWTHPQINSSTVPINVTTPCRGMDRYGFGLPRVNVPVKLRSLPSNFTEGFIESDGHV SISGSHFKAIIPPKEESEWNRNNVTYEVFPHFGRTGSGVGMVPLNTEKLTVETAPALE YDVYFFSNHSAANVTLYISPALNYLGDYNPLEYAVALYPKGGDEKVVNVRPVGPTVGT GMPAGWQNAVADQVWGARGRYTTSIFEVPREGAYTLRIWALMPGVVVQKVVIDVGGVR ESYFGPPESFLVGRDEVGGYNGTTILNEVDTIGGWKGDSKGGHGHLGGVGWWING QC762_511510 MGKKKRGHPDIEELLARPWCYYCERDFEDLKLLISHQKAKHFKC ERCGKRLNTAGGLSVHMNQVHKENLTSVENALANRQGLDIEIFGMEGVPEDIIQQHNQ RIIQNFYTAQAERQAATGNPPRGLSGGQGPTKKIKIETPEEIKKRLAEHRAKVAAQKE AIANGTPLPVVAPANGPSPSQVASPFPPPQPGFPYPAGAPAYPPVAYPPGAAPGAFGS LPARPPSGGPIPSALPQRPSYPYPGGVAPPGFPGASPVDESAANAGRAPGGDDIDQLI RMAEAGIKPAKNGDEQPAPTEKKKKGMRMVYDDTEVSPEEKMALLPRYRWVEEAAA QC762_511505 MPVATENGEKNSGGGGGKKETPMFKCVCYRCSCEEESKDEGNTC IKCLILCYWGGHPHRKLKRSTSCPAAAAGKR QC762_511503 MATQMQSPLNQRVVAHSLPATQEAATRALSHMMGSADNWAMFKG HHTLNVRNLLHLQNQLDELARKHEQDDPNFDMNELDEVLYKYNRALVAYAKVSELLEP EEKNVASILRYSRARLSNHPVVWAFLSEAYQDLMHGGFSGMVALYVNPNGGALFSFAN RIVGKFARLLSPRTDGVHIWSEATTNRITRAVLGMLSAGLLLVPIVIMSFVTDGYKPL IIIIVWTIAFSAITSLLTDAKYSEVLVAAATYAAVMVVFVSGDGVQKQESA QC762_511480 MHSILVLATLPLAALAALNGRCTGSAATGKWGESGICVRTSTCN SYGGSYKTGACPNDPSDVKCCLVGITPNAATQPCGADSWCTWTSNGCLGRWISERCPG GSNYKCCNI QC762_511470 MPFKVPVWLDWYKKPEYRDIKEYSAHVNAGERPQSPTPAAIPSR LRLDRILANKTCSPMSLYDFYMYLKYIEFSAENLEFYIWYKNYEASYEKGLTPVHTKE YGAIPSAAESTSSIVKESQAASSCSTKDPENGDDEDADPEKTLHRISQLIVASAMCNS RSCSPAALQLTPDQSNGKSIQITSCTSDSAPATMKLMNSDGQVSRHELDTIIHLFLMP SGEKELNIPAALRAQTLADLQKSTHPSALKPVADHVYGLMHNCSHRNFVRLGVGNGTF ETVCVATMLGIANLIAGYVVVMCRAFVPYRGSHTRWEAWAAWPNWWLGVSLILAGLRG SCFFLLLFSKRQRLPWERFDDNTDSVLRNEGGFLKSVSRLMIFDRRLRVKEKYLRRLQ RKIVLQSLVGGAIFATIWVAVFIFLPVWKETV QC762_0087700 MSTESKEVDPTKEQEKAAEALVNLSSAKGTTAESEGESGSEAEE TQQAGANTEAPAKKKKKSKKKKAKQALAQALGGGPPKELTAADVEADPKKAIAGLSND QIAEFLTLNPALRNELLGAQDAPGSSDNTAKAIEAFKKLKLQDIMTGLATSGKNRKDM ASYKFWSTQPVPQFDEEPKLIEEGPVRVQKVEDIPTEPIELALPQFRWVTMDLTDERQ LEEVEKLLYGHYVEDDEAMFRFKYSGSLLRWSLLSPGWRKEWHVGIRTGDTLCAFISA IPTQMRVRDKILQSSEVNFLCIHKKLRGKRLAPVLIKEITRRINLDGIWQAIYTGGIV LPRPVSTCRYYHRALNWMKLYEVGFSPCPTNSTPKLQDIRFRVPENTSTRGLREMEAR DLNAVQVLVEAYLKRFDLTPVWSKEEVSHWLLHNKEAPGERVIWSYVVEGDDGKITDF FSFYCLESSVIRSKKHSAIRAAYLFYYASDVALKSPDDRPALKARLNALMADMLILAK KAKFDVFNALSLMDNSLFLEQQKFHPGDGQLHYYLFNYKANPVHGGVNKRNLLEENTT LDPQLGIPTVAETLAHPAFPTAIWNLEPDRKGLCPVAEGRGGPFGINWEVHGDGPIKL VFIMGLGGLLTGWQRQTYHFGHLNRERYSVLVFDNRGVGGSGKPLMRYSSREMARDVY DLVTSEEVGFLKTGEEKRCLHVVGISLGGMIAQEFACLYPGTISSLGLCCTATEIKNY ELTWLENIKSRLGMLMPKSPDESVAGVARQIFAHGWLPLPDDAEVPVAGKDPKVLPPA GTDLKEYGRFESNAQRFVAQEMHKRMDKERFGLKGFLLQLIAAGWHYKSEKQLQEMAD KVGRERILVMHGTEDGMISSPHGEVLMEWLKPGKGLVVEGMGHAPSMERTKWFNELIG EWCEMGERLDGRA QC762_511440 MGKPTPPAYTPGAGSSADADPDALSLHTPTGGVSDPAFPLDLDA PDLGTDDLPPLYSDIDNDAGSGAPLLPPGTQFGQSADLAPKQVDQNTGVEVFVTSVFE ADPKLLEKQVNISAAKPPRPFVRIHGTHRQMVEENGKKTEKAVTDFEVSVELTPYLFS DVATQLSWRETRTVENNEKTCRGTVFRKRAPGYKQDIEIGTGPKPTLAEWCHRYCASH ATVKCFVLRRRVVGFDEEKLRSQLDALVRSTNYRGSVCITFPVKDEYVFIYNDCWINR WRHTSWIRWIFYLTFLWIFSWPFLYFFTKTFEVVTADWDFSRPQENGRLAYVSMSEDH IYNTWARAISRAVLGKRQTCLDHNDLVASHTDGPDVVADVMDAVNAPSFVRRGVTAIA HVNRQLGWGSDWS QC762_511430 MSSPKTYLITGTTSGIGLALVQHLLSQSQNVIATGRNIVSRFPS DLVTSHPDSLKLLELDIASPLPHLQSIAAQAWDLFPGGIDVLFNNAGMSAIKPFEEAS EEYISQIYTVNLFGPMRLTQAFLPLFRNNRKGVTIAFTSSSSTYTPLPFMSHYSASKS ALSTTITSLAKELLPFHISVLAFECGGTVTNLGQPRQSSPSPPPPSSLLSPPPPQETP YAQGITSLITMFTRDPPAYMPGSPSKMAKIMVSVINKIQKGEKVPVRLVLGSDAWESV NQNLDETQQVLQEWKHVSWGTDRDGVKGGAEGDYLRAVSIL QC762_511420 MEKKRKLPARAAARVEQAAKRRHTDSTPKSVSKSATPTPAPAPQ PEPAPVEEVPTPLPKSISAGKPLPIVDSPQPNDLSNKDYQSVTESGVLSESLARSRQQ WMSEAIFPKYWSKPVKKKGQIIEEPNNPPKDSMVKLGNVTIIVEPHSFEATMYAVKDP KPPPPPPITQRPVLQYGPPNGVMPPPATPKVTQSAEPPSPAPAPATQPPSQAQTQTKA PSQARPQTQTPTQTPTLPATQPAGANLQGPGQPPTPNQPPAPEVSRMTPTIPAALPRP VASPRGMESVLSPGTVTPQPPARPHITAPFGTQPPRLPPSPNPTMVAGAGAAAFPAGQ SPALAKPPMNGAPAAPGTPAQGKPAPGTDPIILMLASRAGTDAELRDLMKRVANGEAA KQELARFQAIIDAITAENKRSGSTAGPSAERLLVDGRTVKYFADEVKAILDIVLTSNA KQTSADLRAPNGCDPLIVALVKKALDDIKTREMVRRVSENKTQFSDATDLKLIIDQLR TALKEKEAHPPKTPASATTPTVAKHTNGVNGSGNNSASSTPVPQTAPQPQQALRSKGP PPPPKPDYSAVLFEFAGGNGDRYMFPKFSILEQMPMSPGAGQQVVASFLVVRRGSKSE YPQADPDQDYYEPLTVRLFAPSGRHLENLFRVVAPQEEVRRYMEGIMETMTRSEYVLL AMRLPRRDGTETEDTEMGGITNGAAKNKEAEMEEREKKPAHQTPSAPQVLWATNPPKI EMTELSSRSRGSGKHPKVEMQELASRSRGLVYNGVEAADEDAQYQSFIATVAGKASRE QC762_511410 MSLKRLTQAALSRSSASRMLDPAAISGLFVGLVLGVPVAVYALF LGLSSISFFQRQFLYAHKIHSLWWPGARKRTNQPQRYGFAKNQATPFLLGTPDNESLY AWHVLPLTLYSKHSPTLISRPDEGTCHKDFTKTLQYDLLLNDPDAKVVVSFHGNAGHL LQSHRPRVYHTLSLNHHLFTLSYRGFGLSTGSPTEDGLKTDAISLLNFLLNECRVPPQ RIALLGQSLGTAVVAAVTHHFAVNHQIDFAGSVIVAGFSSLPSMLSGYRIGGFIPVLR PLSWWPWLLRVVMGRVVDKWESAARWQETTREVKGRGGRLRLEMVHAKNDWDIPADED DKVFRAAVGGLVGDKLTEGDIDRLKGERVVRDENQGFVAEWMEEGVVVRQELVPFGGH NDVTFYAPTLLAIMRSFERVEE QC762_511400 MTDSLADKLQATSLNDDEWKKNLNIPTKDNRQQTEDVTNTKGME FEDFGLKRSLLMGIFEAGFEKPSPIQEESIPVALTGRDILARAKNGTGKTAAFVIPAL QKINPKINKIQCLILVPTRELAMQTSQVCKTLGKHLGVNVMVTTGGTGLRDDIVRLQD PVHIVVGTPGRILDLAGKQVADLSECPMFIMDEADKLLSAEFTPVIEQLLQFHPKDRQ VMLFSATFPISVKDFSDKNMKEPYEINLMDELTLRGITQYYAYVEEKQKVHCLNTLFS KLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHAKMQQHARNRVFHDFRNGVCRNL VCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRYGHLGLAINLINWDDRFNL YNIERDLGTEIQPIPATIDKSLYVYENPESIPRPISNFRSAGNQQQGQQQPMNPPTHQ AQPAGPRPGGASGNWQGRSPQQIEGNQAANSFQQFPDQGGRATNTGFAPRGGYQSRGN PGGHRGNGRGRGGFQQTQPSRYPTRGGRGGQGQTPIQQPPSGPN QC762_0087760 MFNMWVGVISPANSVEVVESTLLRIAEDVIGRNDEAISLELHHM GDAIVGRVMVEIGMIEFDQLVEPCFGVYIVFDHSPGSTPTSRATHEPL QC762_0087770 MMLLKRHTVTPFPLTTFVLPSASITSSCQLPRCHLQKRSYASVQ DKPPKWPTSASPTPYEVFGLTKDDVYTKARFNQLVKLYHPDLHHHSAHDGIPHVMKLE RYRLVIAANDILSNPQKRRLYDLHRIGWGNHTDPHVEHRAAGRSWRKEPGNASQNATW EDWEQWYQERDGKKQEPVFMSNFGFATILACCAVVGVWTQVAYAEKKSASILNLQAQQ QAVITRDMMSRERAKAAMSREGRVETFLLSRELGKSEYDLPGHGASDGGGGEAEAP QC762_511380 MPPKKKALIKKATPKGRLKASSTESTKGKATATTSTKKTHAASK ASKDNDDGLVKFPHFKRFPLEIQQEIFTQALRKPSIHFMNVEKAVIPGYTNDKGNWVD PTWHLAYYPKPKSTDGSGYRINKDMGSVSRAAQQAVVLATKNPGNLPFSRAWGPMDTN HDLVVLDFLAGATSNPKSDFRYFHVNNQFFVPYFDPELSFPAGRSRLRDEGEKNKKSV FRTETPGGMADLKKVGVVYKQSSERTCAKQNTVFQCCIHVDGSIVPHGDWTMCPDEVA GFIDSMPGLEVLYFVVQVPKGSRENRERLEIYRRWFSTTYHEQRRIKGQPEDWLTLFH DADKTYIDVDRFLMLPSDEARRMDLDVVREVRELIKEVHRQLTQDKDATPMDLRRIFR TPLGKRQAMAYKILLPVSGI QC762_511370 MADSDVPIALRRTPRRTAASVRFQASSKTSTKGQSSSRTAPPKT SDSAVATTPRRKTTRKHVRFSDPGPVIGNGATQVEDGVSTSWTGLTPMISRTRLATGT PKRRHSSAPVYSTANTSYESEEITFLPLRQVLDGRVKRRIRRNGLSEEMNTIFAERKT KAKQTKEEMNRLRQELEEKDEAIMRLQEETVMVDTDRVWELERKVSRLRRQLSQMSGA TSSPSRPSTAASSSPPQQDWTDAARDPFSKDAYSMDLGMDDDLPDNDTDEEIFGDSTM AELACSTPTRKPNATVHHTSASFRSSFPTPPSTSPARQFNTTDDPLTPCSSKPRTTTT TTTATSIAIQTSLPDPAIPHLQTKLSTLQRDLDIFKNQLTSHLSLPTGTAPSDILSSL SQTLTSLSDKTHALTTLNKSLSSLGFAPSSTPNPDALDIITGISSTLRSCRLELEYLS PGELTLPLSGSGGQVLKMMVQKLQELDKRSKDAGEVIEELRERERGLKGELSARVDFT DHLQERLAKEQNDKKELEAKVEELREAVDKYTNGISKLETLISDLEERLEQESIEQQL HITALERGYKDQLAEVKTRYEEELNGREEAILGWRAEIERVRGELRETGELVGRLKDE VARVGGENDALKVENAVIAQKYEAEKKRGKRIVGELGRVLALARGEESEDEEVVPSAG MEKGTKKRRYDGRMGFTNEAEGEEVEAVGAV QC762_511368 MTSNTTTFPQFQQFPAEIRCQIWRYCFRQRTISIGYRRDHWWTY VNLWPLRDITQPITTVCYDALHEAVFHRKPVEPALSHAFNPIQWLDHSTDTLYIQPPD SYEDNALALDAKALLEWLFSSGITISFHHRLLSDWKIWEDCPDDRTPHELWRQLKEAA QTRCLQVVVMESDLHLTRDETLSTGLWGPFAEPGDIAYSRPDKFLGTSQLQRGHAIWR ALSLSFANRSDDGMEVGCLVVDSVNEWRERVSSMAQTSSTWFDQETRRALASQAGNGS VGVVHLPPATPPPVMFQQRRENWIRLCDDVNPEALVPVVKLQWRLHGQTRV QC762_511365 MRSTTTTPSIGDDWSDIDADSDDSYSMVEAPSDLGFNSGAGTGL APATTTVPTPTNLPAQGPFNGSHITAGPGTGSDTEEISTVPDAEEADDARFLSETVTG DTIEASSEAHGEDASASESEEEEEEARTQLLSYPFYGDKARGISSQLLDCRQAMLQIR HHPAVHSRVHGVILGALNHVLESTCGPGPQLNKFIAGSHDYHAFLVRDTSGYSIEHSP CLHEPIMAACLELRNKLDGPDGPQDIVGAVGSLGDLLMTWTKKLSHVSEAPFRSVYLW ATLMDLRPAIYTSAKHLLAIRETINTSLARMEGGAPEICLNGMRNINHFCVFGISSCD RLLGAIARRLMPGKHLWRSGRQSRGLRRSMSEKEAVDFSQYYAGLDITKLAHFRTDIK LLETKLDRSTRYTAAGAEWRDVVVCNYQVGEDNWARPASLWLALFQENTWAQQASPWL ALSQEREKKAWRSFYLNLAATVDLGELTRAFSAIPGLTSYLEAYRRSV QC762_511360 MGGFKRLQPIILDGFSHDIQIGQPFDTSWGAAWLTSVYTDIDHD LFRNMDPIVFRRIRYGNNVAVLFGALVECAEFYELPSFWHVISQGFSANADAVKSIVT VFVAARKAVKDRYPTSASSQTARSADEWIDILDHRGRNTSLFQFAPSKEADLRARAAA FFKSQQKQWLNAAWVPLKDQPISTQSQLSSKSSRNDRPASPGNQSAYQAGSTSRSNPR KRSASPPPERSSKQARMTSTSATNHPIPVEAQTKVPLPESTNMPQASQQLIGIKLPTA PGGEPSPRSSWLLKAGSHIPSRPPSIADLVPLSDSSEHEGVVKLRNRISILEAELANA KAEPPPPSKPNDFDPQELKSTLSTITNAVSTLMESSHHIVDGLQSLQNNILYRPQPQA QQLLTPDPPAVEAKLDAQHDLLLALTKQMAGKEEPETVEEALGLVERDVRGHRERLLR LYRKMDMDGGEEGGKVDWVAGILAGMEDLERRIGEGVPRGGGWLSKGKGT QC762_0087830 MPRTPDEIDDAISRSVPLSPATPRLRVAVPSPAHPVLIPTSTSV TSTLMVSTLTASSIIPLTPDPSGTAVVEKPHPPVVVLFKLRKKKGIWYTDKNTGHAFV IWAIVGFMPAMILGIICIDIYTRCGAPRVAIPDGQKEAEAAPARQEEAKEKNNDEDKM DDGGASREKKSSFTDATVMAKPTPPPPVSFGNSWSSR QC762_511350 MISHSLTNLIFIRICIFLLEYALFIELSLLILTSLFFGPQPTSG SPTAIALKLFILTLCILEISSLLFLYLPHKERLLKSKAIYPSPPLTRSQRQALFQQCT ANVPDWHRYLQLWFLNAPLTEIKRDNIRDFILWGFFDTDSTAPLTPEIEPEIDSYISH IETLSNRKFPPGRGNSTTALRLTFDPIQTRYRSIIWYLLVCAIDAFTHLTLYRNNFSH HRPYYPPPQTTATVVSPKIFHSFPPPVLSHLFPHRPPSPTNHLSYYLRPHRSPTHKPV IFLHGIGIGLYPYPPFLLSLPKEIGILILENLPFSSRLTCPPLSKTLFLQELSSILSH LPPCWEEFTLVTHSYGSVLATHILSDTTLSPRVTSLVLIDPVTILLHLPDVAYNFTRR QPAKANEWQLWYFASTDLGVGEGLGRYFFWRENILWREDLTTTTTQRRKVAVVLSGRD LIVDTGTVAKYLACEEGDWTKEKDHRWTTTTTTTTTTATATTDVQAKKIHKTRDGIEI IWFPELDHAQVFEQKRDYQLVAEVVESYSGHKKEDGK QC762_511340 MYIPDARELFHTMASLIPIVQEVLPMIMPASISVTRSADILPPS EHHTSGDQQADDRTDVSPKTVIPAAAPTPAPTPAPAAEGPRVISRDAIVGQAESMCAT VLIVRPRSSSLIHHNGEQETIIYVTSGTGVLLAQPKDEDEQHPERHVLGKGDFAYIPA WLEHQAVNQSEVEDLVMVVVRSGSAPVEVNLRSWGGAEVKEGRS QC762_511330 MPAEMSHLEHDAAVVMGAAELSRLELLPPELVYSILSHLSPFDL VAVSATSRTLYTRASADHLWQALIQENVPGIQVRTPAPCRSFKALYRAHDPYWYLPKY KIWFADVNLTGRLIIVRYDPRRGCIEGYQLVAANRSRTFQPWEANRNVSIHHFDPVVQ LHMDKTMIQLDAIDSADSLAFDSDSPAWARFNTINLRLVRSRTSSMEGNFSRSPSPAS IAPPKPSRLLSERQMQNYTDSMYATIFHTRVLSSDEISFCASPKFPYGFIWPPPAIPS GHRVFGTAAKLSGGRHFKPETRPSSRSDLSDKAFVIKTWLATRIPGRAVYNMSVIADP LAVSMMNLPLAVRGDDAVDDAVDDDVSIRMQIGQELATYATLDPKLYTPTKEKPFRGI WVGDYSGHGCEFLLVTQPDDETPFDAESIQPREEESADEFEKRKHDETVYRGPLEAIK LTGDPNVPRGEVTFRVADLGERGLINICQYEPFEGVRIVKSQGHVGSTGFVNDSFIES QLLLISHDRLAQYWSEFGHVSFLQRVDIDGFLAPA QC762_511310 MATRIPRIAITSLLNRSRPRRAPPINLRLLPRPLHTTSPPHHPK PPPKASHLASLLLTTSLLLTLPLLSKSETSSLDPSPSPSLPLYHLSEIHQSHGPTSPE PWVTLNNKVYNITSWLPAHPGGDIILRAAGGSLEPYWEIFTIHNSPHVKEILEEYLIG YIHPSDLGPDGKPPATSIEDPFVNDPVRDKRLITHTYKPRNAEPPNQELDKGFYTENG MFYVRHHMWVPEEDGGELVVELPDGEERRYTIGELKNRFKTERVTATLQCSGNRRNDM TRHAGKTNGLQWGVGAISNAVWEGVKLKDILKDAGLELEQQENEKDMHAWFVGREAYS ASIPLPKATDQHGDVLLAWGMNGETLPRDHGFPLRVVVPGNVAARSVKWLRRIVISDE EATSQWQRRDYKSFGPNEGGKEDWDKAPSIQEMPVTSAITGVWVGECVKKVSWMPGGG IGSGERVNGGGRTIDMAATPQKVGFTPKPTSSDGNTPCPETTPDNEPIALQGYAYSGG GRKITRVDVSLDGGATWDQAQLVDDCSNPATPCYGNKSWGWTRWRYNGTLPVLSLPPT VPLPPALAKVGQDCEDGFGRSVSLMGQLPKKQCTTLIVKATDESYNTQPESHKGIYNV RGNLATAWHRVKICPECTKGNGGKGGLVWNTGETYGCGFRKEAEEVREGMRAASESTG NGK QC762_511300 MAHMFQRIWNFLNAGWSDTSEQRMAGTRQDWKLMVTPEPPGAWP VTSAKTPPYRRPVYGKWKTPKFGHRSAKKTSPYAWNKLAAERKDYHPLDPTSELTSVK KTDKVPERFWDVEEAAREAKEKEKNWVGKREVPRFYLIDDPFMPDHEREDYNLDPRLN PDARTKDRFGYITKQFRNAVREIVNGKDVSTTLRMFLEANFTTQQLAKIWPLIPVAYS SNRAEALIQEADMLGYQRLRPQIGKVLGYDAEDEAWDLPSRLAAFYIGVPIPAPGAEV QGNMFEPGSKEFREQYFEDRYYFLNDFKKYIPESAKALNNVAASPVPKKTGGGGRLLL TRRKEEKRYGPYYDGPVGFRGRSSGFIGKPPSLAGMKQRPYHENGLDVYADDEGNWQL KPPPWMRRLQDDAGLRGGDNDLEELEEDEWEDELEETWFEAVEVSSDGGSDVVMGEEQ DLVSDEESTKEGSDVDMLTLEDLASREGDLDVTAYLNMMETNEIGLRGGGLDDDWDME EEEEEEEDESDDDLHIRGGMADEEPPKSKQWKNLAKYPPPSTLDNASDRWSLDEKRAP TFKTKVGQLEKQFAKETDFQPGKSLMIPLHGYQGVVWFRRGIFNSFVDAVDRLLGLDS RAGVTYNLYIMDPSKDYELQEEKDAFLADINQHACRVQCAGVGALAKDRVAFNWLCQQ IDKQYRPGDSAYFGKLIPFVAGPHDPIPWKWEPGARHHVGKVTLDWPQLKGRGRPDVA YLRLPLPAGDDAPATAFFTNQYSLWMQQVCRVLVPGAIRDRPGRPAIPAALINAFTTD EQGNPTQAPVTYGGLAFLKSNWEVIAAQLDVVNAKKYTYAVTLRAFAPGGSGQVSDRW HILAPGQTKPYDSASDDWYLTHSELTAPSIVAERLLRPILASEIWKTAEGVPFAGLTY LEVFFPGENWLGPHFGKYPVADETGVPPSLYISLVDVLDTSSPDAEFTPALSRAFTPL VEAFVELMAKIEKLTKEAIYPKGVKAPSPLSLFPQFIVSRPVWRRYSFQDASYDGASV HECPLSLWEISLVKLREFVGKVMANGGPFDSKTDHFSITQGFDPDLPDILVSPSMTET EWEVARRLIVHPYLRINKVDEQSLPVFEGIYEHQPFGYRDIYTETPQKKLWGRYKPPP VTHNFDWQLYRATPIEDLGTWQPWEKQLKVQVLDFLPDNFQLVEIPKDKPELPEQSEP PPLARPLHLSVAPVQQEQPKEKPRMQPTPSPTRHKRRRVTLPTETGTPTLGHTPRVKP VKPVARSRIRTPQKPRGSPKPLTTPRKPKGAVDPRIAQAFAGRAAKRAPKEPSKKPTP MTKPTKKSPAKTGVIPSDPQHWVKNPNRPGYWIRKWPTTNPGVGLPDITAKENYWNQV HANNEAEAAGYKNRETLDQVYRKRIQELTGESDPTPPAPASTSPATPYPPGKRDASKF DPTDTTPRPPLPGAENKKPASPPKPPYSTLEDIKALDTLTKAKLLYTTKLPPGAPPTI DPTDPPLEDPPVISEVVTAHKPNMSPNLISKVGIQPYTLRPPPIPEGLRARAIQELAL QTRNCPFFQCYLPVLRTPETAVDHFRKVHKREPCPICMDFVGQGWDAAKWDEHYGDEI HVEWLEKWCTNPVGKPLKLGVFTDEGIPAEDLTGFEWETPFDPDVPNVRARPAWFDED DGMAGLVAASRGSWEGGVPVSEIRKRSPRKWKRYMAQIGRTPGLGIGVGEGNSTLVSV GGGYDDEVDGMGFDGAGDTPERRTGVSTGTQTTPVQVSSSTEEEEEEAADEDEEGALE DYHSSQDDNSGDEPDRFEFPDEGPYRAPGQRFSPRTMRENMRTVSQRHMVGQIERRIG MRGLPQGLKPWQYKEIMEGLGHDGNQWGLRTTRIRPGRVGLGEVDDFPVSDNENDGDG GGGGGTQQTEPPTGGPDPPQPPQPPQPPVVPQPPVAPQPTTSSKKPTARRPLTEEQKQ RIREKEEKRRRRQQREEDPDYVPTGETSESSDEFLVVSDEDEETPAVNQPGKRKLPPD TSATKQRPGKRQRRDSEDGTYKPDKETEQQIAQEDKVLEEEFKAIAEWDDEVKQMIGD GPVNQWQRLLALVSQTVRKKEEQRDRLNVLRDKKKNSANGEMSKHDERRWKEIKAELG KLARSEKEYRRLTTRLENENEEVKAEADRRAIPIQTLGKRARNISFREPLERGQSPQR QHQGAIPSALKRTESAEQAEQAEHPWAWYMDLMGQADQDDDGLYPYRHVHGATGEDDL YGDEYDEPQEPEAYSYEEWLGNQ QC762_511295 MFNVKSLAVLVALGVLSVTASQLNGGQERISVYACKDPWWGGQC RTFYGGRNECVNFSGSWNDVISSIRHSGKGWHCQWWEHANCQGLVYQNQDDANLSDGN GRFDNRISSFRCG QC762_511290 MGGQIVKAGLVALLSAAPGLAAVTRRQNAVNLWGQCGGIGYQGS KVCVSGAVCTAYNDWYHQCVPGSQTTSTTAAPTVVPTTSTSTSSVQSTSTSAAPSSSP TSAAKYFINFGDSYSQTGFDPLSTKPSPSNPFGNPPLPGWTASGGLNWVGFLTSQYNA STLLTYNFAYGGATTNATLVQPWREDVLSLVDQVQQFTDTIATKPSYAPWTAENALFG IWIGVNDVGNSWWKEEYDQLLSEIMDTYFGQLQVLYDAGARQFVALGVPPIHRTPVMV EETEWAQETEAAAIAKYNAAIASRAATFQAANAGSVIKIVDTGVAFNEALDNPTEYGS PDAKCWNGDGVSCLWFNDYHPGIEINRLVAEEVAEAWDGSFFEGKVCIE QC762_511270 MADPEARTGRSSCPNGVTASFQRLFKLPWTTIALISHAILYITL TLVMLFVVDNYKAYDGADTTTETASQTESRLRSDDIITAVSAATVAVQWVAGVWVTNV VTKSGYIVWMKTRQTHSKEKIIDKIRWAMEYRFPIKLGLRTDYNLIRISIFLVLPALI ASPILEGALSWKSSSEAAGSATARSGNPEAQFYSWNFITSAEGKVGHDLIWNAASLAG IAWENGTSASTDLKETKSQKCRHVTTHDQFPAGTKLHNATIPCIVVHSISWPKKPMPQ SVENVLNNSEVITAAGRPPILRTQPGTVLVFDPTNKTLPTPPVMTTKNGNFNITRETV YVDQPPYPAPFTWAGSMTAIVYLTQHVAFPPYVMDVFGVEEPNNEVALGATVVWAKGE VKPQQTFTYLEINFTAGIVNPATSTYVKQNVIEADDNDLDGKDIVEGPWVRDALYLTS DIMSCLAISNSTGISSWQSLENYTETMIRFSYMAAWSLLQRSYEPNSTLLTVDLYETR IQAVVSQWRVISWLGINVAFSLSWITVTVLMKRSKELAGVDTVPDFILRLYTHFEHLA QQENT QC762_511260 MLTQLFIWTAVAILVGAFLLRPKRTPFPIVNKYPYDFLRRRAAC EAQQNARKLITEGLAKHQGPITMNLLHGQKIILPASSASWVKSNKDLDHKQLVKDDYF AGVPGFEAQSVLHGDDETVKRLITTKMGQNDSTMGAMNDSLARAFRVHWGEDKEWHSI NWHGDTMGIIARAASSVFVGPELGDDPTWLCLIQGYVMSYFMAVYDLHAYPPWSRSIV HWFLPNAKACRSMVPQARAIVNGVLRKRQEETERAMKEGSSAPEYNDAIAWAQASSNG KIEPGDLQLSLAMAALFTTTELFRTILIDTVRHPELVEPLREEVSEQISKHGISLAAT NNMLLLDSFMKESQRLSAGLVVLERAVLRDTALPDGRILPRGSQIVVDSTNICDPSFY PNPDQFDGYRFLRKREAGDTTSQFVQSSEDFYVFGGGRHVCPGRFFASIELKLALAHI LLKYDMRFAEGCDPKPMMNGLYAMVDPTVQLEVRRRRDGAVVNNLGEKL QC762_511250 MARERIRQLYPQSSSSLPFVDGEICPMWLEAVTAVAQGRSQVDN GVFQVYKPGHLRWTLLGFTCQASSLLSDHQVAKMRINSSVLSPTALAALSLLGFAIPG ADAACSLPTSYRWRDSGILAQPKSGWVSLKDFTHVPYNGQNLVYGTYHDGRNYGSMNF GLFTNWSDMGRASQNQMNNAAVAPTLFYFRPKNIWVLAYQWGPTAFSYRTSTDPTNPN GWSSAQPLFSGTIANSDTGPIDQTLIADDKNMYLFFAGDNGRIYRSVMPLNNFPGNFG TSTTIIFNGAKNDFFEAVQVYTLKDSSPTTYLMIIESIGAQGRYFRSYTTTNLGGSWT PNAASESNSFAGKANSGTTWSNDISHGDLVRSSNDERFEVDPCNLQLLYQGRSGSSNE YNLLPYRPGLLTLTNPVGSPNPNPAPGPTSTAQTTPQPTGGNGATVPRWGQCGGQGYT GPTRCEAPYTCTVSNQWYSQCL QC762_0087940 MSNKDPPPPPAPPSGPFTVYSSAAKQGGTGSVKTLTAKTNIDNF VRPHGSPLGSGVQFCAHILVTTFGSEGNPGLPPVSKVPVPGLKDTGSLLYMGLDPTTT PVTASVKELFAFAGLKSPPLSEFALWGVTLRVSSDPAKPIRNALLYSPPNYDQTILRL QFSLSDADRATLQSYIAKALPSLEFRNVDFICRRTITGMTTGGKISASAQGEVLIETE CHLLPKGHDLQIRAGISIFHDQYHLTFQLDEQIKGHSALGDILAWLAECIGLQSDDFN FVIDMLMQQDGKAFGDALELRRIQIALGTDDGGKTTKLMSFSVDIQATATFGHENGPD GKPKDADPVVFLLTNLAPGFEEINSLVPILVKVRKTISIPGLIPGLNIENVPHNIPTD ISRAYVVLNQSSIAVGGTVQSLDFTSQDKDYPVPQLNLGWVSIDASYNWAKGATSPLN VKASFLAELRPSKNATHKEPATLVGAMKPSNTDPDATGEADHVLPLIEAIEIESMKLT YVYDKTPTTGDQVVGSSFTFDGILNVSDLQLKLHFSYEKKAFEFRATLHAGSATTIGK IIEDLVGDGIDVPEFFTMPN QC762_0087950 MKGSSAKPGNPAPFHFVAKLMVNFPKDVQITLTFAQWHGSNWSA SEPSKKLIKAALTGIPHVSIPLVGDITQPFDEMHFMHVIDGTKKKSSRQLPGINRKEK DDLNLDEAFKAHPLVVKDKSKDTSDDVVVITAGAHLAIVIKNERGERTCILDYNFKKQ KTVRDGKENTKKRTIPPGGESKEPAKKDSDGSAASAPLKKKMGGLSISDIGLKYENKI LSISFTATMELGPVGFSLIGFALNMELSLNLSDIRMLPPSLEGFSVVFERKPLSIAGI IRHGKTPELEYYAGGLIVGGTPYQLEAAGFYGKAKPEGRDPFVSVFVFAKLAGPLVSL EFAEISGVTGGFGYNSSVRVPTADQITLFPFIDQHATDNAGDALQALQKLTSAGQDAW FQPVPDMYWAAAGMKIDAFQMIALDAVVVVQFGTSIKLGIFGVALVDVPSSLSDFKFA HVELGLAVVVDFDIGIMKVEAQLSPKSYIPHPSCKLTGGFALWYWFDAPHADQANVGN FVFSLGGYHQAFKVPVGWPVPDRLKISWGLGNTLSISGEAFFAITPKVCMGGDRLRAS YSAGPIAVWFDAFANFLIQYQPFHFASEAGICIGASFNLDIWFIHIHISDEVSADLYL WGPPLAGIVSVNIKVARFNIHFGDDNNGPGALQLDQFFDLVLQASSKKKAATTTTPMA VATPIIPIMEPDQAARFKRMSDNVGHTFLARLGLMNDTSDPSASRTRSNNKEDINSKA SGAGSIYAKPMKTDSSLASHMTVRITQTQGKQVWGMSQEYKSVPTGLWQKYDSSKDPL NGKGNNNIDD QC762_0087960 MSDDKLKVFDIIDSTLMGIESDRQFPLPTDCHKDWDPHPPRNEE DDDEWTVVQKRWKTPLWNTDNEPKHSAVSLAVDSSPEAAAQPIVPVEPKTRDVQTDFV SAFVNAFNWDAGLKLPSLAKMPRKLEKRFGDLFVVPPMMTA QC762_511170 MRSLLWLAVVGLAVAEDGLAAWLRYARVPSASRHLGKLPSAVVT LNATKGYPVHTAGQELVDGIGGLFGKKLTVAKSKPKGTTATVGTVSAYVDKNPSAAKG LPDLVEDGFYLKISKGNVLILGQNERGALYGAFHYLSVIAQGNVSDFTLTSNPDAPVR WVNQWDNMQDGGTHGSVERGYGGDSIFFWDGHIREDLTRAGQYARLLASIGINAVVAN NVNANASILTPENMDGLARIADVFRPYGVQLGVSLNFAAPQLLGGLDTFDPLDKTVVN WWQGIADNLYKRIPDMAGYLVKANSEGQPGPMTYNRTLADGANLFARTLKPHGGIILF RAFVYDHRSLNQTLDWKADRANAAVDYFDGLDDKFEDNVVIQIKYGPIDFQVREPVSP LFTHLRRTGATVELQITQEYLGQQAHVVYLAPMWKELLDFDLRVDSKPSTLKSIVSGK RFGQKLTGYAGVVNVGTNTTWLGSHLAMSNLYAYGKLAWDPEQTSTGILKEWTTLTFG HDKLVHDVITKISMESWPAYEDYSGNLGIQTLTDILLGHYGPNPGSQDGNPWGQWTRA DDDTIGMDRTVWNGTGFAGQYPPEIAAMYENIETTPDNLLLWFHHVPYTQKLKSGKTV IQHFYDAHYKGSATAQTFVTLWEKLKGRIDKERYEHVLFRLIYQAGHSLVWRDSVNQF YFNKSGIPDELGRVGNHKYRIEAEEMELKGYKSYRVSPFEAASGYHCVVTETNSTVGT ATTKLKVPSGKYDLAVNYYDMALGNSTWELFVDRKLVGKWKGDLEYELGRAPSPYIDG QTAARKTFRGVRVKKGSELKVVGRPDGLEPAPIDYISVLPEGTVD QC762_0087980 MSSMILPEEEERLRRIARIAEQSAAAERKRYEEAKAQREKEERE RQERERAAQEREESASLSGMCARDNQFSLLLPGALQSHLGQQIMDPQ QC762_511160 MVSPGTFSPVEIMVNGLLQPKQIESLEKAYSQREVPNAREPSRR SYHILLQRRRWYGYERWHISNPQCLDHGLDTPYGADQRGPRSSRQMSTFSAQRPMCRW KRWLVFQFITELTQGCNSPTLKPVLFTALLDTEIGCRDPSVGCKVMGCRVRVGRVCVT SALGSGFEIDFGKFKWR QC762_511150 MLPVRHVAQATFLRSLSTRRLIGTIAAGHHRIRLQFQEPLQVRH YARFTEDVSLEYVPLEYAPLEHAPLEHVPLKHVAAEPMPKAQKENIPTKDKPCRFACP VPTCEYATKGFTRRPNLRRHIERVHQNERAFPLKELLADIDNNPRLVYPDLEALKSEK LSKQRSGRKKVVELEAQPLPETDAEPSPKAAPLPKEDSTTASTLGKLLGALDNEKPIK RRGRPKKVRDAEPSPEAEQESQPATSGEQPKPARRRGGRPAGSKNIPKDPAQPPKEKR AYVRRSVRYDHPQPEVTFKADCVNTTYLYDALLDTGMWGRRNTAAAKQRRLEMRKHAD VKRVNILSEKLCDDVLGYMKPGLLERHKGCDIIDINPGAGLWSRKLNDLLQPRKHVLV EEDYKVYEPFLKPLVEREGVEVLEVAWALWASLFDALEEKGALAAHPVRNYQPDETPE RNDTLLVVMNMMTLDKKRVGGRRMGSMTAMILYQLINSVRLQGLFQKYGLVRMLIWVD EHEKAQYLPKTIQRRKAGAIQAELSLDWVTEVAGVDHPNGGKNYIYRRDKHIDMEGMG QVLERMRKAGLKVPEGRETALLKSCLELEKEGKSVKAGEQKPALAARYELGEEEWEKL KETKSKEGTWTTRDNDHLHRNTWLKTQTEKKQEFLYELLTKLDELTKLKLQVLEEEKE GNLAKAAQKKFDKLEAEMKEQWESIDPISRSKFLVARDNLRAFKQPPELGPVLMWDRR QFEPLVVQPEEFLPACDGALLDIQPRAMEPALRAKCEHGSKMFDQMDLIIRSLYLQAS APISQAVEGLWPGSGRGVAEKMRSLRDPALGGTPLKGPVGELDLRALNRTQIVELAER WWQWPFKPSFPELVGRLGEGEEENVDGENGGGATMLGHMFD QC762_511140 MAFPRRPSAFLRYLIPAALILCVFYVLTGQPGSDFASPQNFRRP WAGLGAQKHPIEYLIDSAEKEFANKISRQSHTIAEAAAAYRQRRGRHPPPGFDKWFKF AQEKNAVIVEDFWDQIYHDLEPFWALEAQRIRKDAWDFEMRIEVRDGKASSGSDWFWT KIWLKMIGTVEGLLPDMDIALNAMDEPRLVVPWEEIDELVGRAGQDKRMVRAEEVVTE FEKLAKPGEGPEKDFETPKKVWEGNKHYWLIARRGCPPTSLARQSPVITDFNRTPLIK SSFALPHMTEGYVSNYTLSTDFCHQSDLQALEGVFVEPLSVSATKTLFPMFGGSKLAV NNEILLPAPMYWNEEERFMGSQGADIPWEEKEDAVIWRGVATGGKNKEDNWRSFQRHR FVAMNNGSKITLAEQRQLEPVNFALPPKAYGLKAQKRGKLGEWVASWSNVQFIDLMCG IKGQGVRCNYTDQHFEVTKGMPMAEQFRNKYLPDIDGNSFSGRYLGFLRSTSLPIKAT LWREWHDSRLVAWKHFVPMDSRFGDWYGIMEFFLGVDGTGRDEVARKIAMEGKEWAER VLRKEDMQVYVLRLLLEYARVSDPRRGEMGWVGDLLGGEGTKGRDNELVKDGEGEVGS AAGEA QC762_511130 MAPSRLSDIADIALPTTKSTSATDTVTTLPDTPASSVGSRTFGR SIMNTSLIESKFLSHPEDLGVVAVGFSGGQCKPGVDAAPSALIEAGLLTQIRDELGYN LHGHDTVHLYTDLVPKEDPDYRNMKNPRAVSAVTKKIADQVYNQAKEGRLVLTLGGDH SIAIGTIGGSAKAIRERLGREIAVIWVDAHADINTPETSGSGNIHGMPVSFLTGLAKE DKEDVFGWIKDENRISVKKIVYIGLRDVDAGEKRILRENGIKAFSMFDIDRHGIGRVM EMALGHIGSDTPIHLSFDVDALDPMWAPSTGTPVRGGLTLREGDYICECVHQTGSLVA VDLVEVNPSLAPAEDIGAHETVRAGCSLVRCALGESLL QC762_511120 MASVLTSEPSLVYSRRVVEARVHKYHWPAVQLNIWMLIMLISAC TIIGVFATFIDIQHTLLLPVPWYFPYYITVASLAVFFILLLLYLIYQRRLLPSIVMIG GFILFVLWLTGLIVISVQLWGPDGSVSSECNIQVFGASPMPKGQTLETLAWLEQRSIC QSWQAVFAFGLVGAVFLLWIMVIAYQVFADDAV QC762_511118 MAFFKLLLKLFKRKQSKDAKKKKDKKNKQQQQFVAVQQPVPIQQ SIQGGKVTYVQPVHHQQQQQYVQVPVVQQQQQYVQVPVQQQQQAFNPQAAANKVMAQQ MAGYANGMTGNKIPQKYVNQGAAYAAGYMGKQKAPAQAQAGGYYAVAA QC762_511117 MVIDQLILSLLKGRWRESTLSVESNVFRTRKSNTITMSYNPSYQ GQPPNDGIQQNNPNRSHGSPQFIVTRDELQQIFYDIGFPPASISNPLLQQVNPNYTSN SYGNPQSNTPRSVPNEDTWLQQGNVPNAWHPQVNPNYNSYGNPQSDLQRSVPSAWHPQ VKPNVDTSLGVVPNSLPRPSVTAIAPSNRSTNQVQRQQQHPTPTPAAAATPLPPSPRP PAQPSAQPARSVAKSPTGIEHTPPYGDLICLCHIH QC762_0088060 MDQPIKTWILTKSTGYPTDFRLQLGQVLRDPRQPMLLLFASSAD PPPQMSGMQVSEKKTEGVIMGINSSLARCFGLWANTSVLPAAGAIQAARKCQPQGAPN ANAGFLAQAMRLQQNHASFTKAQRSRFLYHEGACFRVGNCVFWV QC762_0088070 MSRGDRDPESTPVDWAELYITLVPLYHDQAEQENADKLKAAIVE EARHACEDEKVDGAGKEMLTADNIEQREEREQTEEEWKESKDGERKEQEDEERQEQKC IREERRRSIECSQEARGLCRILGNP QC762_0088080 MEPLSAAVTILEVAGAITSVISAATAFMRDIRGARQEIIAVKKE LISLKGVLEILADDFHDADKIKLPDSVLERIVDVAADCQNVVNQIGGLLKEGSRVSWA LSGKEEMESLREDLERHKATLSVTLDLVSVIIIKDIKDDTEHILQDTSAIKGNTAQIQ TDIDRVLQGISQIQLQLNAPETGPRPSNYVLGRFLADLRTDAETILGDAEYPDDRTEQ YHGYLHSQEGYDIRSPPEPTPAPITLSDTEGRRCLVSFRACRTWLEMSKAIEQLYGHL PQNDQVRAGNYEVFGPSGEIILPAFWESFVLPGWEVTLKLRQVEMANQSLKAEATIVD ETKPQSEGSQKEGQSKKAGSTTRPDSGKEMLGRVLKPRTGTKDNKESDKEKDKKKEKH TEFNLIPVRGFFDQRKKEIRHASTKDKIAPPVSTKAQSPSQQAQSQPREEVTAEASEM RQSYPQLQPEILQPVTAPTPTQTVFRQLQIARHSLPTPTLTPAPIISPLYYFRATPSH PASTLSAFHPQSSASHTNIPPTTPDDSQPSWATRAQSPASKSATSLTTKKSSDSSVEA KANETTSRPRDKNNAGAKNVKNTAAPTRLARDNVTPPSKPAGNNVAPPGSCESKKENK KPSRLLPSGGSRHGTKTLKPNSSRVEDK QC762_511113 MENIHQPPSWYTTTQYLKFKIDKLSQAAEALITQIPTGSINWPA DRQQVLALLEEMSQLDLLYLGLQNTLQEEAAWEQSPEYQPRWPWPRTDELLQIRTRET LAQEANHLESQKRQIDAWVTRQESLEEQRRRQMDHIGPFQLGPPALRQVQREPQHHRL MPDNPQPTRPVAQPQPARRAVQPKPARPAAQPQSQPRQRLPRLAPKPVVQPHLPQGHQ QVRQQQHRQQQPAAPVRPAPLMALHRQAPPQQPPPQQPQPEQGQHRRVSSLTQFLIDC GAIPADSPLIKPENSPEIKKESPSQVKPQPRD QC762_511110 MGRYHCPLCGWQIYESRPISSSWNHEFRGLCRCSVSNRTNLTGV GKQNRVASRSFVAPLNPAGRYDDPGYRISDQDAVGTHFCEINNKFGFPVHNICWKLLE EAIYPSPVPIERLFGVLKSFVWPSLCVDGRLDWRWREISQLAECSSKDSFPWDITANA STADVPPFTSDPYFVDLHEILGERYGVYDVPLAPDNLLSGLVSGNDPFLSLPEELCSV IACYLPLRDALHVRLASRSFWHLFDRQQFWRSQFMGQNSDLSCLFELHRDPYQGARDW RLLYHRITDTSNDSDEDVWSGLRNRGQAWQKILTIVDILGLCPTPNAAFTKRGHLAHL KPRPDCILRVGGSAAESLVGTVGPLQVGCFRKSVQSLAIPNETVRISASTIDVGSFTY ISGLTIVSSSQTESAGHLGPKERERSVTLNAADLRGLNVAVGLRGIHALQFADSAGLT PWLGDPHDAAKTTRLGSVSNISSLEVWSDAFRIVEIGIMKKQSSELSDTENISDLRIL GIWYPDIPPSQLNLNEECFFSAKAFAEGFRPLFWTHFGGPGGVHLKSLLRIYWNQPAE TITFAYENKGVPLSSQSFGRAPEPEWDDDSSCFEPDTQSSTFVIDGPGGERITAVEIC QKYRKTGRTWECTEGVLTGFKVFTNHGRSHLFRGVVDAYPEDWRVETKRLEVPPGSVV TGLYGFSVRNLGYGLAALGIITELEA QC762_511100 MVQIETDVLIVGAGPAGASLACFLTNYGVTGLIISKASSTVRTP RSHYTNNATFECLRDVGLEEECRQLATPKELLMYSRICTTMGGEELSRTYNCGTDPNR YGEFKKASPCEQADLPQSVLEPILLRVATQNGFHLRWDCQFVSFHQDETTSKVHSVIQ DVLTNQKITVISKFLCGADGARSVVARELQLPFNDTPGGGLALNVFVDADLNHLLTPH SPGLIHILLHPTKSQPDFCSLAIARFVKPFTQWVFVMLAKPNITAITATPSEILSHVH DLIGDPSVKVTLKRLSTWKINETYAERYTTPGKNNIFCLGDAVHRHPPFNGLGSNTSI QDAYNLAWKIGFVHQSLASLSLLDSYTAERQPIGKAIVKRANDTGRMHAKLFSLLGVP NPDTADKLQVLSRLGDDTAQGEELRLAFQNLVEGLDVERHGFGIEMNQLYQSDALYPD DETGPPPTNTGPPEHADLYYRESTYPGSRLPHAWLRAPAAGSKEPMISTHDLAGKGKF TLFTGIGGKKGWVEAAGMVKGLLGVEVVVHSIGWREDYRDVFFDWGRKRGVGERGAVL VRPDRVVAWRCDNVGGGDGWGEKLTRVMARILGR QC762_511090 MTSNARLAQLSHTILEKTKIVTDHLASHNLTAPSWDVDGAVDFP IPESAGEAYTARVDLIAATKELHDLTLGPKQGLSWLSWDFINNLSLQAIWEFRVPEFV PLTGSISFEDLTAKVVAANDFKIGVMNLRRLIRHAMLNHIFIEPRKGFVAHTSVSRML LEDEPMANWVGYMCRDLWKPAAHVVDAMKKWPGSEEPTETAVNHAFEQSLPWYDYLQS VPEKARRYNLAMKLHSGNEGFSVGHTVRGYAWGKLGEATVVDMGGNQGFVSFAIAEAF PKLKFVVQDTEGMRKPEAMGPMPAHLEERVTRTVHDFFEPQTVVADVYFFRWIFHGFS DKYNIKILRALRPALRKGAKVVINDGTLPEPLTASYLQERNIRTMDAFNQVTVNARER EIDDWSELFRLADERYKFTGAWKPENSHMWFIEAEWTG QC762_511080 MSPLPHTGLGLLGYTWRPNKPSDEQAFEAMKAAINAGATIWSTS SVYGLPPDPPTAGLHLLRRYFTKYPEDAEKVTLFIRACFDPTTYSPSCSPEGVRASWE ECNAILGGVKFIDVFGPARMDQNIPVEVTVGALKQLKDEGKIGSVGLSEVRAETIRKA AAVVPITHAEVEFSLWSSEILTNGVAKAAKECGVVLLSYAPLGYGFLTGQIKKVEDIP QGDNRHMFGRFQPENFAKNLELVDKVKAFAEKKGVTPAQLALAWIRSYSNTGDVGEII PIPGATRADRVIENSTVVEITTEEKEELDKIVKSFPIAGHRQIPGADHFLWT QC762_511070 MVAFDPSRLGVNFTSQTHSDTYAQIDPSGITPAPCIGRTVLVTG AAKGIGRAIVASYAKAGANRIAITARGDVSATHAEALQAAAKAGRDNVEFLILRLDVN NHDTIEACAQELASRWGHIDILVNNAGYLAPFVPLGEGDKDDWWLTWEVNVRGVYWVI RALLPLILKSKDKTIVNLTSVGALALTPGASAYQPSKLAVLRLSEYLMVDYESRGLLV YSVHPASAATDLANNMPAEIVQAVCHDTPELAGDSIVFLTSERREWLAGRYISCAWDM PELMARRKEIVEKDLLKLQVRFQ QC762_511060 MERFPRTSEDADKLLKLSDLLRDAALTVKEEWSKEVFDEPSNIP NGKASGGHHSHEATARILPSRKLWDAERTIEAVSGVLVELVSEPHQRIQQVLTQYMES RALFIAAERRIPDLLAEAGEGGLDVETLGRKTKIEYRKLARILRTLCAIHIFTEVAEG RFANNRISASLVQNPGLRAYVQLFGLHVSAAAEHLPRYLVGPKGASYKVEETAFHHAM GTDRPLWEWMTQRLPSDQVTSDGPGYPGVPELANFPVSFDHKGLVGRPELENFALAML GGGQASGTAHAYDFPWGELGDGLVVDVGGGVGGFVLQLLPIYPRLKFVVQDRPENVER GEREIFPAKAPDALAAGRVKFMPHDFFTENPVKNADVYWLRGILHDWSDDYCVSILKA VKASMGPKSRILICDPVMNTTFGCDEIEAAPSPLPANYGYHVRYCHNRDIGLMATING IERTPSEFKALFEEAGLRLVKFWDTRSMVGITEAGL QC762_511050 MVGSSNVVRSLVSLLWAASPVISGALPVHWAGFERQETGWPTSI DDSVFNSSWPSFGEKSQRWNAYMAPSFNQVFLPETEQVLSEGLRYMTSNNISFLAKSG GHGYSIELAAAQNVVMINMEKFNYSRVNADGTATIGSGATFLDLIRPLAAAGRQVTTG SCPCVGATGAMLGGGVGRLQGLHGLTSDNVRKVRLALWNGTVIEASEKVNKDLFWAVR GAGQNFGVVIETTFQTYPAVNGGMHYEANLAFSVDKVKKIIDDMNALVPLPAPLSLIL VGSVDPSTLETIVALNLVYAGPREEGRKYTRHFQNYSLSVEENMYSWDELPIKGAGGI TLIKCAKGQNHVMYGLGTKRLDAPSFVRLWSEFGDFIKANPAANSSTFLVETFAQQGI KKLPDDYSAFPHRDGVEHLVEFEIAFDDTSVSKAADAFAKRWRDHFAQPKISGYKETH IYQNYAHGDEPLSQLYGREKWRQQRLTAVKNKFDPRGVFSSYHPIPQSLDAWR QC762_511040 MCFPCFLRPCNFLCPGTETMTFTTDDAIALVGKIEAAIGSPHAL ASIRDDAVRCKLRDAASKLSLALETHNDVIHRIAYSPMQLALARVGVDTGIFRFLVVN NGTSSVELARERKVDLVLTQRLLRYYQSVGMILQKGPDEFAPNNVTEALAWEGGRAGI CFQSDLVAQSLLAFPQFLRETDYANPTNAKYTPFNLGLQNEQTLFDWIKDHPDTLHHF NTWMSVQRDPRSTFLDVLPFDQEFAKDSNDETVVFVDIGGSRGHQCIALRRRYPNLRG RVVLQDLPHTIEQVKTDPLPGFDNIETDVHDMFSSQTIKGARAYYFRNVFHDWPDDKC QAILESLKPALSKDSVVLIDDIVVSSVGAPWRVTLGDMTMAVCLAAMERTEAEWRRLA SSTGFEVVKILKYREEYEDSVIVLRVA QC762_511030 MDVLKGFTDRTANLSSILLAVGAGAVILRLLHTYSRLSHIPGPA SAAFTDFVRRSWVAAGDVHQKHTDLHRRYGTVVRVGPNAVLISQPDAVDKIYGFKAKF LKSEFYDSILPRMKGAKIPDVFATRDEDLHRRMRRPVANLYSIANLTRFEPLVVSTIE YFFSRLDELFTDKGREFNLSDWLQLFTFDIMGEVTFSRRLGFLEKGGDIEGVIENNWK YFRDVAANTQTPWLDKFWKDNPLIPVSAKRNPLAEFGAARIQERLALTEEERENINQK DYLSAFIREAAKNPTLPELALPTWTNSNIQAGGDTTSIMASVVLYHLLKNPKTLATLQ KEIDSAAQEGRISKLVTWKESQRLPYLDACVKEASRLHPPIGFPLERIVPQSGLEVDG YFIPPGTRVSMNPWAVHREVGLYGDDPDVWRPERWMCGEDKKRTMYNSLLTFGAGHRV CLGKNLSYFEVYKIIPSLLQRYEFELLNPEREWTLETKWFTMPSGFHVRIKSRR QC762_511020 MATFNLSYTAPINPPGASPVLTQSQIWECIKRKVRHAEHFVPAI TKTEILSESKEGETVVSLKRRITFAPGGHPAGAENAVETCHFFEPCRVDFVGADGSAI INAVSQGPGVEAQDLNYTYIFEWRHPELEAGSEEAAKQREADWATTQLAVNATIATMR RMVSEGVVG QC762_511015 MSGQVSRRERRWWTKDEDDILRDWAKVQIDTHGAVKNWNEVAAL LPDRTNKDCRKRWHKVRVDIKRGAWTPDEDRKLRQAVAQAGLKWSAVSKMMQTRNADQ CAKRWQHVLGPDHRHDAWTPEEDRILQKAVAKYGNNWKQIGLLELPDRSTHDIRNRSV ALNRRKRRSRAPSNDDPSTSTQYLSDDNADDNSSGGEESDLSSDDAELANFNEDQHMM MEVDAAPAPPTPTLSETLADASIFSNWEMASPATMLTQVRPLDADSHPWLWFSTESSS SGQTPDQHLSPENLSLAFESGQDMGHLMGGREIQVAPQISQNENPQSSAPATQQPPQQ DYRGQAEAPGSVTVLLRQADSQLAQQVIGNLLNLNADVVIRLLKD QC762_511010 MRAFIPPRVTLEADRQDAIRSLSDIVEFHAEKNPDYLFCIQAEK KPGLDGHSLNRVTYTTLKWAITNCYQWLEAHLSHLQLRTRRGQGDADGSQGPIVLLME SDLGLAVYILALMRLGIPAVLLSTRLSATAIHHLIGRTGATTVIASQRLRSMLDEPAP AVDTKLQNGEPQNKGLQVFTAAGYQTFLNDLDSPLETNVSVQTTNPALILHSSGTSGL PKPIYCTHAHFLAFSQCHEFETAEQRQGLTVSSSPFFHGFGLVPMCLSLGIGKTFCIP PSSELPTGSSIVQLLQASSSKALLTVPSLLEDIASLPDNKGVTALQQLDFVAFGGGLP KPSIGQRLDAAGVRLINHYGATETGPLTPFFVPPAGHDWRFIRLRRDIDHAMEVELMP TTTDGEFSGRAYKLSMRPFGWTERFELQDLLLAREGFPGEFRIAGRTDDLICLATGEK VRPTMLETILQQHDKVKTAVAFGDAQFEIGVIVETTTREVDDGDELREEIWPLVEEAG RQMDAHARVSSPAAILFVGSGALPRSDKGTVLRREVNRVFEREIAGVYRCIDAMASAP AFDLSSPRESVRGFVKAVMAFRGGYWSDEDDFFELGMDSLQATRLRRLLVASLRAAAQ EGRNREVDVRSVADDVVYRNPSVSRLAEAILTQNTFQNGLSSLGHLEQIADRYSNVTS ETIAQRAVVVMTGSTGSLGSFILAQLVKVPTVAGVIALIRPSAEPAQERQKNALKARN IELSDSEWSKIQIYGADVGAPFLDLDSGVYQQIASKATHVVHAAWPMNFNMSLQSFGS AFRALQNLIQLTSESHRLQPSKRPRFLFISSISTVGNYPSLHGERLVPEEAVSGQNCA LELGYAEAKLVCEKIVERAARDYPQIQIAFARVGQIAGSRSGYWNVDEHFVALVASSC KIRLIPDLQGTLSWLPVDATAAAVVDILLNDQPPELVYHLENPVRQSWHEMITLLSSE LGFASTQLVSFEEWVEAVMSAPDKGNPAKKLSRFLENEFRKMSCGGIILDTWVAVT QC762_511000 MAATSVYSATNDIEDAPANAIAVIGMGCKFPGAESVEEYWKLLD SGLSMVTEAPTGRFPTRDHRRSTEKSVFLGNFLSDIEHFDNRFFKKSSREAASMDPQQ RLLLEVAYQALESSGYFGPREKDTEVGCFVGVCASDYNDNVASHPPNAFSTLGTLRAF LTGKISHFFGFSGPSVTFDTACSSSAVAIDAACKAIIHGDCTSAIAGGVSIFTSPHFY QNLAAASFLSQTGATKSFDAGADGYCRGEGVGLVVLKSLPKALADGDTILGTILSTSV KQSSNVVPITVPYSPSQAALYHRVLKMAGVSPDDVSYLEAHGTGTPIGDPQEFQGIKE VFQSQTRTGPLYFASVKGNIGHTEGASGVAGLIKVLLMMQKRAIVRQASYSRLNPKIQ LDTSQFRIPTETVPWKSDVLIACINNYGAAGSIAAMVVKEATGPSPVTGNKSSAALSK HPLLVSANSAKSLGENCAKLRQYLSSFNASSSANVLADMAYNLSDHQDRSLPNMFITA VSSMSELDDQLRIAASTPDSQLCRLNPSPKPVVLIFGGQTSRFIGLSKSVYTGSALLR KYLDQCDKVLKGLGHGGIYPAIFDSTPIDDVVQLQTMQFALHYACAQSWIACGLKVDC VVGHSFGQLVALTVAGVFSLQDGLKLVHGRAVLMRDNWGSERGTMIALDADHGNTMGL ISSVRKTMPYSGLEVACYNGPRSHVLVGSSTEVEAVIEVIKKSTAVKYKVLNVTHGFH SRFCDPIIPGLEALAASLTYNTPKIRIETTSDRETWAAATPKLIADHTRTPVYFEDAI KRIEARYGSCTWVEAGSNSSVTSIARRSLSDAESRDHLFCPVNLSREDALGALADTVT NLWKNGNQVQFWPFHRIHQADYLPLNLPPYQFEKVRHWLDFNFVVEQPKPVQAQDQIT ETAPVVEPEPVLITFSGFDVTHATQRCAVFTIDPRSQEWRTLVSGHAVLQQPLCPAPL YVELVLQAAKMTAEAEGISALTFGQLEGLEITSSLGTSDEKIIKLVLAPSDGERFRWD FSFHSQPRGVNTSAKPATHAVGSVKIITTQDDSTTSELDRISRLIRHRDFDEVTTRLD GEAVQGSLVYKVFSRVVQYHDFYKGVRQVAGHNGAVVAQVELPAEQPPVTQGLLSNPV AVDNFLQVPGLYANCLGPCPANEVFVCTQVDRLYLSRDFEGTANKTWKVCATSTATSD RESVNDVLVFEQESGKLVFVAFGAHFSRVRITSLSKALSRANQGQTTASVGTNGITNG ITRPASPPFVAPPSNRQDPVSPHDTLQQHPVVATPGPPKRPAGPGIEQELRELLTKVT DVPADQFKGDVTLADLGIDSLVTTEIVSEVDQVFGISIPQDQLQDLQTFASLSAYLAG RLGRELQDTPTVVQTTASSEQRQTQPSASSLQAAPTAPERGRPRDSENLLPKLASLLA SHLECSPADFERSTNLADRGLDSLLCIELMSDIEKTFGVSVDLAQLTSESTFGDLAGM FVKVTGRDVSPSDTTNSTITTTPTPPTPSFEPRIIPHDPSVKIPPRPVPTNTDRRFQA APDAFESIKDQFSDLADAYHLTDFYKNVYPKNARLVLAYTVEAFADLGIDLSSLPTGE EIPPLPSLPRHHHLRDVLYEVLRDGKIADYNGKTYVRSDEPIDKVRSSQLFNELVQEF PQHAKEHMLLNLCGAELGKLISGAKEPLTVLFGSKKNRDILEDVYSTSPMYVIMSQLL TRFLEKALSGASPGPDGVFRIIELGAGTGSTTKWVVDRLVRCGIPVEYTFTDISPSLV SEGKRKFAKYNCMRYATINIEKEPPTQYHGQFDIVLSTNCIHATSNLRRSLTHIDKLL RPHGFVSLVEFTSRMFWFDLVFGLLEGWWLFDDGRPYVLASPEFWEKSMRSSGFQHVS WTGGTTKESEIVRVITGFKQPVDNPAFYRSTPQETTGEVETLVFTHVTDKNLPLRADI YHPTPQQAAAQKDWTVALIIHGGGHVMLSRKDIRPRQIHHLLSHGLLPISVDYRLCPE TTLLTGPLPDILTAHTWARTSLPTLKLLKSSITINPTHTAAIGYSTGGLLAMSLSWTS PHPPSAILSFYSPTNYQSPFWQTPNIPSHSHPYTTTPFNLLDCVQPNPITSYNIPPNL LAAGGWMTPSDARSRLILHMNWHAQTLPVLFRGLPPAGTPHPESYTSMPQPAEEEIIK ASPYAQVIRGNYKSPTHIVFGTKDDLIPWQQAEETVKAMREHGVDVGFTLGEGEPHLF DMYRDPDGKRWGYVLEGYRFLLERVGRL QC762_510990 MSSNYPPPPSNNTTDAQVPTNDTSAPSQPVAHNDSDDLATRPQP HPQYTADAAASAVAHHSLQALQDSVAGPPPPSTPLALAQPASSPASHYVPHPDGLPYH PPAGLPPGQPPVPQSGSATPPGAASKATRLRRACDMCSQRKVKCDETQPCRPCRDLGV DCTFNRTMKRRGPPNKHAEAAKAAKQPRLEPNLSPGPHNAAETLISIAGLHGAQPVWS AELIAPFEVLVPLVDDFFRYIHPLAPFPHEPTFRNSFMRREDRTSREFLALLASMIGC LVASFPRAARLHLKHHPNGLAMFPKAINFIERCRVVATEARGSAFPYKDDITVYDAAT SYFLGLAAGYTMQWKVCRRFMSQTMDFIREMGYHKPRETGSHMFGVTYRGPSFNHVED QLGKRIFWCMFVGVRSMVQIGAPHSEIIFPPPTPAEPYPEFPAEVDDQYILPHQILVQ PEGVVSLMTGFIQGIKIYMTMNGLVSIELSYGISSLGFHDQRSMLDDCLGAVKQVMDH LPPELTINLGQHTNDGSSLSGMDLPNAATYYDTGNTYVYLPPDYLPVQTQASSQDTET RRLQYEIQKANIYASQLATRSYYVERYLNLRDNHREQARVQAAQAAQAQAYAAENAVN GNGTDGALGSDAVSKSVAAAALHAAAQQQDPIDAQMFAERELIVQNLLTVLTSITQRN MEPNGSSLINKIRQVASTLLNCAPDRKGPVAQKAEEPLSKFVDILMRLERAAPTINPQ HAHNLHFDHFGQLSGMLPPPLLEDEEQELRNWADLKEQQIRFLQGGGFVSMV QC762_510980 MLSIRAVICTLTCLLFSGLALAQATPRAPGLTFLYSLNCTLGAS LPVGAGPNGNRVVIPITGGSFKGPRLSGKVLPLGADWGLIDSKNTFSADTRYQLQTDD NAHIFISTSGPAQSDGFIHLRIKFETGSSKYYWLNNVIAVGILTSGNGYVAIDAWQLT SPTKA QC762_510970 MALLKTRAKLAENAPYTNLDLINMVDTWPYPSSPSYNTHLAKYY TFFVDAYAEPFGYIHDNFVSDLPWPTEYWLVDHDAKTITLKVAQGSTDGFGARTKAMR ETLWLGHLHVAAENRKAGVIGRRSLYRWCNESFPLLYPPTGEPVLELDGCGVDMLGII NESVFLVAYTYCSPTTPKIPSSSTTSLSTLERATSSTSIASLASGAGTSTATTPLSEV DISKLVFNSTVSLTHTANCAITRPDDEAFEEKELRVYLSRRAKWKSAWPSLLDCTAAG GLSSSDLSPLEGMIREAHEEVRLPSPFLRSHAKPIGENRLMLTETEIGEEGCQMQLQH CFEVELPEGVVPRPGEGDGEVAGWELVTVPEMKERMRMGEVKPASGLVLMRWMLGRGL LTDEEGKGVGERLGREWVVQ QC762_510960 MHPLTLLLASMAGLATAQTNTALRSTFPIPSATTHLSAARTIPA GQTLDGGMKQWDRSPSTCSDQSEGGEKDAVFILQEGATLSNVIIGPNNGEGVHCKGTC TLNNVWWTDVCEDAATFRQTSGTSYVNGGGARGASDKVFQHNGGGLVAVKNFYAANIG KLYRSCGNCGTQYARHSTFDNIKVEGSSQVVAGVNANFGDTTSVRNSCLLKGSACWIY QGNNSGKEPTKIGSGPSGTACATAAIKTSGC QC762_510957 MPDIQHLLITANGIEAGMRATCLEEVTNGKVKLQRATMAHRLLD QLAQLLGQLESQRGKALGPVQGVVIGLEKADPPHSCIAKYLDEDLRQDNDSLSKELPR DKGQRFEES QC762_510950 MSTVIRSWQEQERLRLMKEKENERKRLEQERERLRIEQEKEAER KLVESERALKESIRLASVRAAASRTRTIPTSARTTQTTSSTSLQQPPSSTTYSLTPRP TVDAPQVATSIRLSVIPIDSNGPPLSTPGSISCGQTGYFDCASEYGGGCCPVGFACSK GDLCVSPQLEKEKPMAPLPCPGHNGYFACEEKIGGGCCPANYTCIKDSRAQCRLSQLN LILTNVNRLATRIPIPTSSPRSESTSITGTLAPAPHSEQQFRVGTPGDAAGIIVGGIM AIVAYFLGSYLLFIYRQRLHGSPLNKSFRVLEVRSQWRDARAKARSELPSHHGMSELA ASRPTSSLPPLPSLPAAGPPLSVAVDVTRERQVDITHPLPPPPPLKLRPPLSTSPDTP MATLPVSPPSATVPTLTPTGISPVSTKMTNIVTQHAPLSPPPRIPLPPTPMQGNMHRL SVLEHTKMSGALGTAEDGKKQGMEGIDTGGVVLEVCADEQEKQEDSSSARADSSTIVW M QC762_510935 MPPKQAKEVPYPEKQSYRANGLKLDSAAYADTLTNAYKTTRDIA SQIREQIEPFIRKARASVDHLIEIIQQLRKEIRIARDSLIITNRDELYPFITAMEEVG DPLFWRLDEEYKEAP QC762_510920 MAQDQVSQRRVTTSELESLRNLVGSTRAMVEQHGRRLVEVKEGV LQPVICYAAPHWDDHFAAAVSLLQDETTHYGICCAEVRWYLKRRTMDEFPLSYGGALD EAPTAASTPLSVVDSPPLRESPDTAFESSGDVAATGITIRSLNREHQVKKDDPTPAGR INHAGPAVRDLDAVSGVGHPRGALDVDTNFQNLVTLSHGPNQNLNQLEGKGAGEAAKS PIAVQRHPERTAALERHDQPPERSALPGTTQPLRYVEGRKTRSLRDVGPLELLQPKEP TGIVDSPRKVHKDPVSVGEQSMLHASVNPPVPQPTTAAQFSNHRPSQNAPTRPTDRGG KARPEGTANTGASMRLQQDLNLMDVVPPPPDLDLERRTMNAMLNTNTPSQQPAPPTVS LLPNIVPSSPQEPPKRQSYANPKNLSAIVLLLSDRHQLVNFHQRQSLLTANLSPTTYT PDNRDTRFRNEPQPQPGLDSAQFPNLHDDDQSQDGSERSESVAWGDTPAQKAKRGLLR RALRKTAKRVDEVSKKLRRV QC762_510910 MEGDEKVSYRLFDLFFTTCYRMFGAQWRRAMAMLANQPLPKRFR HAKYKSSAPLFGSTSTRWSTHKADDTTVKFPSLHADIEKALGTVSIIPKPWFNDHSED GKVTQEYFTNVMGRFKCQNQNCSKSGWSSKKVGILIRQFSGNGYYALVFKQRCRVCDK LGVLRLDEDSYVERVVYRLKKWAGIHVEKPVFGGKRGEPHEREFCEACLAGYDCQKAS LEE QC762_510900 MCHFPTTLLPTLSSTKAFPMQFLRATIYFFRQLSRVKEESPTST INRDVPPTTVPLSRIDRVYLHFDRLLRPIGLTAGCQFLCDQLKMVQRGFVLLASDINM GRRKTKWVLVNLNDDIWASPSTAASPTAEIENNPLAKKEPVLAWIQRSFPVRSVTSIF ANNGSYTDNDSRHPDQIEFLRLAPHRHSQPTKSCLKIKSSSTDSTILTEPRRVSFAET ESICIMTSEPYTPNPRSRKQWRFCLVPSGVRSKPRETNRCLEKRPGPVSEEEKWLDER LTDAIFTSFDERARSPQCQDKEYQEKKWAWKRYRRMVRNRKDTLSEQARKELVGWLEE WVRRYTEVSETKEESVVVSEEKEEQVCSCRTPPSSPDWD QC762_510890 MMYRSFPTIGACGGFHLRRIVLPSTRRLTHVPNIPYASNFPAAI VEDTLAAPFNMSSTPGLTTICRCAERGLPTSAFSAMYSAVASPPSPLGKRRRDDDSSS NDSHHKRQRNPSSDSRIADVIERRKTINSGSPTRWAITSHRRPPRRSLDVVNHLTKQM GNLHISVTFERYCTESRRLNRTGQSIFGIPVPLHHKSPVSNVFMTDMKNGSFSFYCQS ATSVEVEMGLADHNQNLVQEDELMDELRQGDPPEEDSFLTRFGQWLGSLASSDDYTIV DLTPVWQQNNAGITISGQNLSITPGSPQPAQDTAQPATPGPSVGGVPVSGPLMTVISG RPRPIEDTPKPAQESHKPDGYTCLSALHPRAADRMPMHGQIFPTASGRLRPNNKEKNV ISADAAPSAEPSTARHPHRRNHTTNTDRWDKLDVINASYQPKSNPLHRVAAPVKSHLK TKKARTASRKKATFGSNMRISVNDTHYPQTHEEEDAATRAERKAKLRRKTEANRAEQQ VESSVEAAAAKKRKADGRGEQAYRGVAAPYVP QC762_608060 MGEKDHYQYDALIVGSGPIGATFAKILVNDGHTVLMVEAGAEES KKCGEHKKNAVRFQKDIDSFVHVIKGSLQLTSVPTDESVVPTLPPVSWSGEGKQVHNG QNPYQDPALNLGLNAVARNVGGMSTHWTCSTPRQHLRERSPLLQNEWEGLYSEAEKLI ETHTDVLEGSIRQDMVKQILNDHFEGEKDENGDQKLAAFALPLAAKRDGSEFITWSSS HTVLEKIKPEFSKKLELRSEWLCEKLTIKNTKDASGDAGIVENAKVRSLLTNQLDEVK ARVYIICGGPILTPQLLFNSGFRTGETTTKTKTWTKAGPNSVSHCVERLSDKEAVEPF LELPALGHYLTEQTMCFCQVVLDRRWIKAVCGAHPYPKDDPKNDGWDSLRKEKWDEKI KKHLETQKGRYPQDQLPFPFNDLDPQVTLPVSEKQPWHTQIHRDAFSYGAVPPNIDKR TIVDLRFFGPVEPTKDNCVKFTTKVKDAYGMPQPTFFYRLSDADRELTHEMMKHMEKV AGVLGGYLPGSEPQFMENGLALHVCGTTRAGEKKDDSCCNKFSQIHGVNNLFVGGLNV IPGKNASNPTLTAMCFAIKAAQYISLRLGAEKKEREKEEGKKEERKEKRNEKRKEEER KEEESK QC762_608080 MSEHCSPKFSAAEVIQRGRTDGYWVRAFQFSAEDEMRGVLVSGL NSGKVEFLDNPLATKHSGGPKEWKAYLVAPDPEHKDPNFPKHQDPKHQDLKEQNFHTP VAVIGMDIRKNGLLDVVICHGYGATMIDSCDPGGFIYWYENPGRGRLKENKPWKRHYI GQWPVMHRLETGHFTQRSFSELIAAPVIHGPKDKTTPIPILRFQIPSDPMTAKEWPRD VVDDQNFTVIHELAAKRLDGQSGLDSLLVSSREGVTRLYYDDGRWKKELIGRGEPKRE DQKDDSTTPGSGDHWGTGSADIGRVGSDRYAYVATIDPFHSTKVCVYTKHDDPHSPRV EVEAPCSRYLRNTDAAEALGRWSWSLCDMH QC762_608090 MASNRRILWKMRCRVSVSFSSRANHTQYYLTNICSRNFYGNGKC DIVSISYNVKDYYQELHPAVRLYKNLTRDDLQTISVNCQRPTNSIFGTVWETISGKET EKDARTDAGKEIMVYLPDPANISNRAAAGLIKQDLIEVADIKISVEVHPSGREVKAEA NEGIKVLYGSLADAKDQKVKRTPLGTNRFPDKESLKPPRPEQQPSKKEPASPSVYTFT ADQCSGAIILRLTPTDTTDTFKTYRKATDVDDKVQTLFDLGNFGLETPKLKFSKVEKL WWGEEFTNVEFYNLTGFHFRFLETKQHVAHMQFWIAVIPGPKVDARLHDHTDQAFWEL HTCLSQGTPQEQVSGTDKQGGMVAPRKEYYSKSFDDVKKLEEKLNEGAFDYCALMPLE EHGKIWHTLDDGRTIYRKNGTVSYPAHAWRAGVGNAGENVDVWMALEFDARV QC762_510680 MMASKTDLCKPCLTAFSQPLPNCSVVGHAGGVVYKCTRDHLCQS SCPMCILIQGLIDRADKAYGRLSPDEVELRLAHDRGSHGGYRPPAWTDPDPRRQPEED SLLEGAEDSSESWYSLKIWLTPDVYPYNATTILYVTLAADFGTSAAAHVVARPRPVDT TTTFSIIKRWIQHCDGHHASCRDAAHNASEMPRRLLWVSNADIYPDIRVAPVSTGKRY IALSYCWGGAAEASTQKKSTAATIAENELKISFQSLPKTIQDAVSVSREFGIDYLWVD ALCIIQDDDNDRNHEIARMGVIYANAYLTLSASGASHCAEGFLERPLTEYRESDFFEV PLQLPGEGGPTLVKASRAGVRNIWSKENGNLFWFQNRELLHTRGWTFQETFLSPRLLI YTSLQPYWVCREAFWSCGDPGPMEYLRSVYLQDMLELREMSDRQQKYLQTLASDDLNS SAELWRWGTIIHWFSSRRLTLVEDKPLALHAVRDKFTHHDPSLQDCALGLFRSTAHMD LLWHTRHGADPQSEQLSEFPSWTWMSFDGGVTCPFKYGGPQESSMIKTHDEFEIKSWP GCDQFGRLLPDSSPLKLRGITREVAIPHRFWKDGYASAQDMPCFSLHVLGLREDEWEE NEDRIGHITFDQYRMPSSNDDFENNPPTRYKTLQILVIALQKEHVDNTLSLPDDRQGY EATYGLVIAPALRAGGRHRRIGFYKGGDNSVSYFHNGVTEQFDLE QC762_510670 MVALTHLLTYLLTLSTSLAHALPSTTPETVLAPRQLSNPSPGCG KSPFGSGVRTVNVNGRQRQFIVRVPNNYNPNRSYRLIFAFHWVGGTMNDISSGGTDRE LWSYYGMQRNAGESAILVAPQGINNGWANNGGEDAAFVDAMIRHIEDALCVNQRQRFS LGFSYGGSMTFSLACSRAREFRGVAVIGGGQLSGCSGGNDPVAYLGIHGVWDGTLNIG QGRAMRDRFVRNNGCQNTNAPEPARGSGIHIKTNFNGCRSGYPVTWIPFDGGHWPGAV DNGPESGARSWVPGEIWSFFTQSQLAN QC762_510660 MHFSTVAAAVFAVAPQLASAHFLFPHLMLNGVRTGAFEYVREHD FGFMPHNNDFINSQDFRCNEGSWRHRREPKTAQVIAGRDTVGFNLHLDFGIYHPGPVT IYLSKAPGDVRDYDGSGDWFKVYQLGTMMPWNGTDQGWLTRDKKNFQFRLPSDIPAGQ YLMRIEQMSVHPPYKQKEWYVQCAHLNIASNYNGRAPGPTIRFPGGYKISDPAIQLDS WAKPPPTFAPMPGPQLWPN QC762_510650 MATPSNPNFQAVKDAITESLRKDGLPSDLLSLVRSKTRSPDAYL AWTQENLLRKMTSPQAKLAAADTLAKIQSIATKFTDLRAITAFADAVNNTSVPIPLFQ SPSHQYLVVVKAGALSLSVPPIMVSSLHKTDEEVDNRTKVHPFFYSLSHGSSAVLPKH VYPLGQLYYKLSSGDELTDTNYAVVVDVVGEDHGVWLVWNRRGFNAEANEEVVTDPAK KKALFVGVDGTKNFDAVEVVGKVSGWKLGNDGASLLTLAEFEGNARRTKVGGEIVVGV AKVEELEMVLPGAGVVDWGSGGARQPA QC762_510640 MAVFRENPRIYHQSAPTRATTRQQIINQPLLFQQTWQCAQDAAQ NIWLLYRDFLSTVFTAQIGAGAGGVHLDDARDLVRLGYWLVLGPMWEVVQERHYRLGG GPGGFPTMADLSFIDYLMGICSTLEIIETRIFNVPNVQKLPHNVQHEGILNDIAQWTN PNNQTAQNISVLGFLELLLRIVAHTSPNLAAFRASLNNLRTHQPRNHIYHKRGLPRVM FVCAGGNNGMHVITTSKDNWYDFTSLWAATDAARVQRQTCPPIVLPGGGGQPAFNVIG WSNAVFHEFSNAEADVARILSDNAAEIIRSNTITVWQNQAARFANHANERCLPMTVPD FAVKARCLRCQALFRYNVNHPDALGLVGAEAAWRGNRRLGVAVLCNGDWDCAETLAHF YCVAANVVGVGGLH QC762_510630 MSGLEPLVAFSLACAVCQAVSFAGETLGVCWNLCSTGSAVESTG TQCLTRLCADLQKHCTPISGPLTREQQRLLDVAQTTIDAATKLERETIKIYRSLPRSK VLATLNWAARCGIYKVG QC762_510690 MGNWLCKPPLPERGVHYEYHESVSEFGSDLSLPTGPAGVTLSNI TLDVMSKLPSNVTRHQGRRPVGRRPAEGLTETTTVKTMTTLTNGQVVTTTETTTVTVG QGERVRRFGRRGRR QC762_510700 MSSSDDDAEVTITGQKIDPIRAAESNSNVFIAVVCVVWSLAFCT ALVRFYTRAIVVRSFGKDDIFMVLAVLCGIGGLAAWIVGCHNGYGQHTDTIPRDRWKI LLEAQFFQSVIEASFAFGFLKISIALSLLRLSRGKWYKWILWGLITFTVFYTLFAFIT FMTRCQPVSGQWNPDIKPKCYGKVMYRNFGLFNTACNIFTDLSFATLPIPLIWSLQLQ RRLRIYLIAILSGGYFGSAVGLGIAKGIYIIAYVNNTDGTFYPYAPFFGSLQLDIGII AACAPTLRPLLGRFLRLSPIAYREANYYRAGKALDRLPLHSRHPGRDTSINGIRAAYL RQNTELDLEERQFIELLTGEKRGKWGNHATALHMEYVRSPPVRSTKITSGGETETIVQ GGVGVADKGQGSDDERDLILPAGLRGEEGGLRGIVKTTEFRVEK QC762_510710 MSDTKSPADSEAGEIPDGLPSTIADGSTPLDKADMYRMGKTPQL HRNFRFFSIFGFTMVLMQTWETELNVSVFGLVNGGTGGVIWVYFGAFLGLFFAILTMA EMASMAPTTGGQYHWVSEFAPRSSQRFLSFIVGWLSVLGWQVGNPAICFLLAQQIQGL VILNNPSYVPERWHLTLLVIAILTVCMVLNTLLYRALPLLETLALVLHTAGFLAVLIP LWTMGPKLQSAHDVFFTFADGGGWGNTGLSCLVGILSPIFSLLGPDSATHMAEELQDA SKSLPRAMIATALLNGVSGFVMVVTYCMVLGDLESVLQTPTMMPFIQVFYNAVGSYGG VTAMTCIMIIMASCGVVNNIATSSRQLWAFARDRGVPFSGWFAKVHPRLGLPLNALGA SYVFAVLLSLINIGSTVAFNILTSLGVGALLSSYAISCGCIAVKRIRHEPLLPRSFDL GRTGLVINVLSVSFLVFTFIMTFFPPVPEPTLEMMNWSILVYGTVVVFSVVYYIVRGR LRYAGPVEYVRKSA QC762_510715 MQFLTTILAIVTMAGLTVAAPAPEASPEAAPQTPVDLEKRQSLG VFVTQDINWGGRSEHLIVVRGQCLTLGNGWPNVISSFGPDSGLTCTIWDNNGCTGPSF GPITFPGIANLVTIGWNDRINSFRCN QC762_510720 MPRPKRASKATSSPYPPRVSGSAKSVATIPSAGNRKIQEALAKR LEEVEDQFRADYVEMVNKTYEEMSEAKKGPIRWPREKGWDPRLREDFDDINTESYLQR GFPVEEIDRVMAKSAVPVGPVPPPPPLPVPPLPPAAPAYVAPGAPGWNPLGNFSRLSA EIRIMIYDEIFPAGRRVQRLQRHAPGQPDRYGLSLRPAPPWISIILASSVLRDEALAV LYSRNNVIIHANLLDESLRDPIDTFPLVFRNTARRLTFAWDYADSDAVKLGRQPGINF PPGWTTRRSRQQRGLPRNQPIDPRQPYPMGQWYGKVAKHTITVDGQYQQTITIFSDFP NTRPLCLDAWLPIFNRVDAVDYWHYFDCHIAREFSRSQLWWKYSFQANDPHARGVGST NMMSNQPFILPQVANPPLPRGIPLKTRAEKQLLQQLLENGAFYYDMQRLVNNPHWLHL HAFDLRWGPIIVPNDHDTLFWMWQMRQAEQLIVETHMLDPRNWDPDFQTRVNVGVVFP PPVIPRTGKGSRAFRLD QC762_510730 MTQPTNSNSKKPPGNNNDSSHHIASSLFPSPSLANNSPLIHNPD YTHLPTLPDGVTLQPPGKLPTTNAATGASAAGVRALTSQAIAFYFRAPVKAFFRTRVD YLAYARAIQEQQLAAYLASSNPTSSNAAWGWFKGRLRGSTPGLIASAVRYHGWRVVPD QVLPPLIANVGVGAVLYTSYLQILGRLHPESALASKRVYPPPSPGETFTAGLLAGGIQ SFVAAPLDAVQARYDLGVGGAGMTGSGTTMGGGTKGVVVEGGGNGNGNKGARIARPRS MWTFSREKLAEIGPRGIFAGWGLSLVKDSMGSAVFFSLFEWIKAQGYYGFVGWYYGSL EEDAIVLLSQRRPAGLDGKVGSRRDRLTGEVEREDDRELRMPTIIRPHYAIEPAFLLL AGMGASVAQQVVLHPLGHIQVEHWERLEALDAKARKLKSTEGGSSRRMFKAYRDAYRV TWGECAAEAKTAGLSMRQWLYRGFWWNTIRQVPSTSAGLIIFELVRRKYGLGSDQVKI NRDGYDILLT QC762_510740 MDDITKTLTQLARKARDARVPYNNDSSQHYAMFSGAISNVLSTE IALFTYAQIIDGLPTDEVAYDRRSPGLHGDHPIEQHVDLCPGTLERAREFRQNFDPAI LSFDPKLLKSYRRAAVGSKEFNTRLIELVAAAIHEIAVILFQLDLRLHQGDVDAIVQW KEDDEDVAPRATIFNHPYYLDDDVYPDGVADVVGYWAEDRILGGVTVFDRRAEEATPE QPPNVYFLSCRRRHTDRYWQLLDQQQQDLVDFFLGKSASCPLPTLCTDDNTVRIDEQF AIIQHHVYRDIWERKPPTRQHLRFLANRPQNAGDYPEHAALIESIKRQWDDDLEPETK E QC762_510745 MGSLTIAHELVHFFAGRIIGVPETDTPDKINAPPELSRVKGESG RFWEDKFVGYNIEAFFDPTDPLKEKQAGKLWADKKQSRVAPADQNLVDHAWVKTMLAG TFTPAKVTAPTARRKESEKEMRETRGPAAGDYIDGNAEFATYYQKIKGKPTFTLTGTD LTRVKGIAAKPANIRVP QC762_510750 MIPTLTSPALFLTAALAPWAALAAPPAIISYRAAAPPTALPQRA TANDLKWQPSLDFDTDGCYNVPAIDAQGNIVEGLPHNWTGYSSNCRDLSDLDNNNVYS RQRCNNGWCIYLYGYYFEKDVAIANFIDPGHTHDWEHIAVWVRQSTNQAEYVGVSQHG EYEIKAASQVRWDGDHPKIVYHKDGVSTHCFRFADASDDNIENHKGVWFRGALVSYNG FPSLAIRDKLFAHDFGKATIGFKDATFAGNIGRAKHSSITFDANLDVGSPGNP QC762_510760 MKASTTLAVLAAAGAQAHYTFPGTKYNGVAQPQWDTVRITQNHY SNGPVTDVNSPLMTCYERDPGVGAPNTLAVTAGSTVTFQVGSSVGHPGPLHFYMAKVP AGKTAKTFDGKGAVWFKIYQDGPSGLGTGSIKWPSDGKTEVSVQIPSCIANGEYLLRV EHIALHSAGSVGGAQLYLSCAQISVTGGSGTLNTGQLVSFPGAYKATDPGILFQLYWP TPTSYTNPGPAPVRC QC762_510775 MEPHYNSPVWDIGVDDLASYWNGGQDKTYDHQDNGNDSDKEFWL NIKTMTQTTSPKAASTRITRMATVEAAVVTKGATVSVVIWTTWKVANAVGERVVIASA AMGMMTTMTTRIGSLWGAGRVAASCIK QC762_510780 MYLDVQYRALTRGTVLHEFGHLLAFNHEHQSPKSIIQWNKTAVA MELRHRTSRFLEDNYFHTFQGPDIECTAFDPNNIMMYGIPARWTLNWQTYHKPTVLSQ LDKSFAAQQYPFPPPRQPTPPPQQVALPRVLEVACHCGDTLSQPYNFRQRRVCRCQQS VKA QC762_510870 MVVLLVRVGAFMSAVPKPCDDGKLIGRRAPDTRALKSHAFPNFS GLCFHHDNMATSPSVTMTTTATTVLHQITASPPQPGPTVIPDTSLVLNLGNLLASVED FDEALRQRPRTSCWQDAVDAIEKAKNADKEKASNSRVRGYLRKSKVEISMLESLTGVI PDQNGLSILRCGLATIFSMATVRLQLQETILDALEDIPDTFAQALNALSCFPDAPDVL LAVRELYCILLFQVDTLIRVLLRSHPQKNRMKSLWSQLPAKETEVVHLALQEVARAAA RVSQCSQAALERTVANIDRKMDHFGDTIMSAIGQVRIQERDIQPDLESVVRTAIQDTL DKWRPSLSESQPPVLYILEASPQTPSASFPWHHSSISPMSISPGPILSSYSAPQKPVP LPTSPSPSPTASPNRALPSMFPLTPTKTVPPASSSDLLLARFGVSDGLSEDVLDDVTQ QSSRLRPDAISRGMYLLSTDRFLRWIQAPRWFSDMILVDGHCTDAVVDKVSPMTTVCA HVVTALRENPSAIVLYHFCGQNTSFLDPLRGTFGLVRNLVRQLCQHALHLNLNGHMAD TIDEYNLPALCDLFSEVIKQLDPSQPVFCIVDGVSELETVLDGWWDDVCDFIECLLEH VNTAPEHRKGPALRVMLTSMERATRLADDGVVPKDRTVSLIARRSDYAGFVTDL QC762_0088580 MDNDAPSSRTASTDGLQGGSPPAKPPIKEPVNGPPASLGPSKGH GQLRNQSPPSPSQPETSSSSSTPESSNKDADAPSSPSTATLKEEFKVAPQAPVIAQAR SCNFEQFVNRFSLAEAGYAIEFLEAGTELGKEVSKEVMRRHRIKVEGYQDKLSDEYKS RRLNGELVHGTWIHAVRIQSKAVLKVLSEVGGYAWGQEPYTFMRPFAHLIHCHPKIKA KFKEIRATPPKSDEDKQEREQLQCYVFLVEERLLPPVHQFDDVQSPQTRRVRYDDLWY LFKAGDLVYVPLSTLRKQWSGGDAEIPKNAVHQRVWRL QC762_0088590 MYGSSETGAKAAAWLYFIDYDGVSYKPVSFRFTIEGYDGLKEVR KLEVYPLRFEPDNQAIVQEQRELGLRFTECIQQRHVSYKAWSLLTSPLGRYYYFRGRP VTSADFIDGDVIIDCQEAFNACPHWKYIYGLDAAHLESYIETDSDVNRILLWSSPSRT RLQFSWPNIVVLGDDIEFLEGVPFRQKQAYGIAGLPKPLDEDLAILPQRLFGYSPCHI EAVAQAYGKPLFSITSGDLGSTPAQVESNLTEIFHLANVWDCVLLLDEADVFLEEREK SNLERNAVVSLFLRVMEYYSGILFLTTNRPGQLDEAVKSRVHSTLLYHSLDLRQTREI FRLNIDRLDLIERQRQANPERPARRLQCDKAGILAFAEQHWHKHEKDELGRWNGRQIR NAFIMAAALARCDDDYDDHNHDDENIITALVTQRHFEFVAHSVTNFDNYMARARGGLD SERARRRIDRPDHFDKRDDESPRRTPRRGAALPNPSLSSSYYPTAAAPSQPPQQAPTP VYQPQMLNTNYSYARPAYNLPFMAWPPPLHHATVPPQASLPQTPLPAQTFTAGAPSSG TTSDAGVAVEGTAWETQQPQVVAA QC762_0088600 MEDDEDNKIIIKDIQFGFRRFRAPAKRIYGNRNVFLLDVPPNPI ASWLSFWIPQLVRSWLQRLLPEWFLPTTVILKERNPNKADSYENEIDTYLHLRSLQGT HIPRLFGEVAVSDPHAQRYQISKRPTPAILLENVEGVSLHNLPIKELGNDQLLNQLQY IYDLLTKNGVLHGDPRLHNFLRVDQRVVAIDFELSEPLVGDITNEEELETLKGDIKRR TGEGAPIRQPPCQTSTLPQRRPLALTTPAPLCGGRPAGCAKD QC762_0088610 MGPPVSLSSTEFGYRRARINRTMADIYILLLIRFYLRRNNQPSF TFIRIKLSNYSSNMHWSLPS QC762_0088620 MDLRNMVSQPGEEVAWATFRTVQERSNVPTKHNPEPLVRLTATS RYSDKETSFDATKVTIAGIVRLAKYCRSSEWASISKFGGVQKAAFVWLNQADLDQDGY WPHYYNILSRKDTHLAKQFLLDITLLKWQDDIDGLVSRAYSQKRVDERSMPGDLTPAP TRMGADAGEKEAPQNPNGHWPPNCDCSADKQVVTQMRSDLEKEIPDARAEIQEMSGLT LAMLTQSGLEMAGVDRRSLFEVYTELWRAWEQSATFQAEVKTKRRLSVCISADLDLSK LGDIDFRIKVDRSRGLEVVSKFRVPIHTCIKSLDPPRDWPQEAGRIPSCSDVVESLSV DSSRLEDNANLYFFFKIINVSIPLKLTVLEGQAVTVRDRHELIWIWIPAGDFAASTEV PKGVQIEVINATIQKQALQD QC762_0088630 MLTSDLHLHPRGYKTHKWLDLDATYLIVKPKFSLRFRHADKLRI ESAMPDSYRFGDYNNGFQVGTNRGTIYNTFPQAPERSETPPRPFATIPFSRDPDFVNR GDILEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVHAGIYER VEDGFRTIANTVKLAGRNEPKANIPQLLAVQRTERQMDHDPDSADDRDVFDNANIAHG TTSGNERERRPFATYLPQSQNGSIIVTTRNRELAFRLTGRRQNMIEVGPMAQTDALAL LEKKLGSPADLDVAADLVQALDLVPLAISQAAAYIQARAPRSSPEKYLAEFRKSEHRK SSLLQYDAGDLRRDGGASNAVLTTWQISFDYIRSKRPSAADLLSLMSFFDRQGIPDWV LKPPRVTKEDIPGRRIDEDGDTDFDNGRSATDGAVDDDMDSDTDSDLTDDSADTTDDG FEDDVAMLRDYCLIATTEMDEFEMHGLVQFSTRKWLEQWGQQETFKQKFIERMAASFP TGDNKNWATCRNLFAHVQVALGYRPSENREEIWATLLYNGGWFAWSQGRYEVAQRMVG KARRARENRLGKEDTASLDSMSLFALILLDRGQWEEAEKLFVQVMETRKTKLGADHRD TLSSMANLASTYRNQGRWDEAEKLEVQVMETSKTKLGADHPDTLTSMANLSATYRKQG RWEEAEKLEVQVMETRKTKLGADHPDTLSSMANLASTYRNQGRWEEAEKLEVQVMETS KTKLGADHPDTLTSMANLAATYRKQGRWEEAEKLEVQVMETSKTKLGADHPDTLSSMA NLAATYRNQGRWEEAEKLEVQVMETSKTKLGADHPDTLSSMANLASTYRNQGRWEEAE KLEVQVMETRKTKLGADHPDTLSSMANLAFTWKSQGRHSTALALMKDCAQARQRRLGA EHPDTLSSLATVTKWGS QC762_500380 MHLNQAPPALTGPKHLLSAEIGVKEIISKTPGSGSDAGSVTTAY DDIPTSIMTADALAAAISDSKRASNNPSVPVAICGMAMRLPGGITSSEQFWDLLVNKR DARSRIPTSRYDVDSFLSSTGKSGTVNTTHGYFLDRDLTQFDASLLSMTRNEVEKLDP QQLLLLEITRECLENAGEADWRGKRIGCYVGTFGQDWFEMQSRDTQEAGPYRITGTED FLLANRISYEYDFKGPSFIVKTACSSSLICLDLATKAIQNEDISSAIVGGSNLIMNMN MTQALAEQGVLSPNGSCRTFDADADGYARGEAVNMIYLKRLDEAIRDGNPIRAIIRGT ATNFDGKTQGIAQPSSKSHEALIRDCYNKAGISDFTRTAMVEAHGTGTKTGDPIETTA IANVFYEKGVYIGSVKPNLGHAEGASGLTGVIKSVLALEHKVIPPNIKFDKPNPKIPF QKGLRVPVEPTPWPRDRHERISVSSFGIGGANAHIILDSADSFSVPMVLTSPKSAPRL LVVTGSLRDSVLKGARDIVDYLDKHADSVRDLAYTLGERREHLDFRSYAVAVESAPTV FAAPQKAASTPSGVVFAFNGQGSQWANMGSTLISSFPSASDDLDIMDKVLSGLPCPPA WTLRDELLRPKETSNVNKAEFSQPLCTAVQIIIVNLLRKFGVVPAGVVGHSSGEIAAA YAASALDMQEAIVAAYLRGFVMGQQTGQKGAMAAVGLGKDGVDLFLVPGVVVACENSP TSVTLSGDADKIDQVIAEIKEEAPDVFVRKLVVEMAYHSHHMKSVGEVYETMLRPFVS SKSPSVPFFSTVTNKILDQGGSLDASYWRSNLESPVLFNTAVQTVLARFPESIIAEIG PHSALAGPLRQIMQTFQPNKVEYLPTLVRGQDGTINMLTFAGELFTRGFKLAFQDINP RGKVLTNLPNHPWHYEGQYWYESRLSHMKRFPKFPRHDLLGSHIAECGDAEPAWRNIL RLADVPWIRDHMVGPDIVFPAAGYIAMAGEAVRQVTGCTDYTLREVSIGHAMICRDSG HNETVLTLRPHRLTTSLNSAWYEFTISSCNGGAWTKHCSGQVRGGRHLDGVEVPAISD LPRKIAAGPWYQTWRNVGLNYGPRFQGIERLSAHPAENKAVAHIVNRIDENDSVYQLH PTTIDCCLQLFMAAACRGQTRAMHKRSILPTSLGDVYIGTLGANNEMTVEAGADYTVR GGVDGTCMGVGRNNEDIVLVIRDIKLSPVGEEEPASEVDPHAGAHLVWKPHVAFQDLR DLVDVRTLVERETFPLLQKLTLCCSIEACKRLEGFIHTITHEHLRKFHAWLHAQVEQA KTRGYALVDNVDELLQLSSTERVTLISQTAREIESTEASIIGTAVHRIFDAIEEIFRG DIEALEVLREGDVLTDLYNFIDNKNYSQFLRLLSHSKPNLKILEVGAGTGATTEVVLG SLDSFSSYTFTDISAGFFPAAKERFDKVKAMEFMPLDISIDPASQGFKLGSYDLVIAT NVLHATPSLHETLVNVRKLLKPDGQMLLQELSPTTKWFNYTMGPLSGWWLGEADGRPD EPYVGHQRWIDEMSKAGFEHAHPVMLNEEDAFYELNITWVASCAPPAPVDRRGISVLS AQPESPVANAVVKALQNRNFEVEIIGLHDTPKSDIISILDLETNNPFLDGLSPTTFSQ LQAFTTNLGSSSGFGMLWLTKSSQVNCTEPQYSRILGLARTIRNELLVDFATLELENL DFLASDEQMNTICQVLCQFQQQIASGGDQGDDDSGPDYEYALIKNTVYVPRFHRFSVE DSLALEADSASAGAAKKLKVTKRGSLKGLEWVNVPNSELGASTLVGDEVIVDTRAAGM NFKDILTAMGVIDGQKVEGTGLGLECAGVVSAVGPGAASNGLKVGDRVAVGAFNSYAT RVKARIVAKIPDEVSFEEAATIPSVYCTVIHGLLDLARLKAGQSVLIHSACGGIGIAA IHVARMVGAEIYATVGSEDKVQYLVDTFDVPRQRIFHSRDSSFVDGVYRETKGQGVDV VLNSLSGDLLHASWKCVAEFGSMVEIGKRDFIGHGKLAMDMFQENRSFFGVDLAPMYV KRPEMAKSLLERAMAFYKAGKIHPILPMTCYDWSKLEDGLRFMQTGQHTGKLVLRMPG DPLLLPATATVRSGFSLRADASYLLVGGLGGLGRQVAIWMAESGARHLVFLSRSGQDA PEASQLTEELAAMGCAVKVIKGSVTSLQDVRRVASEARPPVAGVVQLAMVLRDAAFPQ MTHSDWEAVMGPKVEGTWNLHKAFSDESLDFFVLFGSSSGVWGNRGQANYAAANTFLD SFVQYRHHLGLCASVMDVGIVGDVGYVSQNKAVKELFQSKGAHILSEQKVLDALELAI KTSAPPAPKRSATAESFVNQSQLAIGLRVDESRASAQNRAVSLWGRDPRFGVLRSHEK QKAGTAGSMPSAMLEKQDGCTDLDELFAAASDNAQIFAEPSASAIISRHIGTTLLTFM MKPVESLDVTASPVSLGIDSLMAIELRNWCRQRLGTEVTVLEIMGAPSIESLGMSVVE RLLAERA QC762_500370 MAQQSPHDASQPPAHLVTDQQISVERSEQVGGELNAWKAGRQEW MILLTLSIISFIIAVDVMILIPALPTLAHELGGTSAATFWTGTSFLLANAALVPCIGA VSDIFGRRELLMVSLLFFTVGTVVCCTSHTMTQLLAGRTLQGVGAGGIQTMSYIIVSD IVPLRQRPKYGNFTLLAWGLGAICGPVLGGVITEHTTWRWLFYINFPICCLGLLIVPL TVNLRPEKKRTFAENLAAVDWAGGVLFIASISSFLVGLTWAGIQFPWNSYQTLVPIIV GAVGLLLTVVYEKRVPAFPFLRVSVFNSLSAVLVYICTTVHGFLLFSHLFYIMFYYQS IKAINPTIVAVIMVAVNLVLFPASIVTGLMITRFGSFLWAVWGGLAVTTLGNGLLFLL DRDRSVAATVFILLVSSIGQGLLLSALNVATQTMAKTVDVAYAVTMFMFMRTLGMCLG VALGGTTFQNVLLRALEERKVADALVIAVDAESFVARLHAMQSGPTKDAILESYVKGF HGVFGLLVGLSASCLLASFFMKHHTLDRKLDSEHKLQGRHSAKGDVSA QC762_0088660 MATHNVAVAFDKRESIPGPSPLPLLGNIRDIDVKNTVRSLNELA DSYGPIYRLKLGVANVIIISSYGLINEVLSRKEFIKYPVGTVMRMRDVIHDSLITAFH HEENWAIAHRTLVPAFGPLAIKQMFGEMHDIGSQLVQKWIHSGPITAIDVAADLQSLA LDTLAL QC762_0088670 MDTRFNSLSRDADEPHKLVNALNGIFSEVTVRAARPAWFTKLQW SANRKFDENNAFLRKLAHEVISHRRANPSQKKDLLNALVNGKDPATGNSLTDDCIINN MMAFLIAGSETTGNLLAFLLYYLLANPKAYATLREEIDRVVGSDPLAPEHLNKLPYTK ACLREALRLQPTVPVLAVKPVDVDGPIVLGHKWELDGPQTILILLHHLHRDPSVWGEN AKDFNPERMLDKNFAKLPPNAWKPFGNGQRSCIGNEFAFQEATIAVALLFQKFDFSFA DPDYKLTIKQAASIKPANLFVHAKLRPGVDALSTNQGVVHEDTIGKPAPATENVFSGV NDLKPIIVYYGSNMGTSMELANNLAKSAIQRGFQCTAAALDEATGSLRPGVLSVFISS SYDGQPTNNGAKFIDWISALSPNSLTGVEFVVFGCGNREWRDTFQRIPNLIFALLEKH GAISIAAKGSADAAEGDVLAQFVTWQASDFWPCVASLHGVAPGTTDEALRVAVVHRKP TFQSIQTGVSAIVKKVYRLTDDRVRPKYHLELELPEGHNYEVGGYLEILPCNPLELVK RALRALGLKEDDVLELHSSASTPLPTGVPLLAKDLLSHHLDLNQPATVKQLELLRDHC EVAEDKQALNSILEEGNRTNVLTRRPSVLQILEAVKIGNLPMSALIGLLPPLKPRPYS ISSSPLSSPSRCTLTWSVLQHPGLSHPRFNQITTYGLASNFLSALKDGDALSVTVKPS HAAFRPWALAARVDIFYAFSQDTENTESAGCKYAQDRLWLERVRVMDLWEQGARMYVC GSRLVNEGVKDVLKRMYVEGVQKQNGQDLTEAQVEEWWASTRRDRYAVDVF QC762_0088680 MESPNGGQSSLAAAIAALPSCALACIQAAMANSTCSPLDNACRC TNAAYNAQVSQCVLGSCTIREGLTTRNITAGICGVEPYVDHSAKGIFIAFTALTTVFV GLRFLARQARNVHVWWDDVMSFVGVASVIALLGIMMNLYEIGMGSDMWSIKHENITRI FLLMWVAMFLYGVARTVSRVSIMLFYFRIFENTPGRRLRIAVLVLDVLSCSALILLVL FPCRPISHFWDRWDGEHEGTCLDFYGEAVGIGIKDIIVDVIIITLPLPWISKLNLNRK KKIMSSILFSVGLCVIIVSAGRIAVVDKFVHSTNPTVDMMDLAILSVVELSLGIICAC LPSVKPLLNYQNVPFLKSTRATKDSATPKYYPDFRSGNSGQSGGTIQKKIRVTYSTAA TDNNSADRDESPLVMHPHASLRTHLPSGCTYDWYPGLHPSPPAPGIREAFGTSHQCFS YFDGSAKGGMEAVDKLVDHLLTHGPYDAILAFSMGGALAATLLLRPDQQDTQVREAKS KIKSVVFLSSIMPSGWDELESGRVTFLKANRVSEENKIAIPTVHAWSNKDVHYPGMAK QVMNMCKQETRVEVKHGAGHGIPSVQGEASELARVITHMLERL QC762_510590 MRIIIESKALLSALRSVVSYNPFVDLDHKVLSLNKPYTILGHHM SELEALHERCKTSHQKGEVKPDENANPDDPLVETTADHLELLLNYLKTKVYKGRIETE QELHRRNMCTFQMLRLLFRPGTTVYVESGGKLAAHVVHAMVNDTAILSDNRRDFGPHQ ILLWLLRFDGRFVGRSTTSVVVPPFEGEREITSLKVFPTELFDKKDGGETKARLEAQG MQWLKLLRGQPAFYQCDPSDRAALVLQNRHVVRRNASEESMDFEDAASHKPNIREARK AGSLSGRVYVDSAAYYYEFEEEAPEICNVNDIGRNLAMCGCEEW QC762_510570 MASFRLADPRTTTAAVRSYSLKVEKERPEDPLSQALGATVQQAD HQQSIQRIKAQEWVSWLQNDCSSDPIFDPLEQQRKELIRVLQEFQRLFVPPGDVISGI THSSLPSIATLQAAVNDARVGWEAKHETGIGLARNRFLRFMETMDDHSFLFKFVPTED KYISLLTGVVASVVKVPYPAPPSIASANYQKIAEGFSLALVEIRENLRLVQKKTQVAN TKEMQRLVVALFLASFNKGFHDKTVKAMVDGIPKTIGDIRNEAQHTTELRIEHMHQKM NDLEPILARLLQPGIQTHADTQQQVNAKVAAAAQGFQRLGENAVHQLECVEDQVTHAP QC762_0088710 MSDCSRIQQYAEALRPFIESDEDFQRAHQEPTMIPQEVYERLSR WISDSASKIMWVDGPAFSPGSTALSKAALEATDKVLNAGIPCISYFCKSRSSFARSNA GGSGGGSGSTPRLRHRDAAVISLCYSIIRQLAYVLPLEGLKAGKALDANQFEKLNGTL HSLPTALNIIKALFEYAPPVICFVIDGLQDAGDRDSIKYLWNFIILSREQRKDSSRVC KILFTIDGRSEVLDRGTEVRERVDASRLVQARGGRSFPGGVYI QC762_0088720 MVSAKYLLLAPTSCLTFIANGSVWWHTCGNYKCPHTGSYEGFSG TSPCIRLDSSIRSIGLTRVGTKSTTCSIFSDNKLPRPEAERGLQWDVLLHGVQPELGE HEVLLQCLSARAWGWKVN QC762_0088730 MGNQVLSIGGVTDKIKSVDAAPQGLLLFDMTAQTWNDKYDAKAK NYVRAKSLDEWYQNGSMDKVVWSSEQVRALFVTDADAEPPGSAPSKLPDIPNGTEQAD SRQDFSTTQTPVGAIAGAGAGGMLALAIIVSLVIWMIHHHKKAKKEKDKTTQWPLPLK HSSESPIMEMPIYEPEFDRLCGYWYPSGLANNTVRDSARMSVQRVEVSVDHDHWELPV APKDHSVHGLRRVTRGVGMGIDHNNWDLQDRRSF QC762_0088740 MFPARLASLFGFVLIAIPAAVQGVRLDVPRVEDFVRRWNVAATV IGDYVYIDGGEINQFENGTIDEAPVYQVNSTLSIDISTSWTTSDVSIRTIGKPNAPRN APMIWTDKEGTGGFYSWGGVFSLGRSVTGSEMWKFSADGRGGGSWSLFQDFANPEAFK ILLSPERGAYANTDDKGFLIGGSVWGWTQLGFTDTQAIPGMVSFDMRTKEISNGTAND AGSNRSPFETLIGARAHFIPANTGTKSHQGLILVLGGHRSYVDRQVSLEDSPGFDFRN LSFFDPETGERYWQIATGDIPPYPRAHFCVTGFAVAGVGYDVFIFGGRNEAQQSHYDD AYILSLPGFVWTLFPTPPAGPRFFQSVFTIIFTMNPH QC762_510550 MAVRLYPGGDVKANLTDSESLRTPSLVRGETKSPSLQPPPHQQP PAPPRLGQADFPEGGARAWLTVSGASACLFVSFGWVNCAGIFQSHYQANQLSNYTPSE ISWISALQIFFMIFSGIWVGRIFDSHGPAALLLVGSFMHVFGMMMISLSTTYYQILLS QAICSAVGASMVFFPAFTCVSTWFLKKRGAAMGLVVCGSSIGGVIFPVMLINLIPKVG FPWAIRTCAFLVLALLIWANFTVRTRIPPVKRPFEFKAFLAPLRELPFALLTAGIFFF YWGMFVPFTFIVVEALAGGMSENLASYLVPILNGASIIGRTIPNAMADKLGHFNVMIV MAAFTSVLILAVWLPSSGDAAIITFAVLFGIGSGAGIGLTPVLIASISPIQQIGARTG TAFSIASIAALTGSPIGGAILTSANGAFQSTKIFGGVACAVGTLLFIAARVALVGVKP KKF QC762_510540 MQLTKPTVTLVGLFTAAIQAAPTVPETGVAPPSADSGYTEVSDG VWYKNKTDFFKGDSFCNSDYEYWNKTSENSPLVLDCWHMYNNIKEPGNWVGAGGHKKL ISKDSCAYTTWAEHNGLLYTLGNEDLRLTIKKAIQKFGTLFPGEKDYKIGAYGKLRCQ ALVINWEISQNS QC762_510537 MKGDLQVVRRWQLRGMVENSYPGGGTFLEGFSWTLSRYGMISRN RVPWNHYYTTVHEWTEYCRDTLICGEGPIERNEIVDTYILDAVKTFNFQRKLFYTTNG LVGPGPKGMRNGDIIAVFLGFDAPVILRNAGDGKYRFIGESMVYGLHDATALLGPLPV GWSVQVLLDQNGHQNAYRYYNFNTKRTTDDDPRLGQLPPQWERVGNDRTPDDPYYSER FRNITTGDLVNFDPRMSEEALQQSGLNLTTFALL QC762_510533 MISAVVTAMSCTESYARHVKPGVITHYKCHGPDRRLNPSNLPSV VISTYGTVSTETTRAKSILRQIHWYRIVLDEAHIIRNWSTKQFRAMNSLSFHIRWCMT RTPVQNGVGDIGSLVRFLRVPVMGDVTNFRKHILDKTKLTSLESSSHDFDNLRLLLSS ICLRRNTSVLQLPGVKYECRGPHFSAVEKEAHTKLILACQKAID QC762_510532 MKFLDLVENLLKKHDIAFRRVDGSRSKNDRRASLYDFRTKDVPV LLMTFRTGSMGLNDLNMARRVQILEQQWNPSVENQAIGRVSRFGQTREMTVIRYVMKK SIEEQPPTSQPSFLPTDFPGFSFSGAAVRKRAAHDFRFPQTPSHIDPAAFAMHDKDQW RIAEALGHWQEGGV QC762_510530 MMVSSTATVPILLAAASGVLALVPTPVLQHNGRSEITPGPSCGE FPCGSELAAIPSCAIPCIESAGLGLGCATADYGCQCDNLDALQGAAVNCVIDGCGGFD NAIPVVYSAVAMCRCVGSNSPLPTPCPSTTTTSEEPVITEAPCGDFPCSAELEAIPSC AIPCIENSGEKFGCGRTEYGCQCQNLDAIQGDAVLCVIDACGGFDNAIPVVYSAVAMC QCVARNTPLPTACPTTSTVGITSTAETSTEETSAVETSTVETSTVETSTVETTAVETS TTETSTVEASSTPTSDCPEESTTLDISTPSTPSITTPSIVTTTTAVICHTPCAGQASA IPVCATACIESAGGAVGCERGDHNCQCASSDAIQATAVNCVLGACGGIPGAVKVVESV AAMCGCVSASRLSSCETTTKTITVGDGNDGGFVTTPAGGSQPGSGFVSVTKTGGGGGG PVETSQPADGGDGDGGDGDGGDGDGGDGDGNGDSDGGDGDDGGDGGDGSGDDDEPQGP TTTTSRPPVVTAGASFNTRFSGASGFSGLLMMLAAFV QC762_510520 MTSGADDAEEQGLESDELDLGDVGLEVSTGDQSVAGDESASLQD EEAGLDQEMPVEDNLDENEEHDGHEQVSDGEGVESELDEEVDHEQVDQDEAQKDDQHE QVEQDGDQDEEVEQEEFDHGEVHEDEDIAVDDDVISQPQTPIIKDASNDEETRADVDQ ALDSPLPSTEPEDLVQDDDDAVDSQSIPEDEETQELADAETLSAHQEHDAADDDLSQE HISDSEQYDQASEDGSFQDINQDEVISDNFQEVEYSLKDPESSDKNRERPMGTDHEDF QPRQDEVNDDGLYEQDSPEEDFSHENRQESEYVAEDEEANVEVDRSPDLVDETVEEEA VHQEDVNLPKTSTPENLTHAELSEDDCAEDDYAHGPATPTSDDAFPEDTLETEDGASD DEARSPHAKSFDDEFPEEAETSYENASDGKEGLLGQDDDEFTPAASDDEQVVDEDGAE VTPEDQEEDLPAEDYPADEDQVQYGEEEALAVEDDDYPLEDADEAIEADRSFENSSVD GGFTPQDLTPVESPIRDEFPEDRVQSDGEYRDEDASQSVDNGQVQYEKEAALEDEHIV EEAVAGQEVDYSSETQDFTDEEAPVDEALYDEDAQDNPPDNDNFVDEDGYASEVPPAE DEICNEEYQPSDLEYFDEDAEFTIDDQYDEEPAPEDDEHPEDDQQQFTNGEEELNTEA LDNDAPPYDEDLPLQENEYQPEEEDLIDGERSLPEDFVEEADGEGFVDHDDSEHYDEE IPVEGQEYEEDPAYEEEEYPSQNGDNQFDEVEPVEDGEYAEDDAQYQEEAPVDDGEFA GEDNAQYDEEAPLEDGKYTPQNDEFVDGQRSLDDEVFEGDGIPVDDQPLEDREDEYYD EQHAIDDEAFDEEPVGDEQVDEEGFEEEVSEEVAPEDEAQLEEPRMTEEELRELEEAF DADFLNTISGDLGVLAIPAADDHITFEELHNQKCAEPEGDGEPDPEAEEVFECEADLE EQQDFDDQEEFEGQDEEEHESQNNFDEQEDFEGQEDLDGDGERSLDIEDEQEYLEDQE HFGDQGELDGNEDLDQQEDFDNQDEYQDQEEPQDDQYFDDDGERGSDLEGDQEDFDRQ QDYDDQPEFDGQEDVEGREELDAQPEFNDQEEDFEGQEEYQGEDGERDIFLEVKEGQD LLEENQEGEYLSGQDEAAEFEDDFNEDEIVSELLDEEDDDDLFTVYDDDEEKFAVEKN MYAVLDTPAAAMYGPPGMTHDLGWDEDEDEEEYEEGEEGEEVYGEGEEVYVEGEPLEE PEYLEDGEGEVEEGEFLDEEDGEREVDENEIAEGEEEYVEEGEEEEFSEEEYLEVEEE YAEEEGFEGEEQGEGYDEEDGERGLDEEMEEGEFAEEGEYMEREEEGEYAEGEEEGGY VEGEEGEYEEGEEGQGEFDPEMDGEFEDGERGLDDDEFMDGEGEYVEEGEFEGEGAGF AEGDEFGEGEFAEDGEFGEEGDFEDGERGLDEDFDGEGVEGEQEFAEGEYQEDGELAN SEEFGDGFADGEAAEGKYAEGEYAEDGLLEEDFTEDGERGFEDEEAGEPFEEELAEEE FAQDYPEGELTIISHMTKDNFANNSTGDEFDEEMGEDVGEELGEGDEYAEEMGDEFGE EGDRGEDMEFGEEEEEVSFGEEAPFEEEPLFEEEPPFEEEPLFEEETPVEEEVYEEEQ IMEEGFDEDPAPMDEEYLDDQGPVEEFGEPEEAMYEDATQDEMYDEGDRTLEPMDTGF EDGYRPEPADEPALKEPSYDQESAYEPEPEPAYEPEPEPEPEPEPAYEPEPEPAYEPE PEPAYEPESEPAYEPEPEPAFEAEFDRTFEAEPEPEEVYEPEPEPVYEPEPEPEVYVE EEIGDYGPELEPEPELEPEQPMEEEFGDVEGEAFAEDVDFVQEDDVVFDEGFQDEEPV EEEFEEPAEEFYEEPVDEFYEEPAEELYEEPADEVYEEPAEEMFEESLVEEAYEEPVE VYQEPIEEVYEEPVEEVYEEPVVEELYEEPVAEEVYEQPAAEEAVTEEPAAEDAYDKP LQEEFGEPIQEVYEEQGPEVYGELNEEPVQDLYEEPAQDVYEELAEEAYEGPIQQEIE EPAQDFYEEPSEEVYEQPDGEAYEEHISQPIEEVADEPVYDDSSAEVYEEPASQEEDG EPTYDEPSHHEPAYEEPASEEEIVQANEQAAAEVYQEQTYEEPDNEPPVEQVSEQYVE DQIYNEGTDEYIQEDLEEQPQDATYDEPAQQDFDEPIAPDSQEEYQNMVQEPNQEHGQ VPVEQSYEEPGQDLYSGQGWGGDLTEDEAPYTDEQPEYHELHSQPEDDVLPVHPEPTP SYQGPIDDLSPPPSPPLNQPQLEPETREDESETIIVYSDEEVVYPVAQEIHQALDEEP YNPEETSGEPHHTDEQFPRPITPDDDIYGSNALSPSFNNHLKSPAVAPNWGRDSQLLS PRPLTPPLEDMQQDVEYVSSPVPGWDASQHRPVTPPDDPHDHEMLSPPTLQPSPLVDS RFTSTEHYHQEQDIEEKDDAHDHTGWAGENAMTSPQTPAEVDHIYPAAWPRPPKFPVQ QSTRGFAAHPSPMESAQSPAVDTNTYLSEPDSIGDDRRLSEPEFEIINADARNLDTYA PVESSPVSHQMSPQSPQRVSKDYSARQTSVSPVRVESVDYQVPHDRPSIEEYMQDEPA SPYEDYTYVQPEQIAQDGYDQQQPEYHSYTSSPAIIVQEERVVEQQQYQPPPPPPPPS RPLSLFPKQTHPTEQQTRSANPGLRSNSQDAYQANEPMQLNSVSDNNTQDAATANVTA KHGGNTQRNLWLLPLMATATLGFGMESLEEQYHTSTDKSSGWTFKGLFGFGKQKQSTT ETPGSSGNSPIVMQTEQSWPVSQLTPPNATSSRGLNVVQNNTSRTPSLAPQLANDTNV EEPAPKKKGFFAWFGRRDKTVVTDVEAPSPIPQESYEIQNTPQARAMEPTRQSANFSN IATVERGPQNSQSPQMMAVERLPADKVDEALKKRGFWARLFGKKSQLQKQSSRASPRE AVSGGSPDIDAQQPEKRKGFWARLFSRSKKKTQSTDDIEMGKMNTQDDQIARVGRVKS GSPQLMQGPNRRTSAVGAIVGLNARQQQTPQQWQQTIAEYNETEFDEPPKPKKKRGLF TRLRAKKAATVKNKNKDKGKGKAAQKTDGEWEDIDEQRHEMTPRPPTQPKPARVKKYG AVVGNSEGLAQQHVTGPRQKERGRARTGAAAVNPKNWFWMDVYWK QC762_510500 MTAQTGNAVPKAQKLSDLFSLKGKVVVVTGASGPRGMGIEAARG CAEMGANVAITYASRKEGAEKNVAELEKEYGVKAKCYKLQIDDYSDCQRLVSDVIKDF GKIDAFIANAGATANGGLLDDSKEEWDRVVQTDLSGTAYCAKAVGPHFKERGTGSFVI TASMSGHIANYPQEQTSYNVAKAGCIHMARSLANEWRGFARVNSISPGYIDTGLSDFI DQKTQDLWNSMIPLNRPGNAKELKGAYVYLVSDASSYTTGADIVIDGGYTCR QC762_510490 MHANHLGVLLSGLLVSAKIASASSILFSGGTIIAFDRATNSLDV IRNGSVLVTDDRITSVYAGSIPPSSVSIPSDVEEVDITNKILTPGFIDTHRHGWQTAY KTLGSNTSLVDYFNRFGEFPTAANYPFTPEEIYYSQLAGLYEALNAGVTTTLDHAHGT FSNATSSASFQASIDSGARVFWAYAFHELENYPLSEQFAHYRSIFTEAPHLNTPTTLG IAYDGFGPNPNQEIISTIISLTHETNASVLTTHSVQGPYGITNSPEDFAAQSLFTSLP PTTPIVFSHASFLSAVGAQLLRTYNHTISITPESEMHYGHTHPTSHLILDRASIGVDT HFTFSTDILTQTRMWLQSVRRLLYAEVLNRWQVPVNNPMSANQAFLLATRNGGLSLRR EDLGIIAPGAKADLVIWDGGTGPNMWGWRDPVAAVVLHGNVGDVEGVVVDGRWKKRGG KLTDERFEDVKMKFAEVARRVQDRVVEAGVGLPGEGERFVVSGLEFGNSSVVDVQVGE GDGYGGLFL QC762_510480 MALEKVIVVGAGPSGLLLTLLLSRASIPVELIEQTEGLDTNPRA SHYSPESCHEFDRAGILDEVREAGFIPDGVSWRRLEGEDKSRLIKITNPALKPGDDPE SAAFRHRMVCLPLHKLGKILETHVKSQGTAEIKYGRKVVEIGEDADKGKAWVKVEKAD GSTEIREADYVVGCDGANSIIRRKLFGDWVYPGYTWDKQIVATNIYYDGFKDVDFDDS QFFVHPEHWHMVARIQPDGLYRVTYGEIGGLTYDQLKERQPAKFQAILPGNPTPDKYG LVNFSPYKVHQRCVDRMRVGRFLLAADAAHLCNPFGGMGLTGGIADVGSLYDSLRGID NNKADDSILDKYDEVRRRIWHEVIDPISTENMQRLFQYQNADEFVDKDPLYKLVREMQ NPDKKLEKGGPPNASVTVSQRWLWS QC762_510470 MRLQPLTVGVSAAANLHSVAADPLVFLNGQVTAIPSWDLQSSAE IGTDLAALSKTGVDTSSWRHITTSKCTLMSCLINAGVYSEDDLFYSENMKRVDDRQFS VPWTYRQEYSLEPGPGKHFFLKTHGITSRADIFLNGKQVASKDQQAGSFAGKNYDITK LVAKQNALAIQVHPTSYYHDFALGWVDWNPWPADNGTGVWRDVEVKQTGPVALESLRI ITKVGPTVERLKDPATVTLKSRAENLEDKPMVVTAHGSVFAPFGRKAAPITWSKQLTL PPRSITDIVLETTVTDPAIWWPKQWGDQPLYSGALAITVNNTLSDSITQQFGLRSVTS KLNSHQDVTYIVNHIPFQVIGAGYTPNIFLQFDPSRWEAELQYVLDLGLNTVRLEGKN EHPILYEIANRLGIFLMPGWECCDKWESWSYNHDLFLNPPPVWSNADYTIANNSMLHE AAMLQTHPSVLTYLIGSDYWPDARATPIYLNALKHYDWQTPIIGSASKRGYSPLTGPS GMKMEGPYDWVPPNYWYETSPPRAGSAFGFGSELGAGVGTPTVSSLTKFLSPSDLDDL WKNPTKPLYHMSREGSEFTTRQIYHSALSARWGSPTSLEDYLMKSQIMDYEATRAQFE AFAVKWSDRNRPATGLIYWMLNNAWPSLHWNVWDYYMKPGGSYYGAKAGARREHVVYD YTTKEVWIVDRTVDDLYREREVEIQVVGLDGGVKFGSLVKVESLPNGSGRVAGLGGEG ALGYLTGGVFLRLVLRDGDGGVLSRNVYWLSKELDRLDWGETTWFVTPVGRYADYKEF NTLKEAEMTVGVERRGEKEVVVNLENRASVPAFFVGLELLDGDGNDVLPLTWEDNYVT LWPGEKIRLVAKSVGSRGWQPASVRVGGKNVKEMLVSVS QC762_0088870 MSFAPKTKMDLLLDKRLTLSWTLAIQILLATITSIIIYRRHLHP LSSIPGPPFASISRLWHIYHILKGDQNLQLVSLHNKHGHFVRLAHNEVSVSHPDAIKK ILGSQLKKGPWYRMTAIPDYRFQSPMATTDPKKKMEKSKAFASGYALTNVLRSEPQLD NVISLLLGHLDGFARTGKEVGLDKYFTFTSFDVAGEILFSSQFGFLETGTDVGNAIAN GYWLSMYASAMAFFYHIHVVLLGNPFITWLNILPYGHLFDTAIKAMKARLQNKRDESR FDSVEYWFRAMETHPEKIKWRDVQAVTVSTVGAASETVSCALQSFVYYMIRTPGAWER ARKEVIELQEKEGGREERVVSWGQAKRLVYLQACLKEGLRMFAPVPMNLPRVVGPEGL EIGGRRFEKGSILSVNSWVMHFSDEIWGEDAEEFKPERWLREDAGELDRWFMPWGYGY NSCPGQNIARLELSKIAATLVRDYDISQVNPEQEWKWQAFFTVVQHSWPCYIKARKTT TNESGCKVPWR QC762_510440 MSEAAVSKGGYGEALIPWQAFHGVVWTLTGVNLITLSVRLVTRW RTFRKLFWDDILVIFAWLIIFVNSVVWATQWWNMYAIVWWGSGKQPLPPPLKDIRQWM DCMLAMQLLVFICIFIVKLALLLFFKRLYGNSETRRQRIYWWSCIVACAIVFILSMTI IQYKCYMNDFIYQNDHCNSGWFVTESLIISTILTVFSIITDFMILAIPVSMVWNLKIR RKKKAALIGLFSLTIITVIVGIVRVSILYTTRFNQGAIDPVAFIFWWSVEAYINLLIA CLSSFPQLFVRSKPRQAEKPAPTASMIERVRRRMARGGRPPTRPDPILFTKDTDFTET TSGATGTTSNTIHTASRVRHELEVETGDTSVPNLVPKQGWTGAATTTTQISSPVGNDD RVGEPESGVMQRLEYKVDRTNEKATKPKQNDSPV QC762_510430 MFFHTLTTTLLLLLPRLALSQATLTNPVIWQDHPDLDVFRIGHT FYYSSSTFAYSPGAPLLRSFDLANWEAVTHSVPYLNFSPANAYNLTSGSRAYVKGIWA STLRYRPSTDTFYWLGCIDGSKTHIWTSPGGNARQNGGEVPPQAWNWSPRPVISNCYY DAGLFFDDNDDSQVYVVYGNTQIRMAQLVVDQNGDLKEVRNQVVYTSGDMTLEGARLY KRNGEYYVWVTRPADAQFVLRGKSLWGPFERRVLVDRIGGPLSSAGFAHQGGIVDTED GRWFYLGFLDAYPGGRIPVAAPLTWGGDGWPSVVRDGGNGWGRTYPLPVNTTRKVNVL GPRVDEFKGGKLGPEWEWNHNPDNGKWLLSDGLVLKTATVTGDLFAARNTLTHRIVGP KSRGTFRIDVGAMRDGDRAGAVLFRDKAAYIGIHKTGNTASIVMVDNLNLVEGSWTTS STGRVAATGPSVTGEIWLRIQADITPAFGTNTGRQVIFSYSTDGRTFTNLGPAFAMSN SWRYFTGYRYGVFNFATKALGGEVKVKSFAMEMV QC762_510420 MSIPKTQKQWLITGADKGLDGLVYQDAPVPTPGDHEVLVHLRGA SLNYRDLIIPRGMYPFPLNLPVVACSDGAGEVIAVGSKVTKWKKGDKVLTLFNQGHQR GDMDIAASKTGLGGCFDGTLRQYGTFAETGVAKMPSNLNYVESASLVCAGLTSWNALY GLKPLKKGQWIVTQGTGGVSLFALQFAKAAGAHVIATTSTAEKVEMLKKLGADHVINY REDVNWGETARKLTPGGEGVEHVIEVGGADTFTQSLSAVKMEGVISVIGFLGGAAPKD NILETLSRVCTVRGVYVGSREQLEAMCAEIEKHDIHPVMDKTVFTLEKAKEAYEYMWA KKHTGKIPITIE QC762_510410 MKLLTGYLLLQTAGLATALPPKLEDFKQKAIDSGVALKALNGIA LAKALTKFSGTCTPSKVKYRREWRTISKPDRRKFIAAVKCIMAKPSTLPPGEVPGAKS LYDDIVWAHARRSGLVHNSGTFLLFHRYYLHTYETELSACGWTAGLPYWEWGLDITGP HLSPVFDGSDTSLGGDGEFIPNRPPFSIPWIDPLTPEIIIPPGTGGGCVKTGPFVHHK VRLGPFNMTETFPVEPEDGRGDNERCLIRDLNKGWIERWASFRNSTELILGSGDIFEF HVNAEGDPRWVPTKPMGVHGGGHSSIGGVGGHAADPVISPYDPAFWLTHGQLDRVYWI WQMLDLESRSDVFGTGTWLNIPPSPNVTVEDSIDVLPHQPPRKLKELMSTVAGSPFCF VYV QC762_510390 MSQPPNIYIIGAQCTGKTTLVKNLHANFESNSSHEPPVLIIEVA RTVIKQHSFTVADVVNPDRSIQLQSLILQAQASAKRQATQTGQWFISDRSGADPIAYP LRYVEERRANQLIQSDDGVRLKPEDVDD QC762_510380 MAISNNNDPTTWTTLNKGDPVLTTSFGMGGIRDPSLIIAPDRSK FWLLATDLKVWGRGWNNGTCYTCNGSKSIHVWESNDLARWTGPMFTTVAPPEAAMAWA PDAIWDPVKNKFLVYFTSKLDGQLVLMKAHTEDFKTFTRAEEFNRLGMDATIALEGET GKYYWFSKHGPDDLIQQNVADSPEGPWKTVSERIGADGGMPAGEGPLVFRDNRDPKKW HLWIDDYMRGDGGYLPFTTNDISKGKWTVVKNAKLPKNPRHGYVTPITAAERARLVAA YGV QC762_510360 MRRDWYLAEEDKENLAHMFMSRHANPSLISGSMRIPTISSIEKN MPYLASDSTVVLNPLPQHYESWLLCKQSPVATPTRPAETWPWSPLQHAFWSRDFSLFE ILMSYGNVPPAADILWMFQGMIYSLMISPVEIFCHFRRTDWLNLLALSPGQPQFRALR SPIALAWTLALLRECALSPVKILETDDFNCLCYSGTDAPSHDLRGSCKGLKQRDVSQL AWDFWSLEINRDHLLEMVDARRWHPCYGSHRIMVATVCLEEAVLAAELRIVSALLDLN IEGIIRPEILIMAVSLTKANHVSSAILEKLLQKSTPLPCRPVPTNPRLESPTGLLQHA TCKPKDKNTNLGGLAGHRGYPHCRDPLQYAICTSNLPALTIFSCHPLPCPVTTLYINE ATLRLDVQVLSLLLIRNPACRSEDPLIFLLKNLHDICNGVVDLRYHHVAGTSHLGVSF SQLTRRMACAVALIRTGVDLDRAVDERGKSARGYIDEYQGYQGPNRFLREVARRGARL LA QC762_510350 MDTSFYLVTIFIILKRLFTPTTPIPETSGKVYKIANAAEFDTLL SSAKHVVVDFYADWCPPCRAIAPYFSELADKHSSDGQLAFAKVNVDHVDNIASRYGVS AMPTFVFFENGAPEGVAVQGMTARPSVPLTGDGLVERVRGADRAALKAVVEVLAGKGR GE QC762_510340 MHPLTLLLPLSLPLTLAQLPSSPRFDLTKPSYDLFRHKTLHDST VQQSFTFDNPNARLFVSQRRNGADSSLGNLCITQLDFSGNQVGYMHLTGFGHGVSFGA QAVGTSTYLWTEVDANSNGYGTRLARFKFTSGTSLSASSPTLQKFKPIPAATEFTCSI DPVNNRLIVRYHLPGSGKHMAVYTLAAATAGDFSSSLVNFKIPTITTLGGAFQGYAAH GRHLYLLWGDSYDVTGGSVNSQVATVDMNTGLLVQGPTLTKAGESLVFREAEGMAVYR TAAGQTRLFLGFASGVAGDRRSNLFFKNATID QC762_510335 MTVLIPQETSTDFASETGAAPQASPGARCLPRHRLQTALADTQC PLLHDLHPSQFDNAARSCPPRLKARSVISSSFASESCSVYDSQIPFHTLFVFAGRNSN RQNHIIHDCPIPLARDRRGAHPVHIPLRSVIAITAIAVVLDTPILLSETKPLQRFFAP SPYRPVLTQSC QC762_510330 MVSPKDLVLITGATGHVGSWTLVQLLREGYRVRAAVRSHAKAAA VLARPQIQALNPGHRLSFIIVPDITVPGAYDNAVEGATHIIHIASPLASGGNGVPLSQ HDAHFIQPAVRGTISLLEAANLGGTVRRVVITSSFIALVPMDELTGRRKRDPSKPVSP NDRIPFAPGPYESEFAAYAASKVAALHHAEAWMERERPPFDVVHLHPGFVLGRNDTAT TAGQAMQGTNSVVLALLLGKRFGPYAGATVHASDVARAHVSALDPTVLGNQSYILSQS ARWNDAIAIAKREFPEAIKTKLLVTGGSVKTTPLPIDASLTEETFGFKFASYEDQVIN VVEHFLELRLRKKTGVQMVSSSAPKKQRVVVNVSAIAC QC762_510320 RNEHRLQHKTRIVALPSFFLIFFFLHLLIQDNGKMPFDPTTFTK ATAADYSSSESDAEDDEYLIPSTNHHDDEFADHNPRKRRRTGRHAKESAALGIFGSES EDEGPSRKWKHKPLRNKGVSFVSSSNVKPGPVEDEDDDEDKEDDKDDEYAEWDDDGKP TMMTSTATAADGDEDEDDEDEDMGGIGLGFGGGGEAAAAAQGLGWTPPTQQQKPLKSA VLKAMPFVKSKVDPSNPLGTGFVPMSARGPTLLNRDDDEPAKPRVPAASAFTKGKGGK IKTNTNSFAARMMAKMGYQEGKGLGKEGQGRNIVIEANLRPQGAGLGAVKEKTEQERQ EEKRQARLRGEEVIDSEEEEKKKKAARRKKALSGGLGSGTGSGASTPKRQKPKYLTMD EIKKAAPGLNIPDAFTPILDLTGPGKKMLTTSSGLMTPTGGTAPVESAETAESRKLVR RAQNDFMAILEEWQSLQERKAYIELQLKQERQEMEELATTLQGNQSLTSACAAASNPT ESGEIDRKADLNYRLGRIISGLSDTYSSLSDKMLPQIKEELTSLVVAAIHPAFNQYRQ LWDPLEEPKPSFVDGLKSIRGLLSLDQQIKKTYRRPTANPYETMMYELWYRTVTSAVR EWNVREPDQLIAVLEAWDDLLPGFVRTQLLQDIIRKLEEAVQKWQPKRHSEHLPHTWI FPWLPYLPSVHLDPRSSSGLVADVKRKFRQLIDSWEFKRGVIPGLKPWKQVLRGSSNK SDQWGPLVMNHLLPGLARYLGKSFKVDPRDQEPYMKVLDRVFEWLEFVSPTMIGEVLV AEVFPMWHEALYQWLLLEDANYDEIGQWFEWWQGEVFPEEIRVLPSITAEFEKGTGLI EKALDLGDRAKDELKPPEKGPALRSERRDREHKTRKPEPLVETPVGEPPREVSFRQVM EEWCQENDLQFMPEKNVHAEGPMYKISGNDAKKSVLVWFKGNTMSVKTKTHGTVEVRR ENEDEYGVLLDLVV QC762_510310 MVRITYLLLCVGIALALPVAKRDNAPVPIPGKYIITLRPGVAPS FETHLSWVRDVHTRSLSRRDESGIEKVYSALDFHGYAGSFDEETIAQIRANPDVYSVE QDQTFHLTYHLPSQPRPRQTGLTTQKDAPWGLGSISHRAPNSTDYIYDSRGDAGDGYT AYVVDTGIRTTHNEFEGGRAIFGYNAYPDADSDEDNIGHGTHVSGTIAGKMYGVAKKA RVVAVKVFDWGSSTTSIVLDGYLWAVNNITTPAKSVINLSLGGPQSDAVDSAIAAAYS AGILTVVAAGNDGRSSDNGWGSPASAPEALAVGAVDVENVRPSFSNWGPGVDIWAPGV MVRSAWNWDDGDYLEVEGTSMASPHVAGLVLYLRSLEGGGQGGLVGAVVDKVRELGTK GVVKEAGRGSVNLLAYNGNGA QC762_510300 MKLLLATLALWASSALTQTPPPNPPIRNIYTFPPNHFIENIAVR SNSRLLLTSMSVPHLYSINPLIPNPAADIIHSFANPNNATGISGIAEIAPDVFAVIVA DWDLFATRAIPGTLAVWTVNFNKAPSQRVKFITQVTNTTIFNGIARHPTNPTLLLAAD SALGAVWKVNLLTGAHSVAFSSPLLTPTATAHLGINGLKAQGQYLYFTNSAQGYLGRV KIGWNGEQVGAIEVLSSASDAGADVVYDDIALDLGHGGSGKVWIASHPDYAVGVTLPE GSQWVVKNATKLLNPTACAFGRGSAKERTTLYVTNGGEFLPDFTLVNEGVVALDL QC762_510290 MRFLPSVALALGGFVTANPVPVDHEAVELFHRQVRTLNQAMIAA GREYIGTSLTVRNDNSEQNIIRSEFGSITPENAQKWDATEPNRGQFNWGAADQHMNWA RQNGKHVRCHTLVWYSQLPGWVSNSRFNNATLIQVMTNHINQVMGRYRGQCNHWDVVN EALNEDGTYRDNVFLRTIGEAYIPMAFRIAAAADPSAKLYYNDYNLEYLGPKVEGAAR IVRLCQQYGVRIDGVGYQGHLVTESTPTQSTPTPSEADLTAALKITADLGVDVAYTEI DIRMRTPSNAQKLQALADAYGRVARSCMNVPRCVGMTIWGVSDRYSWVPQTFQGEGDA LLWNNNYQKKAAYDSFLRGISGQ QC762_510260 MLNSRVTTSLLQHPTSAAIRRAFISSSSSPSSSFLSSPLVRSGP LRFPTPTATTPSLLRHCSSDSHSSSSSSNPKSKLPNANPSSDKSTWRAMAEKDISRYL RQTHDRVFEHNRAWAAEKKKADPDFFANLSAGQTPEYLWIGCSDSRIPAEQITGLEPG DAFVHRNIANLVCNTDLNVMAVINYAVRHLKVKHIVVCGHYGCGGVKAAMSAKDLGIL NPWLRNIRDVYRLHEKELDAIANEEERYNRLVELNVIEQCKNVVKTAGVQQSYAENSF PIVHGWVFGFNDGLLRDLQIDFEGMLKDIQKIYNLTES QC762_0089050 MVSNLGSGRNHIKTNEHLPPSGSACTGASGNRGPDCPRVVARQQ ERPIAGKVASEDNVARVPKCPELKFHHEFGVGKVAWMALGEGVDGGS QC762_510250 MVLGLSSFRSASRLPTAILAIISVLGSVSGVRAADLDSALAGQT NLTTFRELVKDHPDIFANLPSGVTIAAPNDDAFDKLGNYDEWRENKTHVEAVLKYHVI TSIVSMPSIIKGDSIWAPTLLTDPKFSPMKGGQRIILTKQPGGEVVLTSGFANRGTVV VEDVEWDKGLIQVTDSVMRVPEGLESTARNAYHDMTSFLGALFATGLYDEVILPAKEV TIFAPTNAAFQKLASTLPALSKDELKSVLRYHIVPGQVSQIWELRNESSLVTAEDKHS IRVLRHSNFIFVDAAQVLQADILVANGAVHLVDNVLNPKGGNNGTKGPDVEAVVQSPA FRVVGTETVTGTGAPTPWTEFLPCTVSCPVTETVTRTDSTVSVTRSVVVNQGGVGPTF TNGGLGARCTGLVGVGMGVVVGVGGLVAL QC762_510247 MPNIDLQVLKEPSMPQGEADVLDTLAKALNSSDDSAVVAVNLED ELRQLIASSKSTKAADTLLWNLWVMLFEVVRIVPIEHPWHAALAAGMNNLRSRGGLVV ELEDCTLNWADLPDLSMYVFDKWFDPTELDDYTSEDIDAWKRWNSFASQLLNEEYMNW IIFPYWELRSALEFPPPEDPTIFECRLWVATQWLTQCAELVYNEMTCGVDLEEKTKSA IKPGPLCEGVYPRSLQRWEFWRGRLEALAGEKGLGKETDSGDGGAGRTIPSASLSRIQ QAINTMDVASNNARV QC762_510244 MSDSTNPPQRAPRRSPTDSSYYDCDGVVANKNDPNSKSWHYARD VRAQVAAEQPQHPRGWGYPSYFGDKAGLPLAASKPLTHTPIEPGSLTPYVPGTKPGAH RAVYNDTNRAVVDVIYHDPNKPPKNGSKFEEFSKATYVAKAVP QC762_510240 MLPRPAWLTKPFLASLFLGIGGFLYGYDSGIITPSLALGSFVTY FDKPDAPLRGAIVSVYQAGAWLGSASVGVTSDRLGRRKAIAFGCIWGVLGGALMAAAA HVAMLIIGRLLVGYAVGTITGVAPVFGAEIAKTHERAKITAVNQMMVAWGFFVALWVG VGEGKWSNANQWRLGFAIQAIPALVLGVGVLFIGESPRWLCLQGRYKDAEETFNRWHH DGTNDEWCRTEFARIQTSIAEEVDTQHHLGWVDLVRTPSFRKRLFVGCFVWAAAMLSG ISFVQYYQTAIYATLQFDQDQQLLVSGLYGCVAPVACILSLFFVDRVGRKKILVSSSA FLSVSYMIITILAALYPARPGFPTNAAAQKGLIACIFMVSANYSALLGPMTWIIPPEV FTTELRAKANAVVQVLHYSISLIITQCSPIALERVGWKYYILFILTNALCAVVFWLAY PETRGKSLEEIDEIFGDIERKRDVEVDAVENVVVGKDVDEK QC762_611200 MATMAETEKPSTPSTHCVSEALTTPGPTEEVAPPTTEEKEESNG AYWRIFSYADKVEKIIQAVAAVAAICSGVGMALQNLIFGQFVTVITDYVSGTSDSDVF MGEVSKLALYFVYLGIARFVLSYTYNTLFTYAGYRTVRNIRRAYLRAALSQDVAFFDL GSAGSIAAQASSNGKLIQSGISEKLGLTFQGLAAFMASFIVAFVTNWKLSLICLCIAP ATILVMSIVAMVHAGHETKILDIYSQANSFAEGVLASAKTVHAFGMRERLVERFNNYL DEAGVWGRKISPLLGILMSAEYSIIFLGMALAFWQGIAMLSRGDIAAGEIFTVLFAVV IATISITMLAPYSIDFSRASTAAAQLFKLIDTISKIDPFDESGDQPDGVEGLVELEHV SFAYPSRPKIKVLDDFTLTIPAGKVTALVGASGSGKSTIVGLLERWYNPFSGSIKLDG RPIDQLNLHWLRKNIRLVQQEPVLFQGTVFENIAYGLVGTPYEQSSREEQMARVEEAA KLAFAHDFILQLPQGYNTDIGQRGGLLSGGQKQRVAIARSVVSQPKVLLLDEATSALD PHAEGTVQKALDRAAEGRTTLVIAHKLATIKKADQIIVMSKGKIVEQGTHEGLIAQDG TYAKLVRAQDLAVGGQKLPDTDGSRDMDSHDEGDEDNESRHPMELTKTMTRYPTQDWM RLDEQRDRDDFHKHKHVGLLTVVTRLVKYTPELNLMYGALFLGCVGAAAAFPGQAILM ARVMDVFTLTGQAMVDRGNFFACMFIVMAGGCLAVYFVLGWACNTIAQTLTRKLRRQV FDNLLRQDIQFFDRPENSVGSLVSHVESDPQGVFELMGINVGLILISVLNLGACSILA IAHTWKLGLVVVLAGMPPLALSGFFKIRLDVKLDQMVSKRHSKSSAIASESINAIRTV SSLALEDKVLEKYTAELDHAVAGSVKPLTLIMTCFAFTQCIEYLFMALGFWYGCKLVS DLEISMYDFFVAFMGVFLSAQAASQFFAFSTSMTKGQNSANYIFWLSSLQPIVQETPD NQDRKPSSGGPIELDNVRFSYPLRPDTTVLRGVDLKIEKGQFIAVVGASGCGKSTIIG LLERFYDASTGTVRIASDPLPSINPRHYRAIVSLVQQEPTLFQGTIQDNIALGLDESD MPTEKDSALSTRVEAALRAANAWDFVCSLPDGVATFAGPNGTQLSGGQRQRIAIARAL IRNPKILLLDEATSALDSTSEKIVQEALAEVAKEGDRITVAVAHRLSTIKDADLICVF HAGKIVEVGTHAKLLAKGEIYKQMCEAQNLD QC762_611210 MLHAPSPMLTAKHPTASNIASPLSLYVHRAATLIAMNRATASPP TEAASVVPRACNACRARKIGCNRESPCAHCVRAKIECIYNEIRPREKRARILLSHQYE QKIDHLDSRLDEILDLLRQLKTQQRTTGRPIPEIQLRAPSPANVTAPRTQPAALSIPV TPSPAATSPDSSTATTHVRSSHAHANTTLPMVEGNSSLTAQTEFASEFLKTAVHDRDS QPEMRERLDALRVLVEAMKKQPAADEMRYPHAAPVKTLSLKDCKLPPIQIVVEVLRMT QSFKVMCLAWVYELIPLTGFLEAYVREDNDLITLINVNVGLHFLFWACAQVDQEKKDE YLGYAQTCGSTTETALAHLPLHLPANDDTISALLSGSFYAVEISKPSLAWILTSKASE LCQTLGYHRANDDYVLFKSGGAANDIDRYKRHLLFWSVYIVDKSLSLRLGRSSSIQDY DISLPYPSTDNPGNSGITGFFLLWVLLAKLQGQVYELLYCPEAVMAPESVKRERVKTL LGRLEEFEAKTAEVIHQWSSYCREHAGDDLTDFFLVSDHVLRLSLLTMVHRAVPNPPG SPTTFSTECINVARQTLGRHQECMELIKTTNCGLFSTYMHWTILMAPFVPFIVLFCQV IETKDKDDLARLQAFATSLQYESSVTEAVERLRRLFQVLVSVASHHVQSPPTSQIGQR TDLRTDLPKDTHQAHQAAFELDAYLGTLGFSQQIVSDQWPESTTGQGLENGREGGEFP EGHRMANPMMWMGNEMQLEDWFYNNDQIEALESLYN QC762_611220 MCLIWRLKNREMKPRSQPWITKRRICNRFSRDRRRSMDIYLEGR RALINDIPLTSHHPINPQLPLPSKSEPLAMKLSSFTILAGLAAQAQAHYIFNILIVNG QRIGGEYTYVRRNSNSYNPAFPDILTSDELRCNRGAKPGGNVQTYEVKAGDKIGFKVF NNEFIEHPGPGFIYMSKAPGSVATYDGSGEWFKVYETGLCRGGGNVDTNWCSWQKDRL EFTIPPKTPPGEYLVRIEHIGLHEGHVRRAQFYITCAQLKITGPGGGNPSPLVRIPGI YNANDPGIAYNKWTNNPAAYRMPGPAVWNGN QC762_611230 MARPQIFLLGPQVTNWTREALAELQQNLLKDPTLDFITQALLTL PSLSSILGQQLGLDFHPGRIFHLLADFAQGGQLLLNTNDDWRHNTLLAPLTIVSQAVD LAVQFGGLPRNKLPLTPHQQVQGFCIGWLSASSLASASTWDDFKRNISAALRLGACIG AAVDADSSQCPSDHARAICVRLRNPSDRAYISCFLDEGVLTVTVPNSKRLWLEGQLQK ASLPWTDIGLHGHYHHLRHEERAQTLKRICASIGELQLPAAAALQTPLRSTADANIVP PNATSLHDIAIDLTLCKRAHWLQTLRNCVEKADNFVFIPIGSHTGGLVPRSITHPHPS PNTSMPEDEVAIIGMSARFPGSDSLSDFWNLLVSGNTAFGPLPVSRFDPTYPSIASRL VEAKYQGNFLRDEVVKGFDHRFFDIAGRAAKHIDPQQRLALEVAYEALATAGYHQIKT HQQKEVGVYMGVGEVEYQHNLAGHQATPFTAVGLLRSFISGRVSHFFGWNGPAVTVDT ACSSSAVAIHTASKALLTGECELALAGGVNIITSPELHQALAAGSFLNPHGASHAFDS SAAGYCRGEGAGILVLKPLSKAVADGDAILGVIGASAINQNSNCSPITVPESSSQCSL YKKILQTAGVSPGEVTYVEAHGTGTQVGDPKEYESIRMALCGPFRTEHLFVGSAKDSI GHCEAASGVAGVIKTLLMMHHKTIPPQAGFDTLNPRITTTPADKIIIPKVAKPWSPVH RRVALVNNYGAAGSNAAILVREYEEPASQSVLSAPTSYPILLAAKSPNHLQSMIAALK SWTPLPASFGDIVYNINKSQNPQFPHRLALTARSHDDMVACLETTAASMPTTQLPVVL AFGGQTGQSVSISEHLYNSCPVLRRHLDHCNDVCVALALPAIVPNIFTSSESVPALHD IVRQHCQLLALQVSTARCWLESGLETSDITLVGHSFGQISALVVGGSLTVEDGFRFVA GRASLIRDHWSEPGCMLSLECLEPQAREIRDTVNKNLQQTGSGVEIACYNGPISFVLS GHQEAIAQAKYVCQQQQIKCLQLASTHAYHSHMTESILAKLTEMARRLVVKSPRLKIE TCTRKTSDWQFTAESLVQHTRDPVFFADAIARITASYPQGAIWLEAGTSSPVIPMIKL VKRNNNKTGGKLPDIYLPAGLRNDDAMINIGVITSQLWQAGCSVRYWPFLQHGPQNRH AFVPVPPYQFDRTQHWIDYKPRGSEETGKTTKQDAASADLITLVEAENMKHVFQVNTT VDFYQLAANGHAVAGHGLCPASVYLELGAKCVEIAADMPLGDLMMPHFEALAMSSPLG IATSHTKTTISLHQTDTKSWSFNISSLNAEGTSTEHARGRIALPSGGADAQLSSMSKL FRRSRVDRLNSLETSSKVAGPMVYQLFSSVVDYAPYYQGVKSLTAHGDEAVGLVGIPT NSESPVGFRLPKGICDPVTLDNFLQVAGIHVNCLRPRNAGQVFMCTAIEEIIISPAYR QSSISWKVYTRYDTEPDSRSIINDILVYDGTSNELVVAIMGATFRAVSLKSLELTLGR LNGASLSFPLTTQPHQSSPTEPTEPTPPMEAAFTPITKTNPDQTPQVIKDFKAQSSVD HDIRRVLSNIIEMPVDEIKSTSTLSELGVDSLLAGDVLAEISNMFGVKVSQPELLACS DVAALVGLVGKQSTEPTFSQSAPKVFRNDSFETRGSDPLTSSDLFNSEIDSNDSSCPT DFTDEDIATSSESGHCKKSGLEDLGGVAGVSFCEAAANYTRHASATRFSNFCMDVYPV QSRLVTQYVLSAFGNLGCDLSLIKPGSEVPFIGSFDPKHSKLVRQLYEILQDSKLISV DDLGKFWRTNTPLETTSAVDLQATILSRFPQHTSEAKLLDATASRLSACLTGAADPID ILFGTSSARGLLEDVYLNAPMFKTGTLVLVDYLSSLVRMSTRQTIRILEIGAGTGGTT RSLLHALSQIERPDMTVQYTFTDLSPSLVAAAKRRFASLVASSARGNGVEIEMQFTTL DIESPGTKRDKYYDIVLSTNCIHATENLSISASHIRALLDPVEGGLLCLVELTRNLYW FDLVFGLLEGWWRFNDGRTHALADELTWERSLKQAGFASVEWSDNGTQEGKILRVITA HALPERESNIGEQTRMETMRFKSIGGVDLMADIYYPVTLSDISAAPRPIALMIHGGGH IMLSRADIRPCQTELLLSKGFLPVSIDYRLCPETTLQEGPMCDTVSALSWVRNTLPSL PLARTDIRVDGEKVVAIGWSTGGHLAMSLSWKSAEFDVRPPEAILAVYSPSDYNDPFW TQPNIPEGSESMFPIAADSAFMTLDQPITAYNPPSSAKAVGGWMSVVDPRSRLALYMN HHGKTLEVLLRGVSAINGKREVSEEEVTAVSPLAQVQQNRYRTPTFIVHPRLDDLIPW QQAQRMHQALKERGVDAELRIVDEGAKHLFDVGKGWERRHPQGSKVVREGFEFLVKYV DGV QC762_611240 MGECPVNHTKSANVAGGGTRNIDWWPNQLRLNILRQHTAASDPF HKEFNYAAAFKSLDYDALKKDLTDLMTNSQDWWPADFGHYGGLFIRMAWHSAGTYRVF DGRGGGGQGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGNKISWADLMLLTGNVALE SMGFRTFGFAGGRPDTWEADESAYWGGETTWLGNEARYAHGQEGIAGKGIVSGDESKK NHTDIHNRDLESPLAAAHMGLIYVNPEGPDGNPDPVAAARDIRVTFGRMAMDDEETVA LIAGGHTFGKTHGAAPADNVGAEPEAASIEQQGFGWSNKYGSGKGPDTITSGLEVIWT KNPTKWTNQFFEYLFKYEWELTKSPAGANQWVAKNAEPFIPDAYDPNKKHLPRMLTTD LSLRFDPGFEKISRRFLEHPDQFADAFARAWFKLLHRDMGPRSRWLGPEIPSEVLLWE DPLPPLDHPVIDNNDIAAIKREVLATGLAPQKLISTAWASASTFRGSDKRGGANGARI RLAPQKDWKVNNPAQLAEVLGALEDVQKRFNEQATGGKKVSLADVIVLGGVAALEQAA GVSVPFTPGRTDASQEQTDVHSFEHLEPYADGFRNYGHGNDRVKTEQYLVDRAHLLTL TAPELAVLVGGLRVLGANYDGSDHGVFTAQPGKLTNDFFVNLLDPNTEWANVDGKGEV FEGKDRATGQKKWTGTRADLIFGSHSELRAIAEVYGSADGQEKFVKDFVAAWDKVMNL DRFDLEQGAGSSPKL QC762_611250 MNSLKGLLLWAAVATAGFIALEEVGSPEHLVSRRKLSKRFIDDN GNYNISFYHLNDVHAHLDEFSSSGTDCTRPERGCYGGYARIKHVLGESRPSHPDSLLL NAGDEFQGTMFFSYYGGSKIAETLNQIGFDVMTLGNHEFDRGDDHLGEFLDNLTFPIV SANIKSDHAVLNKTIKPFHYFEQYELAVIGVTTETTPGIANPGPGTTFEDPVKTVQET IDYLRGELGVKRIAALTHIGYEEDQRLARETEGLYLIMGGHSHTPLGNFAGAVGKYPT IVENSEGEEVFIVQAYRWGEYLGYIDVTYDTDGRVLNYHGAPVHLTNTTAQDEDLQSQ IDQWRKPFEEFAAQVVGESLVVLDQSRCLLEECLLGNFMADAMLQYRVNNTTPETAPA FALINAGGVRATIDEGPITRGEVLTSFPFGNAIVEISMTGERLWSTLEGIMSKVNQVN GRPVTSLLQVSRGIVVEYNPDATATTKLVAVTIGGKPLDKTAEYRIVTLDFLAGGGDN FFDPPFTNPIVLDTQDQVLVDYIGYKTPVDIELEGRIKPISRCRQKFLARNAKRMLVP TRGL QC762_611260 MKFLQTATALASLASAVAANGGPGKQKPLINSKKLQSEITTKGL MFNLERLNTIAFANGGNRAFGLPGYAASVDFVWSQISKVKGAKAWKQDFPALFNFVES ISLKVAGEDIYVYGLTYSPSTSAEGITAEIVAGPEGAAGCDDASYDDLDVKGKIVLVQ RFRCPTGGTLAGRLLPAARAGAVAVIIYHDITTNVTAGSLSAPNPEHVPGGFINLVDG ERIKTRLAAGETLEATFQQTQVIETRITQNVFVELEGGDKDNVVVLGAHLDSVQAGPG INDDGSGTSLLLELFKAASNYRTKNKVRFAWWGAEENGLLGSRFYTQSLTTKEADQIL AYLNFDMVAKGFIGVGDADGSSHGSVGPPGSEVIERIYNEHFQKQGISVTPAVVTNGS DYASFWQVLHKPFGFLHTGTGVEQDPCYHQACDTINNPDPKTITINAKAAAHMLSVLS VDGTKLIPKTKQKASMFAAIRMRDVGPDVVRIEELEALGERHLGCGHDI QC762_0089170 MSRIRTWRQSKCGVISTMTLLGTVFVAGRVYSRVILMGKIYLDD YITLFSICLCIIYVGLAGATISHGGGRHLDTLSQEDVKKALYYTVISFVPGVSSFTIP KFAVVVLLRKLLNPGRAHRIVMWIVSIIYGLLALGMLVINFAQCTPARAQWLEADGKC WDRQITVDYAMALGIYSVLFDFYLAIYPTVVLFQLQLNWRKKLALSSSLGFGYCAGVI TCYKCYTLSGLLEVKDFTYTVDDVVLWTNIEANCVIIGACIPRLYPLIRKVFGKSALG SSARPTGNSKSAGLRGGSTGPSNTVITIGSYAKNKGRKRGKSGSHMASNVDTINDLDA DGKYIVLEERSFHYSTTELTAQDAVAANSQVAQTKAARQEGW QC762_611280 MSRNWIIHYAHRGVKADEVFDRYIKMIPSATQSILGCLFRKPKP TPSDLRTLLDLPQVPMNLSVPGVYINVPTSAPRNSVKGLYVGSSCATTGRNGGGLQSR VKEYRVQAKKILEVSRRVTKSTHYQLLREQGVSSNFRVLAIPALRDRVELDVFSLEGI FQCLLDVVLLPDAGLHGNRFHSPSVMDYLATIRQHVSASIGSELPSFRHLGLNRAWVQ YGGVPRVSSGKLLHEEWVKTNLDICGGKFCGVPRPTDGRENEWRGIMEAALCRRCRQR QTVTVRHDFYNMYPKKQRAMVGLPAFAWGPKDHEIFLTAGNANVCQNPPYDVPRGEFK TTRFKGYCEQSRCSSCAKYLEKHGVDKPEGSSPRQLKNHLNPNVKAEKHQLQAEQDAY LDSGNEDKCQNDNCGFPVPPWMLRDASIRFGRTRQVLAENDVQFHGLREETLCHPCHS YKTRNKGEMSPMGAFRTAGSVWLTAWVKMGKKDVCVDCGEPRPENWEKDRSLFMHFGR YGKCRNCSRRQKYKEKKAAKR QC762_0089190 MATQIGLLSPPRDSSLEGPVNGKQRRKTLSRNFTAWALFNFQAL HVYILFEPPLIKKPPNVPLPDPATNPEWYGQIWLKYPGDTKLHTTHFPYQFQAQCQVR VIMNDMWMERFGSSRQSSPEGGTTMLPSTQLEQTNEFYARLKAWFDSLPAPLTPPRIV FPSQILLHSNYQNTLANLNESITPTSSNVLNTLGFYSLNKVYAIVSQNHEIHEENNSE LKATQSTLLLAAKGLYEQSASFYLDRLTLQLLRSKTRPQERNLLESEVLFYDRDYAGY HLPGNQHDKRIKSEENNKKKDGQAGSQTVSNRAGDNKDQGSTEKEPIREVRSKWVVTV RSVDDDPEIHRLQKLVKDNLKLDETVEWSDEDEGAFY QC762_0089200 MQISCVCTTYRQEMRRILKLSSASLASLRRAPPSMLSCTSFFET DPTLSAEIFKRIRMEGPPESILRHIKEGDLLLQLSLKPEMRFRYVFPYVSEMPAHLHR PDNPYIESCFYEWSALQRSGFEMSTCTNKRTTDSPRSSSSPEPRPESIYLMPFHAAEI IEPLLNDIFPSKWTVVCADDVLMRRLIAAWLSAEYQWIVVLQKDCFLQDMAHGPGRRG CCSSLLVNAVLANASYWSPHNLAYQFLAEAKRLWELESDTPRLTTIQAAMLMNVNTNM NTMDEIGFNYTKRYEATAWGHTAY QC762_611300 MRSQILLALLPALGAEAIRIIHANDDGWAELYTRSFHDALVAAG HDTVLAAPAENKSGTASSDAEPSPRTTACQYDSCPANTNQPIGRNESSPRLNWVNSFP VTSMRYGIDTIAPPFWNGQAPELAVSGPNVGSNVYVQVHFSGTVGAAVFAAKERRVPA IAFSGLSSGTLSWNTTPVPTRSLVYAQVASKLVDAVVSSGKPYLPENVFLNVNLPKVE GKCTDPHNFKFVLSRINVGLFSAKDVYHCGTDRLPLEASVALSDDCLVSVSVGDANDK TTAPKEKQDIVLNKLSGLFTCLP QC762_611310 MRPVDKSSQDVESQWTGVSPSSPLLPKSQQPQNSPVLNSTPLSW IKGVPFLIWQFTENDFPTFILPNSAFGIFGALAGPRLFSDETGPSVSEVLWRVPIVII YNWYMTFIFDLANQRGADSVEEDRINKPWRPIPSGYATMEHARLLLLSSIPLAMAMNW YLGVFSEGLWILVLVWMYNDLRGGDHMLRDPLIAAGSLGFNGGSLRLAGGVGRTVNDE GHLWTVMIAAVILTTGYIQDLKDQAGDRLRERKSVPILIGDGLCRVVIGAFVLSWSFA CAYFWRLKLWAFVVPVLLGAGIGMNGLLRRNKEADYATWRTWCFWLIVLFMLPTLSWF QC762_611320 MRSLPLYLSLFVPALAGINWDIYEHGVVPSFKWSRPFPDDGTDP GGFEVHCKAKKTFRAKMYKLSDLPEDPPTGLSPWRHAIEEFMDHTKEFMGSWDGVDHK GENREIVVMEYKDVPLEVREWIEQQQRDEETEKPNKKKWWFGVFEKPQEHGQRIIGTV KPTPTPVPQGGHAPDVKDIKLEDKILVFPGGAIYEILPLWVAGGSGACERELNNLPKY KHQAIDHCVIAWVTDHTKPHRENGKRDMEFTIEAMAVTESEDGKRSRLMWERLHRTIK RNDRKQQREERQKKKKELEEGIVRDEL QC762_611330 MSPSTKKGDIESPPGAESETDLELLGRQRPDVFSSTFTEVMFCA SLLISMFMAEFFISGFNIILPSVSISLEIPKTSQVWPASVFSLVTGAFLLPFGRIADI YGAYWVFSIGMVWFSAWSLISGFSTNYIMLIVTRALGGFGPAAFLPTGIMLLGKLYRP GPRKNLVFALYSAFAPIGFFLGIITGGLTIEYLSWRWYFYIGSIILFISSAVAFVTIP KDMEQTRRENAHIKMDWWGIVTIAPGLVLTTFALTDGAHAPHGWKSPYIIVTFILGVL LLGAAVYIEGWVAEQPLLPFDLFQPKYMVRLTVALFFAYGIFGVFLFYASFQISEWMG QTALITAIWFAPMAGGGIVLATIGGFTLHLLPGRLLLIISALGSLACVLLFALMPQDA NFWAWVFPAMLGSTIGIDITFIVSNVFITTNVARHRQGLAGALINSLLFLGISFFLGI SDLAVSEETKRGGTTGHQVAFWFATACAVVVLLLFATIKVGKAESDLTLEERAELERQ AKNQASRSTVTEEKA QC762_611340 MPEPKKTPPSTGAAAPQKACHNCRRRRLRCDKSVPSCHKCSING EECLGYGTFFRWANAPAVRGRLALPKPKKEPLKSRTPSLPSPTLFSSISTVSPSDSPS ISSSVSPLLSPLVLPLVSSVSPASSTSECDYQTKPLSRAELMAINREVQAMKDEEEGT YRYTLVHPSLLDPFHSSLDRKSKHYIHHFSNAVCRDLVSIDQQSRNPFRAMIPLAGRF DYLQSIIVATGAMHLATLQNYHNRRPGGPELVDALVAKGKAVSALTRAVASAGNEVTP TSQAMILAAIVFFVNLDLIDNGKGSWQAHIEAASTLMTSIQKQVASGGAENRVIIDDS LMRLVDAIAADCLTYRILGTTISGVDTTWADSMEHSDLFSVLSRAEAHSYHCCPPVML ETILATSRLFHDHLSAPEEKVKRALELLGRAKKFDVVDWVYAIQGLSLEQDDLSVRVS LARAHRAAACLYILLCVPDALKPLGLVSLEPLVLELRGYIAEVPLDHVLLKGIVWPVF LAGAQTTEASQRVWYVERLESVWAKNPWICPWGYIRTAIEMMREIWEARDAMEMAGLE DLGGWNWLAAMKSRREQCLIV QC762_611350 MYRWYQNATICYAFLNDVDDSYDEDPELVLEATGEQRTAARIRN TIRSVRHSRWFTRGWTLQELIAPANVTFYSRNWNVLGTKLRDVPLNEPSAYHEPLDFA TIVSQITGVDLDVLSGHLDLDSISVAGKMKWAAKRRTTRTEYMAYCLMGLFNVNMPLL YGEGGRAFMRLQEEIIKVTNDQSIFCWECDEADVLQNHLSGLLASKPVHFASFGDIRP LPFDASRPSSPSTMTNAGLHVEFYLERATESTCHNSHHEFNAILDCYPRKHIKQDGTT SSRNPAMRLIALGGDQFARLFPSKVVWVSADHWQTDGGENQYIYVKQSPVIRVPDIVV SEDPSDRCRLIDVWPPSRWIAATWTLGLATSSRNDTTIRDTALAKFKYRVKTTTAEHD NEPLMIEVRVGICSKLQLGSSISWDCWCHFRNLSNKLNTHESDPPIEAVDRQLTRGFA QYIQEGVQAVVETVHTRNRSYFSLTVSNVYEMDSVTSLRSILEVQGTEIGATGTTNSV SAALLVDVASKSTVQDTWQWILGINSPGFNTGTAAALSHPPPIRTRSCPQSKANPEPL EQSPVYETFSEVLATAIKTGVMIEASAVINPFESYFTTLLIQACIKNEDQAARQLLTS PLSSVLVDIKTSIQTRDQSELEPWHEIFRDFRVIHWASALGHLAIVKLLTAHGADPSS TTGLGLSAVHLAALTSHSLIVEHLLDVLEDKRPEWFENEQFGQAESPAHLIAAYIRGS ETARILNRLLPDLKLAGKPKNDRLGDMGLPELEILSLSPIAASLEEMDAEELHRVMPN QLTLPINTFHETALHRAAAMDNIHAVKAFLDNSEYFPRPSAYQDCVGRTPLWHAAAAG ALKAVEEFLQHKDGVPIDEPDTLGRTPLHAACRGGHVEVVDVLLRAGANPTAATTESH MTPAHFAALSGKEEILRLLNKHTERLDIFSESARLSPLHIATSNGFLGCVRFLCETGA QLDVMAGHRLIVRSHPNIGFLDVSLAKKHGYWSLHELAQHGNHTQVQRYLEMIAPGQA GVKTGSSTGLFDVELGSSLWPSFHYEKLLTRNL QC762_611360 MPVNSRLAVAATLASLWLQSGSAQTTCSTVPSDATYDYVIVGSG AGGIPMADRLSEAGHKVLLIEKGPPSSGRWGGTMKPAWLQGTNLTRFDVPGLCNQIWA DPTGVSCTDIDQMAGCVLGGGTAVNAGLWWKPHPEDWDTNFPAGWQTKDLAAATDRVF SRIPGTITPSVDGKRYLSQGFDVLGGSLRAAGWEYVVPNETPEKKNRTIGHSTFMFSG GERGGPLATYLVTASGRNTFTLWTNTIAKRIIRTGGHATGVEVECNRGGHAGVVNLTP NTGRVISAAGAFGSAKLLFRSGIGPTDQLNIVKNSTDGPTMIDSAQWINLPVGYNLND HVGTDIEIAHPDVVFYDYYAAWRSPIASDAETYLANRTGPFAQAAPNIGPIFWEIIKG GDGTNRHLHWQARVEGLTNTSMTVTQYLGTGSTSRGRMTITRQLNTVVSTPPYLRTEH DKQAVVEGLISLQKSLANVANLTWITPRPGVSAEQFVNSIPAIPGRRGSNHWIGTAKM GTDDGRSGGTSVVDLNTKVYGTDNIFVVDASIFPGMITANPSAAIVIVSEHAATKILA LSSA QC762_611370 MLASLALVLSTALSATAHYTLPRVGNGADWQHVRRADNWQNNGF VGSVTSPQIRCFQNSVAGASQTYNVSAGSQLTYYVNPNAYHPGPMQFYLARVPDGQDV TRWDGSGAVWFKIYHEQPTFGQQLGWPSLNKGSFPVTIPRCIRSGYYLLRAEHIALHS ASSPGGAQFYISCAQIGVTGGGNTEPSNKVSFPGAYSASDPGIQININWPIPTSYRNP GPPVFQC QC762_611380 MCEAVSMTLVLLTDVTATRICSPLLIICKSDKHHSLFMPISIIS NSPTVHPKAIFTSLLTHQSLATMSAKGGKFQPVKEAQAQNLPGLDKNMKPESESTKLE GKDQLHEYKGSGKLKGNKAFITGGDSGIGRSVAVLFAREGSDVTIVYLPEEQEDAEKT KKLVEAEGQQCLLFPGDLMDAETCRKAVQVHVDKFGKIHVLVNNASKQIMCQDITDID LENVESTFRSNILQMFAITKYAVKYMEKGGSIINTTSTVAFRGTGAMVDYAATKGAIV SFTRSLAKQLIPKGIRVNCIAPGPVHTPLQPASRPAEDMEGFGEKYALGRPGQPSEVA PSFVFLASKDAELYYGQVLHPYPLGD QC762_611385 MLPSVALALCSLLGFNRIASASLPDKYTVVDVQWDLLTDLNNPN SAAVSVFGTIQEAVAQMEAQFPGWNATFQAQQPSYSTVSDGTVSAAALYDRDYYLCGG RGKVTTAAPKNGPGPNNCGRVSCGYNAAIYWCNDVSSQAAQLVRYHLI QC762_611390 MATNEKPEKAVAMTGDTSDVESASLPSVNPRTITEDNYLGRPTE EDLATLRRVPGNIPVIAYLICVVEFCERASYYGVQPLISNYVNRPMPRGGNGWGAPPR DRNDQQTAGALGMGTVAANAVTQSFSMLAYALPVVFGWMADAKFGRFKLICWGVAVFG VAHALMVAAGSKDLLLAGTSKAPYFLSVYILAVGAAMFKPNVSPLLLDQVTTTVPTVI TLSSGERVIQDPESTTERVMLWFYLMINIGGFMGVATSYSEKYVGWWLAFLIPLILYL PLPFLLWFLYKRLILHPPGGSDLPNVFKILSICFRRGGFAKFGRHGFWDLAKPSNIAA AGLAESYPTRWNDDFVEDVRRTFQATGIFCFFPIQYINDNGIGAAASFLSTMLETNGV PNDVISNFNALSIICFAPILNYGLYPLLRKMGIHYGPIARITTGLLMSSVGGAGYTII THYAYKQSPCGEYGSSDCTIGTGVAPISIWWMAIPFAIGGISELFVNVPAYGLAYSRA PPNMRGLVSALNLFTSAVAYAIGLACSSVIQDPHLVWDFGGPAITGGILSVVFYFLFR HIDREEYVLSKNNGPTNVEVEGVVAGAALKETTEK QC762_611400 MMDGVAASDKMRVLLFFFFFSLCSGSITAIFGCFDSVEMGGGSL TYFKSLAGLAAAATTTAAMAPAGAAADFFKMPLCGRSFRLEEASIDDMQKAMGNGTVT AVQLVECYAQRVLQTDDYINSLLEFNPDALDIAANLDRERRAGKVRGPMHGIPFTVKE NIGTKDKMETTAGSWALLGSRVPRDAFVVKKLREAGGVLLGKATLSEWADMRSNNYSE GYSARGGQARSPYNFTVNPGGSSSGSAIGVAANAVAVSLGTETDGSVINPAMRNSVVG FKPTVGLTSRAGVVPETEHQDTVGTFGRSVRDAVYTLDAIYGKDQRDNYTLAQQSPRG GYTQFLTNKRALRGAAFGLPWQCFWRHADPEQLRQLTALLDLIKEAGATIINGTEITD YETIVSPDWWDWDWGTRRGYPNESEYTVVAVDFYNNINTYLSELDNTDIRSLEDIVQY NYDNDGTEGGNPWPLGNPAFYSGQDGFLASLATKGIQDETYWQALEFTQTKTRKGIDN ALNYKGKKLNGLLVPPAVGQSYQISAQAGYPIITLPAGIHSATGMPFGLAILQTAWAE SELVKFGSAIEDLQKTTAGNQYKRSLPTWEGYKKKPIPLLNIYEGSD QC762_611410 MSNYGLPGGGNGAPDTDASNNFESLLAQLRRQSSPSPGPSSGPG PEQFSQFGTYSQGAAGQSFYGHHSNTDSPNLPGGHAPIDSPAFLPEAPTPPVGFGGSQ FPPGLMNPIGAGRHIGGGGAGGGGDERTAHLLNLLKFNSSGGQGGPQSMQQPAAAREP PINYAPAHVAPQVIHAPAPAAADPTGLLAALMKGRLDAESTKPEAASTSSSWNQSAPP AAGTQQYLLNLLNRPKPSQHDADTQEPSLLTPPPAEDESSHGGRSAEPTLVGTLASRS EFEFDHKNVESPHSLHSHQSHQSHPSHQTGHQSGTAGNYSNPFDDLSSSSPVHRTPKS STTPGASSTAGTSHPVPTSSAPAPASAAGPIPGTIQILKKPEQGLPRHQQRPFNDHGL ANSPESARRQLEYAPSPLSHTSAVEKSDHVDVASVANSASAARPSEVKESVSDAVNGL AEQVDREAREAVARAQQEQAQAGTAQDFDHLLNDTTEEFAHSTQSGPRSQNQEFAHDD GRGASESTLTRELAKDLSDNVEDGSHAAQQPVADSWESAEADEIVVIEETAAPVKVYN FPMKPWITINLQETDEPRPVFREEAILDIARLKKDFDQIDRNLVSASETYMAYGMSKA GGLRVIRQEDGKDAKLFTDTKDRIFNVAISTSPSTEHPKEAIIGTGVSGTVYWVQLKN GERDHLEDAHPEQYGFALPPISSQEGGDIPGGVLKTRARTSSMHPDFFAVGRGKSINI IWPSFIFENNLFKNAHDRVVDTEKLLKQCSLKINTGKAGKDFTFSQDDTVVVSLDKSG RVKFWDVRDLTAVKEGSERSNPIPAQTTLEIKEPLLTLTTTPEGEKAWPTSVLLLDKY RPYQKRVALRYMVVGMKQNHTLQLWDLALGKPVQEFNFPHNKESDAVCSVMYHAPSSM IVVGHPTRNSIYFLHLSAPKYTLKNLSQVDYIQRLVAQDSSIPQPESTAVISGIREYS FANKGVLRSLTMLENPAATADGDEPTLFELYAMHSKGVTCLFVKQAELGWTKDNKVIL LADAVETGLVTISKLVAPPPLQPAEASAQSSNILNDAATASQIRIVSRNAKEALQKMP SSQGEEKKGTGVSTPPKFERKEENDTPAPQPERNEKKGRKKKAAQQAQAQAAAAAAAA ATGATDRDYPAPNGAAELNRATLQKLGKASRGNNGDPSSLPPSIPPAEPSSTAAAISS EHLESVVNKMESRIVANISSRFDTVFSDILKQMQEIQKRRDAEFANSQSHLLQMVSDV LNDNTESVLRALIIEQIDKNVIPSICGSVDRSVAEQLSSQTSSQVNAVQQELKRALPI SVNQALQQTDLIKTISDKVSYNVNARIDQQVEIQITKAFNTLAPQLAKNIAQSLHQRN VDIHEAFERLEKRRRDEDAKLDRLIAQTKELSTAVASLAAVQSQVSKDFGTLREQVHQ SSQQSTPIKQELNSRGHRDSSDFFSQTASDYGSQHFQHTQHQHQLPVSHARTHPQAPQ PVTQRPQQPHQQALQHQFNSPSQQLYSPSGRDEEREAAELNKLLETIHNLLQNDNIDA AMLKWLHSGDKAEVVFQHIISRQSPGMLRNLAPLLLLSIGANLVNEFHSNTPKLTQKI DLLEFLLAAFESKLGSMDEQTREVTPRIMSLMRSKFQSLQSELMAVSPQSPQLNTLAS MVKIASQIVELMKHGAVAGPVPSHIQGHYSNSAY QC762_611420 MFCLRSWLPLLFIPTSASPVFIFLFFLCTYFLNRPCVYCSILLF ILFLTSCNWSDHCFFDFASNWFQPRLAASYIYLPTITGWNATTDATASPSSTTHVVTD VLNTTAGALATAAAEKIAQTKVEWTGLGLEWLRSLLGRREWEIDCLDLHIRL QC762_611430 MPPEPRASDRAAYYTMKTTTGLSTTQAPGHSRTNSQSFFSNKGT GTPLSSPRPPHSVGQQYPSKSSPGTGRGGAMDARTPSPNYFGLAVDSGADPRESALLP HENWSTPTSSVKSFAAAIPKHLPLDANPEFEAFRRQIDANRGRSGFSLSATHFNIASG GPFAPTVSTPSAQQRPRPPRSQTHGSNLPENPLPRPSLPTESGSWGPGDNPCQPAVEK DSYQGAPHLSTESRLGIKGFKNPPFFLGLAKPPSQEWEKFNSSISEASGRPGRKTETP SPTFSQQTHDGQQATQGCGEPDMIPPAALKQLLDKSKGEDLLLLDIRVSPQFAQARIQ GALNLCIPTTLLKRATFNLEKLQQTFQADRDQDKFAKWQTSSHLVVYDAASADKRDAT SALNMIKKFTNEGYSGTTSILRGGFNAFVLAYPNLVDRSSNMMSPSLSLGGAGSATNG TRTNVPPVIGGVMLPSTNDKIDPFFSNIRQNQDLVDGVGQMDVGVPTGLDKNRLPRWL QEAIEISDHGKRVSDKFLRIELTEQSRMKLAYAAFASSKNHGPQTETQVRLSGVEQGG KNRYKDILPFEHARVKLQGRPEGACDYVNASHIQAKRSYKRYIASQGPLPATFEDFWS VVWDNDVRVIVMLTAESEGGQLKCHPYWKGRDFGPVRLRLLSEKKISLDIDKHRAGST AANDESAPTQSNDHASTISEGGRRRANTTTTLNSKTPGSQYGTTQAAETPFVTIRKFA LSHAMEPFAPIREITHLHYTSWPDFGAPAQPSHLLALVELANVMQRAALPNDPTVTTS QAQPRHDSGSYFDPSPPLRPDSLSTTVQRTSYDAPEPVEKSRPMLVHCSAGCGRTGAF CTVDSVIDMLKRQRQQQQQHSLAAQASSSTVHRCSSNKRTSQDGWDDDDDDGRGKRLA LQQDRDTRVDPDGDVTMAMDEGFVVLPRQTAAPSPFTSQANGFPGFSGGRESIDTAWL EDDSVDLIARTVEDFRGQRLSMVQSLRQFVLCYETVLEWIWRVEDGDGAGGGLLGRAR GRSGSLAF QC762_611440 MALDNYYHNKIESMQLEILKGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDIADSVDITKLTPGKRVTLLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEGSRMVRELF IMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRKMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFELATAKILNKHDDKEVSLAKLWR QC762_611450 MDSSPQKDDASATDQSPTDTRRPSRYESITGQDDRMDESFQSTD TVRRKPEDSTMQSPNLASGAFTDPGQSSRTTSVTVRSPLLGPRTRPRKPAMLRRTSTT AQAPHRGGVYSVDDGIAEVEADAVERQHSYAASVRRRTHAPPSLSRVHSRDEDGPSDW PEEQHEQGSTVPGVDNGEQRLDEQVSDETPAEDDGEISDAESFTLKDRQQAINQTHPF GIRLWKPALYKKDRSVQKNAEADVHSSPGGHVSSWLLFFDIIWTLAFGWWMALFAFVG AVICFGFAAAPSGREYGRVLWGLAGYLFYPFGKFVRLEQQEAYLEEDLGEGRSISEYE QWQSGDLEYGRLFFGPDSNRSIIGRSRRSLDSEPDETESLLSRGRGRGSDSDLPRMKR RLFGRGQWNIGRVVFFLFFYFLITPSLFIVSAICWFLVFWIPMGKVTMLLFSHLRRHP LALSFESDMASARAPAGLQSSILVCTYRAVGLKYWKYTIDGTNIFLINLLAVVGFVVV DWLVLHQALGVHNFFTSSAFLFIAGLLSIIPLAYFIGQAVASISAQSSMGLGAAINAF FSTIVEVFLYCVALRQGKAQLVEGSIVGSIFAGILFLPGLSMCCGAIKRKTQRFNSRS AGVTSTMLLFAVIAAFGPTLFYQIYGTHELTCQDCTNFDHPNRGGGALRDCRRCYFSQ TPAINDRFYLQAVRPYCYLAAGMLFLSYAIGLWFTLRTHAAVIWNTDVDEKKHEDQPT AHSTTRPSHTMSVGDASGADIRDSHLYKRILGQSLRQVGHIPKLDEQSRNSSTLSAGK ANGTPHVVPPKSTSYGTETLGTNMNIPGFSDAENNNLVHQVAEIAATAATVAARNVQR PRQMSHHASTVSAAGHGSASGSRSGASRPTTVSIGEDLGESGAGSGGHGGHDAPNWSR LKSSVILMVATVLYAVVAEILVDTVDVVLENFEIDEKFLGITLFALVPNTTEFLNAIS FAMNGNIALSMEIGSAYALQVCLLQIPALVLFSALYPPVGVPMEDVAKFTFSLLFPQW DMVTVILCVFLLSYMYGEGKSNYFKGSILLLSYLVVIIGFYFSGYGTSFESPQSTVSR FDTMGSDGQWMSYKYKTVGRSTSGVAF QC762_611460 MVSLTDLFLASLLVPTSLGSALPPRIDTIDQRGGRVTLKQVRNP RGHKAFNPARATYRTFLKYGVPAPDYIKKAVAHIDEEQEEAFARIKRDTGSAAAIPIN EVDIAYVTPVTIGTPPQTLMLDLDTGSSDLWVFSSLTPSNQVRGQEIYSPTKSSTSKL LSGHTWSIRYGDGSGSRGTVYTDNFTIGGLEVKSQAVQAALEVSSSFTQEQSLDGLVG LGFSALNTVRPSSQLTFFDNARPNLDEEVFTADLKYHATGSYDFGFIDSKKYAGNITY TTVQQSPGYWTHSLSGYSVGSGAFQASQISGISDTGTTLLYLPTAIVTAYYRQVQGAQ NSQYYGGYVFPCSSTLPTFTFGIEGARFTIPASYINYTRISPTSTTCYGGLQSSSGLG INIFGDVALKAAFVVFSGTNPPRIGFAIKPLAS QC762_611465 MLTKALLPALILAATAAAGPAHVVHRRALEIISAREELAGEEGP AVEDSPAEPAPTPTPKPILSRDGVCWNSILDLEWSISQLEYESSVVTVSGKEPDAWAY LSFTLSNTATTYTADCTAASNTNSDIGFFDGEQEYLCSVGEGAPEGSQIAFRFNKEAG SLAIQEVIPCSEGDISGTFITRGTTQVTLSCSEAVSENEDFTNTEVNCEPVDASLWPY QVVGLNED QC762_611470 MKILSLFLLAAVAVEGHYTFPRLVVNGKTVEDRDWLFTRQTKNA QSKSGIENPTSGDIRCYSSTTAPQIATVPAGASVNYISTQQINHPGPTQYYLARVPAG SSAKTWDGSGSVWWKFASTMPYYDANKQLVWPAQNTYATHPAVIPANTPSGEYLLRVE QIALHMASQANKAQFYISCSQINITNGGNGTPGPTVSLPGAYRSNDPGIQVNIYNLQP DAYRAPGPAPWQG QC762_0089410 MMMKQYLQYLAAGSLMTGLVAGQGVGTQQTETHPRITWKRCTGK ANCTTVQAEVVIDSNWRWIHTSGGTNCYDGNAWNTAACSTATDCASKCLMEGAGNYQQ TYGASTSGDSLTLKFVTKHEYGTNVGSRFYLMNGASKYQMFTLMNNEFTFDVDLSTVE CGLNSALYFVAMEEDGGMRSYPTNKAGAKYGTGYCDAQCARDLKFVGGKANIEGWRES SNDENAGVGPYGGCCAEIDVWESNAHAYAFTPHACENNNYHVCERDTCGGTYSEDRFA GGCDANGCDYNPYRMGNPDFYGKGKTVDTTKKFTVVTRFQDDNLEQFFVQNGQKILAP APTFDGIPASPNLTPEFCSTQFDVFTDRNRFREVGDFPQLNAALRIPMVLVMSIWADH YANMLWLDSVYPPEKEGEPGAARGPCAQDSGVPSEVKANYPNAKVVWSNIRFGPIGST VNV QC762_611490 MLRLTTTILTGLTIFAIPAFAGGGGGGHGGYTKPEDNVYDYVIV GSGPGGGPLATNLAKAGHSVLLVEAGDDQSNNPTSEIGALFFLPYQDASMRWDFFVRN YANESRLLQHNHRVYRKTDGTFYVGTSPPAGATLLGIHYPRGGTLGGSSAVNAMSSVL PSDSDWQIIADLTGDTSWNPTAMRSIFARIENNHYLPSGTPGHGFNGYFDTSMSDESV WVGQDDMTTVMAEAADDLGQDPLNIVNHLTADVNALDPARDQSVGVFGSAVHSDENAR RFSSRDLVLETASALTAAGKKKYPLWIQLNTLATKVTFKDINHPHRKPKATGIEYLQG QSVYRADPRNTASNNGTWGRAFARKEVIVSGGTFNSPQLLKLSGIGPAEELASFGIPL VKNLPGVGTNLQDNYEVPIVGHAASNFTTPPPDPADPACWFGAPGDPCVTLWQSQQGP YMKGATLNAVFRKSISPAYNERDMFLVGGLFALRGFWPPTDSIVPDSPNMFGFSTVKI GPQSRSGKVLLTSADPRDVPSINFHLFEEGDAGTAMDLAAELDTVKFVRRLFASVPAP LGPISPVEPPCSGGMSADGTCDDAADIEWLKNQIFGHHPTSTCAIGADSDPNAVLDSN FRVRGVRGLRVVDASAFPRVPGPFPVLPTFMLSEKASERVLADAHTW QC762_611500 MRTAHSLVWLATAATVATAVRINKPRLLSKRQMNWEEQEEATYD DACNIGYCSVFGATIGGWGAEHNFVKTVDEFTAAVAGTEVGVVIVGAAIEAEGIQVAV GSSKTIIGAPGSSLSGIGLLLKDSRNVIIRNLVISNTKTDAITIQNGRSIWLDHLDIS ASGGKLLGITSGSDYISVSHNKFHGFSHASDSAVAIGHPDSSALEDNDKFHITFARNH FVNVTNALSFRSGTGHIFNSFYEKPHRGLDIGGGGKVLVEKSVFDGIGTGNAVFSSDG RGYATIKDVVVVGAYGSFPPEADLSEENVAYPYDWFIYETAKVKDVASRWAGQTLKFM SWD QC762_611510 MAPQGGEEAMNPRAIQALETLCQRYRLSRAALLADFNNTSSQAV WGQINYWSRERQILDYDEAKRLLYLGHAQNPSRGLPRVPSDPNRWIPTDIEEADEIYC REVFSREGVRPRLLPGLGRVRSARNRRKSPSTSPVIHGPGPAQVQESAQEHRQLQQFQ NIQQEEDPWQGQHPDQQQDQGDTIIAAAVPQPPNLDIDPGLQHVTSTFQLTPETDDGV PMMPSRRSTRTSVRKRRLHDSPPPSAPSNVPAANDEISPVNDDTPATPAATVDGQTNG HTNGQKPVVFTDMSAAQQLLAFQNGAEDSDLAAETDSDQAASRKRRRTDQETPNASSD AYQPVNTAAPVAYKEDEAISFLVDATKSSFVQKLHQYQDLMVAMASHQQRLAKIDQAL GKVTSQLEDATKFLAERREMLVNIESKRQMTQAGLEAVEFKVWQENHPALAAQVVGPM KLTLAQLAEDKKEIEYQIKDKTMEMEPLLEEKEEGEQERKVVIVEAGFPLAYQDGDIE NSLDWLKRKIEDLKAYLFILGLGPSRVGEQVREHGGVGVDASVYL QC762_611520 MPAFRPTPRLSAAALPNPSSLPRAVPKGVAANVVVPGIVVAASV YGVISYIKNQLQHESSTIDKMFAQKNTPAVEESRRRSLLVDTEGDPRRTPYNILNWK QC762_0089460 MAMMVSPTDFLDAHYYLYLFVLFTGAFLLRDTLRNRHLSRFPHL NPKAVTELTKTRIRKEFFTTSYTIIRQWFHTNPDKAVRVTADVGDVIILPVRLANEIK NDPRLSFGEFIYNSFHASLPGFEGFREGARDSRIVQAVIVRDLTKHLNKVTEPLAEET RLALDELLPHTMASATWQPVEIRDTILHLIARISSRVFLGSELCRNEDWLKVTRDYTA DAMRAAIELRFVPKPVRFLAHWFMPSCQKARVHVQEARRIIGPVLEKRRAQKMAGNGN FDDDAIEWFEREAQGKPYDPVIAQLVMSMAAIHTTTDLTVQVLADLVQHPDMIDPLRE EIESALREGDWTKNSLYNMKLLDSVIKESQRIKPIGLVSMRRVATAPLTLSDGTYIPK GATIAVSAERMWDPAAYPGADSWDGNRFLKMRSVPGSEHVAQLVSTSPEHMGFGHGQH ACPGRFFAGNEVKIALVHLLLRYDWRLPEGAAAPKPRTLGFGLGVDPSLRLEYRPRTR NLGDLGGIEVW QC762_611560 MGFFNPLPVALALLVSLAAAGAPAAVPEVVTETVVHTQTVKETV HVTVPVVHTSTVVSVTHVTVPTTVHVSVPVHVTVPTTVHVTVPVTHTTTYTSTLVSHV TVPTTIRETSTVHVTQSVPVHVTQTVPVHVTQTVAVTHTATSTLVHTRTETKEKVVTA TQDRPVTVPVTRTEVSERLVTKTAVSERLVTVTSVHVSERLVTHTSVVSVPVTKEKLV SVPVTHVVSVPVTRTETRAVTSTHTVSVTVPVTRTETRAVTQVVSVPVTHTKVSERLV TTVQVSQRLVTSVVTQVATHTVSVPVTQLVTRTEVKEKVVSVPVTQVSERLVTSVQVS ERVVTSTAVQSHVVTQTVPVHVTQTVPVHVTQTVPVHVTVPVTRVETQVRTEVRDRVV TQTSVHHQTVMHTVTNTQVRHVTVVQPVAAHPVTTTRTVHVTVNQPVAAHPVTTTQTV HVTVTPSECAGQETVAPIASPAPHPPPAQEAHPMPAPAPAHPGVVPVVIMPPVHSPIP VVHPPVVVHPPPAATSAAAPAHTMTPVMEHEPAHTTPTVYTRPQRKAMRFRRAM QC762_611570 MRLLRTDTLELVEFVGRVPPYVILSHTWGPDEVTFQDLSQLSKT ALRKKAGYAKIAGCCARAIQDQYEYVWVDTCCIDKTSSAELSEAINSMYRWYAESDIC YAYMADVAADETSHQLFSDTSSVSSLRRDVGSPPPKAAFRTIPSILREYDKLPRTFEH SRWFTRGWTLQELIAPPLVEFYDHDWHEIGTKFSLRNIIAKVTGIPILVLEGADPSTC HVAERMSWAANRQTTRIEDAAYCLLGIFKVHMPLIYGEGHRAFYRLQKEIMKTTEDYT MLAWGLSKYLSNKHHWKGVKGDPRRPLADGPIDFEEHNRNLWTYVRLIPDSNVNYGTS NPSSAALMDDTPPLITSRGLRVTLLLRPARKASHQPQGGRNSTTPANAGEYHAYINCK TSRTSSINDPLLPVCLVIRPELKHSCSSSSNVYTGSDDPDSAFVLLDNPADLAQFSRQ TIYLSTASLDDALGNLNHRISSLSKNLYILDKPPALRPGDSTKATWKITSCHSHAVGS GPGHGHYEVPRKFTSASLFQPFELPPYSVRLFAFELPASQTSDQSDNAFGIVIGNGWC DVISLNDVEFQAKWGVLVRNGKWNEVNALRYIQFACGACHHPGQGASATAIPHSNHAT GANGQGLEDDHLNLSSRRPKDRVVKKVGFGGLEVAVKLKKVRRVDINSESAIRIQWSA VTI QC762_611580 MQPDRPSPSTTNVAHVNSYFNGASPAPEAQQSIQDSGATPLTPI TEQPPHHVAPARPPSAPARSVAFRPLDRQQSAIQLRRLRPATLGSRLTPTMTHEPQTQ AHDWEEDHATDVGRSGGRRRSSSEPQRPSMPRAIETVPTLSSVPESSSQSNAQQDGPP HTGRFHRALGRRRQTVLNPHQVSGGSGDDVYESRVVDFLDVIDPEVATLSSITNIQNS LFLPSLGKWVNRRPTYDLSQLPAMPGAFPPSKESIASAAAAVQGEQQGEHAGPRSPSL SSVLTSEPQYAILPNDASLQGWREEDVKLLNDYVRHMLHSRRSKIKQRFKAFGKYVRR PLGFLITLYATLITLFGLAWVLFLIGWVYVGDSEKQLYAIDIIDYVLVALFAIVGDGM APFRAKDTYHMFFVARYHRKTWRRRERLALPELKDHNDLPIAEEHRIADDDLEAQQTQ QRSSSTEKITREDKDEFVPVLSEKQQARLIHHQSKLAKSHTFFKPHETETHHAFPLRL LIAIVLLLDLHSLLQISLGAVTFGIPSHRRPVAATTTILCCSIVTNITAGLLITIGDR RTRKKDVLERLMRQEMTGEVIKKIEKKKEKERKQEEELKHEQETGEKPRKSLSLALPW KDTSGDEGTQTDHEKEKRARSLSVPRTKRKKEKEEVGRFSSEVESTQGGGSKGAQDTE RLKMPGAFEED QC762_611590 MKGSTIPLLFAGVTLTAAYPITGDVVNCRSGPGTSYSVVKQYTQ GQDVTITCQTEGTNVNGVTIWDKTADGNCYVSDYYVQTGVNGYVTGRCSGACTAPKSN QATVDLIAEFEGFEPNVYIDPTGNPTVGYGHLCQQAGCAEVPYPIPLSQADGKRLLAS DMARFEQCITAMITGATLNLNQYGALISWSFNMGCGAAQTSTLVARLNRGENVNAVLA QELPRWVYGGGVVLPGLVRRRNAEVALAQTAGSGPALPVQC QC762_611600 MALDQFTYVFVIGTFFALLDAFNNGANDVANAWATSVSSRSISY RQAMIFGTIFEMLGAITVGARTAETIKNGIIPHAAFQDNAGVQMLAFTCALAGASTWV MWCTRHSAHVSSTYSLVSAVAGVGVATVGAKQVQWGWNDGKGLGAIFSGLVMAPAISA AFGATIFMLIKLVVHLRKNPVPWAVYSSPFFFLIAGTVCTLSIVYKGSPNLGLNKKPG WYVAAVTMGTGAGVGILAAIFFVPFVDAKVIKKDHGVKWWMFIYGPLLFKRPEVAVMD RANVPNYAVVQEDSGDEEQPQALPSKSSPTPTESGSNPEKKLDQDPELGEAPVQLTYK EIQAQGERKLHAKLLKKRGPMGWAIRTLRDNPMGPGRIYEIHNIRMFLKRLPAMVVCG LLYGLHYDIHAAQSGIAGTPEGERMARVYAEAKKYPNEVEHTYSFVQILTACTASFAH GANDIGNSVGPWAVIYSAWSTGSAAASKAEVPIWQLAVLSATISVGLLTYGYNIMKVM GNKITYHSPSRGCSMEMGAAITVLVFSQYSLPVSTSMCITGATVGVGLCNGTLKAVNF QRVGLLMLSWIATIPIAGTIGGVLMGLFLNAPHF QC762_611610 MKLRHLGLVCACVRLISGHCLEKPAPLPLATRIVTQFPNPTWIE NIAVRSNGDLLITELLPKPILYTIENPASSAPQVRLLRDFFAEEPPVEGLTGIAEASH DVFVFVGGSTTNLSFHAWSVNFTSAAYNPSTTTGPIIKKIAELKDVKLPNGVATLPGS PSSVLIADSLAGLAWRLDILTGKSIVAAQTLEMGAPSNETDPSKRVGINGIKVHGGFL YFSNSNSRSIYRVKIRKDGTRDPSAHAQLVGRLPENVSFLDDFAVTSHGGKGATVFGT TSSDNRLWAFVQGKSPVVVAGELDQLTLAGSTAASFGRGKRDRDILYVVTSGGVGKPL NGSLTEGGKVAAVDLRTFCPPIMIG QC762_611620 MSKFTGSAPEGDSHDFKEPGTLANSEGGSEVGQLQRASEAKRQI GIVSAVFLIVNRVIGTGIFATPGSILKLSGSVGLSLFIWVAGMLIALAGTAVYLEFGT AIPKNGGEKNYLEYVFRKPKFLTTGLYTGYVVLLGWASGNSVVFGEYILHAAGVEVDR WNQRGIGLACITTAFLIHATSVKWGIRLQNLLGTIKVIIILIIVVAGWVALAGHVKLP EDEKPHNFTDAFEGTTGSAYGVVTALYNVIWSYIGYSNANYALSETKNPVRTLKIAAP LAIGVISVLYMFVNIAYFAAVPKDEILAAQRLVAASLFRNVFGGTAERALSVFVALSA FGNVLSVIFSQGRLVQELGREGILPYSRFWASNRPFNAPTAGLFEHWAVSVIIMLAPP PGDAYNFILNLISYPLAIINTFVALGLIYLYLNRKAWNWNPPISATLPVVIFFFLSNI YLVIAPFVPPEDGQNIYDELPYWLHCVVGFGIIFAGGVYWVIWAKILPKIGGYELDRV TLYDEIDGWERSVFVKRPIDKTQ QC762_611630 MHPKPRHRVLDVILRINPLVLATGRTKYQVVFQEFSQKFAQRFW LPVYGALQIRIMQTLSLKRAAAVAAHPSSLKLNKRLARISILILSFTLSVALFAWTKQ HDRHAQQSWLSGLLHRAKYGGQRETSFYPHDTTTSFSPVFFPADQIENLSTKDMCASF PHYLIREHIQPVLKMGHGENRDMINAQLNSVSACFYPDELLIFSDLPETLPNGHQAVD ILHNLPQRYRMSNDTPEAQPEPDLAAYEAMYDLFRAGNLTAENNPTMKNKRTGWRLDK YKFLAQVERAWTERKNKDWYFFYESDTFVSWDNVFRFLSTLDPNKALYMGSPSPGRRD PKTDEETWFANGGPGYVLSRGAMRVLFEKRPSSRETGVWTEEPFLLKYINVVRTDPCG DAILGWVLWLVGIRLSGFFPSFNTYALHSLPYTQRLWCQSFLTMHKLSPKEMVRLWRW EYGNRKLGRPLMYADLFESFFLPEIEEADARNNWDNTNWDRLARGSDVYVDSVEECRE ACEKKTSCLQYHWNGKQARKCVLMPFVTLGRAKDPETVVKKEGGEERFVYTSGWIKPR IKSWAKEHPCAIPDWLSPSTERHY QC762_611640 MGRKRACDACHKRKIQCEPAHPSCDWCKHHDLECTFDREIRPRK RGVSKKPSSTRLNNRPSPHAPEETLTHKLQPLEALLTDPLTAPAPLSSSTNAFSPCRD PEGLPPPSPVSGSRPCFGKLHFAGYHLGEISSYNGVPHFSTTGREWIRSHAGQAPVFP TVWDDEEVDHGAPQLGKEDVLPPIEEPPSLPDRAVTDRYLAFFGTSHFRLVFPVLDTV LFEEIIDTAYGLGSPGPHELLVAKTCVFAFLCMVTLFVGSESPVVPPIEGDVMAAKAQ HLLPAALRRDFTLTSLQTMIMLTMYQLFAGRVQSSLICLSLACRIMFMLGAHTIANPW SSSSPASRAPKLLRKLFWLTYNFDKELSLRTGQPPCIPDEHCDLSLPPNYALTQYLDR YMQVDHDDETMIPSLPGDLRLTLIKSKTCQLLYSAEALRKTDAELLRNIRELDDELEK WRLSVPLKHRPALSISRHVGVNKALSEAHDSVRTIVINFEYHYLVATIHRATGRCRAW GAHGEVVPGEEMKGVSSSLALSVEASRSTLLYLRSALPVLMAPEVFCIMLFYPMSAVL NIFCSVLLNPLEPQAKADVALLDSAPEMIRNMRIKQVAKNEMLQLKLVEEFITELSRL SRCAIVEAARRHGVMDIDGGG QC762_611650 METAPASNPDPTGVVHEWKLKHKVEAIRERDSRSGLPLRELGVT WKDLTVSAISSDAAIHENVISQFNIPKKIQESRHKPPLKTILDKTHGCVKPGEMLLVL GRPGSGCTTLLKMIANHRKGYQNVEGDVKYGSMDASEAEKYRGQIVMNTEEELFFPSL TVGQTMDFATRLKIPFQLPDGVQSKEEYRQEMMEFLLESMSITHTRGTKVGNEFVRGV SGGERKRVSIIETLATRGSVFCWDNSTRGLDASTALDYTKAIRALTDVLGLASIVTLY QAGNGIYDLFDKVLVLDAGKEIYYGPMKDARPFMEQLGFVCRDGANVADYLTGVTVPT ERLIAPGYEKTFPRNPDQLRSEYEKSNIYQKMIAEYSYPETEEAKEKTKLFQGGVAAE RDSHLPNNSPLTVSFPQQVLACIIRQYQILWGDKATIAIKQGSTLAQALISGSLFYNA PNNSSGIFLKGGALFFALLHNCLLSMSEVTDSFHGRPVLAKHKAFAYFHPAAFCIAQV TADIPVLLFQVFIFAIVQYFMVGLTMTAGGWFTYWIVVFATTMCMTACFRMIGAAFSN FDAASKISGLAVKLLIMYTGYMIIRPKMHPWFGWIFWINPLAYAFDALLSNEFKGQII PCVGPNLVPTGPGYMGLEVGQQACAGVGGAVPGRSYVLGDDYLSSLEYGSGHIWRNFG IVWAFWALFVTITILATTNWKSASEGGPSLLIPREKSKVGLHGARRNQAGDEEAAVDE KGGFSSGSGSETDETLAVKGADAQMQKQEVDLIRNTSVFTWKDLCYTVSTPDGDRQLL DNVQGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTEGVIKGSIMVDGRELPVSFQRNA GYCEQLDVHEPYATVREALEFSALLRQPREVPREEKLRYVDTIIDLLELHDLADTLIG RVGMGLSVEQRKRVTIGVELVAKPSILIFLDEPTSGLDGQSAYNTVRFLRKLADVGQA VLVTIHQPSAQLFAQFDTLLLLQRGGKTVYFGDIGDNAATVKNYFARYGAPCPKDANP AEHMIDVVSGHLSQGRDWNEVWLSSPEHSAVVKELDEIISEAASKPAGYVDDGREFAT PLLEQAKVVTKRMNISLYRNRDYVNNKIMLHVSAALINGFSFWMIGDDISDLQMILFT IFQFIFVAPGVIAQLQPLFIDRRNIFEAREKKSKMYSWIAFVTGLITSEIPYLMICGV LYYCCWYYTVGFPTSSKRAGATLFVMLMYEFVYTGMGQFIAAYAPNAVFASLANPFVI GILVAFCGVLVPYAQIQVFWRYWIYYLNPFNYLMGSMLVFAAWDWPINCNPHELARFD PPNGTTCGDYLSTYLEKGYGMAANLLNPDALSECQVCQFKSGSDYLRTINLMEYSYGW RDAGIVVIFVISSYAMVYGLMKLRTKTSKKAE QC762_611660 MAAFAGRSCNVIPESIASDAGVAGAGVLLSSTITAFLVIGMAAS LILQDVRWPRKCIRNPSIVRRKLLSGYSDQQILVGIGLQSVGLVKSWQLSPYHFFIIW MLSLLSMATHNATLLSLINDFKRDWVLRWMRQLLMFLNLLLSIVYGVFLLQAKIKDLP DTLPIACAWTRPSDTTRLGGLDVVATVVVVALNCLIFGLATWYLQSRRQKSRAFRVVQ AMGIVAMAGIAFGATTRAYLLSQAFGTPDVLLSDEGEKTWSFGQLLGMLMLLLPVISI IEIKRGDASIAPPVPDDVYSSGEDSQERLVGRELAPMKA QC762_611670 MSNPGLLYVTMEPRRHDEEFLNQFHEWYQNEHGPTRLRLPHIFP NGFRYRATDSLKPEFLAIYDVTEMRHLETPVYTQLRENRTKREGETIGQVDVDRRFFD LLGEQAKEGFTKIEDLSDREAEGQVLIAVEVEVKGGEQGEKEITKWYLEEHIPMLSKI PGWLRSRVCRTPSLIEGGDKVRIVTLHEYEKVNGLGGEEHKASMDTKWRTEVFDKWIA AKHRRTYELFYVFGPGPRELETLSKLSESKGFKWEDGKIETVPGKDTAAVNAYVYAKD GLTIRYRLEGNVSPKAPTVAFSNSLLTDYHMWDELVAILKAARPDLRILRYDTRGRHE VPLPPVPATLDMLADDLQTLLKGLRIEKLHALIGVSMGGATTLKFALKYPELVDRIVA CDFNVASSDANTAAWKDRIVVAEKNIRDLAGVTVERWFHPHTMTEKPEIAKWMTDMVA GNNVEGFKYSCQALWGYNMREEMKGCKVNTLFVVGDGDGKGALVKAMEGFKANLGEKG AELKIVPNAGHLPMSEAASDFWEAINEFL QC762_611680 MAPFRAEHMGSLLRPQELLDVREAIREKRLSEEQAGLPAVEEKA VGHVVKLQQDLGFKAVTSGEYNRTRFWGLMWDEFEGTIRLQDAEASMFRLYHPDVVSL IEQDRKVMPGDSVIAGSKLSYNPAKSVSNLHELRLVQKFVPESEWGNIKLTMITPAWF HMRYKQGRAYTKEAYANDAEYFDDVAKVYQAELDVLYKAGLRNVQFDDPGLAYFCSDK FRQGWEEDKDNIGTVDDLLDVYIKLYNDSISKLPADFHTGVHLCRGNFIGGRHFAEGA YDIIAQKLFTDLKVNTFYLEYDTERAGGFEPLKYLPKDRHVVIGAISTKLRDLEDKEE IKQRIYKAADFVAEGSGQTREEALKRIALSPQCGFSTHETGYPLSEEDEKKKLALVRQ IADEIWGEA QC762_611690 MGSSYPCKSSRSQAFAAQCISHFSPFLLIFYLLSEPAIAMEIHI PNIPADLTFKSFQRLIEPILNSLGIVDYLCEKTRNKRYANITFLEPSDGNRFLSIYGP HGKPLSMLGHAVRCRLSNKGPDQTTLKVIRHAIDERQRRKSKPHVQPRPKSEPLQASS LSCGLHTFVDGRFSFVSEWDAQEGCLVRFTKRHLIFKLTDRGIDVRIPRNAIVQLVWS ESGRASVTLSQPPIILAKRSPEKSDVIELDGLGSIHLDFSIFADIFSRQQAKPEPRQR LTAIDDGHSNISAFCLVYHFQITAASIGTHGAGEHFHKEMWNITEKEPYQVTRADIKF YHASDLPRLGRFSEALTRLNNQLDSYTTSNDLPFGILFLLQALVYNCYLHPTTVQALA RELLGRSNISIDAFRKLFDWIDYPAPESNPRQLEVDGIMESLDVAERQVHEAQLMKAE LFNDNENSTRIFRVSISPMRITLHGPELETKNRILRKYDGKADFFFRVQLCDENGQDL LFNPTISLDTVYSRFKSILRKGIAVAGRQYKFLGFSHSSVRAHSLWFSAPFYHEGKLQ IPEHIIEQLGDFAPIRSPARCAARIGQAFTETPYSVSLVDNGVQTSEIPEVERNGRVF SDGVGVLSPQAAEAIHDAIPESKGKPTCFQIRWAGAKGMLSLDTELEGSQICIRPSMK KFKSPDEANLTSATWLPSQYQ QC762_611700 MATTATSDVGPGAGAPFSSIITTVRRSLTSLANKGKQHARKPED GHAQITRLIAIQPKPNSPPHPPDSEESSAGKDSHEKPPLHLLALPTELLNNILRHLNF VSILELRKTCRFFHTLASPPQLRVLFGREQLTTLLLQHCKTCLVHDPFRSNLLSCSHE DEGWPLASQCVDCALVANDERLKIGKRVKLGTEDVVQICRWCGRPIRNHEGVAGPPDP NSPGTRRDGRPFHKGNCYRSYNNSLLFFFILGWVQLTLGITGAGLSWRYWRGAVMVFA PSVTSFLLLWIVMGFLFFRGSRKRAYRYTLLLELAILGCWIPPVYYISMQIVNHPERD VDAAMQAALALFGLNLLFRLFNVMGNVVILCSTPDLTPRKKPNAGLFKRAIYKLMLWS VAWTYPPSVEHRLSSV QC762_611710 MESFTSPATRAATATHDASSVTAAAISAAAKNIRSGTDSSVKET LPDRPLRVGFQEDQPIPIRTIKDTIAERRQPERRDSCERFQLNFQQRRSNTGWSVASG LTAASTVTDITEPESPDAIDEETFDVESVLASSLPYPHGSPSRSIACSSLPTKPLAPI DTDRSSSFRQRQPVSDILPSPRKVHSDRPYQRSSDFITHPPPIEKQAGSITDDAANPD LRAACDIVSKEDGDNNEPAQQRDELSSEADGGCPSTPDGFHSSADENTPDSLSDVEVD DNSVQDFLDRALKHVFGVELCELSQGTASAAYQSVSYCLDELSYIVRSGSRHFADSAV PPVNEAARSHAGFNSTPIQGTADTTGYGGTSSGQGSRKNHNGTKKRLSGGLEGGEEEE DGDGDEGDDRQGGGGKRQRITDHGHGQNFSCPFRKRNPIRFNVRDFQSCAVQSFPDIP QLKRHIKNFHRQNSIPPFMCPRCKEDLGSHVDLVAHSAVEIHLMCEVRDVPSSLDPED GITPQVEEVLNGRKANSKVDCWDTLWDVLFGTEEGIPDHNFVPPTELDEVHAEFRKPS SRDELRQRLATEFPLHDPDLLLSLFNEHIDSVVDTCRLRTSQLSGRPRRTRNQGPRQP TASPQRARRASHTAPLHQIQSRDTLGSNGQSGGASSTHGTPINNDSSWPSPSQPPLMS YAPSPGGYGQAVSPGGLSDTNVASLLPAQVSRRQLGITVPAPTARQVQRPTFGAPLLG TSGGTDGSASHHMRVPSGDSGISFDTAAAAGYLLRQQPGVNLVPNHFFGSTNLPVRQQ FQHGIRRAGGFQGGSANTLSLDMDQVYQQQQGPYQHHMQHAHAQQLQLQPQQLQMPGQ GKSPISPHSAMTVTASSPGGFFGSYELGPDQTNLGQQYPH QC762_611720 MAGGKGKSSGGKSSGGKTSGIEGSKKQQSHSQRAGLQFPCGRVK RFLKQNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIR GDEELDTLIRATIAFGGVLPHINRALLLKVEQKKKAKAAEA QC762_611740 MSEQSGYYSGYAQQPTRSSPISSRTGFNTVSGLPSAMRPQQRHQ IDSFTQGSASLFPAEDRFNPYESNSFRQQQQQHRAPPTPGFPTDSYIGNAQAWAYNGA NTVNGAMGDSRLRPSASRRAALPTEWTMSGEQGLGSQALNTPSTQYSAMANFNSQSMG MISGDGYGSERHGFANGMYDPRHDAKSMSSDLIPTAIVIKNIPFNVRKEMLTSIMSDL GLPQPYAFNYHFDNGIFRGLAFANFQSPLDTQTVIEQLNGYEVQGRKLRVEYKKMLPE HERERIEREKREKRGQLEEQHQPLTLQHQSSMHSLNAAHSTRSRTSPLRDVDLNNPDT LQFYTELTLFRNDPNREILVFPSTITPHQRRTVHILAHNMGLEHRSVGEGPQRQLHVL KESAPPASLVHNLPGVSADAHRRGLSRAATIDFAETRNSGPGHYATMGRSSRHGPTLE LPDSPDGGLNALRGVKSFADLRSYTPSPSLSSTGFPQTGSVAQYGEYSANLAGQNSSL TTPTTPGTNSNNDPSMLIPDLSGMTLSENFGSNRLRPRDAPGAIGSQRPVMNGSSTRS IPERQPLGPNGDWGEGMAGFAARGRTNGHMQRGSESSDTAVRSATTSAARFQ QC762_611750 MSRHGQGPSYFLMQFLVLYMGKWVGPTYKGVSCKHSPLSSHDIS SLTHMLAQKMDSPLRHELNLALGLARQAALISRTVLSGFLLTHQKSEVDSVTKSDFSP VTVADFAIQALLAGTLSKAFPDDGLVGEESADELRKDPRLLQKVAAVLKVAKGWEARD ENHVCDVIDLCKGEGKGRTWVFDPIDGTKTFLKGQQYAINIALLAEGEGWRGREEVMS VIACPLLDWTLGAMGGAAVINDASVDKTGKGAVIYCVKGHGVFVEPLFNKTDDEKPRR VPQHAGQVTAIEELKSVTCWQSLDSGVDTMHERVAERLGMDFPGNDLLGWVNRWVCLA LGLANTTMWVYKKRERKAKIWDHAGAMLLFKEVGGKITDVDGKDIDLTQGRLLGQNFG FLAAPQRVHGLVLEAVKEAMRERENLNTVSGST QC762_611760 MHSWSTLTAAFALLANGVAAQNMLRFACSQLVVDRVDPLVNPGV RYTPHLHQIVGGNSFNLTMEPVEYDLVKRSTCTSCSFPQDLSNYWTAVMFFKHKNGSY HRVPQVGNGGPQGQLINKGGLDIYYIPSGKTTAFRPGFRMLAGNAANTEDSKVSKANI CHRCWTSTNEGNFIGGAPCTGSDTVGIPQEPRCKMIRQTIIFPHCWDGKNLDTPDHKS HVAYGQGSGATGGGACPSSHPVKLPQLMYELMWNVTNFSDKNMWPTSGPAFVYSMNLG GSAAHGDYVFGWEGDTLQRAMDKGCNLNRACPAAGLTYQPPEVYNACNIKQQAPEPVD GWLKAMPMGDVAIKA QC762_611770 MGTNGGSGMGAHEVGGMMGSNHPPATEYTLQGVMRFLQTEWHRH ERDRNAWEIERQEMKSRIAGLEGQARRADATQNTLKKYVSILEKKVKQQLAQSKEGVD PSQPKPLDRAALLKEKLARDPTVPSTLAADLADEENQRNELRTFLDQCQAEFTYLMVT PANPMPPRDSPPLPVMEDLRETDAFGQQVMDPVYQQQGLSQQQQQAHVRELLAHQARN AAPLAHRGQGAPGPSNYPVKQFDLQSAPMMRTSNAEQSSAMYGNAGEWTGQIPIHAKP PAESGQEPALQPKHPNRAEDRGEPSEKRGGLESDSWDFNDSNFPDPTAPNPPPPQQQQ QQQQQQQPHQASPNRPDTDVFPAAENIPKSPNRGALSHRRKSSSSMGRRRSADHELSL NSMSQKTENTNFKLKFGLRGHLDTVRTVIFSGGGSPGEPEICTAGDDGTIKRFHIPDR HPGHPGAGVGDLDVTADFTHRGHSNAVLSLTSWSPSPNFSTGGRAQGDGWIFSGGQDA TIRVWERGRVDPKATMDAHADAVWALCVLPANLGSIFGQNTTHGSPDRILLVSGSADG TVKLWAVSAPPQLTSPQPSTGRRGPGGRTRGNSMSSGSSFPNSPQPTTASNSPFHYSL IHSIPRPDGKASPTKITPLSPNGETFVVSYSDAAIIVYDTRTGEPTGTMASLETYDGT IATSVNAVVATVVGLDQPQGLGEEESGGGGPTGGGRAMAGSGVEGVIISGHEDCYVRF FDANSGQCTYNMVAHPASISGLSLSPDGRELVSAGHDGSLRFWSLETRSCTQEITSHR VMRGEGVCAVVWSQDGRWVVSGGGDGVVKVFAR QC762_611780 MDRPTTPPREAATTTTIPRRPQSPPTPEITRRIEENRLRAKAIR EQRDAELRASDVQQTDGLTTTAASAGKKRPYGSISRAEVPATNRDARTSPAKEGEGLQ PVSRKFTKYVDYNFSAMTDTKGGFLSIEDDPWNKSMSAGVPGKPEAEQKPANMTAAEW ERLQLIKKLQRNKSGPFEPGLNVLADEKTRKRCRECKTVEIDFVWEEVFGCAVCNGCK QKFPEKYSLLTKTECKEDYLLTDPELRDPELLPHLSKPNPHKSHWHDMMLFLRYQVEE YAINQKWGSAEALDAEFEKREQDKKRRKEAKFKEKLLDLKRKTRTEAFRRNNAKGDSS GSGSGPGKAARFGDVIGDRGKHAHEWGRTVENAEGMTVKTCLTCGSQVEVMEF QC762_611790 MPSYGHWQWIRASGHGAETGFWIGDIKEIKSGRIARPRSPPSIH NRSLEPTNLHRASPYAVTSVTMGLSPQITNLIIILGMMQVAKKIPFEDESVLNLCRAG YIASNLIILSIYLYIQNTINKKKDLTTLKYVEPAPMGSSEEGKLVTTTVQAYDLAQLK NLMRSQMMGVLMMGVMHLYFKYTNPLLIQSIIPLKGALEANLTKIHVWGQPASGDLKR PFKQAAGFMSGLQNGSAQSDKKAVESAERAGRGGAKEE QC762_611810 MSSSPGEPPEAGYQPMRPRVSASARVLTAFFSQALTSFKATSSK LEVICTVSRQPVGTSQKDDQPAIPSPLPPRARPRTLIILDSSFNPPTRAHLRMATSAI LSEGRPPTTTNHNVENAVDVGGRAETTRLLLLLSVNNADKAPKPAPFHQRLGLMWAFA QDVQAWLQQQANTAIDVDIGLSTLPFFHEKSEAIDQVGFYQRGAPEVKMGQVMLVGYD TLIRVFNPKYYGPVAAPPPGTPLDTSVPTAVENKQEKPRTPMQKALGPLFQRARLRVT MRTDDEWGGKDEQLKYLQDLVQGDDGEEGGGHGLGRIGGSKEWAERIEMVEGREAGTE VVSSTYARDAAREGNRERLDKMVSDGVKWWIEAEGVYRE QC762_611820 MNEVVVLTNYQGSSPAASAEVTQAPGYTHQQPQFLSPVTPSHQE PLAEVEEVGMEHYRTQDHEVAVQEARGQFEEEPEDGPEGDLDQDEPAPEDDDPDAYGY REPRDDDTYYSEEYMDEDDFDDGQEQDGSDQLQEPPFDPTALGLKEINNLAHFGVSSH KPGNGVTELLSDDTDKYWQSDGQQPHLLTMHFVRRVEIRAIRFYVDYSQDESYTPTNI VFYAGTSPHDLIQFAEMPLVNPVGWQDVPISDAGGGYDGHSLCCWVVQMHVKENHQNG KDTHIRGIKIYGLDESLVGGAALEDLPREPTINLASRPSNRATLQSLTVDEEKTLQEL LDSFDQHPPSGDGSFSNFPDFMREPEIR QC762_611830 MPQAPEEDTIRILVSTDNHVGYAERDPIRKDDSWRTFDEIMQIA KAQDVDMVLLGGDLFHDNKPSRKSMYQVIQSLRKNCLGMKPCELEFLSDPQEVFGAST GCVNYQDPDINISIPVFSIHGNHDDPSGDGHYCSLDLLQAAGLVNYFGRVPEADNIHV KPVLLRKGETKLALYGLSNVRDERMHRTFRDNKVHFYRPGQQRNDFFNLLTLHQNHYA HTPTSYVSENMLPEFMDLVIWGHEHECLVDPIKNDITNFHVIQPGSSIATSLVEGEAV TKQVAILNITGRRFTVDKISLKTVRPFVTREVVLAEDKRFKGLEKKQDNRQEVTKRLM TIVEEMIEQANALWESTHGGDAAEDEETPLPLIRLKVEYTAPEGSKFDVENPQRFSNR FASRVANQNDVVYFYRKKTAVTRKIPNDSKELREGVAEALESVDTIKVDTLVQEYFAQ QSLKILPQAPFGDAVNQFVNKDDKHAVEMFLLESLSTQVKGLLQLDDEKVTDDLEAQI EEYRKVMEKDFVIGQHKQAQRKRRFKPKPNGWDSDVDGHWHAQPEALEELVASPPQAT FKSRGGARPTSGVVFSDENEDMLEEEPVAAEPKRAARGARKTAATPAKKAAVAKKAAA PAKKATMRTAKAAARGRKRGNPFEDSDEEEEDVIMEDDGFEPPPPSTRAIRGATKSTR QTTLNFASQSQKPARATQKAIEISDDEISEDDDAFETMPATSKRGKRR QC762_611840 MATGTQPTENPDQSASASTQPNGTQSPPTNATATGEAAVGAVEG GPVVPPLLEAKTPLRKDASLKEFLNKMDDYAPIIPDAVTNYYMTRAGLPPPPQTDQRL ARLLALATQKFIADIAADAYQYSRIRASNTSANNPMGNLGAAAGFPIPGQPANQPGAK DQGRGGPLGIQRPGYGGGGQGSQQNRTVLTMEDLGMAVGEFGVNVKRSEFYR QC762_611850 MQPTRCLLKRSVWKGPHIVPLPIVRPEPGQKIAPIRTQARSATI LPNFVGLKFQVHNGKVYHDVTITEEMVGHKLGEFSTTRKPFIWGKKK QC762_611860 MPRQHLTPNACLVCRKKRTKCDGQIPCRRCRSRGEECSYEDKKW RTKDHLRSEIERLRAEQRQGEAVIQALVDNKPDQWEVVQDRMRADESPDAIAEWIRSL RGLPDSSQTHRGLFGSTPASGLNNFIPTPFQSQFNPTMPGIGSVPTGGFPEAGSDVLD AFTTNNGPGNVGNGGTNICHVTSAMEGASRPSFSADLVAPGDRISLQKHGTFVCPSLS PTDNSSHTMNHPLDMAGPAACRLVPNLTPYGPPVRTWTTVTSDKHLVQRLLARFFSGL FPCLSLISYAHFIHDFEEGTARYCSEALVNAILGTACRLFNATSQLISRISFGDAFVG EAKRLLAAEDSHVNLPSIQALAILALGEMSQGNDEEAETLLRESVRASVHLVLEARDL DGKENEDFRVVRALAYCGVFSLMRFLRLLTGDLEPKVGPLFIRLQPNSASLDEDTPQT RVERGIALQTQFFAELRFCPPSSRFIFEVMETAHTFLSYNYSRAMTASDLESAYDRCV CSYRQFLESLAPASSPSQDTLLAQIWYHFCMLNLMRPFVSNSNNLVDGTTPKLSGGAR PSVICHRASEAIISLTGTYQARNFIAYLPPLLPYMVFTAVLFELTLTASPVLCQQGLT DSPSSLSPLTGYQSPIQAASKPGTRWSQLPQASAPRPGFCSSDSEPVSPASTRQANQT TYRRVSGVSTISTGFSSSEQSRRPSGCSFLSGTPRDQDEISTSDTESDLFPVFSSQPS DLVTVGSLQLASMSARHLGATEASRLLRGLGNIRDVAEVRLNLAALTASLPFPAVEFG TSILLTGLGLQKVPVASVVPGASTFCGGLSPMSVPKVESTKSPTGDLSSAQLPTSLQQ APGP QC762_611865 MHREFCQQLNIPSYPTIRIYHRDGRIDQYRGEHKARELAMFRNR VIRPSFLEADAQLLEHFILLDDVVIVMHPLAQSDNWNIYDCFTALAARYRDRFTFLIG PSVTESRSAAVICYNNLDDVKHVATDTQTTQALEDFVVLCAEPLIPELTIINKAEYIS TGKSVLHYFASTEAEKEAYRAEIRPLAKKYSAKLKFIIRDLNEYSDLLGGSGGATDST TALVLENSAVGALYPFPGSVKLMADDVERHLIDISSGKLQPANRSPGKEQHESGHDEL QC762_603690 MAPVLTHFPRSIDILLQLTQKLVHFSTTMEYIDSAKNALRTDFT WNRVGHLAARGARAFPQASVEYVLDKFPIIGWLPRYNYRWIINDIIAGLTIGLMLIPQ GLSYAKIATIPVQYGLMSSWAPSVIYAFMGTTKDLSTGPTSLISLLTAEIIEALHGEE WSPVEIASAVAMMMGIYGMAIGFLKLGFLLEFISLPVLSGFISAVAITIILNQMDSLL GEPNVGDGTATQIRDIFQQLPQANGYACAIGFTGILLLTVLDQAGKRWGEKNRIIWFL SITRAFIALVIFTGVGYAVNHSRGASENYLFDVAKVQADGQEPPNVPSAALLSKVASR SIAVFVGSAVEHTAIARAFAVRNNYVTDQSQELTYYGVTNVFNSFFHAMGVGGAMSRT AVNSACNVKSPLSGFVTTAVVLVSIFKLVGTLYWIPKATLAAIIITAVWPLMSSPRVF YGYWKTSLADFISSMIAFWVSLFVSTELGIASAVGFNIVYVLLRQVFATVRPLPDAQS STSSELPLPLSVPHGDIPSHVNLPPDVHVFAFTDSLFFPNAYHAKHAIIDTVKTMHAP IFNGSCGLEQERQWSVTGENRIAKLRRQAGITDGTHLPAIGLLVLDFGRTNHVDVTAC THMKAMVKEVRMYGGASVEVRFANMSEYVRQRFERAGWTLVDGWPAGSDGGSQDGNKV TRVFDSLVDAVLAPRQLSTRLGTSGSEKSSGKSVKEGREKVSMREQV QC762_603700 MVYGGKPSRGCRTCRQRRIKCDEEKPTCKRCEKSRRECGGYRPE FEIVHRDQTKSTVHRLRNAQSTLPPPPLADAAEYSARALVFVQEEPQSWGSDSASPSP VLTVPLAQRASCYFASNFILVPLTESTPHGFMEYLIPLIESEPAESSLRYAFNACAFA LLSHHNRSDSIDLAQLSLKEHTLALGGTYKALGHPTKATAHATLATVLLLSLYESITA NRESRMLAWRSHIDGAVNIIKSRGRDDICRTKTGALLFSAVRHQLVSRTLSSGMPIPF GTNWWMSSGVENNTLLATSQHLALKYTDLRTESNHLLVGQARSPQPLEQIYRLIQKVE ALDRDIISWQASVPRQFCFHTLCWVYEDDISLSKGGDYAKVDVFPGRVDIYPDFVTAS AWNISRVSRLLLAALSIRLTAYCCSPIDYRTTPGYRTSKSICEETISEILASVPYHFG WHTKHKDGFNTSSGISGFACGDEGPLKALPAFLVLWPLTCVKNHDITTEEQRLWAKGR LKVITENIGLKYAQIVNDVELRFPSMLIGRDGLPVSPNPFAGPGLPLRPVEAPPTPES MTSTESPTPS QC762_603705 MHELLLSSVIKEHEVPKILALLGGFTEMHERHQFTRVQHFEPSP AVKGISTIKELQKERHANASHWNELHQILTKQPCTIQVRTRLTDSEVEGAKKGPRLLR WTELPDPPSQRLPPYMTQRRTVEIIDLGLEKILADNKRVSNIFEESYHWWQRDYFTEF ALIRTWIAEDSLPSDKVMNLSAREPYASYWMLNVRTLVESSPERMQQAQTQLEKVRDQ FKDVLDFKIFDRRAMDTRILPVVAV QC762_603710 MLLQPSLLALESMRYCVPSMHSLSRVDPSRQHRRRRDSQQLDSR LRSPRHLHGPCSLLSCHLGH QC762_603720 MAAALGHARAFVGPVIHSLSVSKLEITPLALLIIDPQGKINKLV KLEPDGLAEQLDQALDHLPFCLDRTNTTHLNPGEFLIPGFVDTHNHAPQYAQRGLGQG MHILDWLSKITFPNEAKFSDPVYARKIYTACVEGFLRQGITTASYFGSVHAEATRILA DICLQKRQRAYVGKCNMTRNSPDFYVEKSAGDSLRETRECISHVRAIDPAGRLVKYVI TPRFAISCDEETLKGLGDIRSENEDLAVQTHFNEAKQEMEETQRLFPQFGGSEADLYQ EFGLLGKRTILAHCCYMDEHELEIIEKLGCGVAHCPIANMTVGGGFMAAPVRELLDKK IKVGLGTDSGGGFSSSMLDAMRQAMIASNAREVMSEGKDKGLSIAEVFYLATLGGAAV CCLEDKIGSFEAGKDFDGLIIGTKGADQGIMTMVEEDDTLETVFEKFIMTGDDRNIVE VYVQGQYVKGQRACVQPADELGTYQISHSIIHQAL QC762_603730 MVNHGSWESRGLGCRYKTFPRCQFFVCQLSHIIVLYWPAFIMYA TLKSFGLASILSVAGTSAASGGYVVGPTLQTDILAAAALGKLTLHAAQNRDTSTCTLD NVAIRREWGSLSAADRQAYTNAVRCLMEHPSLLDPLEVPGAKTRFDDFVAVHINQTFS IHGTANFLSWHRYFTYAFEQALRSECNYNGYHPYWNWGKYAHNPLNSPVFDGSSTSMS GNGEYVPHNCTDGLPTGLNCIPPGSGGGCVTTGPFANMSVNLGPIAPTLAAPGVVPAS SLFAYNPRCLRRDISSWVSSNWTTDFESYDLISNYTDILSFQNRMQGDFATGFYGVHT GGHFTIGGDPGGDLFASPGEPAFYLHHAQIDRVWWIWQNLHPETRTNAIGGTITLNNF PPSRNGTLDDLLDLGVVGPAAGVLEIRDVMSTIGIGGGPLCYIYV QC762_603740 MPSVPAWLAFESRVHLLSRLVLETFGQPTASHNDLGQIKTNEYC QRQCNGCGEVCDLIRWGEVQRRQDSSFEGCSSPRLLHHSFLDLDYCARVSGCDTCRTF RRAFLLEQITGRDVEALTNPDLQSPVYAVLSIESSGDRLLLTSTPPQKPSFSATVSLN HEPRHLANDPTKRGASLNTNFQELRRVIQDCHCNHECSSRYRWSRRNPTWLLEIKDSD HVRLVRGPENLVDYVVLSYSWGDPTEMPAAEWARIKGAGTKTKNGVPVPERLNTFPSW HLPETMQDAICITKNLGYSYLWIDNVCIPKGTNWDTEASLMHEVYGNAAFTLVASSSS KATDRMIKDRLAWSHRSKAVKLRGKWLHNTQMPLDKIRLESPVARRSWTLQEERLSPR VLYWTEQRWYWSCPENQVAELEELGHVTLINNNMVRRSPQLFLELCRTGDIEKLHEEW LDIVEAYTPRDLVEPRDRFLAIAGLAVRFYSAKAEAGGSFISDEYLAGLWKDNFARHL AWSVTKGANSQQNLQHIAPSWSWASLPLRIETNTKHAFKPSDHFKFISVVEEKIHPAN GMPMANPDSLSRGRAMEERGRGVKVVDVEGRFRRFVSANAKQVSWDAIEWRRAKRVGF NFAAFPGQDLFARQDSDGRIVTKDAHSGEIVGQLDYCVPDEVPRSPFAPYVPCGGEKD IMCLELGESAMLLLVKNPACGQQEIYRRVGVAIGYQNRKGFFYGCETRTVQLA QC762_0089850 MAALDQPDADPRARQRRLPAPPTPKALFRASSTIEIPKQHYRVG FKTGRCGVSLIYHEYI QC762_603750 MQHTSNFRWKLIHLLSILVHFGLVCAGSVAYVYNLGQNTRPLEQ TSLTISSADSNPCPLTMVDGIGGWGAFDVAGRTLLMSCIFLGALGLTINIAAFGLLLS MEIHRVTLTEGQQHWRKQIVTVFACVLNIFLSGAGVGMASILSTRVAESKSVIVPLVW LSFQVPIALSTAIMDAIKNHREGQDLLD QC762_603760 MTMLPSENRAEAPVWSGLPGSFSSAPTPSTAPASPERKSRTLQG PSAKEWAKHRETIVGLYKQYPLKRVSELMKRHYGFVASKRMYDKRFREWNVFKNGNSE DGPRAQRRGSPASTSVSDGTQIGDNDLMSQVDVRRTIRCAKSVQQGVRTAAGQPPPSP PSPLSPHAAPSPSPAPSARGSIHISDLLTDRSMVHHLSIPSLVNPINEGSSPQATSSP ETTYGTPRSTLTASDDHTLANPDARSHTGSPGPSLATYKAQIQTLAKSPPPSLALDAR TRSLKIITLSLRDYYDWQLQNIPEGVLPDDYLGARSTLESTKYWATIKNAIYLIKLSA ASLDGADSFPANRAWPALSEAGVIAADAMTSQPFDFLKNLFATLSPANLSARPELRTI LLQFLSIQAEESLSANHPITLICQELQRDENCQEVSRRGLQCMLDIFNTRLGRSRAVT IKLTDSLATLLRRNGEFDAARDIIVELLKSCRQVYGPESDQARATENELAHLYMATEE WDLAIQHCMSVVTPPSGLGEQTEPSLYQDGIAAHTMEDIAEIYQRQGDLKQCISWLER AASIALIIWGPKSLATSHIVDKMTNIQRQFGKDLLRSANMWEAALVQQD QC762_603770 MVLVRSCPHNSTSVDTSHVQDFRKVPLRLIFCSQTIMEPEYRTR RPHKKSRNGCLPCKQRRKKCDERRPCCTRCADRDLKCQYQSRQPQREDWLPVSPALSR SPSVLSIHGTGSLNAEELELLRHYLTHTSRAIPYDDDDLYALQEGFPNLAFRSRALMN SILALAAICKCHDIISQPTVDERHRDEAYALLLIAEDRHRESLRRTQNDISNLHRECY DATLANAPLMVLYILANHSVRIQWAGAMPDIPTGFVPTQLQWVSLIRAAHLAYNGMLN DIDQPCEPCSDTNFGESIPPASPLYQLSPDPIIRVTSPEDGPMKPTRDLFLPILAATF QAAIKGLRMRAEVKRAEMPTDPGIAFSFVALRAFEAIADEVLHTNTNAIHSKLSPSSS HSSPAPPPPRSRLSYVSPWLRNYLARVTMATPTRPFRRTITAFVNRVPAEYLTLLQTS VEHLSDCRAVEGVVASEGLAVDIFAHWLVLVMLLDGVWWIGGIGAWELGRIVTIIGNK GLGSLQENNTWWPASMYRIYAELKKQVITDVGTEGHI QC762_603780 MFSPTVASMLVSISTMASLGIAAPSNLAQRSPSQLSITAQLQIA DSGVDRAALLPQDKDYVYDFSQNPGRFADRKTFPALVGTGGSLAVGILPPCGMSFLHI HPRSAELFAVIEGRVLTEAVLEAGVVDADGKPRVIRTDLGPNMMTVFPGGAFHTQLNP ECTNASIVAAFPSEDPGIGLILPQTFALDDEWLETQFGDVSTDEIAKLRASLPTGLFL QAEDCKKKCGIQTE QC762_603790 MLSSVDPALLSALGLEPTSTKLLSYGGSGFSSTYKLVSTKEGHE LQYFVKTGTGPDAEVMFRGEFASLNAIHNAVPSFCPKAYAHGPLHSASASSSSPQLGG GASAGGKYFLVTDFIDLASSASGGTGLSFAAKLATLHTTPAPIPKGHSKPMFGFPVST CCGSTLQDNSYRETWADFYADCRLRAILKECIKQNGADRELSDVVEKTASKVVPRLLG EGHLKDVIPVVVHGDLWSGNHGRGRIFTQKGSEEVAFDPSSCYAHSEYELGIMKMFGG FGAGGFWKEYHSLVPKSEPAEEYDDRVALYELYHHLNHFALFGGGYRGGAMSIMRKLL SKYG QC762_603800 MNGHFAAIGNGPTAKQYDHGIQVIDEDKSFNTNLNDYLTETHVA ESGFNYHLISVFGSQSTGKSTLLNHLFGTQFSVMSETERRQTTKGIWLSKNKRNSANG SPMAGNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGANM GLLKTVFEVNLQLFLKDRQSQTRSLLFFVIRDFVGNTPLENLRTTLITDLSKIWSSIS KPQGLEDSKIEDYFDFAFSALPHKIYQPEKFLAEVDRLGARFTTGHRSTKDQEFVGGV FLPEYHRRIPADGLSVYAGGVWDQIVNNKDLDLPTQQELLAQFRCDEIAREVLVGFDT VIAPLEEQQVEAIRLGKPAAVLADLGAQGAGAREKCIKAFETQASRYHKGVYTMKRGE LESKIDTRLKALYQAQLTAAHKAGVAAFSEAVSGAVKAGQKAGGSYEFAEIVAKQKAK TLQIFKTEAKSLSIPGVAWSNFKPQYKLFEKELDEVSARLRKEEMRRLAIRVERWVRS RLGDAIGLEFNKLGSGRGGSVSPEGGEKPATEKDLWDRVWNAFIGIVKEAETRFAERA KSFEASPEEVEVGLWRLRRKSWVALREKIEEEVMESNILMKLRENFEDKFRYDEDGVP RIWRPTDDIEGIYTKARESTLGLVPLLSRFRLSETYAPPDLPAFIGVQPAGVEPEDEE DLLPIGGIDEEEGKSLEEETTVLGESKRQDLVVRFKKMADGVYVEAKRSAIGGITQVP LYFYVILLILGWNEILMVLRNPFLILLILVMGGGTYIAYSLNLLGPMMQMSNAAFNQA VDIGKDRLRDFLVNNETARQALAVPARQMGADISLDRLDSRGKKAQDISDDDDI QC762_603810 MEEPTPAEIENEIPSIFIPPSVIPSSLLSGRSYTHFSPVPPSLL ASAAKSVPVCLGVDEAGRGPVLGPMVYGIFYLPLPLSDPLLRQTHHFDDSKVLTPQVR SSLMQTLSTAGSDLHQSCGWATTLLSAGDISAGMMRPSNAGGSYNLNAQAMDATVQLI QGIYDRGVNIQEIYVDTIGQPAVYQKKLERIFPTAKITVAKKADSLYPCVSAASVCAK VTRDAALEVLWKTRGRPPAREEEKDKNEEDTDMEWGSGYPSDQRCVTWLRGNMHPVFG WGPECRFSWGTAKDMLEGPKGVKVDWPVDDDGDTHRLTDFFSAKDQEEGDELGTWFGT PAGLEAF QC762_603820 MPPRPSMAARRTTTHQAEHIYELGVVGRKTGVMLPDSGVRDEHG MEPIENLFSSPKKPDDEDEEEESDDGDSGEAPMELTTNLGLGPAALLNGQASRFPASS QNRSPAKGFLNSPAQRNKLVARNTAAARSSSSPAESRHQSSSQPTLSQGAAKRRLDFN SVEKGGPHSLSQPVMGSRGGAFPGSTQGNARSLSLSHDEESEAGSEVDDVNNFVEESI AILNGDDDNHAASVEPEEEDSSDEGSMVGDDTMRLSAAQHKKAGRPAKSQEPARAKAA PVISKPVKQTKKSAEKASVEQEPEEGSEGGEEEEEEEEEEEIQRPTRPPSKPAAPEKR GRPASKASNKAPELAPAPAAGRGRKRQAVPEEEEEEEATAEPSQEPQSKKKRTDAGPS RKKATKNEKATAAPADKPKKTVGRKRKSSIADPGDVSVVAVSRRPPLPKSRGLLINRR EIPGETDSMFRTRSGRNSFKPLAFWRNEQVHYENDDINDNFASRSKPSKFVLPKIKEV VRVDEPEPEFRKPGRRGPKPKGGARPGRRRRSSFTEQIMDSEPAEPWEMEPGTVTGEV VVWQPQYEFQPPAPNDLVSVMDKQLAISGHAIKTTEVVGGEFRYAKVFSEGFIGAGVV DLPPGAIKRLKNSRKVFMIFFVHAGKCLVTVQETNFRISKGGIFIVPRYNEYTIENDY DKPTRLFFSQGTEVPSEQEASVMPNVHTDGEDQGDEEEEEGGGEE QC762_603830 MAMRGRRLPAFAATHTARQRLLPALGQHTKLARRWQSTVGTGKT GHIEAKADESILFVDNLFPLKLSSLLLWRPWKAQNDVPELLKKFDKTSLGVFDPVSLV KRAIPDSLSVNITEVIPRTKEGGAYVKFTHPGDTSASELQAKLSQALEQNPVKPWFSP FRGISTGLVLGRPWLEDLYRLPKSRLRVEFVPAKDSEQPDELSQEALYSVFRRYGWIA DITSQPPDSKVLPKFAYVDFVLVKDAIMARNCLHGFVLQEEGSKAATKLRLSYEQRVK PHNIWKWISSHPRIVIPILAAFLATFTVIVFDPIREFFVKHHVQRSLEFTNSKLYKWL KRQTSDILSLGKKHGTDAGLNALFNHRKDLIDSIKSSLLESVDTFVVIQGPRGSGGKE LVMDQVLEGRKDVLVLDCKPVMEARGEAGTIRKLAFQVGYRPVFSWANNLSSLVDLAI QSTTGVKAGFSENLEAQVVKILQTTASALKEVSLAGRKTDDQDANLSDDAYLEAHPER RAVVVIDNFLHKSEEKGIIYDKVSDWAAALVQSNVAHVIFLTTDTSYSKPLSRALPDR VFHQVTLGDLSPQVAKQFVVSQLEANARFDEKAKEDEKGGNGSPLKTDSQGRQDLQEL DECIEALGGRLTDLQVLARRLKVGQSPKKAVSDIIEQSASEILRMFLLTGNKTAGEGV DKERKWSVEQAWYLIKEIAKNDSLRYNEVLLANTFASSMTSSATNPEAALEALANAEL ITVKSHHGRPATIRAGKPVYQAAFTKLLEDKVVKSRMDLALLTELSKIEAKNIDKAEQ ELTVLAGLPNAPAQASDRVNYLLGKLQTSQRKIEGFDKEMGALKKILAEEA QC762_0089960 MVPDISLVIRNLPVTSASLKRQRRDGKRTSLFGKLPIRSPAHLA AGHCLAPRLEGTISDPINDLTDRGGVPNHRMRNQAVGQPVTLGLNSSHPESTTLASQR LRPFALLVPVRRSNDLGNLRPELY QC762_603840 MGWFWTSPSPSPKASEASHHSATQQPQPPASERKPSTDEEVSKF LREIQAAANPSSSHAASPPSSTDSDAAKSSWVPSWLSAPAPETPPPPPKDKRSEASIA MSEALLPTTMSCQDAFDYAWHCHTPGSQVNSVYRYGGVRQCTELWDDFWFCMRTKSWD PKLRAEAIKDHFRKKEAAKYGRGQPSSEDIWESRDKMVEPGTTFNKSFDPPIKDDAAF EREDQETRRKIREFYEKKT QC762_603850 MEPRPSLVAFLQRYQDLATYQDSHINLIKDLLVYAESIESTLRA ENTELAQRVHERNLDYEDATRSRRELQQRIHALETQLETSILTNEQIKNSNSYVVVLI DGDGLIFKPELIQQGLAGGKKAAYALRSAILGQCGPHGNEIGVLAQVYLNLAGLSKAM RRDGCLENESNLKDFTLGFTQAKATFDFVDVGHGKERADNKIKEMTKWHLRNHNCKQV ILGISHDAGYAPFLDELFQEDSVQHQITILEGVPVVRELRAIGANILNLNSILFRSEK LVDRVSESASSESFGSPFTPVPATPVVEHNKPATPTIEMKPVMPAVPAISAVPAFPVT VPTPPVVRATATTSNSVASIDSIGSSEPQTPSSASAASTSTPAATTYAKAIKSATPPP PPPVIKLPAHTKAALQQQPSSRASHKTPNKPKPVPWNPGPRGLDPPLQVSQSALDNLK KRKDSNKLCNNHYLRGPCSKGDSCNFEHNYKPTKEELVAIAFLTRLNPCSGGQECDVD DCIYGHHCPSVINGACTHPYCKFDKEDHPPGTKIKAHHKGSHDR QC762_603860 MSHPRVEEVSDSEDDVQMSDPSEDDIDDFVESDIIRTRQAAPSR PTPPPQQQPPPQFRQPQQPPAYPQMQTTTDATPYASYLCLYPIYFSSLHTRAQGRRVS AAVAVPNPLATEILAACANLNIPTVFEAGKLHPKDWANPGRVKVSLANQTRVKNKHHL FLLVAQHLKSHPITDASPALRVHVRGAPPPPELKPGEQWPRPSVPRGWKMSELLPHYS PAMTGGGVSENFLKDMMSQMGGAGGAGGLPGILGGGGGGPGGMDMASLMQAAQSMGMG GMGGMGGLGGLGGGSSPGPSSSAGGKAKKGKK QC762_603870 MSDLAVGQKIQLSDGRTGTIRYVGQTHFAVGEWVGVELDDGSGK NDGMVQGERYFECAMGYGMFVRPVTVTVTAPAPVPSQPPKPAGAKKGSRPSSLFSNNS NKGASSSTSDPSLGKRMSLNAPSPSPVPRRGSVRSPTKSPTKQLNRSPTSAAPSRTVT PSNANVKPGALAVRPRPASATSRPSMAPPPVPRQTRQVSTASSTPRQSSAPPRTLSTR GGLATGVGSRPASTRVPGARQSSASSVSSVNKTSRAESRKSSDDEILSPQPTSPVQVR TAALEKLATTSLPPAGGGAAKSPIATASVSSRNAPSTAAAQKEIEDLKAKLRVLEKKR IEDREKLNNLEKIKGERDKFERIIQTLQIKYQPQQQEIADLRKQLKEAETRFYAVEEM QESHESALELATLDREMAEETAEVLKVELEALKQKSEELELEVEILREENAEFTKGMS TEERASTGWLQMERNNERLKEALIRLRDLSQEQEEELKDQIKGLEEDLREFETMKEQF AATKEKLAQAEVAVEDLREQLNNALGAEDLIEKITEENMNQAEEIKELRAVIDDLESL KEINDELEINHVQNEKEMQEELDLKDAIISEQMRQANVQRESMEDMEYTLSRFRELVT SLQSDLEDMRASHAVTENESEQLNNRSRAMMDLNMKLQISAAKAQVKTIDLELRRMEA QEAEQHLEIVKLFLPDSYQLDRDSVLALLRFKRLAFKANLLNGFIKERVNGQAHPGHE DDVFEGCGAIDKLTWVSAMCDRFVNSISHCSLEQFAKYEGALYELEPVERALNGWIDG LRRDDLKEKQCSAELQRTIALMTHLGEVHISNDLESFADDIHMKALLMQSHLESAAAT FTTMRAMVQRVVPPSDEENELEQYFAKKAEGVITQTRGAKVIAGKTVRSLEELKTRHL SLTPDTMEAFEQCEAATQELSEMARRIGLDLHQLVLEEGRTEPYTYVEIQNCISQTSI NSFGTNEADLFSTYLNKLRQTTSQISDLAALSTDLAQTQEFERSQPPWLLRAQEIKAL KKIPVDAEEELRRLKDDINDARRAIAIREENLSTAQVKIETLESRMRDANAKAKRVTE LESHLETTKSEAAALADNIKKQDRELKALESERDKWKQIASNSRVISTAGGEEGAKAN QERAVATAREMDALKNEILGLQSAVKYLREDNRRARVKEQASYDWLAEPLLKKAVPAE KQRRNMVKAESKAVLGELVKMVTEAKVYDLSMLDKKIVAQGGWKPAKSTPQFWAAKQE EDWAVWKGWEGAVIKNARLLNAVEHNREEVKEKKGVVSAAAKLQIRLPGGKKHHYGGE VQVFGSREWEGLQGRMVTAGV QC762_603880 MAQPQPQAPQVSHPPQVSPHMQQMQQMQMPQQQQQRPPQQQHYS PPQQSASPANTPQPQYSIPPNKRPRTSVETPSQPQSQYGTPTYAMSPQAAVASPNTVT SPNYTNMPTPVPNAPSYASQYGVNGHSAASPAQQPGLTLPEARPSMTATPTPTTPLTP SLPHTPQPQQHQAPQYQQQQHQVPQAQQLGQVQPTQQQHGQAHPQQQVPAPQYQQGQQ YQQPMQPQHAQSVQQPIQSQPVQQAPQQQYTNATMAPIGPPPSTPGAMLPPSKPVTTK EYEYDVSDALAGTGVDLRAEEQYLAELYGGSFAQEARTGLPANAPGNKGSFYGAGSAN QPAEATRLSQEQFEAEAAKRAWDEAAQRLAVTRSNELRNPFLIVPNLHYRADKIAKEH GLTLNLELKNQQQTMGKMRTPQEFPQPKVTVTSRHGPDGMVVSTKGCFIPHDAYLVDQ LALLSIATKHRLRELLEEANGIANIRQTTSHGEIPQEWADVAVPLRTGLDSLPADTAN GNPRKRSFEAVSTAPVPSKGAKVVKDLNAAVRQNATSERDLEEARLRKRQKRLNPDAA QTGSRAGSIVPGTPGSVAPDGEAAKAPSKKELKKGAAAARLSEASSTANANQTLSALM GSFGKKKKKEYSWMTSGSGPSTPRATGGQEPGTPGSAAGSKAQPEKATLTQDGKAPRL GTWREDKEKGKSIQLRDWVTVLEMDGRDIKAVQEAYVKLDSSTPR QC762_603890 MASKRKAAAMASATVTEEPVDPSDELMFLCLGGGNEVGRSCHII QYKGKTVMLDAGQHPAYDGLAALPFFDDFDLSTVDVLLISHFHIDHAASLPYVLAKTN FRGRVFMTHPTKAIYKWLIQDSVRVGNTSSNPTTQLVYTEQDHLNTFPQIEAIDYHTT HTISGIRVTPYPAGHVLGAAMFLIEIAGLNIFFTGDYSREQDRHLVSAQVPKGVKIDV LITESTYGIASHVPRLEREQALMKSITGILNRGGRVLMPVFALGRAQELLLILDEYWD KHAEYQKYPIYYASNLARKCMLVYQTYVGAMNDNIKRLFRERMAEAEASGDGAGKGGP WDFKFIRSLKSIDRFEDVGGCVMLASPGMLQNGVSRELLERWAPSEKNGVIITGYSVE GTMAKQIMQEPEHIQAVMSRNTGGGRRGPGRDAEKVLIPRRCTVQEYSFAAHVDGTEN REFIEEVAAPVVILVHGEVHNMMRLKSKLLSLNATKTSKVKVFSPKNCEELRIPFKTD KTAKVVGKLASILQPLSSPEEPQLITGVLVQNDFKMSLMAPEDLREFAGLTTTTISCK QRMRLSAAGVDLIKWGLEGTFGTIEELPISKKLSSNGEDHDMNGSGQEVADEELSVDE VLAAYLVMGVITVRHRSNGEVEIEWEGNMLNDGIADAVMAVLLAIESSPAAVKRSASK NPHSHSHSFNLPVRNLHSNLSATERLERLMLFLEAQFGADNVSPIAEPNLSPVPPPQP KIKSSEGDDEEADASMDVSEDEEHGATQLAQRREAELARLHKLGIPVPGINIRVDKME AKVWLEGLEIECGNKVFGDRVRAVVERAVEVTAPLWG QC762_603900 MAVSKPRPAHLHVSPLGTPSRDCSPDYLTMTRDRPATPDRASRS SFSSIRENESTLPQCFSKTKISSYLDPEAIDDLLSPPSPTGSTSSTDSQELKMIQTQT HTHTHTQPHTQPPTKFLPPVTNPNSRLLGYWTPADSFRGWKEIQVKGKLASKSFGDLQ ILHQVFKDTPKPTKRGGANRPGDAPLERLPTEILTSIINLLALDVPPNGVSRRNVDLM SLLLTSRTLHIATLTTLYSKITIPHSRIFQKFLAHISEHSTLGTIVRRLDFCHFNPAQ LFSTAAERSQARNLTSETLLRCLDLTPNLQEFLAQEYLDDDLNADVLRKLFLGLPRLQ AVDFCGCTSTKFKEAFTSIVSPDWPPVLSIRRLSLHKCLTLPSVVLETILPRLNHLTH LDVAGTRITDAALAAIPVTARITHLNLAKCTLLSARGVIDFLTNHPAAKELEFLSLAT DARSHQLFDAESLNELLPVLPRTLKSLSLKGSKMEPSHVELLRPLTKHLEEFAIGRSL TLADVNRLFLPDENTEDDVQMQLDWVPHTLKYLDLSDFWGQELDLVYLFSSGCAILKN FSEPLEVIEVAEDVFKRVKKSAAAVERAGWKMSECGSRGWLVRQPLKDATTGKPIVRD DGRRSWKMGADSWGMRKIPVARSEVGGMYGSFMFGRKL QC762_603910 MKTAKPPASENGGSSRRAQPLRQTRMVNRGNSSSSLIANAPAQP IDIFPGLNHFTDAISALPKEIVRHFTLLKEVDAKIFNNEDKLFDNIRRALDTPPPVDP FPSSNDAHNNHIPASAPMSAQNSSSGVPPYASASTIPSATGPLNPASEARRRIFKETN LKVQEMMVALEEKNHVLLTANDALEKQMKRIESVWPNLESEFSEEAKWGSANHWAYDD VRKAQQQAKSNDKAERSRREGAAALSAAAQHVNAEEAAARSNDRKQAVAAKKNAKGQA GEGDADKTSEPAKKTQGPKSRKAPAEAATPVGLGITTGAPAGSAPAKRRKVETAKANG GAPMERAMSSVFGNSTVKPKTTSPRETPVPESGPKKRKALPTSSGQAKKRTNNAAAMS PSMASSPVIAAFPDTMKGSRASPIPTAIVPPRPASSRARQNSTQLNPEVVRQRAVSVA STKPNGNAPDTPNLSQFGEGPKINMETKVQKETPIPAPTPKSEPLRTETEIPPPVMEP LHNGNNRKEAITKAPVTAAATVAPSEEKPEPKKEKEKEVVASPAIQPTPISNVKTKSG RASKPSTPSLGTFAEATSIVSAAPTEKQTAASRSRPSRNTSEAASATDKDKNNGSSIS ASTTTTSKRSHKKGASISAAAAALAQASSGLNSAKASSNGGSITSEDNIKGSRGTAQH QQPPQSTQEDDDEDEPDGDEPRYCKCNGVSYGEMVGCDGVGCPREWFHLECVGLKIAP KANAKWYCDECKERLKKR QC762_603920 MSDLYLSRIRNWFLSSPPAEWAINRLRDTLIGSLSQGPIPGHVA FEMDGNRRYARSHKIETVEGHHLGFEALARVLEICYKCGVKVVTVYAFSLENFHRPKY EVDGLMQLAKVKLEQLIQHGELLDRYGASVKVLGRLDLIPPDVLEVVERAVAATSHNT TCVLNICFPYTSREEMTTAIRTTVEEYSTTPRPHSTPFSQSRITQKILSKQGDKPETL ESIEETPSQSDDHDQDDAVSTATTLRSDPSTQKSEGSENVAIYPNAETITPETIDKHL YTAGCPPLDIFVRTSGVERLSDFMLWQCHQDTHIFFLKCFWPEFDLWHFLPVLVEWQH RQKQKQRDEKPRRVKQA QC762_603930 MSFTNAPVTRTLVVGLVGSSIAASLLDIKHYFYISIGTHILRYH QLWRVLAYQLCYLNSSEVLFGAMALYNMRTIEQRWGSRKYASFILVTALFTSIVPPLI LTAFLRPLSFGVLDFLPAGPTPIIFAILAQYHAMIPHMYKYKVALATGPPTGQDSAAL TFSDKSTKYMMAGQLAMSQFPGSLLGAVVGWLVGYAWRNEALPGVLTRWRVPGWVVGM RGQKTNAEFENMRRRLESEGASTGTASGIQQQQAGPTEGNNRRRTMGQQLIDEVRGAF QC762_603940 MNGAETRRGGSGGGGVGGRGEDGYRRMPAPAVQPPSLSDVAPTA KALVDGYRNEILGGVEGDKARISPLNTNQPQTSPLVDLKDSIQVHLLTETALSDSKSY EILSQEEVDGLKKQIQSLGMRVEQARANLAIQSKYRDAAVSMAKLYSPGGKRKSLLGN RMSDSAKEAEMEKATSERRCEELASELFHLEKGLMEPQRRLLQHTAGVLQLTHRASSK KSGQPQLLGQPMNGMPGSPESLYTYTNTRNSMEIPNEQLDFNNKDKDLYLSLETDGPP ATRARKNTLEIPIKSPIREQNAQLRELREEVERYKEEIARMKEENAQLKRSEEQLSQD YARAEAEKTRLEEMEEQLREEHARVMEENSRMKDETMRSKDESGSRLQEADSRMKAIE QQMGAQMDALQAQASEQQRAISMTESRLEDLNDKLRDLIVAFNPAKNEEFGRPDADNL EAQLDYLENGLNTAITEQQQQAANLTKTDKVAAEAAAASSEAAALATTLSKVESSFGQ TEVRLQNLNRQVLFILQQANVDQAPPPEGDLNVQLNYLEDSVDKVSSEIGRVVEASMA GSASKRDLEQVDAVLMGLWEIIQTGYAEIAQQKAARRQARALGQGTPDDDEDLSSNEF EGDTNEPYSLSAFSAKVQWLYAQATGLREQKYILQRQIKQQRELNNRSESEKDQELQA KKEELEKTHMLLDDSERAAQEAQEKLQKVLVDLDSMQKASAANETASASSVKVVQEQL KERNAQVSALESEYSAIQARLATVESNMSSVQNQLIQANESRVAAEGEVAALKSELAA ASEASANAGKEVEKLQADLKQKDEELEAMNMMVIELKTEMTIAKAELDGAYGSRKQRA AEAAKFSNTAETAELNAQVVKLRSELEAALRDLEDITKESINSEKEKLDLESQLDDAV AVKARLEQEVKVLRDRLDKVKEELDKERLRPPNSPVPGAGAGAGAGRAGATMLSEQFR ATMKEERRKFQEELREEQSRRRKLEDELRALKRGTTASVGSRGGLLSPR QC762_603950 MSNGVVANGASADQTHTASQRYLSTRGEDSGFSFEEVVLKGLAS DGGLYIPEAIPSAENWESWKDLSFTDLAFNIISLFVSPSEIPSEDLKDIINRSYATFR ADEVTPLVNLQGDVHLLELFHGPTFAFKDVALQFLGNLFEYFLVRRNEGKTGRDRYHL TVVGATSGDTGSAAIYGLRGKKDVSVFMLHPKGRVSPVQEQQMTTVLDANVHNLAVTG NFDNCQDIVKALFADPEINSTHKLGAVNSINWARILAQTVYYFHAYFSLLRQQPSTFK LGDKVRFAVPSGNFGDILAGYFALRMGLPVDKLVIATNENDILDRFFKTGRYEKKPTY GSKAEGGLEQDGVKAHEDGVKETLSPAMDILVSSNFERLLWFLAYQFASSAGMDDEWN KKQAGQEVSSWLSDLKNKGGFGPVYKDVLEAARKNFESERVSDQETLDTIKALYKEVG YVLDPHTAVGVEAARRTQKRALAEEGAAKHIISLSTAHPAKFAGAVELALKEEPEFNF QENVLPPEFVGLDKREKRVSDVANDWKAVRDVVRQQVEEEFKALGY QC762_603960 MMATIALSRPIAPHRNSSSIGSLTSTITLDTSQCPAPLPNKHIP VCPPGPVPQQEPTTPPPSPGKEADALHQSLLFPPTDYVRLDSGRSCLYKIDAAGVAAA LDYLARQPLPDPSHVFPWLHGLHPNNQIQLAFFIARKRSLRKTPGCLRGITIVKADGD LNVSRLKGAIAPHEFLQLGSATAEFLEADPREGFSVRNFQIQAAKAAMTSDIIVYGDD ELSVRKLGFDIAGAQQRWREKQEAHQNPIPHYNTFVCVSPFGEFEELYPEIVAVNSSG QLTGQVLDFFHQERREMYEMTRASEISHNVWLGPTPDQGSDEELCYDVLIECNDLGRL NPGALQTIAEGTNEPDQHLSEATQTFLEFPSSGSILAPTWSHAEADGILDTCKWIWHL AHGTLPSVFSASSKFDHEDSDGDIDMLTSALSTPNKPRRILIHCADGYTESTMLGLAY YSFATFLPIPEVWLALHTTQQRNFFAYPSDVALLTAIAPRLLQESPLFTLQNRTLSDI TSLIRNEPKWFAGFDGSFPSRILDYMYLGNLGHANNPDLLKSLGIGQILSVGELAMWR DGELEQWGEENTCVVQGVQDNGIDPLTDEFERCLEFIERGRRNGTATLVHCRVGVSRS ATICIAEVMRSLRMSFPRAYCFVRARRLNVIIQPHLRFGYELLKWEEQNQGEGFKREL EWPEIAREIALMNRPYAR QC762_603970 MSGGALNFVTFNQDHSCLAVGTSKGFRIYHTDPFSKIFNSDDGN VSIIEMLFSTSLVALVLSPRHLVIQNTKRASVICELTFPNAILAVRLNRKRLAVVLEE EIYLYDISNMTLLQTINTSPNPNAICALSPSSERCYIAYPLPKQREDTGERRPSHAPP LSSYIPTTSGEVLIYDTVKQVSINLIEAHRSPLCCIALNNDGTLLATASEKSTIIRVF AIPSGQRLYQFRRGTTPSTIYSMSFNLSSTILCVSSVSNTVHIYKLTNNSQEGAEATS PRRNRFARSASINSADYVPNSDDDSRRSSGAEEYGSTASQPSSEGSKALRNSGMFGNM IRRSSQIVGRGVVGAVGAYLPAAVSEMFEPERDFASIKIPKPTSTVLQGAPAGGGGPI RSVVAMSSSSPQVMVVTSDGIFYVYNIDMEKGGEGYLVKQFSVVEADDKLDASYNA QC762_603980 MASSGPTGFLGRSSSSNANMRGLVQFIADLRNARARELEEKRIN KELANIRQKFKDGNLSGYHKKKYVCKLLYIYILGWNVDFGHLEAVNLISATKYSEKQI GYLAMTLFLHEQHELLHLVVNSIRKDLMDHNELFNCLALHAIANVGGREMGEALSGEV HRLLISPTSKSFVKKKAALTLLRLYRKNPGIVQPQWAERIIHLMDDPDFGVALSVTSL VMALAQDDLEQYKGAYAKAAARLKRILIDGEYASDYLYYKVPCPWLQIKLLRLLQYFP PSEDSHVREMIRQSLQRILDLALETNKNVQQNNAQNAVLFEAINLIIHLDTEHALMKQ ISQRLGRFIQSRETNVRYLGLEAMTHLAARSDTTLGPIKQHQEVILGSLKDRDISVRR KGLDLLYSMCDHTNARPIVGELLHYLQNADFAIREEMVLKIAILTEKYATDVQWYVDI SLRLIAMAGDHVSDEVWQRVIQIITNNEELQVYAAQNILQYCKQDHCHETLVKIGAYI LGEFGHLIAEEKGCSPIEQFIALQSKLPACAPGTRGMILSCFVKYVNLFPEIKPQLVN VFNVYSHTLDPELQQRACEYLTLASMPTDDLLRTVCDEMPPFPERESALLSRLHRKHA NTSDKRTWIVGGKDANSDAAELTLAKNGSLRRTFTNAGTKPNGGAAGDLLGLDMNNIG PAEAKSIPNLASAAHLSPNWEKGFNRLLLKPDGVLYEDGQLQVGVRSEYRGQMACLIL YFTNKTPALVGSFTTTLDLDTSEKTNLTWDVKGLPDTTIARGAQAQQVIMFEAKKVFE KSPTIRISYLAGALQALTLKLPVTLHKFMDPAELTAEDFFKRWKQIGGAPREAQQVFG LTTAAKDQQRELTDGFIRDVIKGFRWGVLNNVDPNTKNFVGASVVHTSEGGKIGCLLR LEPNYGTQMIRLTIRATDDSVPPVILKLMEGRLAQGLSTVQERHGPPQTVSDISDSFR NIMVR QC762_603990 MEQDPRSYPVAILPGGDAPEVHQHQTQAYFKSYEQQQPYYQNQY PQSHTILGAEDPVEPKEKRICGVRLSILFFVTTGLLFLVIAALALVGGLLGSKISSLE TSYPALASNVAAIAGGSTSGGNDQIAPIDDNTSTGTETPPPSQTSSAPVSYSTNVVVD GYRYVGCYYDDTQRLLIDQPAKGNSSMTNLMCSRICAGFKYFGTEIGIDCYCGNRIEE RTPSAKANEWDCSVKCPGNNRGRKEVCGGDWSMSIWEKTD QC762_604000 MRLTPFSPTALRRPLSSRLVPKIPHQKRPYAFQTSGIPTSFQVF NNRTKWLQRERAASNAEASRQADYLKDEVAMRVAERLLDVKRTFPLTLDFGAYTNSLA RALTNPNPDPSQPDADIPPLATKIGKLVAADSSQKALFRDAELEFNKEINMERVVLPY EEGPLPWEDNTFDMVLSSLSMHWINDLPGVLGQINRILKPDAPFIGAMLGGDTLFELR TSLQLAEQERRGGIGVHVSPLADVKDVGGLLGKAGFKMLTVDVEDIVVEYPDTFALME DLQAMGEGNAVLGREVGAIGKDVLLAAEGIYRELHGSKVEDGTVRLPATFRVIHMIGW KEGGDQPKPLPRGSGEINLRDVLGTK QC762_604010 MSIIQQHTESSLTDAWRTINIDALDPDSSQNFPLSTLHPPQPEF SDQDARNLQSQIRQLLQAGDAEGALRSALENPIYNAPDLAKETHLQTVIEVLQRIKVN EMTPLLQKIYNDDRGSESLDVLMKYLYKGMAATSSGISSQHTGNGPRTPTKLMTPQAT GFTQIGNRSGITSGDSTGAAMSVLLSWHEKVVDVAGLGCIGRVMTDFRRV QC762_0090180 MPSSDLFDLGSDPALEQTALKKQRSGEMPLKFNRLGSNQQRMQQ HARPPRQLAYHPREALHQDVPDSTGQRACPGLMACRRLVSTRRQGELFVPCTMMTAYP ATLGVFPIWGS QC762_604015 MPFSQLPAVHGTCVLTLSSHALELLWAQTTIPLASGKSAEPVRW DSEMVHPGPKKGDNGFWNGDSPRTLLWVETELPRGSRDSRGSRDGYIGYKVTRYLWGV REGSPSPVEDFYTLRVHFRFTHTFTMIVRESEPHANFGRGAYDTTGVPKPPPPKPR QC762_604020 MNRQLALPATHWAPRQSLFPFGVTRLQIRLKSSKCKFKQKKSKM SDKSLRVAIEGCGHGTLNAIYSTITASCKERNWDGVDVLIIGGDFQAVRNADDLTVMS IPAKYRELGDFPDYYSGKRKAPYLTIFVAGNHEAASHLAELHYGGWVAPNIYYMGAAN ILRLGPIRIAGMSGIWKGHDYRKPHHERLPFNQSDTKSFYHVREIDVRKLLQVRTQVD IGISHDWPRGIEKHGDANQLWKMKPDFQRESHDGSLGNPAADYVLNRLRPPYWFSAHL HCKYSAIKKFDPPTAELQPCASATLPESATVLPAVPAHNPDEIDLDLDEEETPAGPST SSAPITTTSSKPHSEEQQTDALRSLLPSTFSKPTPQIQTTPGQPVPQTITNTTTRFLA LDKCLPGRAFLQLMEIPSPTIITRPVKLAYDKEWLSILRAFHPPIKSTFGIRGAPVPE DKGEEYYLGLIRENEEWVEENLVKKGKMEVPEDFEVTAPVIEGGWERGREVQPREYTN PQMARFCEMLGVENYWDASEEEREGRRVKGPDEDEFGGGFRGGRGGGGGREGRGRGGG GGGYRGRGGGGGGYRGRGGGGGGGYRGGGRGGGGGYRGRGGGQF QC762_604030 MFDTFTYRFLHFRRPTLTPSSLPSLPQGIERFFVDTPGGQIEVL HGKPSKQARHGATPLFFVHGGMGGAWVWLEYLSFFASRGIPCYAVSMRGHGSSWHPSY LRMVYFTTKRMLADDVVAAIKWVQKRHDGREVVYIGHSSGGGLGQYILSAPEFDHVKV KGLVLAGAVPGFGSLGVYINWWRLDPWFSFRMIFHGWHPNSPLSHPVLTRRVFFGEQL PGSYLMKFQQRASRYESFLWPLGMMSRFVKPENLLPRITSLGANKGQGIMVLGGEIDK IMTIPVMEKLANTYRESYTGLAAQKKIEGDDRDGVKKLLGDGGRDTVGQGVRCCYVPG AAHHLQNDVTWEIGAQKLLAFYEQL QC762_604040 MSDSKYITLISYDGFEFVVLREAALVSETIGAMLRGPFREAQTG RCEFGEIRGPVLEKVVEYFHYHYANRNQTDVPDMDIPVDICLELLMAADFLGLDSKPQ MRDIS QC762_604050 MASSYQHRNSHYAHERHDSSASRRPTSERRDTRGTRSSDGTVST FNSISTSSGRESAATAMTNEGPAYSKKIVVVGDGGCGKTCLLISYSQGYFPEKYVPTV FENYITYPTHPPTGKTVELALWDTAGQEEYDRLRPLSYPETDLIFVCFAIDCPNSLEN VMDKWYPEVLHFCPYTPLILVGLKSDLRYKKTCIDMLKTQGLIPVTTQQGEAVAAKMG AQYMECSSKEMTGVEEIFERAILTVVANDRKTLEAEAVNGGGSVGDSSSGKKRGRGGT VSGQNIPGVGLAKKRKSKCLIM QC762_604060 MSQRQRSHSVSGPTAAAGEGGTREKTYFELQREELISEIAMSFE HVLANINKLNRSLEAVIAVGNEFSSVEALWSTFENVMAKEEEEEGDGQEGHDEGHDEA EEEGGDEMEGVEDKGDSRYEEEQSRV QC762_604065 MSANDVHGIPAFATLSEWRQFSTGMTLEKCWNLVCLELQSLLPV TPEVGRFDYQDAQVLFYMHQDMVETNNYVILKHRGWMASLQTDNLEYFLVVLLKLEKA YESYQDEELFAQTLTLAREMWRLRQLGDDSLINTRKVLTVGPGNSVGMYRPDLSPSGP NDFEIKVLEKKIDFLGFLIMKTSAHVWRVFVGDGPHKPGRDQRWKDLLRVCGHCVHKR HIYLAAMSVLEYFSSELRPMMPIPNAKPPTALPKSAQPVDGADPAGVGNPKKRAAPNH ASGTFETGYMGASYGIPGQGGKTRYANNCPSFW QC762_604070 MVIRPRQHIDKERLEESQKETGDSQKETGDSQKETGDSGMVSCS HSK QC762_604080 MSLRSPLSPRQQGFAPLSNQPSASDMQDIPLRQIRSNASSTTGA RRADMEPISEKNVLFNEAVGTPAGRRRIKKDLMRTGSSNLSEEASLNAMGRFYNKIVS FSVVTRYLVYIVPVAIILAIPLLIIPFTGNTSTELEGQNLLWFFIWLEIAWLSIWVAK LVAHVIPVVFMFFCGVISSGTRKYATVLRALEIPLSLFLWGLASWLTFKFMLSDRNNG VKWTDIVQRILLSLFLASAVLLAEKAIVQLISISYHQRSFANRIKDSKREIYILGLMY EASRTLFPMYCQEFADEDYIINDSIDVILTGGRPNGKGVAAAPMKLVGEVGRFGDKIT SVFGNIASEITGKQVFNPNSAHSIVVEALEKVRSSEAMARRIWMSFVVEGKDSLSMDD IVEVMGPAHQEEAEECFHAIDADENGDISLDEMVRKVVEIGKERKAIANSMKDISQAL TVFDKVLLFVVLIIVIIIFLAVFQSSFIATLTTAGTTLLSLSFVFAVTTQEFLGSCIF LFVKHPYDVGDRVDIQGPEKQQLIVEKISLLYTVFTRIDKMQVVQVPNIALNNLWVEN VTRSKAMKEVIDVNVSFDTSFEDIELLRAEMEKFVRSPENSRDFQPDIGIGVGGIGDL DKLTLKVAIKHKSNWHNDTVRATRRSKFMCALTLALKKVPIYAPGGGGEALGGPKNPA YSVAVTDEYAISARAQADKEKEAKRMDYADPEKQAENASEQKAADHFNTTNPAVDALD DWGYEETLSGRDASTIRPSTSNGPSSRSEFLLGNRESQRGRRRAGETVPMTLGDASAP GVQLTRAPTTSTRGGPSFDVERQAGVDAPAGSPYTTWTAYNSQTGQQQGVSQPYSPPQ QSANLTVQAPPRPGQSPAGARPRGASVSNRPQAPTGDGRPLSGGQSSGGPPPPPSAPG PSSARY QC762_604090 MLIKESHADVQTTANGKTTSMRIFLFHPTIPGYPNARFPGVVLF SEIYQVTGPVVRFARQIAGQGYIIAAPSSYHDFTGPEPLAYDVPGTDQGNEWKITKTL ESYDEDVTQTVSYLLSLPTCTGRLGATGMCLGGHLALRAALQPKISSTVCYFATDVHA RTLGPNSGANTSSTAPPDSNHTLDLLSRITGEVSMIFGIKDTHVPDAGRDLIRQKLRE AGVVFSFHEFAWAQHAFIRDELSKGRYDPAITKICFEVLMEQFGRVLKTELGDGDGKK QEVEHVC QC762_604100 MAPAVGIDLGTTYSCVGVFREDRCEIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPINTVFDAKRLIGRKFTDAEVQADMKHFPFKIIERGGKPVIQ VEFKGETKVFTPEEISSMVLTKMRETAEAYLGGTVNNAVVTVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKIEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK STAGDTHLGGEDFDNRLVNHFCSEFKRKHKKYDLSGNPRALRRLRTACERAKRTLSSS AQTSIEIDSLYEGIDFYTSITRARFEELCQDLFRSTLQPVDRVLTDAKIDKSQVHEIV LVGGSTRIPRIQKLITDYFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSKSTNEIL LLDVAPLSLGIETAGGMMTKLIPRNTTIPTKKSEVFSTFSDNQPGVLIQVYEGERQRT KDNNLLGKFELTGIPPAPRGIPQIEVTFDLDANGIMNVSALEKGTGKTNQIVITNDKG RLSKEDIERMLAEAEKFKEEDEAEGARVAAKNGLESYAYSLRNTLSDPKVDEKLDASD KETLKTEIDKIVAWLDESQQATKEEYEDRQKELEGVANPIMMKFYGAGGAPPGGMPGG APGGFPGGAGGPGATHDDGPTVEEVD QC762_604110 MTEVIPSSSQQQRQPPGSQQQKQQQPHQQQQTGPLTRSAMSAAR LAGGGSTPSTSSSIRTAVGGGESASIPAARATSTRQDTHIVTSAPLLPSGPAHSTRDS SFSSSSHGHIFQLGSGPITRKRAASINTEEANNRSRIESLTLQTPTSNPSSFHGDRVP EDNGSGQDLVCLCVPPPKIPRPRNAFILYRQAHQATIVQQNPGLANPDISKIIGENWK AEPEESKNRWKELAEAEKLRHQTQFPGYRYQPRRGNKSGAPSGRTTAPGQDPHKCPNC GGRYIATPRTPSTPFMTPTAAKQPPFPGGGGHPPPPGYPRHQRPPPTPASVRGAARPQ WGPQGSGANLYDIREHYEDAYSPSEAKRRRYNAAGNYQNYHSLPSPPPPLPHSYPGPP RGSVSRASMSMSSSPTPGYGPPQLPGPSSMLARVSPGPSPLSGGSITGSMAPPPVPRA PSLSLSMSSPYGPPSHQYPPPPPQHQTYLHQSQQQRTGSLPSVLSGGASQPPTGGEFD ESLRLPPLHLSTSTSNPSTSRIPISPDNESEIGSAYPSSIKTAFSSSHPPSAFSGTQS NPRQDSKEEEAARRSVEAMVMSISYINKLRVLERISPPLGSDPQGTRKKRRGPIIAVE GVDLSLMKLVGGVIERALRQERGENWDIMTWNAADDESSDDGATEERPGSFSSRESNA SSSKVVLGSRAGSTTPVPFRQPSDSSPFETYLRTITKWHAKSNEIVSFVTSPQGSSSS ASHPSSDTESMTPTPRHRHPLPGHASSPKVPIALLPSGFSLTLSDKFACQTPISDSYA PVDHWQWMATLWRGVAGADLVVYVKGIYQQQQQQSSNLSPKEQQQQGGGVEIKAGGLI AVKIPVLMSQEGGSSSQGEQQQVVVDEKIERRLGFEVVEWVRGGSWLGQGGQQQQGEA MEF QC762_604120 MVQISEVRGNSRDHRTAAHTHIKGLGLNSAGIAEKQAAGFVGQN SAREACGVVVDLIRAHKMAGRGVLLAGGPGTGKTALALAISQELGTKIPFCPITGSEI YSTEVKKTEVLMENFRRAIGLKVRETKDVYEGEVTELTPEEAENPLGGYGKTISTLLI GLKSARGQKKLRLDPSIYEAIQKERVTVGDVIYIETNTGACKRVGRSDAYATEFDLEA EEYVPIPKGEVHKKKEIVQDVSLHDLDVANARPQGGQDIMSMMGQLMKPKMTEITDKL RGEINKVVSKYINQGVAELVPGVLFIDEAHMLDVECFTYLNKALESPISPIVVLASNR GVTTIRGADDLVAAHGIPPDFLSRLLIIPTHPYEPEEIKRIVRIRSTTEGVQLTDAAV DKIAEHGVRISLRYCLQLLAPASILARVNGRSQIDVQDVAECEDLFLDARRSAQVLAS ESGRGFIS QC762_604130 MSRYLNVPSKQSEQSDDDDVIDNLPMEVVATGKVRTSSPYLTTN IQNLRSRSVSPNPQRGALSSSPSRRAPAPASPRFPTLSREKQKQSAFSRSCLAFWEKN KGPILVVFAQLFGALMNVSARLLELEGDGMHPLQILFVRMSVTSILSCIYMYWKNIPD FPMGPRNIRPLLLLRGFSGFFGIYGMWYSMMYLPLAEATVITFLAPCVAGYICHLLLK DPFTRKEQIASFIALGGVVLIAKPTSLFLSSTDSPPPSSGEGSHHPPGDNATPTQRLF AILVALLGVLGAAGAYSTIRWIGKRTHPLITVNYFSVFSTIVSTTALLVCPLLDIGQP AIRFGFPSSSYQWFLLGSLGICGFGLQFLLTAGLAGEKSNRATAMVYTHMLFAAGFDR WVFGHTMGLWSVMGCALILGGAMWAALGKKKVEGAGSKEQDVEGGGANSREEGVPMLN GEDTSSGDEEGSMEMDRLRSR QC762_604140 MASKRRIGAIVGASSKSVNHRITDFYGPPARLKRQKTQDSLLDS ATEPQSTTMAPSLAESSWEDKPSLADLNSFSQEEQNWEHYTRTRASSTSTVHSLPGKT RSEPKTRKLVLTYEQGDLFDAPPNSVLIHACNTIGKWGGGIALAFKKSYPAAFEIYRK HCQEFTPDELVGTALLIAPHSDKYWHVRDDEVEAGSVEGDGEAKDVPEVKDEDRAEVD ENEVGNAGVQDTDGKTSNASKEPPEEETTNWIQVPKRSIHPQEQRFNWARQNDDDGSK SESNPQRRSPHEKDIHYVACLFTSKNVGAKRDPPDEILKHTESAMLNFLSKLNDVKFN QEDCPFIPQVRMCKINSGLFGVPWERTSGLLDGMPLSKFQTWGRGDFKIVVASKDGDG VVNEENGEKKKKMSKGEEWRE QC762_604150 MEPRARAGKNVGKMNFGHNELAQLLYSHGDARLPLNETVRLLDE VLTDFIQGVSFEATRAAHHAGRQKVKFEDFEFAMRRNPRFMGKIQEVFEKKKEIEAAR KNFNIEDQWMKDAEREEKEKADREKEKAGGEGGEKAKGKRGRPRKDRGTSAGSGSQSQ SQSVQPEGSGSQSQSQQVDRMDIGEEDLEDGLDDDLEGDADVVSAIRRR QC762_604160 MSALFNLQSLLLVILLLICTCAHVHQIFPAILDRNKTGLMGVFW KSARIGERLSPYMSLWCLFMAGSIFLSS QC762_604170 MGEQRAGGGLESHMPTHRRNDSATGEEPMPDAPSETRAPPAEPE VQPSLREVPPAQDLPRGTQDINANGSLATPVVGRPSPGLSRNPSSCSTNSNEKVNAEY LTEGPNRRPPPTRRSSPQPTRPLEPPVTKSTLSELDVTKIIHNPKLRHDINYDPELHF RPNLDGEKGRRKQEKANQFWNALLDQLILFVMDRETFMSRYADGKWCLPALLTAVRDI IETLVPQRDRELLNEGLNVDLLMQQFNRGVADLEALASWLSAVLKLHCAPMRDEWVDE MYQELSNGNRNNDPAELVKGMRSLLSVLEAMKLDVANHQIRCLRPVLIEDTVHFEQRF FMKKIQSRKLSVLSAREWYKAAQSNAERIYQHSPMPHAQAFGNMSVFFDALSRLVLPT TRSETTPCTFVFDEDRLLKLRSDMYDSICLEICMRKFEDLDRLSKITHLSTRVPSYVR EEGALSNRSSGEFIFVSSRPSSFVFSDRGSTFSSPRNSGELCAQPSMDFAESRTKALD LYNSLLALLHTAPPANTSREKWRGLADSVALQILRYVNAPPSLPGFEDQIRNAVTNIE EELFQEVAAQFQQRLLAELAKRVNEFKHMSAVSLFSVATGGRIMGANRPSESLRDRNN GLFGERAPRDPREEAGIDDMAVRLAHLGVLHWRVWALLAYEDSIEEEMIDAAAVPVFE AA QC762_604180 MAPTKDTPFRSADMSMVQLYISNEIGREVVNALGELGLVQFRDL NGDLSAFQRAYTKDIRRLDNVERQLRYFHSQMDKAGIPLRKLDLDVETLAPPTTTEID ELAERCQGLEQRVSSLNESYETLKKREVELTEWRWVLREAGGFFDRAHGSVEEIRASI DNDDAPLLQDIEHNNGAADVERSFSGMNIGFVAGVIARDRVAAFERILWRTLRGNLYM NQAEIPEPLIDPSTNEPVAKNVFVIFAHGKEILAKIRKISESMGAEVYNVDENSDLRR DQVHEVNARLNDVQNVLRNTQQTLEAELTQISRALSAWVVLIGKEKAVYSTLNLFSYD GARRTLIAEGWCPKHDLPLIRSTLQDVTNRAGLSVPTIINEIRTNKKPPTYLKTNKFT EAFQTIVNAYGTATYQEVNPAIPVIVTFPFLFAVMFGDFGHAIIMLCASLAMIYWEKP LKKVTFELFAMVYYGRYIALVMAVFSVYTGLIYNDVFSKSMTLFSSQWEWDVPEGWTE GDTLVGKLKDPNYRYPFGLDWRWHGTENDLLFSNSYKMKMSIILGWAHMTYSLCFSYI NARHFKKPIDIWGNFVPGMIFFQAIFGYLVVCIIYKWSVDWFAIGQQPPGLLNMLIYM FLQPGFIDIPLYSGQAAVQKFLLFFAVLQVPILLFLKPFYLRWEHNRARAKGYRGIGE RSRVSALDEDDEGHGANGRPSGESDEGVGMIAQGVDHEDEEHEEFEFGEVMIHQVIHT IEFCLNCVSHTASYLRLWALSLAHQQLSAVLWSMTMGPALKNGKGIGGAIFLVVIFAA FFCLSCIILIIMEGVSAMLHSLRLAWVESFSKFAEFGGWPFAPFSFGTLLEENEELKE YLG QC762_604190 MLPLPCRRALGQLTVRSVRTASSRLPPPTPTLLDAFMSYIATFF HHYGRAQMRNLTVSHTRALRLAGANRHLRTPTRATILAHRDATQPCGSWRAQSTVAAT PVLRRGFHNYFITHLPSSSLHPDSRSSVGPGHKLPRSASTPHTPEPGSSPALNPPNMP GRDLTVVRIPLRSAKHHFGTSVSRGQRPYNEDTNQAGTITLPAFSRRVPTSLVRQPRP TGESINAYSALGDPQIFYFGVFDGHGGSECSEFLRDELHGYIEEAAAEFELESSLKCD KDRRYSLSDPGYSLSEPDVSRNQEEPREHNAGDGSELPAGLSKTVKLEQELLNEYRRT IGGYFRRFHPSQFTASTVSSTSFSEEPMSSTTPSNVPATLESVLTYAFLRADLDFVTA QARKPDPEDVIVEDLPLNKDEILGVPHLPPSGHSIGGRERFKGGSTASVALISTPTPA PFWHPNAHSTLVVAHVGDTRVLLCETKTGKPLALTTDHRPSSPVETRRMSRYASSMIT DSFGEERIGGLANSRSFGDMQSKRIGVSAEPDITRVEMGPAEYSFLVLMSDGVSGSLS DQEIVDVIKEAKTPEDGARHVIEYATEVSSDGDNATCQVIRLGGWERRSEGGLGSLGT KEMRDMRRDEALDPRRRRS QC762_604200 MDGSAPEQVNPAGPPRGKYLGDLAVVDIAPEGDLVLRVTFNTSK ETLTAAKESKEPPTALKPTVKQGYRVQISVLKANSGYFNRLLGDTRFVEAKAVENALQ KLSLSNVKPSEADLSELPIVEISEDDEASRTANLSLAFGDLMRILHRVPITTRPLAVR YLAIIAVLADRFDCTPLVSKWLAAIKFKFPPITTQNQNRTGPALSKSSEELLRQKILV SWLLDRPLVFQPSTRELVMYGSRRWCTLFDEEDEKSEHVYTAAWWDLPSGLEQELQLR RDCILNTVSSVPSHFLSLYISPSQSRPQCKLGYTSSPSCDSFQLGVAIKFLSNRNLLS FVDFAPKSYDKFHASGPPNDYIMSDVLHIIKTLKQCPAYQIDNNHRHCDLRGRMGRIL EFVEARLGGGGVGINRVEWAKDRVEASWERRHGAEDGEERVLKYTSSMSSDQRLRYGG AFAAEKLAREVFTADEWDWTAGENEGETEGISFGKWKLGEKSFPK QC762_604210 MVALFSIPIFLVTFREALETAIIVSILLAYIKKTLYRSHRAIYR QLVRQVWLGTSAGLVITLIISGALIGVFYSLGVDQWGARELTYEGAFSLIASLIITAV GFALLRIGKMQDKWKAKIEQSLGEQARQRRTKRGTVMMWLEKYSMFMLPFVTVLREGI EAVVFIAGVSFSAPVTSVPIAVIVGLMVGAGVGLAMYKGGSHTKMQLFLVISTCFLYL VAAGLFSRAVWAFEQQIWQDMVGGGDIAELGSGPGTYDIDKSVWHVDCCSPFVNGGSG WGFLNAVLGWNNSATYGSVISYNVYWIVVITIFMILRYKEVKGHWPFSKKPTPTEEHI GRRGESIKTAIGPGSDILCVEGNAAPYVKIEDNISRMV QC762_604220 MNRGISGSPNFLLWIFLLLFGFCLSGHAQQPDAAHDIDSGVDDS ALWHTYSDKTHVYKFNVSWVVASPDDPDADENSTKPVIGINGKWPLPVMEATVGDRVI VHLLNLLETEPTSLHFHGLYLRNETHMDGPVHVSQCTIPPGSSFTYNFTVNEPGIYWY HSHVHGQYPDGLRGPLIIHDPDDPFADKYSETRLLTVSDWYLDKMPDLIARFMSKANP TGAEPVPDLGLFNDEIDASIMVSPKTTYRFDVINMGAFASVYLWFEGHNMTIIMIDGI YTHPVEANMIYVTPGQRYSFLITTKADGSANFPFVGSMDLSFNPNITGWLIYDEDNNW PDAAKLDGSFNPVDDFDLTPLDDQPLFGEPNQVVQLDVMMDNLGDGANYAFFNNITYV APKVPTLYTVMSSQDLATNPVVYGTYTHSTVLQKDDIVEIVLNNLDPGIHPFHLHGHD FQVVWRSEDDAGTFQDSGITLSDFARVPMRRDTVFVHPNGNLVLRFKADNPGVWLFHC HIEWHVQSGLMATFVEAPLEIQQSLTIPPDHLDVCKKMGVPTGGNAAGNSEDFLNLDG ENAPPNRLPDGFTGPGIAALVFSCLTGILGVMTIAWYGFAEGVNDGEDKKVRIEGQQD GAGDVKLRDMPSGSTLVNDQRVHKLRRNETRAKLRGDGLRV QC762_0090440 MSETTKLYLLVLHSNVDATEDTVNRGLASVFSAASVADHDLWKA DLNYPQSNNSHCSTQIVLERKSPLGPDQSVEVDIQRLCDSLFSSGDALSLLRLREKHN AQFIPDLPPGKSIEGDEGNNFQIFLRQFLTHKAENSAKVCRQTFNATTCRQRIAMSGL MDSSIGTASNFLQAAAFTALLVVWVLQQCIEPKQLSCTELHKRINESAQKLEKMQYEE QRKRQRAR QC762_0090450 MTFTYPTCSPIAFWHRRTAPSDQMANNSLIQSANFAHSHDHEYL SGRPSDEDLKIEINAFRRNTIDFLASYLATREAIAHNHSPGNHMNGSNSSTDITKALP TPNKFITLIATHDQLQMQLVPLRNILHQTLHKAWIRLLRSNFYLVSSLLLKLTTPLLT TNTPLSILLSILLAASSADTLAYRTLLYLLLSSKTKDTSQIKARLDEESSFFKQPDTN NPDFSAFIQTWLLTADDLVKLGAKCHSWIPQDDDERQQLMTGSHGHNPITTSESDRG QC762_604230 MAGVTTRRQAAAAQQEKNATTVNGNAAPVMEQENAPRDILDDLD DDEPAENIFLFYPNLIGYARIILAVASLYYMPIHPRTCTLLYSISCLLDALDGIAARA YNQSTRFGAVLDMVTDRCTTSCLLTFLASAFPRWAILFQLLISLDLASHYMHMYATLA LGGSNTSHKNVDKSRSFLLNLYYTNKNVLFIACFLNEAFFVGLYLLSFSSPLLSPSLL ETVPPSTAAAIHQGAQVNSSILSMIFANPYSAGALEMARANKMDSFWPWVITGVSFPV MAFKQVVNVIQLVKASRWLAEGDIEARREARRIAKRRQE QC762_604240 MSDRHPTLLQPYSERAKTATHPLSRYLFRLMDLKASNLCLSADV TTARELLALADRVGPSIVVLKTHYDLISGWDYNPQTGTGVKLAALARKHGFLIFEDRK FVDIGKTVQMQYTAGTARIIEWAHITNANIDAGKDMVRAMAEAAANWKARIHYEVKTS VSVGTPVAGQFDDGEEQNNGGSDRDSDGRKGSIVSITTVTQSFEPADSPRLAKTNEHG DELVFPGIEEPPMDRGLLLLAQMSSKGCLMTKDYTQACVEAAREHKDFVMGYVAQEAL NSAPDDNFIHMTPGCKLPPPGEEENGHVEGDGLGQQYNTPAKLITLLGTDIVIVGRGI IQAADPPTEAERYRRKAWKAYLARLS QC762_604250 MSTSSPGDAGAWPAAANGVSSEYPDAGLSNDTAAAEDNAPARPP PRKRRRIVISCTECHRRKQKCDRQLPCTNCISRNKHSACHYETGAPTARQQQRRQQLV APTNGTADGADGGGGASNSASGSGSTTTATSPDMGKSPITSSSSTTTHHTGSDLSEHI ATNGGKPVTKVASETFGYSGAGTLGFLRKIEESTTGDENLPPPPGQPTSIDQTTPSIS DGLTREKYKSLIRQLPARVHIEKLVDIYFREFNWQYYALDRTLFDSLLAQWYTLPFSI LSQGGPSQIPLSLRPFPGLLFNVIAIALLTLSSDDREFEGLLYAGLNMTFEDLANDYS DSGLQVLQVLGKRSMTLTTVLAGFARASFLKYVGLVTESWHAIGSAIRDAQEIGLHRD SLDPRPPSGATLQEVLEVQWEVQRRRKIWMTLVVWDVHMAGVLGRPTTINLTAVPPSL PVDVKGDSPGASPSGELMPIVERGENEPPTPLTRAVWAYHLMAPMREILELEKEGPCP RDFGRVDKLHEEVVSIEKKTPPFFRLENPDTRFDDREDCYWLPLARVILPQLSSFELM ALHRPYIFTRPKSRTEALKASLGMLNAQRLHFMALRPALYKTFALFFGTFDAIVLMAA IYILFPREHPELVQSALQHFQWAVERFEAMSERNALAKAALGVLHAVRLRLRRSLDSV ANKSQSGSSGTSPSTTTTVTSKANPLSQSSSSTGTNAAASSGGGHHWETHTPRRASRS SSSTGAGSAISPNPLQVPLGPLDFSQPGSSTSVTPTTDRNFYPGGQVDWTLPSDFNWA SLQPIYPTHDLIFNDLVGVGDTNGNGLSWDTRTTVPGLGGLTTVVGGREQVQQPPQQQ QQQQQQGVQGQGQQGGVNWQQFEGDFGNDSVWSLLNQFGPM QC762_604260 MAPVERDTPRDLRHQHSASLSDHGRALVPMWDSSDPERAPPPLP LNPQSPIVSRTGTSSAIQSAHAALAEKARENAALVPHVPKHQRLEVSPERPLPIPGMA PAPPGANTHRRMNSLQPTSVKDMSMMIEAGSSPARELPVQRSPEKNTRPVTPSRNARN SWIEPKDEKDYFGHSGDDRSTLKPGPSLTPIIRPTARRATHQSILGENTPPPSATMLA LQNMSTQSNTHLPSTNTNLYSSPMGPKPPPPSMEPEKPQPPPPQPQAHSQPLGDITNN SHAMVQVAKVPESLDLLSNQILTLTDIATTLQKEMSLLSRRSRDNATDLLSLKEATNA RDEDIRKSLRDLITESKARAANRDLYGGPLLLEGRHHTTSPTQLSKSVRPFSLPRIPS PNSFAASFDRESMLSTPSLVGSETGTNSTTVTLVEKIIRDMATKEGQENLGHKLSDLA GKLSGMATADKIEELARFIKENQQQAVIAAAAGGAGGGGGGNKNRNWDVGEDDDDDSP SNSGRLLQGGGDVNEEVYKAIKTVKDSLANAGGLTAEVKALVVKLRGEVLHMGRDLGQ KFEELLAEGVPSNGGGDGNKADMQRIIEQGLAQMSEQMNKILRDYRYTGGSGGDVSTE AHALTGPREAHQFDSQELYNAMRAALKDTHPRTPDLRREDVMQAVKDAWEKYKPEIEI QQIGLEREEVLECLAQGLADFKRPMGATRDEVFSAVAEGLRNYQPPKVEMLRKQDILE VVTECLGEWEVPQPPKTGDEVTREDVLDAVREGLLAMPPPPEPKGASRDDVIDAVADA LDAFDFSKTVSEALVTQPVTKGDVAEAVSQALKGLDLSDDMLDAVQEGLRGQEEKVRQ AVEQGLKGFDIEALAAMIPRPDLSRVDVADAVKEGLEFLDLSRDDVADAVKDGLEKMD LTNTLSKSVAEAVKKVLEDHNFGSSSPSANNERALVPRSASMGSHIPMPSPPSFSGSE IPMNASPGSSFSKSDIEEAVKSALDSLDFSLDVSDAVKTTLDGFDFAAAAGSSSGATK SDLSKVDVVDAVKEGIRALDLSTEIAADVIDIVKKELEQGDSAKSQDPEAMVAAVKRG LQEVDLTTEIAADLIESVRKEFQTLSSGSAVISANATSPAASNEEVLAKLQEIRDAMV AEFKAATEESKKSTADSDGLEKLRSEIESYVDRVKGESSSSEDKEEFMQDLLKTLDGF RDEVGELVLKSTDSSKTMLKEELESLREVVNSSMVPVTPQAGLYTQEVLMAIQEGLSS LRAEVSSRPIAGLTEILDALQEGLGDIRVSINNLRDKPADLTANDEILEALKAGLDSV RADIDDMRKSTSNELALVKKAQDNDEEEIKARAIIPVEKTVQKDDIKNLEILLTQLGK KVEVMQATSVPDPDAMSKEDARRMEDTIRQVQESVAKLSSQVPLASMEDALKKIQATV IEMSTRDPPPRKPREPRVPSENDEPALREDVEAIETILRNTKARLDDLIDGEQGVRKD HIDALEALILETRESLAGLVSHVDTLSKKDNIDALETLILETRESVGGVASQLEIVSQ KEDILKVESLVNQVVAAFDEMKERHEKALDDPERITKTDVEAVEAVCLDTKVLIENIM KVDLASLPTKENMDNLELQLGELKECMDFHALDAQKATEDRQAEIVGVAERVGEVKAI LEDFHGVLRGKLEEGAKGVDSIHLLLDGFSTTIKEAITKNDTVGDDLKVMLDALKTDV EESKASFSTAHLETNEKVQAASDTVIAKLDERIADLMGKYDEFQLLHEERAAKGEERD ANMEAAVVGNKAIAEELKQLVDTLGSAVTDSMERMEEASKTVFERVEALVTKSDEGQL EAKTEHSLTRETVQEAITKVGSVNDQVAEYQPKILQTLEDFVSTVGQHYEQLHTKAQA IQERVEIPVEKYDDTAVNEKLDVLVGHAEVADKAFGRLDTLDEVHSQVKATAAELAIF IAAQTQRIADEHEDKEKTLQETIVALERKLEEKQQVEAQISDLREEQERLKQYISVTL PQEQEQITIAFMSNLQSEEARLKETITSLKEEQELLKETFLSNLKEEQTRITEANVAL KAEQDQLKESFIANLKEEQARIMETNVALKEEQEKLKETFLANLREEEGRLKELNDAL REEQQTIRDTYLANLREEESLLKEINAGLRADQEALRESFIKSFQEEELRLREVNEQL RADNDKLQVTLKGENDLLKAALKEEYEQAKAQLKEEHEQLKAQLKAEQEALKATFKAE QEELRASMKEDNDRLKIELLSNLMEEETRVKEANVALRVEHDNLKTAFLAELKEEEER IKTSLADLRLDQEDLNSRKNNLCSELSTLEAACKFRREEVEDLTTQSEHMERKVLEGV MDLSKVLLMKKTASGLPEKKGPGGGNREFSSTLSRKRVVSGQQPLDTAGPNKARGTSA AISIALAQSGLSPEAKRNFSLTQINNNIPAGGIKRSQSVRNHAGGAANRGLRKESWTP MAATPKSRAASGNKAGKGYGDLMGDREVEGEDKENVPVVKEDEEEQVEIPQETEEIKV DEIRVDESEDEVESVAGDEATPVEGAAAPAVEEEESSESEDDNDEEEVEQKDGTALAA AKAAASSRASSPELEEASSSSESESEDEEEVIDQPKTEAATTETKTADDAASEFTDDD IEHVEAESESESESENEKEAEEPTLTADKLRKVSSCTTVVTPRGGDDEFSSSEESDFD DGASDWTNPPAALGTETNITALESEVGTPVEEKRKGA QC762_604270 MKFTTGLALLSALATSTAQILVPPGPVKGPNTLVFKQIGGIPNN ECLTFTNSGEIVQAACAWTHADRQVTPGKILGTDVLIVQRGFAAPFRGDLVGKTACVA FNETTFRAEDCSRNDLLFVRFDVGNGRIQANGAPVCLSGYDSKAFVKIDIGLQRCSQF TVTAVAPTRP QC762_604280 MSETAEMKVDPARASALISQLQGVKDKIAVVAKGRPVRLVAVSK LKPANDILALHEAPETKHLYFGENYSQELTQKAELLPRTIQWHFIGGLQSKHTKNLAK IPNLFCVSSIDTLKKAELLDKYRGDQIAAATNPDIFGKIKVHVQVNTSGEESKSGCAP GQETVELCKKIENECPNLELLGLMTIGAIARSRETTPENENEDFQVLREQRDLVRKEL GFGEERLLELSMGMSEDFEGAIAMGSDEVRVGSTIFGVRGPKSEAVVVVA QC762_604290 MRTWDNLFRDLVAVPKRNPSLLILRKKLLSLNKNLPNPRNLFPR LVPVAARRRRYTIGPSKPEETTPFKQPATAPRPPGRPKKPVPEASPEEVASGKSQKVP VTAATITTTKDELRAELLGLSTGFTDGKPYSITSRGRGRPKKTQTVETEEVDSNEQLF DEVEHMPIKRGRGRPRKSDTPVKSAQGTVSRLQPFKHSETDTSSTLTAIVTEGVKKRG RGRPKKNSFWFLGAVEVTTNTQAKGSEADDDAIDSQKIAKEDAKIPLAKFIEQDENDS DQDEDYEPSPSPYHSSSPSPSHAPPQLPSMHTVFRKRGISQVNGTVEKTPKKRLVHFT VARKTAARRSASSPNTRSPASSANERSPASSPKGLVPSSPLRQLPVIHSSLL QC762_604300 MSFLFGRTRTRTNATDLPKQAREHISKLEGPQGGPKADELAKVL SQIKMVLQGTPEAEPSPEQIYQLITGMIEEDVLYLLAANLHRLPFESRKDTQVIFSYI FRFRTPAGVQKPEPLALAWVVEKRPQVLVELCKSYDHKESATPAGTILREVLKNEAAA AVILYDDGDEMGSSSRGLGAINPERPQTGNGVFWKFFEWIDKSSFEVAADAFTTFREL LTKHKELVPKYLAVNFDLFFSRYNSVLVQSNSYVTKRQSIKLLGEILLDRSNYNVMTA YVDRGEHLKICMNLLRDDRKMVQYEGFHVFKVFVANPHKSVPVQKILLMNREKLLHFL SHFLEDRTDDEQFIDEREFLIKQIRNMPSQPVQPQHR QC762_604310 MSIDFPKEEEATIQRWREIKAFERQVELSAGNPNYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGFHVERRFGWDTHGLPIEHEIDKKLGISGKAAV MEMGLEKYNEECRGIVMRYSEEWRHTIERLGRWIDFDNDYKTMDPTFMESEWWVFKQL FDKGEVYQGYRVMPYSTALTTALSNFEANQNYQDVTDPAVVVAFPLVDEPETNFLAWT TTPWTLPSHTGLAAHPDFEYVKIADEKTGKNFIILEKLLSTLYKDPKKAKFKVLAKYK GKDMLGWKYLPPFDYFYEEYKDVAFKLLNATYVTAESGTGIVHQAPAFGEDDYNVAVE AGIVTEKRPPPDPVDDSGVFTSKVSDFAGIHVKKADKEIIKYLKGTGRLIVESQYKHS YPMCYRSDTPLIYKAVPSWFIRIPKIIPQMLENIEGSHWVPSFVKEKRFASWIANARD WNVSRNRYWGTPIPLWISDDGEERVCIGSIEELKELSGYEGEIADLHRHKIDHITIPS KQGKGTLKRVEEVFDCWFESGSMPYASKHYPFENKEEFEKSFPGDFIAEGLDQTRGWF YTLLVLGTHLFGISPFKNCVVNGIVLAEDGKKMSKRLKNYPDPTVVMSKYGSDALRLY LINSPVVRAEPLRFKESGVKEVVAKVLLPFWNSYKFFEGQVALLKKVENIDYKFDPSM ESSNTNVMDKWILASCQSLLKFVNEEMAAYRLYTVVPKLLELIDNTTNWYIRFNRKRL KGENGLEDTLHALNTLFEVLFTLCRGLAPFTPFLTDTIYLKLLPSIPEKLQGQDPRSV HFLPFPEVRQELFNPDVERRVQRMQKVIELGRYSRERRTIGLKQPLKTLIVIHHDPQY LEDVRSLQDYITEELNIRDIVLTSDEAQYGVQYSVTADWPVLGKKLKKDMGKVKKALP SVTSEEAYAYTQTGKLVVDGITLEAGDLVVKRGLKEDESSKNLETNTDNDVLTILDVE IYPGLAEEGLAREIINRVQRLRKKAGLQVTDDIKMEYRVLSDPENTGLEKVFESHNET ITKALRRPIDKHEVTHIMGQIPEKKEEGIIAEEEQEVQKATFLLRLLKL QC762_604315 MTQKTRPIQRFAQAVSKCTAEATVYGQCVVADYNSVHKNQCAKE FMALKNCYLAAAKQGK QC762_604320 MPPHSSLPLRDGRAGPPLPSSSNTFVNSNSSQLHEPYSDEDIEL IHEIVALGESIFPTLPERDKLPTEALFRAAEEILPNHGYDPDDPPSHISRLIFKIGGQ RSGETLSHKFRTVLEGMGIKLEFVPSSPPTRPDSLRSFPLTEEETTVELELGTTPRRQ QLPQQRRRRHSSVSRRSDGTVVSDEGTYELPIRARSRPRSHSVSFLDEPGRDHSDDES TRPFSRLGRDGRVVHADPRPVLKATTKENIKNWSSATREATRNREALRHITNWRRENE QAGNDELEDATENAVENQRPKQGGPHIPAHFRAHVPVKDVVNLPRGLGSTRPHVTTAG NGVNKGRPASPSTIADTEPVYDSPRSDDIINGARRVSDSTAPTQDDDRPRQQESSALS HGDRSAVDIQALEAKLAQLKMAEDEALVKDAFKTWEYYFQIAQDTNRELLAVAEDVED RDLKNEVIEVWKEEYWALLEEQANIQAFVEHREYTERMEKRATRVYEIYTVRTTITFW HDFALDERDRTAVARRHLVRKKAFEAWRQQLIEDEAKVTNFILMHALQKWSQTTLLSE VRHKVAIKTDQHALIKKCLGIMQEIQKMHLADTFWSVRIFKFSLNTWLDRANDAMDQH ELAIDVDERLVLDEATGIWHEETLYLQDTAMEATVEGLRQECRRTMAYWQEQARLNKL LRWYQDADEEDTRYHVLDTWYSAFQGALQDAEDADAILLREPLTRWENVTKLKMFTER DDQYTILDVLSHWANEERLGFWRRYTDELAMEGTLKQVQAAAFRSQNERAVDERKAIS MDNYYVWGDVVDEWLMALDVGVAWKHRRNADVVNIFRTCRPIVDMWLDYHWAILERDR RAARQADSMVKRFRVIGTLDKWPVITEKKRRERLMSSLRQFRRDYKVKLASSCLEWWF HFAGDHVDTGRYAHDTNIHYESQDINGQLNHWLEVARTAGDMQDVAVDAELEVHCNNW IEELHEAQANAEYAINYDEDKTLGQYWQAWEFEALQQTSRNRMALTVKERNDKRWCSQ ILDEWQQEANPEMTQLDPRASIMSRRSNRTRTHGSGDDTLGPGGFTASQLVTVNTSTL PDRSRFSRPTYPETPRVPTVSLLGRNPPPSARFSTRSRSLGPMLEFDETSFLPDAETN DPGFMSTPTRRTSTLRPLGYRPTTTPSAILPSPLERGLRSEYGANGSLGSTPGIIRSR LGQPPFRGSTLRTRVEFADISEASAEDR QC762_604330 MAKVSTNVSSSRRKSRKAHFSAPSSVRRDIMSAPLSKELREKYN VRSIPIRKDDEVTIVRGSQKDKEGKVTSVYRLKYVIHVERVVREKATGAAVPLGIHPS NVVITKLKLDKDREAILERIKAGREASKPKAAATA QC762_604340 MVSHHGDGPTVLALRGTSSAVEASDRRRETGAPRAAASHVSSRS RRYNRSHAGGTSFVPQNEFPVFSHSGDVEILVRLASGHENRYLLHRHTLTRCSGFFEI STSNEWSRAQAVPENTPPSLSAPPTAGAIEGVKPAGASQQLTRIEDQGIVGSHDGGAV GKPSSASLTPVKKRWRYELDFGSGGDDIPMLVQKEERGPPPTTNSLFGGPPPSHSSSR HHSSKSTSHSFFRSVANLSLSSSGNHAPSLPPPTPAEEDLLRDYDNLFRIMYNYAPTL DPINIADAYIQCKSLLNLADQYDALAVVGPRVDHHMLQFQSRLWKQIAKYPISYLRLG YLARSKVIFQEALVHVVGQWPAGERSIRAAFPETVIDIIEDKVDELEETVSRIEARLF RLTLTNRSSGERVSPGTNYLDWLAVSLFRTWLADNTTPPPPLPPPPPERTGRSSRTAL TNGNSGSSGRHRNNSSSSSSSSAAGALVPANSNGNSNSAQRNVHFHPPPPSVPPLATL GRTYRVLGSTQNEKGYLTHDECKRFLKLTPDLYSRDNLRRFEKRIDELKAMAREVVRP LMGSGLELELQGGGQVGYLTCTRVGERDLPWVGMVRYD QC762_604350 MPSFDRVYGSSPVLAMSPQAVHQGSLGMPFVQQHQAMSLNNAYF ARSPSSVAGRKRSRDEAAVNLDPPEKVVDAPVIKTPEEEYVYGPGMTLIKKSSAYVAD ASSQSGTWVEEQVAKEEARKMEAAVLAQQQLSQSRPSLRSHKSQRLEVSRDDSSPSRR ASPTRAASSPLLGSSESLGQPIVDDFTLHLGIGWSRISDDEHIQAAARGWARFIDNHY PVTNAKILLQSRGLQSYLVEASEGYFLFAENLRQGCLVSTTADRALQNLKTSPPTFDG PATMEASESPRPLQPTASFATHISPVTSIEIDMN QC762_604360 MNPGSYLYAVPKPVRGIQCLRISPTMLRLQPTILSLTMVEVEEL DQRLKEKRHHRQFLNYLTKPGDASAIPEFVPSFPSASSARARGKQPQTDQNIRFLPPG NRPESRRSTDTEPSSSVLAQQNQGATFTLPDRTRTVEGQPRPNIKADHPLELDGQPPS PSQQPVLSTPRHNQVAEYHSVDTYPSLPTGSPGQSSASTPGIHTDRVPPVDREQTLER GPAYPSRPATYRRLVEPTVWPSSPITHDFERLAIVQRAVRTLAQLDDADRRHNNPRAS MSPPRRPSTTVPRHRIRPRDSVPGEPTTPRRQLSPPPFEIYDDSLPPSAQPQTPQNLP EAQHQSRLRGSYTVPTRRGTSPGFDSLSRLSRRRREREERIPSPPGLQTPGMMGLYGG LENADDVSLFERAIRRSMEHMDGSPGPSR QC762_604370 MNGDLSLSRALGGLRIANPDDSPNPSRDASNDPHDSPEPQQQQE YSYRQQQPQQHHQQQQLPMPSPLTPDTAEPTPPRTPHDTLDYQSTARNSPSRPPHTYE SAAAILKTAQNNLNAARGPGNSAASSDPFLAERYANYQSSSGGRSPGPGVIGGPAVSP EAGPGHGPDQQATPYADPGNEPRSDQHNPTYIPSREPSRSQRPAPSPSQSGNGMMPPR RSSQGMGTTYAPIAGIPHAPPGSYVQDMPPIASSEEWKDKGAAVSLRREVDAEGRTVI KSVKKGVRDFSFGRILGEGSYSTVYFATDRQTLREYAIKVLEKKHIIKEKKIKYVNIE KNTLNRLTDHPGIVRLYYTFQDEASLYYVLDLCNGGELLGVLKKTGTFDVECTRFYGA QILDAIAYMHSRGVIHRDLKPENVLLDDQMHVKITDFGTAKLLPDPREPRPPEDSSQG GMSDGQKATSFVGTAEYVSPELLTDKSAGKPSDLWAFGCIIYQLLVGRPPFKGATEYL TFQRIVALDYEFPPGFPPAARDLVERCLVLDPNRRLTVEHIKNHEFFDGQQFGKELWR MKAPRLRPYVPPAQEPNIIQLNGGMGGSPKKPQPAQSRSPQGQSNGQRPARIITELPP PTQLDIEWSPVLTRNNERILKLGDLMVISSPIPNSPHGRGEGDGHKKLSRFFGGSTTK KRQRLVMITSSGRIVLCPAGGEEKRSKQEISLLAPDCAWRSQLDAKGQMVWCVDTNGI HYQFEEPKSSQTEGSKFSVNDWIDSLQRAKDLALSQNLSGENGFGDMSSSMSSPASTM GGRATFPEGYSISDRSGRNQLSKSQGSLEDPTHVKRNRFSKRQSKNGLGAAF QC762_604380 MQSQPQFRPYATPHGHPPHGTPHHGHGSHGGHPGPHAPPRSGAS HRRGGIGPMMSAGPHPQVPMTAAQINQQHYQAQQANQRAKIRSRKPTDKNLPDGIDEL LVGGPDLAVAYRQLRDFERRLDATMTRKKLDIMDSLSRNTKHQRKLRIWINNTVEDQY WQASASSMDNFEFSSTSEATYRVTIEARLLDDPLDLDKDKGNEEDDAGKEADGEKMDT DDKPQQKPAPAKPGQRTRFAHFFKALTVEPDRPKSGAHGNETIVEWKKPDKTPSGAQN LPAIADFDEFAFKRPGDENLNITINLFRHEEPERFAVSPELADIIDETDATLKEATLA VYEYIKLFGLQDDEETRNFRCDEYLKKIVGRDMGMIGHLPDYITPHLRPLPPIKLPYT IRVDEEFHKNPTPTIYDVTVAVDDPMRARYLSFLHNPQHAGMLKEIARLDDQLATVCQ ALHESKARHTFFTSMANDPVGFVRTWLSSQKRDLDIILGESARGNGESIHGDEWRKGG RDSVWNTANARESVNVLLSRPPSRPQQR QC762_604390 MAGLRIGWGSALLVLAVILIVLPGQAAAFGAGNIPSIAQVEGHN WRHGDIEDTLKDIAFLYGKKWTTMMVGRVYFGNWLRDYSQAVDVGSLKGVNAATIRII VWVLSFMANGYATEEFEVTEERLGCYRPEEHIDNPKDYADNQDARKYDTRLRGPVDPR ELEIDPRTGMKNYIANESGGWATSAGYLRWSFARAIHFGRLYTSGTTHKGRESDLCEA LRCLGQALHCMEDFSAHSNYCELALIELGYHNVFAHCGSSTQINLNGKRVYPLVTGTF GAVDFLHSVLGEATDHFTQSEVDEIDIALKAAEQNSNSSSGQRGFLGSGSSGPDFISL VSQLPSVGDGFASQARSLKAASAAQEQQNMQQLTRDNVNQVPGMSPNFDPVKVSGQIY PILEFRDKIVRSINNMISKIPGLESLLEKISETLTAFILGLLAPFIRPIIQQVSKVLK DGSTGLIESSANAQLEPWNNPRCNDPTHSMLSKDHFTNVLNSCAGRVSVTIVQYVVPR ILYAFENPGVPVDEVLNDILRAFHHPAARDERVEIHRNMFETVRKWTQETKHRHELNS LLSSESVKNHHNHILASNSSSGSRSVSAPSHGGNGCDHGHGRPAGSLWEQVKKQQADA RYSPRPGSSGGGYGQRPGSSGYGSGGGGSYGRPSPQPGYSGGGGPGAYPPQQQPQYGG GGYGGPGGYQQPPPPHHHGQYGGGYPGQHPPPPSQGGGYPGQQPPQWGGYQRY QC762_604400 MPGRTLPTFALAEVESHNTKKSCYVTVGRNVYDVTDFVDAHPGG GDLVLDYAGKDITDILKDEASHAHSEAAYEVLDDSLVGFLEDASNGASANGKAKANGQ ANGTYVHPRTGMSCEEDLSKDTDITSDYKTHKFLDLSRPLFPQVWFGGFSKEFYLDQV HRPRHYKGGASAPLFGNFLEPLSKTPWWLIPVFWLPPVTYGLYLASSGLTAVGEVACF IGGLGFWSIAEYTLHRFLFHLDEWLPDNRVGITLHFTLHGIHHYLPMDKYRLVMPPAL FAVLATPFWKLAHTIFYWDWNVATAVYCGGIFGYICYDLTHYFLHHQNLPLWYKQLKK LHLEHHFLDYENGFGVTSPFWDKVFGTELRGAKPGKKSN QC762_604410 MSGLDVEALLDSTASTIKEQQNTKSTANGDSPQDGSRSERREND RDRDGGRDREPRDRKRRDRDRSTGRHRASSSGRDTPRSDAGSHKSRRRSRSRDSNRRD SRRHRDGDYYRGSRRGGRSRSRSPNRYYRPHGDDRRDRDRPRDDDRRRHRDDDRRGGR TSTPRDSPPPLTEDERDRRTVFVQQLAARLRTKELKEFFEKVGPVAEAQIVKDRVSNR SKGVGYVEFKNEDSVQAALQLTGQKLLGIPVIVQLTEAEKNRQVRNPDATGNHPNSIP FHRLYVGNIHFSITEQDLQNVFEPFGELEFVQLQKDDTGRSRGYGFVQFRDATQAREA LEKMNGFDLAGRPIRVGLGNDKFTPESTANLLQRFQGQNQQFQGSSFSGAGGRGPPTS NFDRAGARDNEKGTGASALDDTDVAGVNFNNYSRDALMRKLARTDEPISAPSDRQVAK PKTEIKPNLPINVNMASRCVVLRNMFDPAEQEGEDWAKELEEEVRQEAEEKYGHVVHI SLDPNSPGDIYLKFDKVQGGENAIKGLNGRYFDGRMITAAPVVDAVYSSLFSRVKAI QC762_604430 MGHAWLDSLSEDWVSQPGSDASVADPHSSAPSPDAQRKAKESTT TTPSRIPRLSRKNLDNAANSSNILGERSVNHSPRRTSSKLSQELQSSNGRSTADSRSS FSSGRSNSLSTVGSVVQHKSIASVNNRRGSTPEWKRRLVYGDLSYGEQADLFTSAGKG LENIFKPPTTGNASREESFEPRSSRFTLPSSPPVYQRDLLSSTVETQAEESVQELPEH PGAVGRRSQIPTTARQRRMEDSFDQSRDSENSMLPDSCTQQATTTAQTDVSNQNSLVV DSRKVSGRSDVRNEDFSPILIERRQASNGKTVFGPAELPPEELRKRLEKLRQNQRFLT GGTDEEDEADGQSDGNGKSKNGTTSTREFERQGGYINFQRGGRSAEGSFRHHRLSSGH DASEFCPEESLQASTPKQFPTVRVEPWDESAEIPVDSPQYPSAPNPSPQKAQRLLPVP PPSTASPLKLFQPYDTFTNQTLLRRLSQFQAEPSASDNSFAIAQDQSRVSRFGEGELD GYEFQDEFSHVGGVDASGLEADKENRGPASPGLDEDDQDNNGSTHHRASSRPPIFGDF TSHDDESSPWEIEDDLLRISRRRRQKSATTTVNSAYSTTTTTTAATTRRSVFLGAGEL YSGGSRRYKRSKRTSFPFGGGDSRISTPRRRRDVSTTGTGGGLLSEIKRPRTSPSKDP TPKRRRTLHKSDVSYGTYDDDDSSAADSTAGVDAVQISHQQMQEVILRHQQRREARAA SQEQKQQQLSEEEEEEEQQEEGERMAEEVEMISKAGEELGRPRTPTPNQRSSTQQRAP LLEFDPAATRSPMRSSRNTRPGTAGGPPPTSGAQPPTNRKPSIRTEDFINEANKIMAM IRSKAGLASGLASLEESEGENAQAVMDGESSYASSKEPFSRPPSREGRPPLSRRPTKQ EDPELAERLKKYEEGSEMGDVIASSVRSRDLSLEALRAAREREEMEERASQIKASYGS ANAGMTRTSLFDNDDGGVISDPPNLRISRNPEWQEGDVFTDEGPASYGTSNPGSVGTN STYATQSSRGSSRGSEARKTIAPESVLHLIPDRVGNMVLDRQRNVWIKKRPSPTPAPP PVVLQGRRSRANSARSNFLPSEASEDDPFAGIPDLSVDITMEMQNLALATGRKESGSD TMFNQGSTTPESPKRGSSADVSGLSGASIATATAALRLSMGNGETPSRGPRSTISLQT NLANLKTPTRGEAKVSLSEDLAALKERRRLTISFSSPIAEVIQDLSGGEDEFEWEESG VIEQVAEDIAKDVALDQLKRGRKTVSIQATPRRRTSRSRSTSRGPPRHLSFRGQTLMA RPVSRIDEHEEESAQAQAQAQGGSNGASGMELSIVPENSVVAHEGDERHGSVSIVVTP ARPLTCPIPDMNNTPIISQYVGTFSLSPMSEFTIHRPEETLPLEASYVINDHRLVTGS PSKRHVSMSTRQLVDKIAEVEPFEPYWEDMRELELRDKQLDSLHSLDDFCGQLESLDV SNNAIRNLSGIPISVLHLRMANNQLSGLTAWGHLMNLQYVDVSNNALTSLTPLRTLVH LRSIKADNNQLTSLDGIKYHRGLQSLRARGNRIEEVDFEGATLSQLTDLDLKGNRIKT VNNLDALPALSSLDLEGNQLESFSAGSNTPMHSLRYLYLNNNQLTSLSVARMPHLRLL HADKNFLVRISGFSRARRIDSLSLREQRSSTPLDLPFLLSRVYEVRKLFLSGNPLHTF EPQVDFLNLQLLEVANCGLERLPKDFGMLMPNLRVLNLNMNALSEIRPLRYIPRLKRL FVSGNRLGDAAKLVEVLGGFRWLRECDVRDNLVTLGFYAPLQQRDNYHLVVAVKGGKE GEKDEGGGVQGRLDSNTAMRRRLWEFMVSERVPSVRKLDGLEVGGGEKMEEGDGAWRK LLEGGLVEEGEKQGGAGEKKREKGNPDGTSRGGRVAEDSFA QC762_604450 MKSIATFVLAFGAASVVAQNQWPEGFPECGKTCISNMQGKAGSE FSNCAAGDAACLCGAANFRWGINDCADQACGNSAVAQVVKDYGVAYCSSVNAPTAIPP TVSNVPTTSADASATTTQGSESATTTESSDDATPTPVSTQTWTSTLTSDGVEATATGT TTILGISNVPGATSVPETTLTTDLLTTVTEDSTTFTSIVGQTTLTTSLTGSALSSALS SQADEATQSSDASTSTSSAWGAQVTAPPALGFLAAAGIAAALL QC762_604460 MYVKKRDGRQERVQFDKITARVSRLCYGLDMDHVDPVAITQKVI SGVYGGVTTAQLDDLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQWSSVVSDLY HYVNPKNGRPSPMIAKETYECVMRHKDDLDSAIVYDRDFNYQYFGFKTLERSYLLKLN GKIVERPQHMIMRVAVGIWGDDIERVIETYTYMSSKFFTHASPTLFNAGTPQAQLSSC FLVDMKDDSIDGIYDTLKTCAMISKMAGGIGLNIHRIRATGSYIAGTNGTSNGIVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGKEEIRARDLFLALWI PDLFMKRVEKNGEWTLMCPNECPGLADCYGEEFEALYEKYEKEGRGRKTIKAQKLWYA ILEAQTETGNPFMLYKDACNRKSNQQNLGVIRSSNLCTEIVEYSAPDEVAVCNLASLA LPQFVDYNEAKFDFKKLHEVTQIVVRNLNKIIDVNHYPVKEAYNSNMRHRPIGLGVQG LADAFLALRMPFESAEARELNKQIFETIYHAALTMSCQLAKEQGTYSTYEGSPVSKGI LQYDMWNVKPSDLWDWHELKAEIKKNGVRNSLLVAPMPTASTSQILGNNECFEPYTSN IYQRRVLAGEFQVVNPWLLKDLVDMGLWSDNMKNRIIAEGGSIQNIPNIPADIKALYK TVWEISQRTIVQMAADRGAFIDQSQSLNIHMRDPTMGKITSMHFTGWKLGLKTGMYYL RTQAAAQPIQFTVDQEALLVQDSAVAKTSSGLKKRAPPGSYMSSPSAVPRPMAFVKDT PSGLSTGAPTTPPPIKTPTAGEVKSRPLASPVKPPPFKADVPEGDSPKALPTEPAEKP KEESLDAPAAEVKKEAEDNDDESKEREVDIYSEAVLACSIENPEACVMCSG QC762_604470 MILKSSSSTARIFTRALLSSGATAQHFSAAPALRSKMAESNSTQ ANGASVTAYQPRYIDIGINLADPIFRGRYHGKSRHPDDLQGVIDRAKEVGCTKLLVTG SSFKSSRDALKIASEFPNVVFTTAGIHPCSSSIFSPSHHKHHDESQSEDESADQHTPA CGPDPTKPILDGEGVDHARSEVIISDLKDLITTAPKNSLIAFGEFGLDYDRLHYCSKE VQLHSFDAQLRLAASLSPQLPLFLHSRAAHGDFVRLLKYAFGPRLEKLQKGGVVHSFT GTIEEAKELMDLGLYIGINGCSFKTVENCEVVKQIDLSKMMLETDGPWCEVRPTHEGW KYLVQFEEARRKEEEEKKRLEEEERQKQEKIEAERKAQEELEKKAAELELGGGVEGGK AGGEGEKKRQRQPKKPKQRQQPQGKNQKKESEVPDRFKVVKKEKWEEGAMVKGRNEPC TIERIARIVAGIKGVGVEEVCEAAWENTAKVFGPF QC762_604480 MSRISVPTTAPLPSSLRVNPSSTTVTKILSRLSRASLISVALDW LDEDNLSLATPYLRPDQDDDEEEDDGDFYPPASSPEELREEYLSLQNRKGSKRDVLDR ITTGDWRHGVSLYQLAIADLQYLYDHPTSHKWSAYNIVPLKAPTEDDDGEVQPLEADK TSLTIPRFHPSLFLKSLQAHILPDIKAHYNLDRHKTLPLLILRIFVIDSPYNTSLSLF SNGNMDTGSKTILIAFPDGSPNIFISKPTSTGPTGASESKSLRALLVEGIPKALSLPR QRVTLQSTSLTTRNLEGLLNRRGATRGNAAGGGWSIYADEKVKESPLSTVLPSPPLSD ATEEEEQGNGDKKRRERPTVEERVNKRAKLVAQARFGDTARVGDGKGVERVDLVMEDP FEIGGDDADRMDIEGADDGEEAFRPNVRLTFHGNHVFAGIRQLVECGVIDGEKMPGWM TGEEGVTVGAVRNGRIRGHKGSGL QC762_604490 MAYSSARRGVNVTQYLRELNQDGGVVEETLITDEDLAKDLALFT NTQFFDFETGQNTDYQAPPVKPDTLQTSPTEELTSADSIMGDFGFSDFSIPGDYSFGD FGSNYTSPTVPAFPDNLGNLQPIQPTPQSSYAPPVPQQHQPGPPNRILSFEDASRMAA EEDKRRRNTAASARFRIKKKQREQALEKSAREMTEKVTMLEGRISALETENKWLKSLV TEKHGDKQDILEKFFKEFAAREAKKGSSSSGIKDSISAASSTTAVDDSDKSPAKRKD QC762_604500 MRLRPQLFAQLLLARRAHSHRTLLPSSSSSASTQLPHHHNHLLH HHHHRRTMSSTTTTSPAPSATSTPPNPGRSPSFHQPPTTPAASAATPATAAVRPAHVE EQEETPSSTTTTTTTATTTRQEEDPTANVAIPKQQPLPALPAPGTEETETPGKTTTVV VNGAPISLDALGPMVINRDGTLARIANWQEMSSFERENTLRVLGKRNQLRLATLRGGD ENNTA QC762_604505 MHLKSRDAAVKMHSFSKATALAAASLLTLASAQTRLEIAEQALQ NPNATRNITFNPYPDVLPLADLEWTWRVNISDSLTDPFNNRSDDFTVRTSYDLTWGGA PRNSTLAEALPELGNNSFCTVQFLLTDRGWPANITNLWTDEDTDDTSCVPILGPDCVN SIIRSTGLNNGGPCQNPGGTWTDAPECAASLGYMADSRLLPGRTFVDLSKARSGSMFF SLQSDEYSDLDNKTIYENYHNAIHMMLVNAPVQLVRSLNGGFPTDAPKRLLCMRVNTS QREVDDDEDGNDGGNGGDGGDGGDGGNDNEEGNEGGNGGGGDGGNSAGRDAANWWIMT GALLITAVIGAAL QC762_604507 MKPITLLPALLPLTHATPSFPPPASPNPSWHSLTTRQATEIPPN SLYLIEWWPDGCGNGNGQSLSGGETTLAACVNVNSLWDEAPPDNAAVRFLFPEDDPRT FKWRLFGTQDCSEQIELGEGNSGICINVPNVQKVGAVIVFTEG QC762_604510 MEETFMVQYCCGFDECNPLGIPYDKKRNIMIGGHTRRDSSLPFG ISARSSGSGGLYLQFKNGTIIPPKEVGYPPESLAARAKKMNRRCEGYEQDSYTASGQP YYKTFETELVGSTIAPSSEDRTIEVRHSRSVEVRTTFSVSVGDPLGIVSASVGFEFAT TETQEITYPLLVPAGVSGTAGFTPVYICTSGTLKDCDGNTTNEEESCTAWLNDNGDIQ GDWQVVES QC762_604520 MSNPLGFGNRKFSINRNTGVPRPARRFSNTEPGINEASSKIHRQ FRAAHEGHLPHAGLDATRASTGVVWCTERAAEHGFLDEPDKWANLGQGAPEVEDDIEG CFPRPKTIDISLGSREYGPTAGIKPLREAVANLYNAMHRQGKTSQYTWENVAIVPGGR AGLIRIAAVLNNAYVGFFIPDYTAYNEMLSLFKNFAAIPVPLSEEDGYHIHPDKIAEE IARGTSVIITSNPRNPTGLVVANPELAEIQDICRERATLVSDEFYSGYNYTSNCDGTS ISAAENVIDVDEDDVLIIDGLTKRFRLPGWRVAWILGPKEFIKAIGSCGSYLDGGTNV PFQEAAIPMLEPSLVKKEMQALQQHFKEKRDYVVERLRGMGFTIRHVPDSTFYIWLNL EGLPGPISDGLNFFQACLEEKVIVVPGIFFDLNPARRRDLFDSPCHHFVRFSYGPKMD VLKMGCDGIERVVNKFKKLVSHPNYK QC762_604530 MAKGTKDETGDGKVGEKKQKTIVFLHPDLGIGGAERLVVDAAVG LQKRGCKVVIFTSHCDPGHCFDEARDGTLDVRVRGNTVIPPSILGRFSILCAILRQIH LILSITLLTSELSMINPSGFFIDQLSAGVPLLSLLRPQTPIFFYCHFPDLLLVQNRNS SLFKRLYRIPFDALEQWSMGFATSTAVNSNFTKSVVAKTWPGYPADQLKIVYPCINTS LPSSSSSDEETQPIKWGQSEKSIILSINRFERKKNIALAIQAFALLPAPARQKSKLVV AGGYDARVHENVSYHADLVSLCEKLGLKSATVKTVVSALNSSIEDVEVLFLLSVPNAL KEILLKSAKLLVYTPREEHFGIVPLEAMLRGVMERVVERMGEGELREMGEEGKKRVRE NFAETEMARQLEGVFEEIEGVDAKGAGALGLVLVVMAGITGAIGVGIGLWLVRTPAVK L QC762_604540 MEPQQPHRPHHRPSYPQLHNLFHPLSPNIPHRTASSTPVSSPGL FSPNQPRPNMSLPQSQVGSESTTPGGLNSPYLHPLQSHKVRETHKANVEHDETTGRKY VNNYEIIEELGRGMHGKVKLARNIETQDYVAIKIIPRFSKKRRLGKVTAMSTQDKSKK EIAILKKIRHPNVVALLEIIDDPVLKKIYMVLEHVELGEVVWRKKGLPHICAFERRRV EREQRGEKPTPEEEHYEHILEQRQALKNAKRARQHSRSQANPADYWSLEYGVDEDDME YFPQSLGKDMPEGYAGHVPSSPDSFGGSKLTSRAPSGTRLASLNVASRTGTPEPFDLE TASMICNEDDLETPGAIRSNPMSATALDGTMYGAYPDDPAFRGRSPSMADSIISHMSS IDYNRVHDPYVDDYSYVPCFTIEQARNTFRDTVLGLEYLHYEGVVHRDIKPANLLWTK DHRVKISDFGVSYFGRPVRDGEPDDAVSESEAHDFDDDLELAKTVGTPAFFAPELCYT DAYDDKPGAPQPKVTEQIDVWSLGVTLYCLIYARIPFLAEDEWQMFRKIATEEVYIPA RRLAPVDPATKPDERSLYTRINRPPYRDDDVLQYEEVDRELIDLLRKMLTKNPEKRIR LRDVKRHPWVLHGIPNILAWLDDTDPSRRTSGRKIQVDEKEVARAVVPLTLLERARSA VKRAVGKVMHRQDRAESVSSRRRATSSAASSAGDSPITPHLREGRRKSIRPDDYFTNS TPQTQPQSEHPLTQSVVASPLNSPPTEPHREPTPRQVLELVTNNLSARDERWLASASA SPYRSLPRHGPSRSVNNAFLSLTPGPGEIRTMPPTPLFDPATEDPSSALRKARDIRPI TDDLGRARSVDRGLFASTDKRAEPKVSLSTAMAPGNMTFTQKPLTSRSVEVARDLDRE RVAQSPILSALGGYHYPQPKSDPNIQRQRCAVELDERPQTARRVEDLPEVKTPQQRQR RVSLLEPSPRTQFPLRQPTPPMAEVDPALIPCPPSPEDDVFHPEPLSRGETVVTMKSS SSASIGALTTPLTSPSEAASPVYGINSQPAKDATEHMLAFQSDPSLPALLSSTSSVSA DLEGEFLGNPGVVGGHTVIDTTDTITPPAFSKEPALGFPLEDQTRKDIVNLRLDERAR PASAMGTSRGRQVSRNHHADHDHDDDSDSDEGLVMAKSKKRHPPRENQSVGRLIVTTR RRDTNASIGSTETAKKIIVDDE QC762_604550 MGIKGIYKEIGPGERISMTKLALTTLEKAENPRPFRLAIDISIW QFQNQAARGGSNPAIRTLFFRLVRLLSLAIQPLFVFDGPNKPAFKRNKRAGGPRGAGH MVATSMAKRMIKLFGFAIHDAPGEAEAECAFLQRKGVVDAVLSEDVDTIMFGCGKTLR SWTAEGKGNVPTHITLYDAEEIAKGESGLDREGMVLVALMSGGDYLPEGVPGCGIKVA CEAARAGFGKQLCGIKKADWKEGVQAWRERLRHEWDTNESGYFRTKHKGLAIPEDFPD LEILRYYTHPVVSTHATVDKLKTNFPNKMTSPVDVIGLREFVGETFDWQYRGGAVNLV RKLAHGLLVQSLLQRSSEGKDYGDDTDLMAEEEGALITGIKSRRAHHSTDETPEVRVC FVPNDIVKLDLANEPIEEVEEHGRGGLALNSDDEFGEEDGEEEEGLKKTAKKPYDPEG PDLAWIPETLLKLGVPLTVEDWETKKRKKTTKPATKKPAKAKGGMQPGALEKFFTVSK PVTVAVEKAVPATDDPVPSTQAAPPQSTMPPVAAPKPLGRQPRKAPTKKQPAKPAAEV NPWTLSGSQASPTITRTLSSSQSRPQPSSSSNYEPIIISSSPVALSSPRTSPIQKTPI RPKRQSPDLSRSPIRPQMSDVVATPPTISATRPFKRTKSGTGGAIPIKVSSTQNQPKS KQQAPPAQKSIKSFGRTTKNTTAAVTNSKPAYSIPIEFLSSDEDSHDDDDSDDDELPS LSKLNVPKSSLPAPPQSPSGGKAGLRQSTAPPRIPSHTRDAYDDVLMSSLKPRSRPPA LSRTASLPAPSLVVASTTTVKTGNFLGRGSYSRGGKRTTCSFDADNLFGESDDEGIGG VRVRGRIVEEVEEEEVECVDLTGDD QC762_604560 MSGLGSGSGAGTTPLPHRSVANIRSPHTSGNTNPSTPSSSSRPV PTSSFGSPSSLRADEDILILELGSRKLQIGFAGDACPRGTVWFTPSQLRRVGDFRDWS SESKTNVDWKTKKVTGEKWWTRDHELWEYDVREVDLGLIGDKVERALREALTKYMLID SRPRRMACVLPSSLPLPMLSAVLDSLFTRFQPPTISLFSSPVALTVGAGVRSALIIDL GWRETVVTSVYEFREVNTKRSVRGGRLLVEQTHKLLARHLPENQKKKEGTTSEDTQDY DLSFEECNDIATRMVWCKPHLSSPKAHKSKEGLPTLHEQDESDSTEAESPTEASKTAR IPLQSCRSPTTIELPWAALAEPSENAFFDSQYSESSFDDHELPLPLLVYRSLLQLPLD VRALCMSRIIFTGGCASVLGLRGRIFGEVSKLIEERGWDGVQGKGADQMRANPKLQGK RGSRPAVSGPTGVTSPPEAVGGQEQDGVWHDAANTAHEACPVEEQLARGRDKRPRVQG KMRAIESVGAWSGASLIAHLKAAPISTIEREAWLQYGAAGASKPSEVDQKSARQSFGP GGLIRGSAANNSWTLGVWGVN QC762_604570 MSSFPHMPLKGTMLRAPVFCQEKESTRGHSKGPFVLVTTLVAFV SLHLETRHSVSGHPTLFHWTSEDNRRSYSLFAVLNIDMPAHRYTIDELMAIRGIPVPV PARMSALANNPELADVVRESGSESSSGYKPTTGKNRDDSSTASDELLFKGNISRRALR DATRGSARGSGHESNFFPMREPLRQTVPQPALPVQSARENARTATPLGAPMAPPMVAP MTAPNSASTVARETAHDQQRPTEWRIHGRSDSDANIPEPIPAPAGDIAQRSEGFKRFY KAVVSPTHVRVTAGGRIVPNTRGPPSPTNKRATDHAATIENMGLSDKNAQGKLPTNQL PMGQPIPIVPQFLPGYPPGFQPMQPPMSFVPMAFSSHMPQGFQFAQPPVTPAAMAPAA SGSASTSKDNADLKAFDSQSASHLPGDKQDKPKISPPEWFDYTKPYFYNGQIIYPVGN ISTSLANPMGGPMMPMQMVSVPQGASSQLQAPMMHPALPHGGSQMMAGSSFSPPSHGP HQIHGGAHPIIPANLNFAAPNMAPPPSSIRRSEITRKQIAGFKHALKYHEDQLQYNRH QIDEREMEMKAQQYRDHIAHFEAVLKQQLEAEAETLDHKKPEVGRNDLAQQKAEGSVS GDTGVQQSQHESEDAVRRRAASGRQGININIGDGGNAVFQRPIAANRSYGEPSRQTGI PSEAVLAPAFEPGGRTLHEIANESNERLKSEGVWKYGPGIQNIPSRGVSRPFNPSLSH QSDRAEGSSSSASVSGQGGSAEAFGTSRSAKGKGVDRGGGSSGYSRSSFGVPYLLGTL PKGFDPRKATDHDYIYTRPLNEDEVRARYLYWGKAPKSAMRGLPKYDGKHFYPPSPVQ EPSSPVTAHRNPTARSDAEHAYRQTKSDQDPFRPMTPVHDSISKPVGASEDGYAMGRL TRNVSFETQVDSSGLEDVPVGDSIDVPETGTILRNRENSADAGSIGSTDRRSERSGAR LWQTVLKKGPTISAVSSTTAQAVLPLHYSGHAAASLSPSITKDRSGFRDVSPDNSGIV SPGLQRWAENLPPSASSLEDQFKRLMTLNESPEDGRIDFRS QC762_604580 MDSITRMAADTDLPSYQEATRRLDWVELIAPYVPIREYARLCLV NRRFYRHLAPRLWNDPLATASTSAGRPVNRDIDIEWFYRFMEHMRCVRQATRLLVTCL DLRRVEAGTSELSLYSLSRSLSAYLRAVPVTFPQLRCILLNRHWDVEADDLAVATTPD TDHDASNAEGPLMLSIPQCQVKISTAFFSSPYLHHLVYLNVSNMAGSLRKPLEQQRFG PERHPHLRVLKVGAREMGDSTAALLVRTFKQQLWSLDLSQNQLTDAVLNDLHIFAFPA ENLRTDSHYDVEGRLEIIPGKGTPLFGQFCLIRESHWSATFSHADRYLVDAPNYTRLV EYIPQEGVRTRLNGRTKVQDDSEDEIRRSFSGTPGASSPRRESLHDLGVCQSHNGITH LYLGGNSITANGLVRLIMASPGQLQHLDCDSMVYEIHEAARPDWLPSSIRVSGLLGAA HAFRPVLSSNLQVLRIHHSLVTQLLSLKGRDGGGLSTMENLWLAETFLLPRAEMAYPQ AFRPDMNPRLRLLTLTHIPRWSTGPLIDRLIELLKLASMQERAIQDMNRTLGKSRRGP ATVVGLRHIRLEFDHDVKEELLEDGEEDGEEKNQKKYVAEETTAAKEFSFFDDSRFSD FSFDSSSPAEVSSSNIDTTNTDTTPLTSVVAGPAADDHRAVPDQTVSNQSQTQRHSPS THSHLPRTGDRSEPIVNGGNEGQSGRLTTGPQQRSSSSSSSRGNWNGTEYTVPIWTGP PPPFYDSTEDTPQHEHQEVSPAGEVYMRNILDRRLCADAVPASPCHVLAGVPEGEFIW GAAWQAIVTRGDTTAAGKRRPKKRELMKGMRDVIGEIKAYRRKTRERYEAELKRARLN GDGVRLGEPHFYYGGRLEVVRAGREGGMLGWR QC762_604590 MHLPRQSSICVSAPILPHHSKKKRNMPIFQFPYLFSCVTSSPKV PPKGDRRFYNPHLASPQTELHGKSSLTLSQNVKIIAITPPFFQNAHTPTLFLSLFSSP QDLLSHSVSQSHNTNRYNVTYPSQQEKNLLRFQSSCRRWKGCDRKKKKKKAWRCNDRH KQLNRFPIGYRPQL QC762_604600 MEFHTGHPLYAVPLGLEDDDMFSPSGSSFSLSSSSYGPHTPTSG RSTPPRHSFDYASSFSSSIDGRSIELTPPSSASNSYFAFAFKGDGISDFSQPGFPLTP SRGSQLSFGSFSHNGYGGVQLSPSQHVEYYCGDNLFQPPPAVVSPQQQLPSSNGLENW RWPQDSHSPISFGEHTPKRPSLMVRHPPLKFEDKGEDIDMRNKYLKDSLSVEPSAVGL LPSPIHRIKDDPPPPPRQRHGRIRAQRGGESVNDVEPKATFRCIVPGCSYGPYRRNEH LKRHLKNEHGIGGEKEGWVCEFCPPEKSKDGQPKRFNRRDNWKQHIRLHAKSKSKNSR TEYNPEAIEVFNREQARINSSKKFKSRVMGKGVGGNKMRGESMDSMDSDL QC762_604610 MPHAVTIATPGLQALILCGPGSSFPTFTANPDENPKALFPIANR PMVWYPLEFCYRAGITNITLICPPSAKEAIDSALKTNPFLTGLPLPRPDLLAPEDLDQ NTGTAEILRLPELQSLVTSDFLVLPCDLVCELGPEKLLQAWMVKSASLGDVLGDSRAS QGKHSGGLSVYYQTKSETPIKGEETEFIATVPLPSSSVLPASGSLFPHLSKLVCSMPT DTLKDTLEDKKGFPSRHDLVEQHPKIKMHTTHRDAHIYIFPQWVMKFVKENDRLETIG EDVIGWWAKASWQKGLSSKLGFDEFLALPVDDSSSQHGDTNSPRGETTNTTSLETATQ KLSLNSTPAEEPNQVVTFKTPKVEEPNPMESASKSVEVPPLLAYIHPTSTGTPAKPTP IIRRVDTAQLLLQISLQLAKLPSLEEIQDTTNPPSPYCHARKVAYPEGVKPRTTISKS DSLVADNVTVSEKTSIKESVIGTNCQIGEGAKLQGCLLMDGVVVGKNCKLTKCIIGRR AELGEGCSLTGCEVQENLLVEAKTEAKEEKFMSSSGLEATKEELDDAYDERDDDVEGS VDGEGSGSDEE QC762_604620 MSPPYESSRRRGVWNHWVPLAVTVTVATVGVVAWVWSQRKEEDQ EEAETGSAYQDLDYDEGEYGDNPAYGASRDGAAGGTQTRSGGPGVAAAASQVESSTLG WAALRRTPSPSQFFDTARRTVTGGLSAAGAAVGSALAAIREEDKTAYADHETWSEEIE AKKERVVPSTSQAKDTNKRRKKVAIVISADNHIDDMDADGYHEHASILSHIPRSIDTS KHKLYVLIYAPNLKETTRESPSNRPPPSLSSSFSNIDPAQAQTSGDEAKSPAIGPSTS DPAYNAIYAQAQALVEKDSMILTFTTLNGHSHILRHIQPEIIYLQESLGGENGSIVTN LQTWLRHDIILVVGAESGHGGLADSESEAEKPGKAEEIWWHREDRVGRGRGVIVVDAQ KVQDDWARRVLGKE QC762_604630 MAPTVAAASAVSVATKAKRPIPPGIQTNGAVITSRSSPSPSISA KRAPSAVRQPSIPPTANGTTPSSARPPNRARREASAQILGRGQRSAGLRSASLVPDSA ISPTLTDPPPYVVTDEYILKKYAGNPPSLIVHLFQTHWRFDQQEGMFPYKSPMKIFLD HVRERTVPNELLGYLTEAGVPFYEGCLIVQVHDHKTATQQVKDVARPTSAPSNSKSMP TPFSIHNYNQCLTPSSYVPYPEENLKASGAAVPASDSDSKRKTAEEKDKENMPAPSAP IDQKSKGPVKPKIITVVLHPTQHSLHTDLMIKASTPRGASESRADGAVPPTPHGAVPP TPMAGSMPPPAKKQKREKMELDSSNIYVAESQILLATTAPLDLEPTKNAEETLAKLEQ LAHPDHSHKPPEPKTRKRTVAEMAADEAAAAQQERYMLILDERASSKLGGAQTGGSGA DGDGQAAASTWEPRFERFKVIENIQKEHAAKKEAEKLKQAENERKLQLAKQQQEQQAA VLHAQQAQQAQQAQQAEERRRKEQAAMLQKQEAQRRMQQAQAQAQAQQAAQAAQQLAQ AQQQAQQQAQQQAQQQARAQQAQALAQQQAQQAQAQQAAQQQAQQNQGMQNMGTPNAQ HSPMAGGMGNGMPVSMAPQAQARFTQVSQPQASSPIIRQNTPQAASSPMVQGVPMQHS NSNMGQAASPPRPSSVVQNHPMAAPMAPSMSARGSQQSHAAGTPRMHSATPNMAQATP MTRPMAVPTPRMSQASPPPGVLTPQAMGQAMLMNAQGMAGMPNVNIQSTAQQIAAQQR MMQQRQQMGMQSGGAVPLNQMQFQQQQAMLQRQLMLQQQQQRGQMMTQGNQALAANYA QQLNNMQQQAGMQITPQQRQMLMAQSMAAAQQQNPNMMAMNGMTPQQVAQMQQIQLQQ QIQQQQQLQAQQRAQQQQQQQQQQQQQQQQPTPQQMQMHALLQQPQVQSQITNHSNAL FTKRLPELAQRYGGNAQAIPQEELAQFKAQCQQQAVGLVTRTYAQRNMQMQAQRAQAA AMQGMMQQGM QC762_604640 MRSTSWLPLLSSSMAVMITASPAPAAAKFNCEKLPVDGHTYNFK ELLGPHTVVTSEFLAPSYHNTTYTIDLCGGLKSKTGGEGERCPEGTRVCAIKHKWDPK TDKATVDHFVPIVVEKKDGGFEWEAKRLPAEEAKGKGDEDKKKEGLRVTLKGGKYLGR QQQTVVEFRCSGLKGDEEEWDSKKLVEYERVNKRRRAEDEGDDGFSTPEHQLRKEGAA LVWEGYKSDGEVDTLALTWYTKFVCDKAVGDEPEKGKEPEKGGGESAHWGFFTWFVVL VFLGIATYLIFGSWLNYNRYGARGWDLLPHGDTLRDVPYLLKDWMRRVLNTIQSSGSR GGYSAV QC762_604650 MATPSKTLFAIPIPPLNQHPGGTVTVTLPAPAVYLLTITSPPDN RLTTASCTAILDALDLIEFGGYTPGVVITTSGLPKFFSNGLDLEHALGTEGFLPRVLY RLFNRYLTYPMPTIALLPGHAFAGGLMLAMHNDYRVMNPAKGFACVNELEFGVPLKAA MSSIFRLKLPPATYRDLVLEAKRFSGEEGVKAGLVDRTGGLDQALELIKERKLTNKAK TGIYGLLKAEMYRESVGFLTQAGYDKEEEKDRLMIEGEDKRKEGAEGKLGSIKEKAKL QC762_604660 MSNSPCPPENAAPAALVSPERATGTCSSSSSSSPPAPPPPPPPP PAIPPAPPLPPALSSAPHPGPDSINTDAPPPPPAPGPPPATQEEATSSTVPPPPATPA PQHGTTHADQIKYARGPAVLQRPQGPSLLTQALATARGIPRHSSSSHIDSSTAASQSV VDSSHHPPSNRDVKPRDPRHGPPHHGEGDVLAQRVSPRKPTMPSLTSVATTVAPPAFG RLDIDLGEVNSMLNGHREFLAKTKDREGDFKNRLGNRANTFAADTPSGHALFTDSPTA MYDGHADENTAIEERPVLRTWKTEHRVSLGPEKAWSIGTGEIDSDGDSGQVEKSITQA LAGHEPNARSRKASHSLRFFKEGLPEEKVKRKETRPSTHREKTGDIAHEGEGLLKEGD VNKTKTRDLDDKTPTAKGPPRAKTTPAGLRTPDAVETIEEAPEDYFVSKHMETSLHAS PVKPAKSPCKLTAHDQQHVEPTEPCSGGLELRRESDASTGGGDSAVDEGDESGEEKIS SAVFVPHQAPPEQPTEHAPVPGAPPRSVPARTQSRHDDFHPWLVKADEPEFDEHGEPI DRKLKSEPTQSSSVAPELDITQPAGPAAAVESRDVSAKPSRPVSQYHEEAVHDHQFEP TQPLEAIELIPYKHQVGGHTTLWRFSKRAVCKQLNNRENEFYEKIEHYHRDLLAFLPR YIGVLNVTFQKQSRRKSTMKRDDHAVDRHHPDGDSKANGTSRTSHEADPPTDRPRMIS QSLQNNQGQIPTVTFVDNQHILPRSLLQPSLPSPPSLGGRFRSSSASTLPHSETAPQD VPVTPTKTALTRPSLSDRHANSWGATTVNKRLRNEVFNDAFLKQPIAIHRHRKGHQRP MPRRTLQQSLRPTGSDPSLAESHEKRAQAAREAAERTLSASSLAQTQSDLGHIDTFCD AEGEEDEGAPKDVTGTSAPEPEIFGGSSPAALKKKRRYSGTGLRRKPDDVRDDRGNLK YFEEADEVVYKGTRDEIVPNPKTPVKANAPTPPAEQPVEATINGGGEVQHPNAFVSAI TSAATSALPSPTSEFKKIPRPINPKEAQTQSDSRVEYFLLLEDLTAGMKRPCIMDLKM GTRQYGVDANPKKQQSQKGKCAKTTSRELGVRVCGLQVWDVQTQSYVFRDKYYGRTLK KGGEFQGALTRFLYDGVDPASILRHIPTVLRKLDELEVIVGRLKGYRFYAASLLMFYD GDTSADASNGYETAAIDDSTTDFATDTEEAPRRQKKSKRDIDFKIADFANCITPYDPM EDKACPPRHPGEPDRGFMRGLHSLRMYFLKIQRDTRAQMGLVSSGNGEAMGYPEDYDD EGSVSE QC762_604670 MPRQLVLFGRPVPRLGSRHVSLIFVCLGLFALLSLLFSFPSDQV AATVPTDSKPKAGGHKFGIPKSLKSPWLKKLNPFKPPSHKPERQKNDTDGESSWYSDW RWLTMPFSSSITLDEDRAVLPVLGKRTPIYCYYDTSLEKGKGDREVESELLLAWRRAW WAKGFQPTILSPAEAMNNPLYEELQKVEGMTEGLRTDLMRWLAWDNMGGGLLASYLLF PMGGHDDALLGYLRRGEFPTLTRWEGLDDGLFVGPKGEVEKAIRLAMGSPHVGVVKGL LGTVQEKSDDPFSVDTNPKALAFYSARNIETKYSKIGEEITAARVAGMKKLNRLINAH LHLAWQNTYFKGISVVKPLPHHTTHLIKPAYKLARRLSHCPESPLPSTCPPNMKKCTP CDDTKPLGVTTPAHYVNDSGIFTIGTVPHPLTMLTLSSLNSDLTIPFIRRHTDRDPWI YDLTNGISPKGIPASARVLKFKEIVASEPTSASSLWLQAENTAALSDSDLDWIFGFEI ASEASYPNEEWGDNNGLPPMHDAKFGAVPEERELEMERTLLEKVKKVVLEKKERLSGE GKVIKEAVEAWNLADGEAWRFVRAWVGRGQVERRRWEGEERRFVGGMGSEKRRDG QC762_604680 MDHTMATTEDSTESPADILPPSEEASPSATSTLSPSATRPPLPD RQVNAANIEDAYVDFILHCNPCVPPGTDTTALREAFRALPKSGGKSFSASLLFDLIKQ FDTKQLKTWAELAIKLGVDPPGEGESGQKIQQYAARLKRWMKSMHVDAFFEYLMERGS PYWTEIPDENVPIAELDREGVLAEDDMALRALLPHIKPKRGRRRPGEDDLGKSPSQRP SPRVDEGENGGAWTAEPFTGARRESVFVFPPPPDPTRLNPSGATFAHDLVQTPLTATP LTAYPHSAITPSSKASFWADEPQSAITPSSRRNAHRRHGAKVVSSAWRRGGANGTGKV RGRPPNSRPVNMEGPFSAFPATPGGFKSASPNPEEGGSASNGNSGVLLPRTSIKNWGV PTASPLTTTSPVVAMPSPIQASPVHPSPVESVHSRPAKRSRLSLQVPERVGGEVRLAT PPPPPPVVMVNGQTTTEPSSQAQQQQIQQQQQQQPQLLPQAVHVASYPTTANNQQPIA APNPTQYDPADRTNMTEVEGLFMTDLMNAEWFDANGNKIPACSGDEAWAFTQAVLDRL RTTASSHLDFLINLSALAGGGYLMPRKSLKVVKLAELEDRTRYKTHWQLRYGSVVGDF SMEEEVMHAAWKRKKEDGTGGGGGAVDWEQKYRDLMVAFRRKEEESVGFRKRMLDLAR EME QC762_604690 MSAQSKPVLPQNDNVAHALAGAGGGILSMALTYPLITLSTRAQV ESKRPGSETAFLAAVQKIVAREGVSGLYSGLSSALFGISVTNFVYYYWYEWTRAFFEA AAEKSGRASKKLTTVESMIAGAIAGSATVILTNPIWVVNTRMTTRKAATTEDGEKKDD LEAQKARNKKPSTIGTLLALLKKEGPQALFSGVVPALVLVINPILQYTLFEQMKNAVE KKRRITPGVAFVLGALGKLFATTVTYPYITVKSQMHVEGGKKEGVTEALKRVVREEGY AGLYKGIGPKVSQSVITAACLFALKDVLYEYSVRLRGSMARKALA QC762_604710 MSNTSDSEPENLTRKLNDLSLNPTTTSTTTTPPNNTPQQQPYHH HHAAAGGGLFGFGDFGAQQGQGYQPYEEELLPPPPPPPPDFIMGGGDQQGIVAFDVTS KFRAAAGVTALELGELVKDGFFSLFESVGALEIMDPKMDSGCLAPGESLDEDYDVTRV LSPGEVIGIIDQMFCLEMAWYQGYPLSQTLLTNVYIDRMLEPEPMVLGDADFIRRKAV GEGETMHVVLRAYCLGVVKCCWYINDRIKFEHYYEEEDFVTNTYHRSLLDSFELNEIN EELMAARRLVHSVRHTIGDQLAQALGFRLELRTAFLRAIELSVLRSNPDSLSLPWTQM EAVWEMIYKSRHLGTPVPEAFSTKIQRRLASTMPPRPIVKLSTEETYKHFKKLFADGI DVLNVLNYHDSQSLLQNFVMTFQSQKPQPLVYIRTLLQSFLFKDMIILGKLSIRHVID DDLSLVVLPASKLLDPANDLVEAPHHWRFALAHQMETFRQRAAQSYLDIFRAFCQNRC RIRRTLCHSIQDWETVQLDAEQIDQLLQHQIEETPLVYPPTGDGGGGVGPTYSLPLSS WAYLYKLRLMEWIVQLGFELEIYAPDELGGMYWYLSELARSRARLVDRISFFTKHREA GQQAASPSAGQEAAFNQSRQYLYQTSLDAAITWEMADALSNLYAALGRLGLVVAPPRP YSTDEMRYDVRMKPFAAIGMPELPSWEVYKAATDRRQVETGRLLDDASLSLEQASRGL EVMERLSEKDSFSVGCFERWVGGVKGQTVAVDRAAVVVEVLKRRLEERGEDKKGGKEL GLKVEIGKAVEGGHEWWVVPTIEEIDEA QC762_604720 MTFAWKAAGLTYNRYLTVASRVVRRSLKEEKRLAAERRGVSEIR FAKWSNGKQGELKNLEQANAAAAVESAAQGGQ QC762_604730 MICRSALSRGSKLALGRQGARGFAAAASPKASYEPTTIAGVKVA SRDDNGPTTRLAVVAKAGTRYEPLPGLTVGLEEFAYKAGWPLLFFNTNKRSALRITRE AELLGGQLTAYHTREALVLQASFLREDLPYFTELLAEVVSQTRYTTHEFHEEVKDIIH QKQAKVDASAVALDAAHAVAFHSGLGAPLYPTPSTPIDSYLNEQAVADFAAAAYSKSN IAVVSDGASEHGLQKWIEPFFKTVPAQGSGSLNNVASKYHGGEQRISAVGQNSVVIAF PGASLGASSPETAVLAGLLGGESTIKWSPGFSLLSQAAAPGAQAKATNYAYSDAGLLA IQINGQSAAVKKTAEAAVKALKGVAESGVSQEVLVKAIAKAKFTLLSGSEVGGVGIVH AGANLIHGGSPLKVAETLKAFESVTGDKLKAAAKALLEGKASVASVGDLHVLPFAEDL GLQV QC762_604740 MLVTAPLAEFCTLTIDEIYGELPSRIYASLLMRGRSTIQQLAGD TGMNARQLRHGLALLLQHNLLQYHLDAGSPHYFYEANVEHAYNLARTGKILQMVEETH GAAAKDVMQTIILTGLTRVGDLVDAYQNRIDRMNRIKEKLKAEEDPFGNGVESHEVNG EPKPKPTPQSSKSDPLISSIADLNKIIYKLVEAELLIGVHKTSFESPEDLLATIESDI QKTYPGGEVKGNKAKAEYKDKVAEALRKNRSESRSLKRKLEQNGLSAKRRKLFDGAAS ANGTPDGEMDLGIDPQQVMCINYEKCLVELRNRRLMHYAEEAFGQTTSWVYASLLNLL SKDISRCRDDPITDRYEKDEEKPAPVVVTTSQLLDVLKTSLDLSVGLGQVDADKISVT AAEKIAELPPRKKFFIDVQAEADANASSDDDDEGEDVKPATNGVNGVNGTHVTNGVNG TAKRVDRRTQLRQHLLLLSESTQGFLRHCGAEEWTVDFVPLMAALRQAEIDATIENTV GREGVRLVRMLRAKGKLDEKAIMSVALMRKADMSKKLAEMHKYGFVQTQEVPREAKAD VKKSFFLWYFDGTMALERILDVSYKTMIHSLQVLDTLREKDQHVLSLIKRSDVKGQED DKLRKIHKEKLTQFLKLERMLLGQIQRVDDLVAVLRDY QC762_604750 MSPIAAGGREKESNLARLLGSGSAGIAELAIFHPVDTIAKRLMS NEGKVSSVAKLNTVIFKDKANASAGRKFVSLFPGLGYAAGYKVLQRVYKYGGQPVARD YLGAHYGKDFENAFGKKTGKAIMHSTAGSLIGIGEIVLLPLDVLKIKRQTNPEAFRGR GVLKIVKDEGFGLYRGWGWTAARNAPGSFALFGGSAFAKEFLFGLNDYNKASWFQNFI ASIAGASASLVVSAPLDVIKTRIQNRNFDNPESGFRILTNMAKNEGAGAFFKGLVPKL LMTGPKLVFSFWLAQTLIPAFDAAFAGRKVEVERK QC762_604760 MTNGWVQGNQECRYLQPDHFGDQDVYCEATHEPEDVLYIGSDDD EYESPAERRQRIEAQGRRYLQGKRLHLISASLSGPFTKASGWTNPWRSKSVVRKSSKR KRPTTAKKHATKPQPPPVPYESSELSSARSNIVQLDDAPTQDADDESLIRVQDWRDRV LAEIPVNATPTNYYPSQTEPASTPSKVPTPEILNDDASSELSPPPESPLIVTPIRQKK TVGLKDSSVQEEELPPQPELHTPGAGQAMLLPVIDLSPHAIRLFEETYLSRESSKASP FRQPLQGSPLKNCTPAPTLLVQPPLEEPSTTRTPADVGSAVTTTTERLPGSTQTDGSF RFRKTRQKQRDLPLRKRSRLSSVLPSNDDSSEKRNQPNHASSEIRTVEPGTVDIARED QNPSEPTSPTSSNNDGVVNLPEPEPRIVTQEVQPETHVERSKQCAADSPPSRASQIDG VTLVPLSFAEEDREDVSMGNVTCEKENASVPQDIAASLRFPKRLLWPKPGTNATNQSS QLTVPLDSAPAPTLLRAKKPKVPESEQAAAAEQHVAEMLATANAGMMADDTIDDDVVK SGPEENEEDEETAEASETEKVTVLNMALKPEPEEYAPQPPRQPIMLVSALLDPEVFEP KIPEPGVPEPQVSEPAVLAPTPALQPKLALESEVVSAPTPDPIPEPRTITSPGSLVPA VNGLMAEIAHRASQGLNAIRRALDPGAESSGATDSVAITLGAEAVTVKEEPIHAAPCS SLSDETASSPSSGIATPEMALDHAKEASGKARDEPNSPATVAKEAPGIDAASEVEEMD IDTETVVKPEVAQEVTETAHEREPTSISSHRSSSPVSVEQSPWATDTVSLPLAINIET PVPGRIVALTVPDSCQLPVSQSPWARGDSQLQVIIPPAPEIRSFVPFSSPANSAVLQR PETPPPQIFPSDTNNSQASIAQLSTPESNKPAQRTPENTNSFGLSTKSFRDFMTPSPQ PAKRRKISGMSSARNNTGPISSTQLLFQAATANPWSPGLLSSSRRPTPNTIKPKSLLR QTLSTTTTPSMSRKRKRVTFAPLPGETSSALDLASSPYFSAEYPSTTPSGTPITPIVS SPHPKTRPSSPPPSTLSSDLPIAETEKFSKHFAKVATKRLGHTTFANPVAKLRDTQKR LLPSESQQGCDSPGVEAMAERFVFFADSHNNSGRTAGVEGGEEEEEEEVLLQSQLDSQ LGIVIEDEEEVVEGESQDVVNDVLQNLDDFLGVGGGLWDVDSAVRDMAREQRHEEEGR RMQEEEEEMRRLEMGLGGSVWE QC762_604770 MAYMNSDSESEAGGHPGFSHSHGGGAPLYPPSPSLQPTKPSPIG SEMAKKKAAEKKLAEKVEHAEKNGNPTPATKVLTTPSSTLAGGSSSRESLVYNGRPKK SAATTDAPIVDQFDRLSLAPPQQVVKTTSQIFRGAAAKVSKNFEDDEIHHDHKEMRKQ CRLVLRRIWGLREGLHMLQDTAGISDEFLKQLDYDIQGLINSVSQMMDEGDKVGLELL RLIALAESCGDEGRKTQEDLQRFKKEHESIVEEYKFAKEELKQVLTDNRLEVQTAQQR VKELEEERLTLDQVIATYKSKNARDSEMIQFLREKLEKLDMENGYLREQVEGKRNLWM QVHTDEKERDAARNIIKQSSMLGMGQSRPLSHHVSQVSLRPGPRHGPHHDQGLRSFQS YSQLPSGLSPKDSQLIRTPHGGTSTIPNGPQSLQNSHLKQITSGSTASANRILNSKAT TADNWRRSTPRASVIRADLSGSPSERNPASPYATFSDLESPAAFKQTDETTWAREFED FYSLMLGFCNSHFKRLQVNPQIVHASIQTKIPSLYNYMCTVINPGRPEEGQGYALSLL CETTTRPYYLLRLMLQHIVNLIFTTDGWTGFSKAVDEEMESLGQVLECSKKLSERDTA SKRLAELVAEIEANKQGPNFKNRKVIEHNQILRKMIAPFIKLAKANQEAILHDLFTVT QAAWELSSKLLKAKRTFHYVFNDTGAKYSDDVHMAVETLLKPGDMALRNYRVKLSITP IVTMRSDENLTIRASQIVKAKVLVMP QC762_604780 MASLQPEPRGRSRASSAASSIRSNTSDTKRSFFGSLKDIVKRSS RSPSPNPNKMCNPFFTSAATVTANDMAAGAPLSEAPPPYTAQPPQPNPSISIDKPTTN PQHRTPSPSPSATSILSTPEDPYAFLSMFDTIFLIDDSGSMSSQNRWKETKSALQAIA PICTAHDSDGVDVYFLNSKNPAHPSTGFIGRKTAQSINQLFSDVHPTGWTPTGSRIRN ILGPYVKRYVEMVKRGVDPDNTGIKPVNVIVITDGAASDDPEGVIVNLARKLDEVEAP SHQVGIQFFQVGDDGEAGKALRELDDGLRGVRDMVDTVSFDQRGSWADGGGRVLSAEG ILKTVLGAVVKRLDRRVVGERQGGLLAPRS QC762_604782 MDQKIKVDGIDSNGIPWCLDRGSGDQYSDWSTCYPNLAVDPNDI MRHPSSSPNFADYYINPVRPILPPPWDPYGYGASRNRLVSATQPAPWGQPTQTNNSAA TDTNRQQGQNPADRHKTCECSLDDHEKIFCAKKDVKCNTIPLPARASEYDGEIFYDTC HPPTSCSEQREEWNAQPGLGARTCECIDKANGQKRAWCKKSRFQCTWIPTSARGNVIY CQMTCSSSKHEYQLQHPETID QC762_604786 MTSQNKSKEAPREYGRRDYLSASELDYESIASNLKLSDDVEKSD EANKPEFPVSTDLPVNDNQFTFAPSQLSKLFDPKSLSVLYKLGGLAVSGGASLSYPAT GPLTVA QC762_604790 MRPSLSGVAASKPFTCLPCLLRTSTRNSFQRPVLTYTERTTPRL STAQFSHCARQLHAQEAPDQTAAFNTAASLRPTTAPKPLLDIKHIRLNPDLYSQNCID RNYKSLAEYPHKINALFAEWQAQQKAGRALRERGNILRRRLAGPGGTSRDDPREIIDE FGDMSREEILEEARKVKAGLAGIEETEGKLQAEMERLALAIPNLTSDETPRGTEPEVM SYINNHPEPEPALSDRVWRSHVHVGAELGLLDFAGAASSSGWGWYYLLDEAADLEQAL ISYALATATRAGWRQVSPPSVVYGHIAAACGFQPRDANGETQIYAIAQSREDAARGKP ELVLAGTAEIPLAGMKADTVLDEADLPLKRVAVSRCYRAEAGARGSETKGLYRVHEFT KVEMFSWTLPDQVETEEIFDEMIDLQTEILGGLGLHCRVLEMPTADLGASATRKCDIE AFFPSRRERNDGWGEVTSASICTDYQTRRLATRLKTKEGKLVYPWTVNGTALAVPRVL AAILENGWNESEKSVLIPEVLRPWMDGREKIGPRHRMN QC762_0091070 MDETKAGIGGFMSGKPKFGQRYWAAFGWRAGSLRAVDLESNEAE DSVVLFPSSWCCLNLQLTTLKKKGREDCLSTQDRQTRRLPSGHLVVFIVLTFRIFKDR RQESLMPSLIKARW QC762_604800 MSRTVRWGSPTEGHYEHQRSDSGVGSFSDCESRTSNTDRDRNFL ASGYEDNTTIYQLQRALEQTRQQRDEFSKKIAELETTVRQLRNEIEQNKAHVRAVTDQ NELFTHEKKTLAEKNKELSEQNTQLQEQLDDTIEKLKKSNRKSTVTSSPTAASSTTSE STEDKKPRRSASKRRPEKEKERDADREKEKREKERKREKREKEQHREHERPAEKEDDT IDRLRQRFDKHRVGDESDAKSGSIASSKPARASRPTSSYIEPLGLSTPRPQQTVPPSP ARHHSYTAPGYPPSTTYASIREPSAYAQGAQRSAHPQVYIASGEYGYEEEEAAYHPHQ VRTGRSERR QC762_604810 MYGPRSNRVHFESTTPGVPEVHIRRTRSVNRGPRQPRSRTRSAE RVHTLWDETPVADMQGRERGGGVSRETYDQLFRENHILRVQVQELEEDVRKEKAFNSE LRRSVESNSDSEARKSTKLREARKKNALLELENSNLTTKIRDLSRQLKDALEAKARYV GTEYETMKQQVAEWRRRYDDANRRIDRMRDNLDEHIATNRVLQAEVETLRRENERLRR RHP QC762_604820 MSTPQLLSRKANGTTAVAQSSANSPKATKTKAPANGEKVVIRRL PPGLTEAEFHAILGDEWKLGDGKVDWYKWYPGKVSQHPSKPSTPARAYLHVSQRDQLP ELLRKVQEAKWEDAKETYNDPALVAPPTVEFSVYKKIPSEKKRVDGRQGTIDQDPEFM AFLESLASPDGNKEGVTAEPEPEEEPEKTTTTPLIEYLKERKAAKAKEIAAAKIAMKH ARTESLTGKGKAPATSAEEPKRRSTRDRESRTERERATEKAPERPRESVKILTKKAVA AAEAAAEAAKVAAIQKSTQSSAPSASSEAPSKSRRAGIAAAARILQRDLGLSSSNAHR KARMDAAKADADSKGSAAKGPAKENVPIAPEPAPPAPPAQPSSSAPPKSQQQQQQQQQ QQQQQQQQQQPAASSNRSRNRRRGGADEGGKSKGDNKADKQADTTPPAPAPAPAKPVL LLKKRETPQAQRQQPSAPATPVSAMASQSTPTTAAPSQPAAPKNAPAKQGGGGRESKK NAGPAPSSGATRAFIKHANHSQGVTEALLKDALSAYGTVTSVDIDRKKGFAYVDFTDH AGLAKAMAASPVTIAQATVQVLERKDMTAKKGGQSSGSTQGKNAAASASATTASTPTP APAPAAASNSTAPEKPAGEQQPKESRRNPRRSKRGGRDNKDKDGKEGGGKGGGGGGSA PAAAAASC QC762_604830 MTAAPKPGPANLRPGAGLDEWLEEAKQCHYLPESVMKQLCEMVK EVLMEESNIQPVVTPVTICGDIHGQFYDLLELFRVAGGMPGDNNVQAPQTVTAVITSD DIEPPSEITDPKLQKKVINSGPAPISTDPNETIVEGDTTTEAILPPNEGLTQSADTKF VFLGDFVDRGYFSLETFTLLMCLKAKYPDRIVLVRGNHESRQITQVYGFYEECQQKYG NASVWKACCQVFDFLVLAAIVDGTVLCVHGGLSPEIRTIDQIRVVARAQEIPHEGAFC DLVWSDPEDIDTWAVSPRGAGWLFGDKVATEFNHVNGLKTIARAHQLVNEGYKYHFSE RSVVTVWSAPNYCYRCGNVASIMTVDEQLNTKFSIFSAVPDDQRHVPAGRRGPGDYFL QC762_604840 MEEPQRTSSQYDGGDDVHHRPSFQSYHDTQTTLDVPEKSDEPVD EEPKDEEAIRESEKQVEAPQEKDVIKTVSEEATVAQVPRAFPLIRTTRARPPSALRAT VSTPDMCQRVVSPDGSVKRECSFDILGLSKEEVQAILAAAGGTEGRGVSMASTQPARD VGESSGKNREEPQVPEQAHPRCPTCMSILAGELDIAAFEPAQQQQQEQTQYPQPPTGT LTKSSSLKKSPATSTPFPYYASSHATSDDEEEMIVSWEPDHDTGNPHEWSPGKKACIL ITCMMLIINSTMGSALPANALPFISDKWDITNESQQVLPISVYLIGYVMGPILWAPLS EQFGRKIPTMITFLIFTAFTLATALANSWIMFLIFRLLTGTFASAPIAIVPGIIADIS PNPRTRGRNMGLFFMTTVSGPLLAPIISGYCSETIGWRWAFWIGLIYAGCTLVPLFFL PETYGPVLLKRRAEEIRLHDAKARVVALHEMEKKSFRELTTVVLYRPIKMLVTEPIVN TSCAYLALCYAIFYMCFEAFPIIFTGVYGLSPGECGLTYLAVGAGCLLALPIFFVWDS VLRSAMASNKAWTRQEEYRRLPLACIGGPMFVISLFWLGWTAKEGVHFFVPMLAGVPF GVGFMCIFQALLNYLTDAYEVYAASANAAASTSRSLLATVLPLATLPMFEKLGISGAC SLLGGVSTLMCAIPFVFLWQGDKIRSNSKFCLAIRQRKEEQQQKIEEQRRRSQARMLE RVSARAGGEKQVVIQGKRVGSSGSDQSGSGGMGEGSSGSLGRLPGVKVVVEEKEKGKG VEVRRVIV QC762_604850 MNLSRRMLTKEEEDAVGDEIEVRREDQDKINKFSRLHSHELTLE EELKVKNKEKEELDDITTELELADEDDLVPYKIGDAFFHLPLPQAQELLGLSIAKIEE EIGELEDKMATIREEMTQLKVELYARFGKTINLET QC762_604860 MPGQKLYPRATVKKIVKAHSNCSVSKNADVMIFLDYMLFMQNSL VKEASIEAKKGGERGITARSVKKVTADSLAKFKG QC762_604870 MAPTTVQNPPAPVESKTALKKKAKAAAAVKAAAGTESPAPASVA GGENPDDSSENGYIRELSKNIRNLNKKISNGARIENLINEHSGKSLEELVAAKIINAD QAASHLKRPSLQNQLAQFEKELAMHKQIEADHRSRLSQLETTLKEKFEKEKEELVAET KQKVEAEADEKLRGYLLALSQFLRLAAARRLAEADSSIDENAAIEGVLLHIYCGDNDS VTEMLKLVQGSDECVLGVEGRLLKTTFADIKEEAYAHLNPNYKRPKPAEPEFAEELKL DEYESTVLVETDPTVANAGLTEVDDGSAVALTNGHGQDASSASGGAPGNADVADSAAN AAGENQWDTGNTISDSQEWVNVNVPRDLGETETGAAATPAQPTAPVTNQSWADEHPET AAEATTPADDGFHQVPSRSRGSRDGGHRGRGGFRGRGGGFRGDGRGRGRGRGGDRGGF PSRPRREESQG QC762_604880 MTTLTAPPTTPFTFPSPRDSPAFFPPTPPSTTTPLLTTTATTTT TTKRKHSSISTAPQPPNYKTLINTSPKITPFEKKVYHLLLTIPPGSFTTYALMSTHLK SSPRAVGNALRKNPFAPGVPCHRVLATGNSLGGFKGKISRKSPDGVGGVDTLIEKKEL LRKEGVKFDDKGRALGTPFRGFK QC762_0091170 MPSDTRCPIPDCHQARAQFLDPNFDPLSNAVPRYARYEVTVTDI IKMGAEQTDVATSARTSLSVVRNTVLIR QC762_0091180 MTCEMNGCGGAKHFEPNKKEYLPYCTNHATCPVARCKQTRLDRQ SAFCDDHTCRERSCNKSARVKPYCDDHRCAEIDCAYPIADKTGRFCPLHTCRAEDCLE FVNSFSIYCQSHGCSKSKCLQQSIVEYLCLDHLKKHYTALGRRSALTPASSQFGTVAT STIAEDDDSSASDDNDERRPGIRNKPPSLKGAYPSSSTFSTTHSHTRSAPIFVPNSNS GSGGGKETPLTMRPSTTLQIHTQNNTSDPHHPSPSQKGPGFYKVNTAADGGGGGDGES VRAPLPKLVPMSLPVPSDDGKTGSRPKLMEDIEGMLYNPERGCWE QC762_604900 MADADPPPRRRSRSRSRSPPRRPKASGGFKWKEKRPTTSEDSRD GGGRDGSDKNLQRGYRDRSPRRDRDRDDRRDRDRDSNNDRSRQNRSPTRRDRDSAAAP RERDATRDRRRSPRRERSPRRDRPRDKPREEKKEKPRQPTAPQEEMIVVTVNDRLGTK AQIPAFPSDTVGQFKIMVAMKVGREPHEILLKRQGERPFKDHITLGDYGVSNGVQVDL EVDTGD QC762_604910 MGLFILTETSAGYGLFKASDKKLLEDDNLTDRLSTTEKIVKEIK YKEFAKFDSAASALEEISGLVEGKVTPRLQNLLNEFKDEKKVTLAVAESKLGASIKKL PELNIKPISDSTTNELFRAIRQHLPELVPGMLPENFKEMSLGLAHSLSRHKLKFSPEK VDIMIVHAVSLLDDLDKELNTYAMRVKEWYGWHFPELAKILPDNLSYAKIIVTLGVRT NAPETDLSEILPHEIEAAVKSAADISMGTEINEEDLNNIKLLAERVIALSEYRKQLSE YLENRMKAISPNMTELLGALVGARLIAHAGSLISLAKNPGSTIQILGAEKALFRALKT KHATPKYGLIYHASLVGQASGNNKGKIARQLAAKVALGVRTDALSEFDEDVDDETRAE LGIKSRAKLENSLRLMEGKPISTKLGPNPNNITVPKWDIKEARKYNADADGVAAEAET AKPLIEEVEMEDAPADEEKASKKEKKEKKEKKEKKDKSEKKDKKEKKKSKEPTEETES PAKTKSSKRKHDDDEEEAAPVKEKEHKKKKKKHSKE QC762_604920 MEGLFFNVNNGYLEGIIRGYRNGLLTSTNYTNMTQCETIDDLKL QLGPAYGDFLSTLPPNPSTSSLATKTTEKLVSEFRYVRANAVGSLAKFMDYITYGYMI DNVALLITGTLHERDTRELLDRCHPLGWFETMPVLCVATNIEELYNSVLIETPLAPYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYNFVNTHPDMAGTPTSEVMTEILEFEADR RAINITLNSFGTELSKQDRNKLYPTFGRLYPEGTLMLSRADDFEGVRLAVEGVHDYKS FFEAAGLGGGPGGPGNMGGGSGSDGKSLEDMFYQKEMEICKGAFTRQFGASIIYAFVK LKEQEVRNIQWISECIAQNQKERIGNYISVF QC762_604930 MPPRLPVPQGLRTLSLCLRPSPASTTTPALSHLPLIQTANVSQK TKEKRKEMKRRMKQDPYGWAQTQQRKNANLRRRAELEAERKEAWGSPIHGITTPFVES FDSGGQAPLSTPPVDGDGNPLAPPHELPISPHLANYLLTKEEIEQAIEQSKRLTKPLD EDTHTDLKQHEENVAKAIIALQRITDLNNGSAKDRKHANKRRCIETFGRHVTDVTLDH AAPHPSINAPQGPKPVRAGPDTGSSEVQIAILTSKIRAVALSLEGHKGYKDKNNKRSL RLLLHKRQRLLKYMEKKERGSERWQFMLKTLGITPACWKNQIEV QC762_604950 MAPANLPSIFNATSQDIEQLLAAQCHIGSKNLGVHAQPYLWKTR ADGVNIINIGKTWEKIVLAARIIAAIDNPSDVCVISARPYGQRAVLKFAAHTGAQAIA GRFTPGSFTNYITRSFKEPRLIVVTDPRTDAQAIKEASYVNIPVIALCDTDSPTEYVD VAIPTNNKGRHSIGLVWWMLAREVLRLRGTIYNREAPWDVMVDLYFYRDPEAEAEEKV EEEKLPGVDEEGVAAIESGFPAAGGDWEAAPAAFPAAGAATGEWSEAQGAQWETGTGA PAADWAAEPAKESSW QC762_604970 MEAEQSSAPLVTSPTTTLPIIPQSPRSPKTTTTPRDGRFRKTPP RLRKDGERPKTGGRGAAAAAAIGLARTKLWASSSAAHSKPLLPVTERRSQEENLAGRR AKKASVDDEKWDIAPDGGSAGREGRNFAVANVGHNGRIYLRPTVRPANQRYPQPPFVF PMTPPGTAGLEPLPSDRRRDHRDDNAQGRPGTTTRPQFPPSTPSLTRQDSGEKHVQIR QRHRRAMSDSTVRETSVARESEPGGFKIVITQPGENERPRTVEDLDMTRTPLLEVSIP SWRIGTPRFSVRGTPFIRGSSYAPTEDVRSSRASFFNYTPVDAISSISKTPDATRQHP HPPAMPPNQDGNAVPPSVPLRATYLSTHLVIEPSMFDSLTFKPACDDRSIVRYSPSGS VTAATPPRLVAEITSPSFLDYELLSDFFLTYRSFLEPSDLLRMLFARLRWALGRSDEA GMVVRVRTFVALRHWILNYFTDDFVVDYDLRVAFCELLNEFVDEISQDAIAKKVPLKI LAELKKCWRRVCAQFWDGPEFDASLPTGVPISPGGIAGHRDPSLDPTFWTAKVDDDAG PPQIDGLITPVSPRGHTSFLAEVSKAEHIDSVVVGERPATPENPTIPDTEFTRNQQAS PGSITSVDVVSCSLPTKSMRFHHSAGYPLAAHPADLSSMYNASSDPIATTPRALVGKR VRPNHSHKRNASLSDSLRDHATMTERVIYKNAEFLLTLPYSGSLVRGGLMPPSQAFVE IIPGSSGAGSRQTTLFQPAAELPKESRLVASAMSGQGMKKLLGSVRRALSTRGQALSS STQTYVNISPMGPKGATTNRLPGTAIVPQSRARQNNGAGPPVRIDLLGAEIAEDFKKA VREDAAAEAENQGCEPPPAPAGEYSAAHMDTTFDFGPDFGVGEMRPTSDMGITTGSKS IVIVDDTLLPENHYPAMTGALPAVNSNSSMEAFAETFMPHGGDPTPPTTPPGARDMGV PRRSSYILGHQPLRHSQSADPLPPFVPDMATLGSSESGRPSHDWVRPSFDFLNQTPNR PPLSGVRAQGQGHTRQGSSRSFVSSRSRGPRRYASFTSGIMPRRSTTVRSFDATTYSE GSVIGGADESSQAVMIPEPLRVLRRRPGGDLRAVTNVGELDSGGVRRSRSVGSLTTYT DSINSSSFVRSPVLEMGVEYVDVVASDYSPQRGNEAFSLGAITKKQQPVTEKTEKKLS LFSTHSSKPIMRPSFEAEAQKLAQIPDDVDDDGGVESALLKLEGRFERRVPGGKLSMD LKGTVPQIDVSAEESETVDESRVSREEHRHVHLGDEGARPLSLSTIPTTISVQGGLLD VAGRQGRNADVQSFLSDDSRASYSAPLLEMDDEGSKRTVTREWTDRSVFQGPDEDGAT PMDGRSVQGSNPSYDFIMKTDSMERMRGGNALTVPEGGARKSAEQSFLDVESDHDSDL SSELSMEVIDYHEADVDPYAPSNIGIAVSTLPAHPLADVTPGYQPSHQTGSSPTNPPS PPMTLIQALHLSPETACVPTLEDHQVWGEKPLPPTPDITPTATAAPPYDTTQLPRASP ADPTGTKEALRNAPKLEIPDPLASPDASIMAPAKLNAHLPFILAFDSDILAQQFTLIE KDALNEIDWKELIDMRWKNAEQKAGGGINARSWVSFLRDTDARGVEVVIARFNIMVKW AISEIVLTQDMEERARCLIKFIHIAAHCRRYRNFATMAQLTIALTSNEISRLTRTWSM VPASDTKTLQELEQLMSPMRNFYNLREEMEGGGKMGARASMEMGCIPFVGIYTHDLLF NAQKPSEIASSPTGAPLVNFERCRVAAGVVKTLLRLLEASTVYEFEAVEGVTERCLWM GALEEGEIKRLVGGLE QC762_0091250 MFHLAACYLKPNEEGIMRLHTASKLAEEKGGKGFAAASGSNVEK VNREEGVDRKQEGEQGGREDHRDEVNKKDEKDEGIAERKDGEGDTVEILA QC762_604980 MADTMLAGLEADLFAAFNNSSNDDVPMPDADSPIKADPEQPPSQ QAPDVAPEKTAAPTAPAPPPPPPPPPTSQHGEATTTITPTPTPTVPAAPTTPATPATP LISTAAAVSSLPPPSTPAPVPSPAPNLLAATPTTVLDAQSPSVQIEQLLASAQAQTDA LVHQSPSQALLPQPTMSQPPADSQTPIDPSPGVPVTAQMPSEAKRPRSPDLDDEDSLA KRLKMEFAQHEAQLGHPEQAGHGEMNGHDMAPVDLETMLNNALADYDVNVVRTTNPIP ATPEAEKTRNKIANFTRHSFYHMRSMSLLNMGSFAVQILIRLSQNTKADTDALLAQTE SEFYKAYGMLWNVFESTRKMYSDSHLLSVDELEITDSEDRETIRMSNLASVAGMVFGS GDVTLKDVHDSFFSVFIPEDGEYKDSLTELLVSLKTRLFLDALNHQPPQPALGVLDTL FPARFDEVLKNRSGDLALNPDEERLVGQVRERRELLVQSAADESIKRSLAEQSSPERI TELLSLFLQGHLAVIVDYARSYGINIPTQEEEPVLATNMEIEEQHDSLAETLRLATAQ LPMAGETKELDGEDLSGQHDDETLKKLIEQELAKDSSLSHDLSGLIKDSSAGQHDMNS STTELANLIAASLPKIPEEPPHGLPTTSTMIYSGTVGTANLGHAPAHPHYIAQMNQQH QGQYQTYTQSPAPAAPAAPAATNENGLPPNQSLPTAALYEKARQAAVAKSSNTSRREG LHSTRRPWSPEEEKALMAGLDMVKGPHWSQILGLFGPHGTISNILRDRTQVQLKDKAR NLKLFFLKTNSEMPYYLQHVTGELKTRAPTQAARKEAEEKARQNSQEEQARLQTMMAL SNMQHNNQSVMPGPHSSVQAPRASPTTPGVSGPMNGNTTTATSGGLPPVPISPMVKSE PQEHHGLPKVTSFPSIAPAPAPSTSMQPPSKPQYHHLQPQPSSPHHQAQQHQAHQPHQ PQQNQAQQQQQQQNRQSPQQQNQGQQHAQQHAQQHAQQHAQQHVQQHVQQPVQQQGQQ QGHRQTQSQLHQAQQQLQAQQYQAPQHQAQQQPPAPQRQQTMPHQPTAQHQHAPQAQQ AQQAQQAQHQQAQQLQQVPQHHQGQQVQQHQQVQHSRPAQQQQQQAQQLQQVQQNQQA QRQHSHQQHVQQAHPQQLQQHQQQQQQQQHQAQAPQPPQPQQQTHHQHRQTQQQVQQQ AQPQAQPHRHIPSPTTSQPSPAPPRPAQPAQTAYQPQPQASTAQPSPAQASPPAVPGL PIPPNHHSTPDHQDQELLESLQAALAVQPN QC762_604990 MANVFTELKTPTTGTYKQPTGLFINNEFVEGVEKKTFEVINPAT EEVICSVHEATEADVDIAVKAARDAFEGSWRQVTPQQRGNLLLKLADLIEKNLELLAS VESLDNGKSITMARGDVGAVVGCIRYYGGWADKIEGKTIDIAPDMFHYTRLEPIGVCG QIIPWNFPLLMLGWKIGPALATGNTVVLKTAEQTPLSGLVFAQFVKEAGFPPGVLNII SGFGKTAGAAISSHMDIDKVAFTGSTVVGRTIMKAAASSNLKKVTLELGGKSPNIVFN DADIEQTISWVNFGIYFNHGQCCCAGSRIYVQSGIYDKFVAAFKKRAEANKVGDPFHP ETFQGPQVSQLQYDRIMEYIESGKSEGATVETGGARHGDKGYFIQPTIFTNVSPKMKI MQEEIFGPVCAIAKFDTEEEVLQMAHDTIYGLASAVHTKDLNTAIRVANSLRAGTVWV NCYNLLSHQLPFGGYAQSGIGRELGEEALANYTQHKSVAIRLGGALFG QC762_605000 MSTASPDHVSVDNPNSSRKMDESIIPARDPEKVDLSEETTDEEA SAPPLQQRWNQSATNIFRFLGTIYAFILMGMTDGAVGALLPYIETYYSISYTAVSLVF LSPFIGYTLAALSNSLVHHHFGQVGIAVLGPVCRLIGIIPLVFHPPYPVLPVVLAFTG YGNGIEDSAWNAWIGNMHNANELLGLLHGAYGLGATIGPLIATAMVTKGGLEWYTFYY VMIGLDGVGLVFLTAAFWRATAKLYRAQFLDTTGGKRTTTRTVLKEPITWILAMFLLG YVGAEVSLGGWITTFMLRVRNAEPFLAGLTVTFFWLGLTVGRVVLGFVTGRIGEKLAI TIYLVLSIVMQVLYWTVPNFAASATFATFLGFFLGPLFPAAIVAATKLLPSDYHVSAI GFAAAFGGGGAALFPFAVGAIAQSKGVEVLQPICLAILIFILLMWWFLPGGLKPGGLE KAREEGEKVGDGMRKVIKKVTRKRN QC762_605010 MWGQLNITHIVVVACLVAGGVVDLLTNGTTTSEDLAKTYLARIA KINPSTRSFLEVSPFALEDARKRDNERRGTGVRSKMHGVPILVKEPVSIDGLNSSAGS YCLLGSRTRNEASVVARLRAAGATYLNGAAHVRSARRPLTAGVLSGGQTCGVYYREQD PCGSSSGSGVAVALGLAAGAVEVETMGSITCPAMRDNLVSIKTTSGLVPRDNVIVTRF RGPVGPMTRTVRDAAIMLNFVAGPTSEDPDTLRIPFSISLKLGGLVNSRLAVPRNNGD DPSVAKMDLVPVMEQFDGDLNVVRGLGARIIDDANYSSYEEINAADAPQGRVGPAEYR FDIERCFRSLAVHPYGIRTVEDLINCTKTLPKEEYPARDVAYWEQVVKSADFGSPEMT KEIERMRDLGGTRGIDAVIDTHKADAIVFPSVCSSDVPSLVGYPVVCVPLGFIPEGTE AERNTKRGFGGASAWHTLFTEKLIELAYAFEQHTQIGRQRKPVVLPVADTCDILQERR VVWRVWGKLREWFVI QC762_605020 MSGGFNKYRCKYFLSYNCPNWVFMNGHACGSCLAEGREAMEPAE STGALATWRQPTEVCVPKAFQGTLQYIIMEAVPNATAGSYWTLRQKVLDPRTQMSQIN INQITTSDTPRPVMTTTGIPMQVRY QC762_605030 MCLPNKKLRQPCGRHRQGKASANSEIPAIPSVLCRISHLISALT PLTFGMADQKGTKRSSVESDAQDSKRIKTDDKPNPYLAHLNMDGANDFKSPLDEMERH NTTALQAAKAEDSDINPWTGKPHSENYFKILKTRRDLPVSKQREEFLELYHKTQILVF VGETGSGKTTQIPQYVLYDEMPHQTGKLIACTQPRRVAAMSVAQRVANELDVELGQEV GYSIRFENRTGPKTLLKYMTDGQLLREAMHDPNMNRYSCIILDEAHERTLATDILMAL LKEIAHRRSDLKIIVMSATLDAQKFQSYFSLRKEDPPAPLLAVPGRTYPVEIFYTPEP ERDYVEAAVRTVLQIHAVEPEGDILLFLTGEEEIEDACRRISLEVDDMVRESDAGPLA IYPLYGTLPPHQQQKIFDKAPEPFRKGGRPGRKCIVATNIAETSLTIDGIVYVVDPGF SKQKIYNPRSRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEKAFKKELIEQTY PEILRSNLSNTILELKKLGVEDLVHFDFMDPPAPETMMRALEELNYLACLDDDGGLTK LGSLASEFPLDPALAVMLISSPEFYCSNEILSITSLLSVPQIWIRPNNNRKRADEMKA QFAHPDGDHLTLLNAYHAYKGAEQNGEDIKKWCHEHFLSFRHLSSADNVRAQLKRIME THEIELVSTPFNNKDYYTNIRRALLAGFFMQVAMRESSNSKIYKTVKDDQMVMIHPST VVSSPYDWVVYNEFVLTTKQYIRTVTNVRPEWLLEIAPVYYDVDTFEKGEIKSALVRL TEKVKRRQAMKGGY QC762_605040 MSYSGALNPASEDYESRPHYYIGQHDSDRCEVLDDDQYNQVLNS GFNFVTAPITNQHFFRRVVDQHKEFLKERQEWNDRLAPAQRTNPSLPVPIVPTLTDED TSLYPSHHIGSFITYASPWIDLCSTDPYISGVSRQVLNMEVAYANFCGARTIVIPGPR QDESGRGVAQFARAIQEALLQVTRANLIIHLPMYREPLLEEKCETLSDIFDGSRMDAD PKKEIDIFTSWDSWHTIRSVCEYSSRLFLALRIPRRVPEKNLQERWFSEPLHFLTIGQ SVFQSNRAGSPTLTKHHQDLINRYMRLKNAPWIILNDVGPNAEDLGAARAIKAIEYPS LAEASKALQERKPKSGLNEYVSYMKYLERQQPPYTAMETPALISFQDWLQSPLQPLAD NLESATYEVFEGDPVKYDQYEAAIKEAMAEWKILKKPSALGTESEPYNPELVCAVAGA GRGPLVTRVLRAAQATNTKIQLWAVEKNQNAFVYLLNKNKREWDGQVTLVKTDMRGWG GPVPRGSSTPCKVDILVTELLGSFGDNELSPECLDGIQNHLFQPSGMSIPHSYTAHLS PISTPRLFADIASRESDPHAFEIPYVVRLFQLDFNAQKVPNHPRFQQAWEFVHPVGVN RADEFAAEYGFGRKYVTPGGGAMYGSNGTNEHNARRCHLTFVCPTRGVTHGLAGYFES TLYESQLEGEGEGKRVEISILPDQIDRKSKDMISWFPIFFPLKKPLYFPQDAELEVSM WRQTDDTKVWYEWLIEVYAWVGPQTRIKVGASEMHSSKKVACLM QC762_605050 MSSFVPVNPRPFLQDLVNKDVIVRLKWNETEYKGRLVSIDSYMN IQLANTEEFIEQKFTGTLGQVLIRCNNVLYVRGAEGGDKGGDTKMEE QC762_605060 MAAIGPLTTTFSAPSSCATVLSNFYEIFEGDKESSYVQGPLFPT HKDCFPSGYDPEPTKYYSPGFCPQEYTAACSSVRTSSTVTETAIACCPNYGVKYTCAT ESKPESGCTTVWQGGAGELKPIVIKNSTIASTATVTLRGGAISAYAVQIRFQASDLSN AVSPSRTAAIPPATNVLLPPDNTSSSSAGLSSTAIIGIAVGGGLGFFIIFSSLCLWCY MRRRRKQYAPQQKPRQPGSGAPSRYSTRSHPHNTFYDDTNSQHTAPTPSYRSQRSHRS SSRMHHNTIPEHAEAPPVPPKEKSVSYRAIPPPYELSEEPSPRLGGGYGKRSQSLLHS PGSVRTYESKRSHGRGDSGVMYGVGIQVPIGTRAPAELEAEVPVERERAASPESGMTG WTGFTQATGSSGGLTDTRLGRGAASPLGWL QC762_605070 MAPLSSSTVLVAALLATTTLAQSNVRVWSSVAWILYGDRTPLLS DNPTLTPLGAQQLKAQGAALRTRYLWKSSPDDDDIDSNGLDDIAPIHGIEQYTINNRQ LRAMSTTDTYNIASAMAFFQGLYPPTTIKPSNSTGGAIAATLANGTTMTYPLDGYQYP QIQTLSRLFNPDSIYLDGSSHCPSFLQSMASFPSEETPSSNLSTSSSFYASLYPRIFN SSSLPLDQLDFLQAYNIYDYAAYHHLHNPLLSPLFAEGELPRLRSLASSEIRSRHGNL SLPIRTIAGRTLASYTKSLLVDNIRSAGFTSKLNLLFSSFEPFVAFFALSRLDAGASR ELFRELPYPGAVMLFELFSTVNTTKGMDGEEEYPEVKDLKVRFLYRNSSASEARLRVY SLFETGVNYPTLEFLDFERKMMGVGVRGDRKGGDQDVEYGGRGQKKERVGSWELRNGK KVVEGVGEGVEETGTGARVEVPDPSHLRAVGGRWEEDDDDRLSLYGREPVKAREF QC762_0091370 MRRRRHLGLTQEKRNPQPALPRRSIFATYFTDTVSILNTTSISD TLPLRRTPISLSPKNQYLLLASHVALPDLSDYPTQKNLRDVRQHKFRALQNKTPVVSG TVRSFPSDHVFVFYIFY QC762_605080 MHLKFPILRYLVHGPIIMINFLLTLVLLTTVMAINIGHLPTIPH ISHTPRAVDNTTDVNNANIDKFLFHPRPVQCGEANLLLVGIPPYHPIVKAGGFDPKMI EAILANDTNLLVNSGYNVRMVLFGPEQPLSVLEAQFAEIDCWDGTGVGFGERGTTDVG LTERFEDTIHYFRKRVPNGPIMFNSSPTTFLPTLKRHFPIAGGADCAKEGKPGKLLGF RVICDDGVCKKD QC762_605090 MSSDTQSIPKGKGSETLNGGFKPQGNMVAVVPPKPEDLQRSYAT VVDANANPKGWYGSMVNGIGTLIGTLGAVPCCVCCPNPYKSVGQGHVGLVTKFGKFYK AVDPGLVKVNPLSENLIQVDVKIQIVEVPKQVCMTKDNVSVHLTSVIYYHIVAPHKAA FGITNVRQALIERTQTTLRHVVGARVLQDVIERREELAQSIGEIIEDVAAGWGVQVES MLIKDIIFSNELQESLSMAAQSKRIGESKIIAAKAEVEAAKLMRQAADILSSAPAMQI RYLEAMQAMAKSANSKVIFLPAANQTMPSTQQFENSLAGGSGNKNYNTFDEGSSSTDP GFQQAINARIIENI QC762_605110 MSSTTTPLLHRIPSTSTLGPASSDTTSLRSLPSSRYRRRPRPTA TRRALLLSFLSSLLSSLSAGSITIFSLYAPTFQSTLHYSQYRINGLASAASIAMYMPV SLLGYYCDRVGPAPLSLLSAVFFGLGYAGAALFFYWGQQGDPEGVYWGLVGSFVGIGV GTCSMYLSAVATCAKNFGRGRHRGLALAVPIAAFGLSGMWLSQVGDRLFSLDTPGDLG GKKVDVVRFFGFLAILLVIVGVVGAVGLRIVDEQELIEEAVEELERSGILDGSRMLGN GVTREGYGGVDVDEEREEEDMIGAGILDPRKDQEEDKKIKTWVLNAETRRFLTDHTMW LFAFGFFFMIGPGEAFINNMGTVIKTLYDPELRVGGGAGEHETSAATHVSIVGITSTI VRLLTGTLTDLLAPSPQAGHVQIASSTELERKRFSVSRVVFLLFFAVMLSLGLAGLAA GWVQDHGERFWVISGLVGAGYGAVFSLTPIIITVIWGVENFATNWGIVAMFPALGATM WGLVYSAVYQEGARRQSVVGEEDGGDNLCYGVECYASAFWAMAGSVWVACGLVLWAWK GRGGWSQRGVVV QC762_605120 MGKPKKPKSDTKSPAAKAQDDQVMGTNSSSIVSKRSVEKIYYAG EPPFFRYFVSKYQRRAPLINRGYWLRLRVIDVLVRDFLKRPLREGKKRKVVVNLGAGS DVLPWQCWSRYGGDCGGVKFVDVDFFELMERKKGVVMGEGALRGYLRGGRVPEGERVV VDGKEVLRKGPVVLDSEGYAMVGVDLRDLKRLEEAMGEVLGGGHWREECEFVFVAEVS ITYMEREGADGVIEWAGRVGDAEFVLLEQILPDGTGHPFARTMLGHFDKLNTQLKSVE GYPTVGEQRKRFEERGWVEVKVWTLWEAWGDETFLSGEERVRLDEVEEFDEWEEFALF GSHYCVVRARTVGERGAKPVVHSGVEIPVGAVETQVDETTGTRGQRRFAAAMEVSQKG KQSEIVNVLGLGTRNRLQSCDVFTQGEASGLAFGEGGPSSRMCHSLVDIGDSVLLVGG RGSPSSPLKDCWLYEKKSNAWRRTYDLPVPLYRPAAVALGTSGMALLMNGRGKSSTSD GCLLYRHEKGWVECDARGDPFAARYGGVIGVKSSNAGASFSGIYAGGLVDALFTKEVM SWELDISDIRKPFITFTRLQPRTDSNPDASSWLVARFGATCVQHGSEFIILGGVARDH LLGHNDEIVTFSVTGTEYKITHRLTSNASPRALYTGHSAVVAADGRIVITGGGATCFS MGTFWNKGVYSLRLPGSGTTGALPHWTHDKTVDIIPGERSLPIRTKLQHGTSSIAIKT IECVQLKTADDFAKVVRAGRPVVLEGLDLGSCVASWNLKYLAEKVGSNRKVVIHEAAT QVMDFNAKNFRYVTTGFGDLAQKIEQGGRLYLRALSQEKPTEKPSVLEEDFPTLGGDF VLPEQLALVRENLFSSVLRLSGPVNMWLHYDVMANVYCQIGGSKRLILFPPSDVEHLS FAPGASSSSIDVFSSLESPELAQTHPHEAVLGSGQVLFLPPLWLHTATPTSDKSIAVN VFFRDLDSNSYAAGKDVYGNRDLAAYEKGRQDIGRIANSFQKLPAEAREFYLLRLADE LKRKARG QC762_605140 MATKTETKPQLSGVALYSRFALAGAICCSVTHGALTPVDVVKTR IQLDPATYNRGMIGGFKQVIKNEGAGALLTGVGPTFAGYFLQGSLKFGGYELFKQQAI NYLGYENASKYRTGVYLASSAMAEFFADIALCPLEATRIRLVSQPTYASGLIGGFGKM LKNEGVGAFYAGFGPILFKQIPYTMAKFVVYEKVAEAVYQVFPKKDMADSMQTVVNLG SGLVAGFAAAIVSQPADTMLSKINKTPGAPGEGTTTRLIKIAKELGLKGSYTGIGARL FMVGTLTAFQFAIYGDIKKALGATGGVEIGK QC762_605150 MAPVQPRAKKTPRTWDSLNQSLPPWILDYLQTSGFEQPTPVQKS TLDLFRGNKDVVVEAVTGSGKTLAYLIPVVEKLLRGDEAAKRHHVQGIIIAPTRELAQ QIYNVCVSLIKFHPQSAEQLQHDRDEKRTITSEPVVYPQLLVGGTTKAAEDLSAFLRL SPNLLIGTPGRLAELLASPYVKAPASTFEVLVMDEADRLLDLGFSQELNRILGYLPKQ RRTGLFSASLSDAVERLVTVGLLYPHRITVRVRNLKDGGEIQERKTPMSLQMSYLITP ASQKIPALCQILEKLNPRPARSIVFFSTCFAVKYFAKVLHGVLPEGFSIVSLHGKLEP QVREKNFERFVNSTSPSILLTTDIAARGLDIPQVDLVVQHDPPTDTKVFIHRCGRAGR AGRRGLAVVLLQPGREEGYLQLLEVRQTPCTPLERPEIVLSEEKAKEISTKVRKQAIT DREVYQLAQRAFVSWARSYIEHQASSIFRISDLDWVDLAHGYGLVELPKMPEIKGKNI DRSLGLGIDVEAIPFKDKLREEKRLEELAKWKKEKAARVASQQENGERAGQKRKTNEA WSEKQDAEELKVARREKKRKRKEAERASKMTEREREEHMKLEDMIELVRKQNEEKARQ EAEERRKERVLGGDGKTFGAFGKGGGSGGGVMTKKKMVVGEEDSDEEEFGGFDD QC762_605160 MSGRTKQAIPSTPRVISPSPTPSEQDGTGQDGYSGPMTRSAARR RLATPLPVEEDIEEDESPELSRARTRSRSPIDTRKVAQLSPRKTSRMASSALKPIAAA AATTTAIATPNGSTGEKAPETNGHLSPPTPSLYYGWSWRDFSRSPSPLGLIPIHRHWR TFVHKHEVPRKALHVSIGFFVVWLYLSGTQTYSVCPYLMGALIPIAAVDVVRHHYQPF NRFYVKVLGALMRESEFSGYNGVIFYLLGAWTALYFFPKDVGVMATLLLSWCDTAAST FGRLYGRYTPRLRRGKSLAGSSAAFLVGVGTAVYFWGWLAPTKGPFPGDENFMFTGTL RLPQLLADAAGLAPAKATISGGLALGVMSLWSGFVAAASEVVDLFGWDDNLTIPVLSG LGIWGFLKVFG QC762_605170 MCCPNPRRQKRTRRMPAAEWPASSYTVCWTWPVRDCLSCLRPLC KAGGHLS QC762_605180 MSHYSTSYYPTTTSIPIPPTKSAYQSPYSPYYPPAEETGTYSVS PPELTDDGHSLVSTGGGSYSVGPSGSVSSGSDYYYGGGSGVGGDVAAGNVANVDLNEY MAERFSGGVMDSVGGVMDGCTVRQAKASGHLNAKHRELLELQAKAQARLAKTRARFAQ GMEDAREVREDLEWTQRKVASLKTKASKKHTKEYTKARARYPSPDY QC762_605190 MSDPSGISLDGGDHHTEQNDPQQDDESAEMARLMGFSSFTTTAR TRRPRPSSISDEHPPSKRSRSDEGSAHPQAPDGTHPAPSPRGGPTRASCSSIIVTTDT DVDISPSPTGQGSDPFIKGFRGSTITAERVNHDEDRHDAAAAEEGSNSPNQGQRQESG SNLTTRSNLNARGRGNFGERGGRGGARGGRGGGGHNPNWYIDYYDSRSNENPWEPLEK KNGLEPVGTWPVRKPYQPKGVPQQQQEQQSQEHPDQQQTTQPETTQIGPEPKAPEAVA EAKAEVFLAAAT QC762_0091480 MGRVEWVTDQVRERKSMVGEGVVVVGEREYLEGSWRVMGDIFDI SAGCGYTHRAARAFPWLARDPQG QC762_0091490 MSPITLHEPSKYSLSPTTTTPSPTIDFLSRTWSVTHSTLPMWRK ARNVRITYSPLPQPTPGSPLKISDLVEYEPLDGSSLKNVRGVDTASVPNSLTSWDWRG SSFLFFVTSHWEILGYGEVPETGERWVVTWFQKTLFTAEGVDVYSDRKEGLSEGLKKE ILEALEKMEGGVGGLCKRDMQEVKVELPWREQ QC762_605210 MPLHLLGKKSWNVYNTANIERVRRDEAAARLKEEAGEQRMQEQD AERRLAILRGEVPPPLPGPDSSTEIRSSVREPREPRKKRKRQGEDDTDFELRLARERQ EQQYQQFQSLAPPTTLNTPNDLVDSKGHLTLFMPQQIHAKHPDVEKEKKQQLAEQAQL RLGPGTVAGTGDAWYATPDDQILSVVPSKNVFGKDDPNRKAREAARLVSSDPLAMMKS GAAKVRELEKERRKVNEEKERELRELEKAERRERRHRHRDRSSDRRRHRDRNSSGERR HRERSRSRDRHRSERDSRRCRSRSPSPKRARHEKGGHHSERSHRDRNRERKHTRSRDD TER QC762_605220 MDPRSALFGSGPRGGGSGSGLPGRNVQQQRPPQAGYPPQQQGGY GSSQQAGYGQAPPPRQQPGGYGQAPPPRQQAGGYGQTGSGRIPLRLAKVEDKTLQSQY IFGNLCAVSPRDFPPNRENTDVYIRLTGPQMKGGGDFVVTARPVPGFPDGCISLSDPQ RTWCGVGLMDSLEGEVYDPFARSAQTYLGSIDVEIGFASLRKVVDAPYDQDELAEQFI AQFQNQLFAPGQKLLMDVKNVPLAITVKTVTLTDLSMQSQNGEEPPTLSDPRARGILH KHTSIGFYKDASSPLKLKASNKRPAANAIISPDFKFEDMGIGGLDAEFSTIFRRAFAS RIFPPGLIEKLGIMHVKGMLLYGPPGTGKTLIARQIGKMLNAREPKIINGPEVLNKYV GQSEENIRKMFADAEKEYKEKGDESGLHIIIFDELDAVCKQRGSGAGGGTGVGDSVVN QLLSKLDGVDQLNNILLIGMTNRKDMIDDALLRPGRLEVQIEISLPDEFGRSQILKIH TSKMKENNVMGSDVDILELAARTKNFSGAELSGLVKSATSFAFARNIKAGTTASVSED VVNMKVGMQDFLHALDEVKPAFGTDDSELEDVLPFGIIEYSRGISHILKDGMLYVKTV KEQPNLRVMSVLLHGPRSSGKTALAAKIAQLSDFPFIKLITPASLVGYRDELAKKDYL HKLFTDAYKSPLSLLVIDNIERLIDWVPVGARFSGSILNTLVTLLQTPPPKGHRLLIL ATTSQRSVLEQLDVTTAFDNQIPVPAISDLGELEAVLGQVGAFDGRHGRIVQEIERAT GSREVNVGIKTVLTSLETAKLSESPEEWFVEQISGQIARYPGV QC762_0091530 MAGHATSRVCIVPSQLPPHQAAPATPPVDAFSAASPSVIMNPSV DAAVSRTSCTGTPPVGGFHIRPPFL QC762_0091540 MPSTRKIGRAIPPYLDSNTTIHLTATVRNHPGPSLISALDLAQK QLRKRSLDDLQDLCPK QC762_605230 MELMELVDNEPTARPFQCDWDGCGKSFNRKSDLQRHYRIHTNER PYQCNQPGCGKSFIQRSALTVHIRTHTGEKPHQCQHHGCGKRFSDSSSLARHRRIHTG KRPYKCAHDGCLKSFCRKTTMVKHQRRSHQRGIHSSELDDSMSDTGSEDSPSTPKSHS AMPWATAQQQHHIPMMGPHGHHLQRAASFADFGQHMNGYSLQQQYNNQHRHSLSSGGA AEYHGLHQDPHPQAVHHHHQQQQPQPPQHHHHQNVPVVLQRTSSLPHHSYFVADSNNP GVATMNTNPHPGAPQVHHHQHAPQYQTIPRQAPVEMTYPSAPGLAASIQSSPSSFSAG SGRSPSTQEGFYTHAPPAQAATYALHATSPVVETQQQQQQQQQQQQQQQQQNMYPPPQ QAQAQPTSQPQEQKYYEPQPVREEEPQQNWYSGAHAVYQPPVEVATIGGYAAGGVYDP WGTGPKLEFDDGMQLPSARIESM QC762_605235 MHIRTLLLSALPPLALAHGNHGGGGEGGSQKPAVDPHANWMTRH MAEEHHITSFDPPSFFTLHDFDSSGHLDAPEILKLYGLLDPSNAHYTPAQRDAFARQI LDLIDTNRDDLISKDEFLHYLLVEGKELPDLGTGPGHHGDDEYEYEIHHWEKYHDENT KLEDLTHPEDIEHFKKHEEMEREEEEREEREKKAREQGGEGKGWVVEENIPGKFRRVD L QC762_605237 MTDTVTTQHLKAQAVDQPSKKKESPISLAITPWLNSLPSTLLDL LKQNTGASTLEEVQQNLVGSAPKRWVVYEPMVLLPSGSFTSEPWPSLLTSLSTSQKED LWTSILDQLSPPKAPLTHLAINEGIPLLQSDQQEENILRSPTGLHPLHGSFGSPTSPS FPSSLWVSTKQNSLTQIWAPLHTMFSRGNIKEKARLLSFHSTIPLGSPPLHPHRYLPP ATLNNSYAIDLYAGIGYFVFSYARLGLKVLCWELNPWSVEGLRRGALANKFTVKVITT PEDLGRPTKHLIAGAEQQIIVFQEDNRHAARRIAELGEEEKPKVRHVNCGFLPTSEPV WKDSFDILVQGFVAPSSSSKQEGGWLHLHENVGVKDIETRKAQIQGLFDGWCSESQDA TLKANVEHVEMVKTYAPDVWHVVFDVYVSSSIIN QC762_605240 MLSTLTTAPAKQSVSETIPILSGRLSTATLLEDRRAAILGLRSF AKQYPASVASGALRSLIGSLDRDGEDVDTVKVVLETLLYLFEPDPDSPEASPEIAMWV ADEFTMRHENIGLLLGFLDRERLDFYSRLYSLQLLAAVLSARPERTEECIVNAEDGIA RIVAALDEQREPIRDAAVGLLTDLTPISTVIQGLVAFENVFGRVFGIVRTEGGLAGGA EVVVNCLILMANLLRLNPTNQAMFRDMGYLAEVSRLLKDAYGGPQDLEELPPRIEELR NRSVFALLAVIRLFLVPGASVTPQNQEAFLGDLLQPERGRGGRTSRARGDPILVETLQ IAFSPVAEISIKSEALLACADMIRGHAPAQEVFAGIQVPSPLADLAAANGQGPQANGG IPRVYVIDGLLDLVLAVNSLQAFDLRLAGCVCLKAYFYEHAQVRMHFLDHAIRAHQAN ADQLTNVLTILLQPTTESLTGDPYKYWFAAVLMLHLLRNNPEAKSLARGVTEGDEANG EEVVTSIQTIAAHLLSCVAKSEDPRVIVGYLMLLLCWLFEDLPGVNDFLDEFTNLQGL IQAAIENPHGDVTVQGLSAMLAGVIYEFSTKDSPVPRATVREMIMTRMGRDRYVDKLS KLRSHPLMRDYEVLPQKLEPGLDQKLPDVFFDDAFVEFFKDNYSRILRAIDREPDAEV SVVINGVEEGVSRKLVDELREEIAQKETELRDLLADREALSKQLSQEQAAHAQTKTLQ STDLARTNETLTALRAQLATKDRAIQAAEAQKANVVKQLTAEQSEHQRARADLARATE TANTLRTQLAVKDKTIATAETQLTTLRQQLAAAQGSAQQASAEISRLKAANDALQRTH GDEIRRVLVEHEATEENLQRQLAAASKTSQEETERIRRELEAGRKSAEQEAERVKRRL EGEQADLKATISRLEVDLMKAQAEGGKKVGELEGRLKKEGEMREKAERRVREVEEGGK KERAEKDKELKKVRGLVEEKEKERKAAQGELDDLLMVFGDLEEKVNKYKERLKALGET ISDDEDDDDEEEDVEDDDDDDEDEDEEEEEEEEKGKKK QC762_605250 MGIPAAFRWLSTKYPKIISPVIEDTPITMDDGAVIPVDTTKPNP NGEEFDNLYLDMNGIVHPCSHPEDRPAPKDEEEMMVEIFKYTDRVVNMVRPRKLLMIA VDGVAPRAKMNQQRSRRFRAARDAKEKEEDKEKLLKMLQKDKKSTVQVQPVEEVVQKA FDSNSITPGTPFMDILAASLRYWCSYKLNTDPAWAKIKVIISDATIPGEGEHKIMEYV RSQRNSPGHDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFAQDARPRLCKLCGQ KGHDAANCRGEAKEKEGEFGEKDRAAPLKPFIWLHVNIFREYLAVELNVPGLPFAFDL ERAIDDWVFMCFFVGNDFLPHLPALEIRENGIDTLVAIWKDNLPSMGGYLTKDGHVDL ERAQLIMAGLAKQEDAIFRRRKETEDRREAGFKRRKLQQEKQQQRNGQGQQDSPSYRK RGQPPPETFAAASMNLISVSNIQKPAAHSITHDMVVNRQAVDQANVANKSAASVLKSQ IQSLMNKPKEEAPAPAAEEVKEPVAEEAQPKSPPSALGKRKAELITEADANSPAASSG AETPTSGEEEAVDTVRLWEEGYADRYYEQKFKVDPKDIEFRHKVARAYVEGLAWVLMY YFQGCPSWEWFYPYHYAPFAADFVDLGKMTINFDKGRISRPFEQLMSVLPAASRHAIP EVFHDLMTNEDSEIIDFYPEEFDIDLNGKKMSWQGIALLPFIEMPRLLKAMEPKQKLL SEEDRARNEPGKEVLIISDAHPVYDDITQKFYSKKPVGDKVEIDSALSEGLSGKIEKI EGYVPHGELRYPLERHTFPDVDFDRTLSVHYELPSSSHIHKSILLRGVKLPPPVLDRS DIEILKGKGRNSGRGYGGVPFHGNGGGGRGGRINYGPGGNNRGGGGGGGYNNHYRGNN NNNNQSQGYGNGYGNGGGYGGGQQQFPPVPPPGWQPPVPPGFPGFGQGGPPPAPPGYV PPYQQGYHQPPPPNRYAMPPVPPPGAYGGGGGYGGQGQGGYQQGRQHDQYRPQGQHDM YRPPQGGQDRRRDGGRGGGGGGYRDNRDYRR QC762_605260 MNGDALVGSLVERLSSRLPHRTGSPTSDFAQDEVVLKTRSTLVN LSALGSIALVLDALLTVLKEQAQPFRALLSHPPHIILSELYILKLIADCCASHWYGSQ NGPITCSSDGSRSGTSSPTLAGEGPLSPAQRATFYPPESLSESLLARVFEAIKVLFEP IPENYILPAKTILDDTSAKHITLPTPEEPTRTPLSTPSSEPPETSRLLGAQAAAIEAH IKLIVEYVTASSWPKAFEYFRNVIYAVRANAPPQGTPIPNAAAAEEERSALIMLRLVS FFWVDAQKLSLVIQEFCSSFLHFRKSFQNTVAVVTPLLITRWLDRYPEEFIAIHSIQK RRENAPDTLFDMTQTVVDNGRRRAVLYPMQMTLLFLLPDVFEVASNMREAKSGGMAKK VQFLEGLRKALRNRNEQAAYCLVLLLRAARHFDAESDSALVSYAMDVQDEVRDAVFRR FPPGSGEGGGMFEQDVMTAAFVSLSHLNFESCVDSLALTCLAPSAPHSFKITVIQACA YFARLEERGRYQPLFSAASAFIQGQLHTMSELLHEGYIEDQVGQRKVLESGGMVSMVS NVLNFLDASPMTLFEGPPTEKSERDHFYEENLEALISCIIASDEGIRRLATGVARRLF GDEKFMMTVRASKGISSTGFKTNFWRLTSLILILICDRARWPSTADGLRAVQGYLESR LHLLTSIPELGKISEDVPERTAASTKLETLFLLSLCSADIEICQIVTSCIGTFLEECR LIDTTPATAKSSLTLLRNGEVFGEISSRDFRFTGLVAFQKRIRSLLRRMQYPSAGILD AWELVFERWLHLSKDLSLMAVEGGQERMVAEWRNYSGFLASLGGICTAEQAINHEEPS ISGLRWIDRLSSVNHEEPLLSQYLKLSVQLLACANVRIRETIREVLSTEVPPSLYQPL FKALETQLDVLFTGAMEPSVKGQDNDIIFAEQSSSLLKALVERLDTPTDLGAASSLHL GALSLNFAKFLDGVPDTPSCLRVKIKICQLCEAVTKRKEHLNLRDDVRNRNQLLEYIF SWIARPRSPRGDNTGYGSNSSRENEMVRVQRDLDRACLRALAELTYRLPLQPGDGQTD AGTSELKSQMFHQYFNRFLSLLNMDSASSVEFGSTHRGHQFTKDADVATPADLAISIL SNLLSANIDVGLKHSLSIGYHENVEIRTAFLKVLHNILVQGTEFNNLSDAAVSEKYDE LIELLINDTSLATAMSAVCPSHDMDELTISLLNIFETRGLTFVLLEALIKQEVDDTES EPELLRRTSVASKMLSIYAKWKGVGYLKGTLQNVVERLMLTSRDLNLELDPTRVTSPE ELQTNAEHLQIVAKVFIDNICASTDSMPATFRKICNIISTTVVQKFPDSKYTAVGAFI FLRFFCPAIVAPEAEGLVSTPPSKEMRRGLLLIAKVVQNLANNVLFGVKEPYMFPLIE FLTNNIYRVTTFLREISAPMSNFENPPSGESFDFGSCVGLHRFLYEHWDQVRLRLKAQ ERRELVRSPSGTTRARSPVLEPLRNLIMNHLGPTPLAVTWNRPQISANSPPAYSRFQD FMLRNAFRSTESFLTARAVYDGGESKDGLSIICIILRNIDAESIDYDTLIYCYLKIAS RLWHRPFGLLIDATCYNGQTEPKDELFQKLEQLTPTELSKQLARIYIYNMNSACKKCF RRVLRISTRNEASVFSPNNVEYHLIGSLQDLQAHFHLSQLHLPKETISVVTDTRYVFQ PITRLSKSKGKIDVVIKVGSQFVQVTTTKKQDVPGFRLNTTVNDIFRLGDVDEAPTSI QTEDDSAFGLRADNGKIVMYFTSPKKADVLQAIRAAKAKYGKDTRALKSFDRLIRPQD VPGTLLNLALTNLSSGDPRLRLASYNLLSALCKAFKFSAASMLMSGKDISIPPDPSRF IVGISKKLAQAEPQLTSDFLNEFFVGWDSFSDEQKPLSLEYMAPWIPSLRTSLLASES ESDKGREKIAALFRKLIDITLNDPTLMNTLGHSVWPVIQRDEVLLDILLDEIIKTALS IGFMDEQTEVLASVASQIGTITLRARFISRLRKALNRTSLRPTRSLPDNAVWNEICVL LQFCKALAFDSGVQAQMYLAEIFHIVTMLANTGMSDVRVTVHQLLTNTLHSACTHFEL DEARQGKMRATLEMLSDSKSEIFVNSVMSLRDGASISTNQEAGSTLGATESLAAILFE TCSVAAPSVDLANAWRSRWMSLVASTAFQNNPAIQPRAFTVMGCLAREEVDDDLLYQV LVALRNSVGRFGDDTSSDMLVAIVTSLSKMMAKLPSASRYGLQLFWLAMSLLRLVPPN LFNCTAMFLESVLTNISTSGDMRGEKMVPYLLQGRVQLEEAALHLDEAYGIHFNAENF HFAACACLVRGLTDAFTRTTALRVLSTFLEMTTWNDPAPPRGNPLSSSFSTDRSIRDL AGSPYMALMLARTVSIDELRENLWSVGINPSGLSDLVSLRSAQDLVLLKDKDLLLNTA IELVDFQYLDDAVQYRSLQWLNEVTRERPGVMGHLFGHVGWLLDDIFKHCQSSTTLEA AHVLLQTGLRFSTQEQRPDLGETLEGMGFGGCGGAAGVVLGVGEGLGLEGDGMGGMMG EGGRGGVLG QC762_605270 MLQTPNRTTTFPHQQQQLNTYTQHLQPGPYDRMRHTATPFHADV NNSAHDIDLDSELNYQSLSSSPVSTQSLLSQYSGVGSSPLSTFDSIPTPTLGGLRGDL EGSLFEYSPQSNQATLAESSASLFPNLDLMQTDTWMPNGLTPRSVGRFSHHRESSLSS LGSNGPASPYSHNTTNPQIAVTDSLGEYHGLPSQEDFNYQLAPTKPFPGVTHDNFYAN LGTYASAGPTAINYPQVATAPKRRDDRGLLQPSENPIGSNRSQPVSVASSINSDSPAT PAGDLEEDRRNKNAVMHTVPKLDRTLTDVYADELYNPDFTLTNTSASQLPVSPTNDLF VQRIQAANNQHLTATVNSPASATPRDRSPFRHGSPHAPLPVHDFSSMGASQARFGSAQ QMREQSKALQDARVMQQQLSRAASATTPPTTISPKDAMLEFHESDGDNNFPLFPPQSS TFNVDAITKATSAPSQPAFNGLSLDTTAMFNNYLASGLPNNIQVPQQYPFIARPQPRQ ESAVPSLSNASLNTSRVSSVDTGLDSVNSPPKRPADVSADGGTYTCTYHGCTMRFETP ALLQKHKREGHRQAHGLNGSRQPSGMTSSLMSQAGPHRCDRINPSTGKPCNTVFSRPY DLTRHEDTIHNARKQKVRCNLCTDEKTFSRADALTRHYRVCHPDVEFPGKQRRRAHAS G QC762_605280 MTSSTGSGPTRISILGKDDIIVDHGIWLDFVTHDLLQNIPSSTY VLITDTNLHDTYVPAFQEVFEKAAGQDARLLTYTIPPGEYSKGRETKAEIEDWMLSHQ CTRDTVIIALGGGVIGDMIGYVAATFMRGVRFVQVPTTLLAMVDSSIGGKTAIDTPMG KNLVGAFWQPRRIYIDLAFLETLPVREFINGMAEVIKTAAIWNETEFTALEDNAPAIL EAIRSKPTGTGARLAPIRDILKRIVLGSAGVKAEVVSADEREGGLRNLLNFGHSIGHA YEAILTPQVLHGECVAIGMVREAELARFLGVLPPGAVARLTKCIASYELPTSLHDKRI VKLTAGKECPVDVLLQKMGVDKKNDGRKKKVVLLSAIGKTYEPKATVVEDRAIRIVLS PSVRVTPGVPKGLNVSVTPPGSKSISNRALVLAAMGEGTTRIKGLLHSDDTHYMLTAI AQLQGATYTWEEAGEVLVVKGRGGKLLASNEPLYLGNAGTASRFLTSVVALCSPTDTT TSTVLTGNARMKVRPIGPLVDALRSNGVAVKYLEKENSLPVQVDAVSGFAGGVIELAA TISSQYVSSILMAAPYARQPVVLKLVGGKPISQFYIDMTIAMMASFGIKVERDAEDPN TYHIPQGSYKNPEEYVVESDASSATYPLAVAAITGTTCTIPNIGRTSLQGDARFAVDV LRPMGCTVEQTDTSTTVTGPPVGALKAIPHVDMEPMTDAFLTASVLAAVASGTTQITG IANQRVKECNRIKAMKDELAKFGVHCSELEDGIEVTGKPYKELANPEPIYCYDDHRVA MSFSVLSVLAPHKVLILERECTAKTWPGWWDILSQKFNVHLEGEEDPTKKCTAKSSRP STDRSIFIVGMRGAGKSTAGRWMSDILKRPLIDLDVELEKREKATIPEIIRSERGWEG FRKAELELLEDVIKNNSKGHVFSCGGGLVETEAARKLLISYQKNGGSVLLVHRDTDQV VEYLMRDKTRPAYSENIREVYYRRKPWFKEVSNFQYHSPHHNGSEEAPEDFSRFLSVI SGSSTHFEDVLAKKHSFFVSLTVPNVAKALDIIPKVVVGSDAVELRVDLLESLNPEFV ATQVALLRSAAKIPIVYTVRTISQGGKFPDDDYKRALELYQIGLRTGVEYLDLEMTMP EDVLQTVTEAKGFTHIIASHHDPENKLSWKNGGWIPFYNKALQYGDVIKLVGMAREVS DNFDLTNFKMKMLEAHKKPIIALNMGTAGKLSRVLNGFLTPVSHPTLPSKAAPGQLSA AEIRQALSLVGELEAKSFYLFGKPISSSRSPALHNGLFAQTGLPHQYSLFETDVAADV KDIIRSADFGGASVTIPLKLDIIPLLDEVSDAATAIGAVNTVIPVSPEGSDKTILRGD NTDWMGMVFSLRQAGIAPRTKTNPGAGMVVGSGGTTRAAVYALHDLGYSPIHIVARSP DRVKAIADSFPEDYNIQTLSTPEEVKAATDALPTVVISSIPADKPIDQSMREVLVASL RHPAKSEKEPRVLLEMAYTPRHTPLMQLAEDAGWKTIPGLEVLAAQGWYQFQLWTGVT PLYADARAAVMGDSE QC762_605300 MASPTSVLSKTLQSITRSKIRELESRHKSYEARKSDLLAQVDAA TEEQDRLSLLLDAFRELYPGAHGDVLLHNVERWIAQSRYDASIPVSKLMSFGRELREK LDHQSRRLNMAHLYSRLLTEWMDQPPAVSSPAAADEGLHDSFELVERQRQRLSELVDK FESVVFKPLETSDAEIRKFLDRLFPDEKSLKALEELRKTVAIETTSFMSQASPFNQKS LTTCINGLLTEDILSDEKQAILQDFLGSDVALAEIADVLNARFSDIKQWQWDAGKDGI RVIPRAGLNGKYRVWADDDILQMIFVQYIGVRLCNIIKPALKIFMWAVRQRDLDTNTV PTAAESERRRYYLSEYPTSHNVEDMRMEDYMETFFLSQLPSTESDMNRYDDDSDGNDD GSVQDRNTSTSKKSNIKQQLLRRLTTELLIHRLRGVTLENRHEASNPVALVQTDLQWY GTGLSHTTIYSLMRYIGFGQDWISFFKKYLEAPLNLDMASDNRPQLGPRTRKRGVPMA HASEKLTGELVLFFMDIAVNRETGILPYRLHDDIWLLGEPTRAAQAWECMQLFAKVFG LEFNRSKTGSVYLPGASNRDTDVSDILPAGPVTIGFLQLDPETGRWTIDQKLVFAHVD QLKKQLDECNSVLSWVQTWNSCIGRFFSHTFGEPAFCFGREHITEVLDTYTKMLARLF PAENGVQGSVVEHLKAILRDRFGVSDLPDAFIFLPEQLGGLGLRNPFVSLFLIRDSIT KTPDEILDEYLEWEHASYLERKNVFAKEDDKYRYRRLVNILSPEEVSETSAVKESEQD VFFSFDEFCRFRERTQTKYRSVYEELQTVPRTEDIHLSADVSRKLKAFLASVEIDSEK KWFLQLYAEELLRDFGSLTLVDKQFLPVGVLELMRGKKVTWKMVL QC762_605310 MVKDANEWPSPADSGVMDGGVTVEKKGPTGGDAESDQQGELQRT LSARHLNFIAIGGTIGTGFFLGSGTALLKAGPLGCLLSYLFVGTMLWSVMVSLGELST YIPTAGAFSTYATRFVDPSLGFAVGWLYWFGWAITYALSLSASGLIIQYWNEDINIGI FIAVFFVIFTLVNYLPVGIYGELEMWLSSLKVITILGFIIFAICIAAGAGQQGVIGFK HWREQGPFVEHLVSGGVGKFVGFWAVMIQASFAYQGAELVGVAAGEARNPKKTVPSAI RTTFWGIMVMFVVTIFLLGMIVPSNDPTLKDQADNGSTNAKASPLVVAADLAGVPVLP HIINAVLLTAVLSAANSNVYSGSRVLLALAEEGLAPKILTKTNKHGIPIYAVAVTSAI GLLGFLNLSPAGGQEAFNWLLNISGVAGLTTWGSTCASLIGFRRALKAQNVPVSDLPY ISKFQPFTAWYGLFFNILVALTQGFAVFIEWKTSDFFAAYISLILFAAFWIGHKLWYR QGFVHPAAADLFRGRYDSHEERDAADGKV QC762_605320 MAGPRSLHETTDDEHSPKSGTPANVSAASLAAEQRITGVAILLG AVASIGGFMFGYVSGQISGFFDMEDYGRRFGEFNVLTGKYDFSAPRQGAIVGLLPAGC LFGSLIAGRIADTLGRRMAISVTAVFCCVGNIIEISSSSSWAQFAVGRLVTGFGIGAL SVAVPMYQSESAPAKIRGVLISCYQLFITLGIWVAEMINYGTHTMSNSGSWRIPNGLS FLWSLILGVGILFLPESPRFAYRVGREEEARRSIARLAGLDERAASVNQQIDEIKAKL DEERAGAQTSWYEIFTGPRMLYRTLLGITLQAGQQLTGANFFFYYGTTIFRATGLSDS YVTQIILGSVNVGCTFGGLYIVKKCGRRNALMGGALWMMVCFFVYSFVGRFKLDPINP ANTPTAGNVLIVFSCLFIAAFATTWGPLVWVVTAELYPAKYRAPAMAIATASNWLWNF LMSFFTRFITDSIGYLYGLVFAGCCAALVLIVFFFLVESKDRSLEEIDTMYMLHVNPI TSAKWSEDMVPSSSASDEKQTAHMEKSDKQIP QC762_605330 MCLVTLILAALTLQAHQTLAQKPTRTLPTLPTWPTPTPDPGGPL PASCTYRPTATWYKTSGCAVTCATSIRCNADFPVIVPCGCTRASVAPTTTSICPTASD CQQCTTSWGIYVTTQSNCASNARVTGRAEANDAHRWKEEGMARYVRPLGA QC762_605340 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G QC762_0091690 MADKVVLPLLPVRILGRSKKQKKTKNRIWTSARKKTGASPSLQA LENRDASSSQPSVEKQLQGGVKHAVQTPHKGPCLPLGIHRAGASGVPQKIRPSQKSNR TLTLVSLPAAQKKISLPRKSDH QC762_605350 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHQRYK PGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVESYL VSLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERN QC762_0091710 MNISQPVSSTLDSVDFQFLTSDEIRAVSVKRIENPVTFDTLLNP VPGGLYDPALGSWGDAPCRTCGLNQAQCPGHPGHIELAVPCYHPVFMDQAFRFLRSMC IYCHHFRTARREIHRFACMLKLLQYGLLHEAQLIDAISESELGGEKLKSMRLPDIPNM DDEAEDEGSTTDVTMRAREAYVRQVLKEHRTRLSAGDIKRAKHEGAAEMRRALLKELF ATLVKEKRCKSCDGISPTLRKDRYVKIFERGLSSKDKATMAQAGRKSRDALTMTNKNK KDADGEDEGVADMESEQEPEDEGEGDSLDEGGDVAMKDAETETQTKSKTKASAPQRFL NSMEVKGRLDLLFQAEREIMSLIFNTRPPTKASRSKPITADIFFIHTLMVPPNRMRPE ARMGENQITEAEQNSLYKKIIEKSSAVGQISREIALGKKAVVQEGRRPRDLNHLYQAW TELQEAVNSLMDRDKNPIQGNAGKRNEEGIKQKLEKKEGLFRKNMMGKRVNFAARSVI SPDPNIETSEIGVPPVFAVKLTYPEPVTHFNFKDLQQAVINGVEKWPGAAAIENENGQ VVNLRNKSLEERVALANTLLAPSTNSFANLRGKKVLRHLTNGDVVLMNRQPTLHKPSM MGHRVRVLPGEKTLRMHYANCNSYNADFDGDEMNMHFPQNEIARAEALQLANTDSQYV SGTGGSPLRGLIQDHLSVSVILCNKDTFFSKGDYMQLVYAGLRPESGHITGEKILTVP PAMIKPRPLWTGKQVIDTVLKNIKPANCGDLWMQSGTKIKARSWGDHSPEEGEVAVRD GIFVHGILDKSQLGSSDGGLVHAVHEVYGPGVAAKLLSCLGRLLTRYLAMVAFTCGMD DLRMTPKGEQDRKELIKEAKHIGLEVAAKYVSLEEQKPTKDDPLLLERLEEVLRDDKK QEGLELLTNQRVAKLSSEITRVCLPAGLEKPFPHNHMQSMTISGAKGSTVNANLISCN LGQQTLEGRRVPVMISGRTLPSFRPFDTDARAGGYIVQRFLTGIRPQEYYFHHMAGRE GLIDTAVKTSRSGYLQRCLVKGMEGLAVSYDSSVRDSDGSVVQFLYGEDSVDITKQKY LNDFEFVLRNLDSELPQLRFHEDGTQALFENKDEIIKRMKSAIRSIGTRNPQDPVTSK LEPSRYGFATSEKFFNHMMDYLKTNKHGLIKEKGSKEKAGQISRKTAEKILAAKYIRS LVEPGEAVGITAGQSVGEPSTQLTLNTFHLAGHSAKNVTLGVPRLREILMTASANIST PSMTLVLNEELSEQDGERFAKSISVLPLSHVTKDATVVEKVGVGIAHKVAKTFDIRLR FFESEEYSKMYAISISDVLGTVEKKFIPLLCKIIQKELKKIDKQKGSASAPEIGVKVG TIETAAATSSGDREARGGDDDDDDDEEDDAGGAKRRANRSEAVSYGPNDDDDDAIQRQ LQRETEEADDGEDDDEAYGGSPRPERRRDDKSLEAEAASRVKEKNEDVASFKADTVGG EWVKFTLEYPASMPKLLMLNLVQEAVNKAVIQEIAHIGNATLEPIKKKDPATGEEVIK ELLVHTEGVNLRAMQLYADYINPNKLQTNDIAQVLEFYGVEAARANIVRELSGVFDSH GIAVDKRHLSLIADYMTRNGGFSPFNRIGLTGNVSPFTKMSYETTVGFLKDAVMDGEW DPLMTPSSRLVVGKLGGLGTGAFDLLTQMATKPKITLFVDTVSPFAYAAYHILRNDPI FRNVEVEYIPIFLGGLMHKCGNTAPIKIKNKDKWINLERLRWSTLFSIPMFPGLPPDF PAPSLPIMRSLATLSPAQLTPALDLLFKKHWADGVATHKPEILKETLVELFGEEEASK VLERAKTVGKEALIRNTDRAFDEGAFGLPWFSVTNAKGEREGFWGFDHLGQVVGFLGL EGELKTARRGEGSKGWRAVL QC762_605370 MDRNQQMGGGLGPRHDPSSRIQKTESAADRLAALKARVANAVGS SKAKGGLGLNTPLHPALADLGAPIKPADSSRSAHGQRPVPDSSKNSKPKPFGMPGSSN DGPRPNPYLEPSHGPTGKARESRQLIFNQKGKYIAQAQALRRQAQLEEMKKRIADQAR KAGLDEDRDVEKAFVVEAPPDLEWWDEGLIDGKDYSGFPDSLKIDTPDSIITLYIQHP VAIEPPQEKLAHEPKPMYLTPKEQAKLRRNRRMADLKEKQTLMKLGLMEAPPPKVKQK NLMRVLGDEAVRDPTAVEARVRKEIAARLDKHLEANEERKLTKEQRHEKLAANQAKDA AKGIHILVFKINSLANGQHRYKISLNAQQNGLNGVCIMHPKFNLVIVEGGEHSINNYK KLMTRRIDWTEALPSRERNVQVGAYNTATQATVREWLKPEDEKGQLKDLSGNKCVLLF EGETKAQAFKKWGSKVFETDQEAREFLARVKMDSFWTQAKNTPS QC762_605380 MTYGSCYPFKPLQVVRDIQCRPAGSLGLSLSHLLALFQTRMIAY LARPSHRRTPRAPGRGEGFERSPTWYIPTYRTDNRTDEHRRYTPSPIWKFPQAFGGDI LVLVLCCCAVHRV QC762_605390 MDLTPPALTRSPSTPAHTYCPSERSSLDYPSPGLEQQYKISSLY GEPSCAVTPPMDTDNSLPPLDSMSQSWDNTVIHHPMSSASSMPSILSSEYESFNSYSY GHDVYHSHPSHAHSIHSSTPPPTGSAPRSPAIQPRSMPYTPATSVPGSMTPRVKMEGT PSEYGHMEASQYPSPRSVHTSFPSDNGPYTGSSAGYLSDSGSSATWHKPDYHPVEPDQ FYPGVASGPQASSFMYDAKRQFRVQRPRRAPRRLTTKEEANFVCEVKGCGKMFSRSYN FKAHMETHDEKREYPFPCQVTDCNKKFVRKTDLQRHHQSVHMKERNHKCDYCSRMFAR KDTLRRHMEDGCSKRFDIGTLDLRAESFDPSSMHHNRGMGPAMGHMIPPPGGLPPMAI PPLCSTSVLGSMQPAMRRDEHHHSQVHPWGR QC762_605400 MEFFIDDLRVLFPYPRIYPETLDAGGNCVLEMPSGTGKTVTLLS LIVAYQMSSQEKRPLIYCSRTMSEIEKALVELKALMKFRAERLGHEEDFRAMGLTSRK NLCLHPDVKREKSGSVVDARCRSLTAGFIQEKKRKGEDVDVCVYHDNLDNLEPHNLIP NGVWSLDGLLRYGQKHTQCPYFTARRMMSQCNVVIYSYHYLLDPKIAERVSKDFSKDC IVVFDEAHNIDNVCIESLSTDITEESLRRATRGAQFLENRINEMRDSDQQKLQDEYEK LVEGLRGNDETREEDSFMANPTLPEDLLKEAVPGNIRRAEHFVAFLRRFIEYLKTRMK VRQVISETPPSFLAHLKEYTFIEKKPLRFCAERLTSLVRTLELTRIEDYQPLQEVATF ATLVATYEKGFLLILEPYESDTAEVPNPVLHFTCLDAAIAIKPVFDRFSSVIITSGTI SPLEMYPKMLNFETVVQESYSMTLARRSFLPMIVTRGSDQATISTGFQVRNEPSVVRN YGSLLVEFAKITPDGIVLFTPSYLYLESLISMWQGMGVLDEVWKYKLILVETPDAQET ALALETYRTACKNGRGAVFLCVARGKVSEGVDFDKEFGRTVINIGVPFQYTESRILKA RLEFLRETYRIRENDFLSFDAMRHAAQCLGRVIRGKDDYGVMVLADRRFKSKIQQLPK WIHQALLGADTNLSTDMAVSNARRFFKMIAQPFRTEDQEGISIWTLEQLKEHQRKMQE ELIRELQATEEKRVNQQQQAQQAQQFISARERPDSDYEMDEDDEAELMALDP QC762_605410 MDPAATEQKRNNARQKLMQFILDIGSKAFSPDVPESSRVEGRKE WDDAIQRIKTFNLIAALNILVRPGHAPAWLRPKLMEALTLIPLRPDGVRATMEFVFAV HPSSTVRVSEAAVPQKRGANITHEALEMASKLLSISSSSVTPEIWYSSIAPQLLVLLD GDEGPELTRTASYIIGFGILGRKASGAPGTAGWKCLAEPLLSAIKPSPNAQPPSTEDD DEIVDLSKERVLVEYQDLVTALRRLRSLVVSHPNQGLAKRLLSPLLPSLWTLSTWNKA SSELSDKVCGPASELLKIYLKLSPSPELVVYLIRNLGYVGGYNRQSPEWVYKETGKHE IGIVERKPRAFFIGGAPTAPQVTMEDIETKITTLLDLITTTMSDADIIGAFLELLKRW FKAARQSRGGSVLIKEESEETRDPLEQLIEIKTLQSMMEKVPDKLATQPRAILDLVSE ILASSAAASDEDHNDDEVTGVALSLLNMIVTVPGFQKSRVNPDTLSLIETSLDKLSKS PTDVGKTANNLGLLLLYRDQIEDPSESLLSPAPADRQIEDRKTYSLAISYITASDSPP PVRSEGLHLIGTLITSHSPVLDIPGILVLLSSLISDSDEYIYLRIIKLYTLLAGSHPR AVTNDLLDQFIDAKETYSVDARQRFAEALSQVIERLGETFTGDLAQRVGDGLLSVAGR RAHRPKTEARQAREAKVQERKNKEAADAWGGEVPDFSDPTTPEEKARNEILERIVEGW ESKRGSEDIRVRASALGVLGMGMEVNVRGMGVRVVNLAVELAVSILQVERGVEGGILR RGAVMMVNEFVRALDRVGEGFGFGFGFGERAREDLMRTLGWVRDTDGDGLVRRQAGDV VESLEAWGVRRLVGKGEEDKGMGMLRGLVVNPVERVNPAAGEEKVGRVMIEEVE QC762_605415 MAPREILDSEDDGDGFDDDQEEYGETLVPPNAAVEQQAAAPPAT SAAINNVAATNISTTALSTDSSFFERVYEGQYIAFDLEQPVVATTATAIEMPPSTRKA TGRAKAKTNDAVVDLTTPRRTDVWDFPGSSLPPTAEPGSRRSARKRAQVGVGVGEEEE DPYAFPESAPAAKRTRRSLGAAASPVALVGGVGMYVATSEMTASQKEQHVAVSLPNSF TSEVMGGTLPTLPHGEGQSSSGGTLSTIAYTTPSRIGSSDRRDFSSMDGVGSSVGGGV GSGGGEIDTPARYQSSPDVLNDMAPPSTGSKRKARGKKDMEPPTSTASGRKTKKRRII EDEEDGDFGAENDQSHVSEDISTEVIPQAEPEPQLKKKRGRKKKEPVPLPEEAAPDVE LSELYHPDAEATASEGQVAPLPDMEGEAAPEAVEPEPVVEEQAPVKKRRGRPKKADTV ARTQHVVIEVEEEGVEEVREPLAEVPANTQTKGKKTPARKGKGGRKKKEVVVDSEEDE EGDDGEWGEKKLVEEQEEVAKEKKVVEEVVKETKVEVKKVVKETKVEVKKVKEEKVVA GGTPIKPAGYRVGLSKRSRIAPLLKSLRKN QC762_605430 MPPKHSYTTHCRLRPLSPSPDDQVPAANPQYFYSSPIPIDDPLS AAVGTIPDASNPSSGVQNLRPFSEGDNLSLERAWLGFANAESKEEHQRLVRRCKLGRK VDQEGEVRRRLEALVDRLAKLHIDKHKRESVASAKDGLLGPTSMVVLTEDGGGEEKVV CCQELEIDVAAELRKEFCGLVRRRVGWLGQERVAEGVMAVISKIQGGGAEGQEQNKKG GGGSSRPGTPVPQMVVGSVPRVPGMSGIGVGEELLRGRGLVDGMGESGVATPDERERE RERGTGRRGESTAGTRIAGKGAVPPPEEDTAEVLVGIQRLHMVSLPVLQMKPIYWSPV NDIAAVVRATWFYRDTMIPLPPLVANQLEAGYRELRPWTETWSDELRSALDVGAVGEE KVTHRLWPEHHSEKQRSAKSKDGQHLPPEPPVSSDPFCAARCFSGEAAAEGKLEPVRE EADTAMPPPEQRQYSNHHVIYKNAKEAFLLKPSQQPSAYYSRRPVQKIMKGVTVGVPV VRGFDRAAWEKVHEPKKQQQVKPQPVRQEQRENNSEEGVCQGCQEELRKGQVTDLVLI AHGIGQKFAERVESFHFTHAVNAFRRMVSVELETPAVKSVLRPEQNGIMVLPVNWRHL LSFEDGGPTTGNEEDKAAYAPDGFGLKDIEPGTIPAVRSMISDVMFDIPFYMSHHKPK MIAALVGEANRVYRLWCGNNPGFEEKGRVHLIGHSLGSAMAVEVLSKQPTRVPRPLGQ VPNTKHFEFDTVNLFLLGSPAAFFLLLERGGLVPRRGRMKPGAEAADTVAKDVVGELG MFGCIAVDNIYNILAKEDPIAYLLNGTVDPVYAASLKTAYVPSLKTGWFKGVGDAFRG VVGVGGSSSLESEVGGAVGGTEQQKKPSMMMRLPSQLELEVHDFTREDVAEKKAFLLN DNGQIDYYLRSGGGPLEIQYLNMLSAHTSYWTNLDLIRFLCIEVGRRPGRKNTLPAMR AVKVKGRFGGVAVGGSG QC762_605440 MPVHDTLHEAVDCTGDFLSDLLRSLPLQTFTFSSTFATLTSQHK QFCLLFILLLALVTAVAFTFPNLHSHSHSLFHDCWKSQLTEMPPSKAPTPRTSRNSRG LRNSPFVERTPVVRRSSRRSTKGTTPASTKAVDSFTELPEPATPTPVVRSVNIQTPCP RPGLAASPNRTEPTEQVPTSQATTSLGPVALEPIREEQPPRTPAAASPISAAPEQASI TPVSSYTPTGPSTHTPPAQRKVSPLQYRRIALIKGTPVKPVKKAGPVTPIYRPTRFLT RLVDRTPAPKPPPTPRSEGHETRRFAERREQEDDETEFAKGIYLAIRNDHRMRECWCP IPNIYNSKEEAEAGSGHLRFYISDTNGTKSLRVLQKKDDFNWHCHCAQEYHPVCFELL NKNGKRPAQGSELDLIAQNSGLKNSENVKKVVSTPSEPTGEGEKTEQESRWSMWLQAR GLFGSVTTMINPITNLIGKLVGAVRGNHYETVDVRRTNEQDGTIVVKRFKRHLGGAEE DEVDGLDWVKNPTEYIGAERLERLAGDFVGQATLVQEGKIVTGLTIKDIMQEQLAGQG VIGISVAIFKYQEMLFGPVTAEWTEVERAERFTEAVKRYIRNLLSTIEMMRNIYSPDN FEILKQEFPKPRSGLGLGNNEFRLAARKAGEFLLFFQSLVDLIPMDLDMVKTVGQVIV DFDAVGKKELVPSLVAVAAAPVGTMPGFFPDEKPSVMEEVPIKELDIQPLYEYRYPSP DPSESDSTPGEPGDYRLIAKPRGILKASKTWSVPSTPKYVPTPQKSRKLVFESPISRF IAPSHIPSRVMTAREAELIVEAERKAELLGDEHSLKVLEATMTTNSRHNASQDQFRWS ARGPELEKDDKEMGLSRYYQKYGKFLDDAREDLEQRSERRKRKLEQEERSIYRMTPLK REIRIPRPPQFTTAERRRRAAEAAASMPSPEPDSPISFSPWYKRFTGRGYREEPIEDD DEGGYVMAKPARTKPAQTSRFQGVESDDEGNVVTSESSPGKVQPATPAHVLDLLSSPT TTKVKVKPRFNHQDDKLVVDLTSLPTGSAQRVVNPNVQAQVITERVTRTSSREATKIL FGSDPDSDPEDAALIASRAEEERAELARKKKEEEEQLRQRYLNQEKEAAARKAREEEA KRKAAEVEKDKKAKEAQKVAERIKRVEGMKLRAPLRPLHGPVSELWEAAVSELGNQPA DQVMAKFPNGRVEGQLTRFDLYERLTRQGPDGEDVWLNDQVIMAALRHMVLTVDKKMG GTKERPRVACLDSYFWKMLVVDKKGVDPMMRAAKRQAGLTPENFYECAFVLVPICENS HWTLGVIRPDLKVVYHLDSLGPGGGEKAKKLLGIAALISGGKWKRDEWEDVSEFIRSP RQRNGNDCGVCTITNAECVLNGIVPAEAWLAREMGQLKRRWIAAMLMNGGYEGEFSLE GI QC762_0091800 MLIVSAVRERVACRNACCCSAEEDDSAPAEEADGASTAVSVDQE GVAAEEPSADSVDISTPAPANQAHTSPTKTVRTRS QC762_605450 MTRSRLRPHSPTLLSPVHSPKELTPRPSAVFRGGDGDSIMSTSR PSSVALMPPSASTNPTSPPSLRDILTDTALPPYTLGAFTAFLSQNHCLETLEFTLQAE RYRTAYANIVGTGERPPSIGDGSEHICLLWQKLMHIFIQPCGIREVNLPARVRDRLLS LPCVPIPPNPSELDEAVKIVYELMNDSVLGPFLASVAPHEEEHRHEHDPRLFRSRLRI PRETSSSSEHDSIRSPKSAFLPMLNLAWSSEPKSSASSSSDPMEQGGLSDDSGNVPSP SANEPMTPPTTPPTSDWAFANTSPGSLQRAISAHNSGWKKMGAKLGLSRRGRAKQVVS IPQDPATSSHQHAPAGKTMTGFDEKVHSISSTLRSSVEWEEPHPGKRFPVPATGNVSQ GVGIPQQCSGLHKNGMAAKGFVPYPTRGWNMGTKRRFLRPRVRVKIPANPQDSSIKSN MCCRPQSTTSGAAPVITPAASPQVPSMDCVATNSTRTVSPMYGCCGTGSKGAIKLKDE SMESTLDSTLSSKHSSMRLSMTDFSHYLSPAGPTDESDRSSCLSFDPDRSPSLSPDED PYGWEAELNNKTAAPGVMACCSNLEFRRAQGGKRSLLQKVLSLGPRELARPSMH QC762_605460 MRNWRELGEVPDSDDDNFDDDNYSDLEIPDLDLGTSTSTDIPAD AAATTAVATTTVEQRAAQPQKNGDIWDFPGSSPAPASSFVFQTQKLSSPIAKPAPKPK PKISIPLPTAPNDGTYRRLEPEHSHESTEAITNQQEFLEDEISTGYVRVTTSSSLSPL SSPPSILSRTPTPPGSPLRSHAAPSSPQNREIPADDGDELARRTAVRLERSLRPRKPI QQHPYMIENVRYTSFMKSHGVKPIRVEQASQSARRAADEDDSQEQEYQAEEDSQEASG RGLLQDTEESGPLLFDNDNDELALSPSTSKPSPTHHLPTSSQQTPSTQTDATSLSNDE EFPPLDRLQPGPRKRGRPRKLKRQLSGLLSARKRLRTVLDSSPGSSPQRRVVPPIILP PVVYDVSSSPPDINNRSRTRTPTPGSPIMINENDEGEGSDAESVRSRHSSESDSDVIR ETSKRIRGVLPASWLRLNQANNQQKPARQARRSPEPLVEQPFRKGVALPKPSTQSRPP VSTQFPLFDDSDDSDSPPAQRPTLTTERSLSDTENVLIIEDDAGSVIEEDFVDWMLPG NKRRSGSFNTSKRAKKQKSTTGKSVFKGLPGQHMRQPRITQALGPSRSSPSTYSRKAS AKRRREGNATSAKHSTRKRAATPPLLSILDVLEPDAPKFIKIAARTVRKRPDLGKDSP TQKSIRLANRRDNVDALSTLQDWKSGKTKPRVTAPVVSRAPRPKPRPALREISKNPTP KRPPASGTPVSRKLIRQSGFDSFVTVESIPAPCELARTPSISRPKPPPRKNVPDREPQ FRPAQLEEDEDEDKRQRLAARKRKLDAYYRRAGAVLNLPATDGLGRALGADFTLQELA HQSEDETTVPEPAPGSARTPKKSSKSRYRKRQRPQQIDTEAPQYTLANDPLPAAFAVV EQQQQQQQQQIDQAANKLQGLGPYGSHYTQHFDIFPLDMGVFFHESTLLGRGFVRKAV EPSLAERIRHQKASTCFVFDQQTLRWSIWNDQTSSELGILVDWVSDQLAADPAEAVLD GQKPVEAADFIVRYILESMSIDDELREKAFVSRCLEVFSSFVTRWGSVNLGDVPGRNK IDSVEVASRFCVALLAVRSISRAGVNLMQAMKVEDLLKKLASATVTRLLNLGLEDLRD LYGDLQRLSFRERGVRSDRVLVHCWVVLMRVLENANIPRSGFWDIVHAAQLSNGAASG QDAQAFETLWRDMFTLLPLTEIDDSGILISGMRKTAPIEGWLLPRKLMDRVFGLYKSN PRQPPSFNEYCRALVARCHFLVQQWGWRKCTTIIGTIFDFFGSQNLENLRNEEVYKSP QFLEELDRSPSLMIEPDDRCFHIFIKLLALAIQRLKQLERTNDIRNLVARTLPNHNRQ YLKECTIHQHDLAALRNHHDLLCTLFWVAPPDLRPGVHLIEKLVTPASAHKEACLINV RAWNQLARFVIAKNEGRAAFEPFRSWRNNVFNQILDQYLSAASDIEQQFRDLSSEMMA GITKEMKDEMIAKNKTTALDVLFVCLKASLDVLQRAPSLEAAIYGLNTPQLQKTFTSL DFQTLGVDWGILRVAIDTLDHFMGRIDEASEEHYSSDFMEAENADSGHVEEAVLWINE NLTRDFFWMARTVMGLPQPKSFGRQTKQASCVEKVVTVGARVASRLVNNRVTPLSAYF IPGKHSMFSDLPKRLNTQDRKYLPLFIATLLKNSIFDFKDLGLSMLGLWVLSVLKPHR FLCYENYLAEVLKTRGFPFLERIAVSVGSSPNYNANLDYFAAAIYYMRKTLREAGLQK AKEHREEFSKILQLAMQKIKEDLAFLRLDNNNNNNNNNSQQEHERYISFVRQVISLIK SHGVGICPPDQFFTQPSLDYSPGLQDPQLHTAGIIAYGVRLGEKDSTAVPQLFHYLWN NFKVAIGNNRLESETTILARAMTENGHVRSFMLEFMLPAVVQAACQEGNSWVLLEVYV TALGGILLPEGPGCAREFTGDEDISHAITLLGSLVKIVKSLSSQTRLEQRHVLAVLLR LVNMLKPSLVCYLYNSANSADELGEVMEELDETLTTLTSMTTSPMGSTSVSSLTVGSN PRVGMFSKQIVNDVRRDWVVVGEGFVEVKMAGLGGSALGRTLGGSSGFTSTQGTQQGN KPQERKGVRFVPLVEGVVERRVREEAGRWLKGVERGRGRGRGLGWEGDLVF QC762_605470 MGAQGKFFTIAAVVALGIANGYYTFNPSLKEAKEKADGTYLSKT LENQDAQKKTASQEDKKSTSQ QC762_605480 MSSAVRNALVRASRPATAALGRRAATTHAISNPTLANIEKRWEA IPPAEQAELWMSLRDRMKGNWAELTIAEKKAAYWIAFGPWGPRTEPPQGEGKKVFLYT VIGLGVSAAIFGTMRAFAKPAPATMTKEWQEATNEYLKAQNSDPLTGISSEGYKGKGH IQSPSSKA QC762_605500 MSRFTRSAKRALPPLALLATTSGALYYMYRPRNIPGYEGPVVPP PIFGADGTFKLPRFPKLKSRLEQINDLKRSNTEEEYDILVIGAGATGSGVALDAATRG LKVAVVERDDFSSGTSSKSTKLVHGGVRYLEKAVWNLDWAQYELVREALKERTYFLQT APHLSMWLPIMLPLDRWWKVPYYWAGTKFYDFLAGSEGIESSYFLTRSKAIDAFPMLK QEGLIGALVYYDGAHNDSRMNVSIGVTAGLYGATVVNHLEVKGLLKGEDGRLTGAEVV DNIGERDGKQGERFNIRAKCVINCTGPFTDGIRKMDDPGCKEIVAPASGVHIILPGYY SPGKMGLIDPSTSDGRVIFFLPWQGNTIAGTTDEPAQITKDPLPDEKSIQWILNEVSH YLSPDINVRRGDVLAAWSGLRPLVKDPKAKNTESLVRNHLIDISESGLVTCAGGKWTT YRQMAEECVDAAIREFGLKPKPITNPPMISGTEHVTDDATLDGTCQTHRVRLVGAHGW SRTLFIHLIQHFGVETEVAKHLTESYGDRAWTVASLCRPTDKRFPARGERISQLYPFV DGEVRYAVRHEFAQTAVDVLARRMRLAFLNAQASLEALPKIIDIMADELGWSKKRMDL EWRETVKFLESMGLPQPLLSATRKQVEQGKIDFKSLLEWRMYSRHDKPGPEGDNQ QC762_605510 MPVAGARALLSAKAGVAAPSRQVLPRVAAASAFHIQHHGALPHR TVKRGFHTSPSSLARPEDGENNPPPAATEENPPKNDTTSDKNKPEQQPENNKPTETES APAPETSSAATIAAAAKRAQLRTSGYGSARARANRIPRVEEIPQLEPDEFFLENNVSL YEDRPLGSLDTLLFPLLSEDQERILSETEAEINEQALSEKAAEYYKSRMVELAGDKEV LDRLVGRFQRVYNAAFLQVVALGHKPTDTPEKVLDVRELRELVTDQYERLVSWEEGRL QKEAGEYLEAHGGETVKSLLFESPLSKKPETEYSVCRELLAAVRSQLHTPPPPGMGGM KSEARRPVQLFTVLNRKGMAVPMEVVDDIATELAADVVHLSAMDLGRMLGKHMGQNLY LNRGSLSMLGYAAAEMNGRTVARADAEGEEGGMAGMVAVALPSRLRSYFSSRGESTAG MGLDGKWDDLKLTNALSALIEAADVKRGMRGGQTEPRDLIVHIHDYVEIGALQSSLLN KIRTIVDRMWHNGRRAVIVASSASELKNSGGQWRDQIAEIGREGTHIIPFYSHEHQHE EGASENVLDNLMNIGEMVQAMMGADADVIFHRQLLRNEPLLYMNWTGTEKDKRLVNLL KQHVFDAQWVYRVATLLVGVRKPGLKRFSFDHLKHVLDFMSERDEHWKKIVPAVKPPY YSPLFTPRSPQMPNFFSGGPPDEFGQQSNGPSGTLPGGLLSKDLDQHEKKLASGLINA EDIRTTFDNIIVPQETKESLIGLTSLSLTRPEAFTYGVLKTERIPGCLLYGPPGTGKT LLAKAVAKESGANMLEVSAASINDMWLGQSEKNVRAIFSLARKLAPMVIFLDEADALL GARHNTPGRTAHRETITQFLREWDGMSDMRAFIMVATNRPFDLDEAVLRRLPRKILVD LPLGPEREKILGVMLKEEVLAEDVDLAQLAKETDLYSGSDLKNLCVSAAMEAVRQEVR DKEAWERERAAKLPEGEKAEGEVEEVSVFPEKRVREGEVEEVYEYPEKRVLTRKHFEK GLREISASISEDMDSLKAIRKFDEQYGDSGRRKKKKRGMGFEVVGDGKVVGTEEVRVR QVVTGV QC762_605520 MPHSTSHMRAPSQNRLSNANGSPAHNGTEKFPDFDAAHVFDSSA PNGGGRQQQQNGYGYSRESATQQQPEQRWHAHAHARRDSRVKWAPGAASSHAYSHARK RSSISTAIHRMRSGSMSQNAHEIADALRAPISWKLISLCIMWYWSSALTNTSSKSILT AFDKPATLTIVQFGFVSSYCLILSGLASKFPKLRTLVPALKHPIRYPSRDVIRTTLPL AVFQIGGHLLSSTATSKIPVSLVHTIKGLSPLFTVLAYRFIFDIRYPRATYISLIPLT IGVMLACSSNKSQFGGQFLGILYALLATIIFVTQNIFSKRLFNEAARAEAEGLGVQSK KLDKLNLLCYSSGMAFICTLPIWFWSEGFHILTDFLYDGSVDLTVSPNSFDHGRLTVE YIFNGTFHFGQNILAFVLLSTVSPVTYSVASLLKRVFVIFITLIWFRNPTTRVQAVGI GLTFLGLWMYDRSSERNKADAKARRLTGDNLKGVEGGILPLSVKHNGGITASPQEMNG VFGRGGYGYTNGRVSSPNLVPPASSSGVGVVVNGDSKKSDDYIAGGNGGKGRNRGASN AAAAWLAPGTRAEETWRAGDGGTNSGGVEGSLVAAR QC762_605530 MAAPKMTKNQMRRAKKKEQKKAQVEDDSKAPEDTKDDTPRENGS LPDDQTPVADLEVKKDGDEDTKMDADGPIIDEILLDDPAYADFKKAFEKYGMSLDDDD EVAKEANAGNKGEVFFDQDDEIPSEDEDAQPKMSKKKRKKLNKLSIAELKALVRTPEV VEWNDVSSSDPRLLVQIKAQRNIVPVPGHWSLKREYLSSKRGIEKPPFKLPQFIAETG ITEMRDAVLEKQAEQTLKQKQRERVQPKMGKLDIDYQKLYDAFFRHQTKPDLTRFGDV YYEGKEWEADYKIFKAGELSEGLRDALGMQPGFPPPWLLQQQRIGPPPSYPTLKIPGL NAPLPPGASWGFQPGQWGKPPLDEYNRPLYGGDIFGLMVPGQPGYPTGAPAQTGQPAA AAAAYITPAEPIDRTLWGELQPPAEESEEEESEEEEEEDSDEEDGDHIPAGGIETSTG LETPGGYASTLHPDASGIESSMGGEFDLRKQSRRGYETEEHPRSAYTVIPERQTRVEG FFGSDKTYDLGRHGAGLPVLGREDEESRKRKKPGDVEVSLDPDQLVREEGVSKEELKK RYEQGRREEGVGAQWNKSQFEEDLTDFIASESRKRQKRDEERRGERRR QC762_605540 MTVPIFELPDELRLSLITKQFPCREPQIRALATLLNPSSAPCRN LVVYGTEATGKSAITTALLASLDGDSFKHAIVNSIECITARHLYETVVGKVAAAVEWE AVVPRCESVSQLTVELSKMLRYTERPDGFRFVLVFDGIDHQREAPHTLLPALARLSEI IPSLTTLFILTSPPPNNLLTTFTPNLHFPTYTKPEFITILSLSPPPPITPSTTPTETL DLYTRFLSALYDSLSRPASRTLPSLTQASRTLWPKFIQPILARTHTVKEFSKLIVLAR VHFQDESLLDPTLSLSPPTTTTTTPNPTIKTTDLATLLPTTARFLLLSAYLASHNPTK SDLTLFSTFHHGRKRRRGGFTGGRARKNGSQHRKIARKLLGAHAFVLERMLAIFMAVR TEWDPASGGRIKNGIVEGEQGLDNDIYMSIATLASLRLLVKVGGGGDVTDLGGKWRVA VGWEVVRGLGRSVGVEVEEWLVE QC762_605550 MPSTAQTPLPSNQPQPQIRRLPTLQPKADLERDRDRDRERRLQE GPPPRGGSGPGDGNTMSSSTPSASSSSASGGVVEKPYHAKRPHKKSRTGCRNCKSRKV KCDEAKPSCRACTARNDRCVYPVITPTATATAGARNRGATNSSSSAVVATTPARRRAL APVQEPEFIPMERDDLEMRLLWIYTNNTYTSYSSGPFRHRMVDHVLRADVIQHAFAHP FLMNTCLAMAAQHINRAGGRPDMHIPPSKELTYRVKALESFRKAVEKAEASTYPALIA CAYLLTGLSTYMFRNNNDSPTSLVILDWMTIWRGIGAIIDVTKLPTLAQSGLLPIIFR PDIDMKTSISHCPAYLLDLANTQDPLDTPFLAGYRECLQVLGSVYEELRRSGHNSHMF IWRVMTFFTFLGREFVTSAHHRRPPALTMIAHYLALTTIISDRVWWLQDMAPHQIPRI FNIIAGMGPPHTALDRTLPLEIPIRCLDPMTNDERSRLVLQDPDWVHPPDHTGEMPVT YDSAEREYLNTKMLRGQSRSPSVSSPPPLSAAGSSEPPSPPAQRKEVVALYTKDELII PPEDGQEKGVQYRHLEGAYYAVDGVRVTDTEFYRAMGLERGGGMITEM QC762_605560 MLRTSFRALQGAASTSSRCFSTATVTARASLKLSASRRPLAVAA QKRFDSALHNPPDAADNFLSGNTANYIDEMYLQWKKDPQSVHISWQVYFKNMESGDMP ISRAFTPPPSLVPSSNQTVVNLAAGAGVGIGEGTDVTNHLKVQLLVRAYQARGHHKAK IDPLGIRNANKSGFGNIRPKELELDYYQFTEKDLDTEYTLGPGILPRFKRDGREKMTL REIVAACEQIYCGSYGVEFIHIPDREKCDWLRERLEVPQPFKYSIDEKRRILDRLIWS SSFEAFLATKYPNDKRFGLEGCETLVPGMKALIDRSVDYGVKDIVIGMPHRGRLNVLS NVVRKPNESIFSEFAGTAGGEDEGSGDVKYHLGMNFERPTPSGKRVQLSLVANPSHLE AEDPVVLGKVRAIQHYNNDEVEHKSAMAVLLHGDAAVAGQGVVYECLGFHQLPAFSTG GTIHLVVNNQIGFTTDPRFSRSTAYCTDIAKAIDAPVFHVNADDVESVNFVCQLAADW RAEFKQDVVIDLVCYRKHGHNETDQPSFTQPLMYKRIQEKNPQIEIYVDQLLKEGTFT KEDVEEHKQWVWGMLEESFAKSKDYQPTSKEWTTSAWNNFKSPKELATEVLPHNPTGV DRQTLEHIGTVIGTAPEGFNVHRNLKRILANRTKSVVEGKNIDWSTAEALAFGTLVTE GKHVRISGQDVERGTFSQRHAVFHDQETEDIFTPLQHVGKDQGKFVISNSSLSEYGAL GFEYGYSLTDPNGFVMWEAQFGDFANNAQVVFDQFIASGETKWMQRTGLVVSLPHGYD GQGPEHSSGRLERFLQLCNEDPRLYPSAEKLDRQHQDCNMQVAYMTTPANLFHILRRQ MNRQFRKPLILFFSKALLRHPLARSNIEEFIGETQFQWIIPDPAHQSGEIKAPEEIDR VILCSGQVYAGLHKYRADNKIDNVAITRIEQLHPFPWEQLRENLDQYPNAKTIVWAQE EPLNAGAWSYTQPRIETLLNSTQHHDRKHVMYAGRNPSASVATGLKSSHTKEEQDLLE SAFTVTQDKLKGE QC762_605570 MELPQGRATYKKKDGVLTLTEDKKFLIWSPLPANGPPTVSLALE RILNLQQTPDTAPKVILKVIEKPKSTEEGQAGAAFLFQFTSPTDARAEANAIKALLSQ ILSELRGNDPSVPKPIGTPQADANGAGASAAMSFASTVNSKGPTVRWFDDNALKADLE LQRSLLNKDDDLAQTYAQALSLKPPSISDAAFDAQFWSTRIGLLRAHAIEVNQKKGAY NVLSTIKPRTEDGEVKLSLNPEQIQTILHQHPLVRRIYNENVPKVSVATFWSKFFLSK LCKKLRGERVTDIDNTEPLFDKYLNAPNDMGFTSKINAAQQLPHIIDINANEENQGGF KSGNRKDVEMRPRANVPIIRTLNSISEKIMADVAPSDLDPSAGPNGIPDDTRTIEELT LRDLRGETPSSRIILNLKEQSALFPTNTQTAQPNSTNATDQALFAQQDPTGVLFEVQA DLDMVDEDPSGGLDLRKGIGVNDDSDDEANADPTTPHVTATPHIGSHNARLAAKNQIL SSLKKRQAENTSLSSSGITTSSGTTLPAEPLTIPASISHQCYLTNASTVEFLRQFYNT FSDKNANQQELRYYVDALGKSRERIEALAEEAEKLRREREQQVIDEAFARFKKTGVKG KRPKIRGGREDVLGLFEQTLAGLKIAERVWEQSGRGR QC762_605580 MPPPPIQLLPLATFTPDLYTRAWQSTPHPSLPLLATTHDKTVTV FSLATFSKHSSLTGGHSRSIRSCAWQPSSSSQNLRLVTGSFDSTAGIWTYNPAATLEK PIGQGEEEEEEEEEWEFTLVLEGHENEVKSLSFSPSGQYLATCSRDKSIWIWEHLSGG EDGEEDEDWETVAVLSEHDGDVKTVAFAPGFKQGKRHDGRRYGSDCLASGSYDDTVRV WREDQDGEWGCVSVLEGGVGTVWGVEWEKRERENGRYQRLMTAGAEGGVKVWELKEEE GEEEEGDSRWGGVPNRMRQSLREEWEVKGVLPRVHTREIYSVGWSGESGLVATTGGDG LLVVYEEDEETNEWKVRAKVEGAHGPYEVNHVTWCRRFDKGAEGRQEEMLVTTGDDGV VRAWEVRIGEVIDAKFVPAFQSGTPEEGWYGTVV QC762_605590 MLVLTRPLAPLCATPRFARLRSPAYSTSSILLASPRRSNYFNSG FTSSYDPTQDTGRGPIFSKSKFGVPQFYPRDLKKRVDDYVVGQDRAKKTICSVIFNHY QGLRRRQHHELQDQHLREKIQRQKFAQDREIFERTGYSAPVHPVEGGRRHSHRSAYAP KSWRQQTNIRHVAEDEFPGHHESVRGTHNEVLEDPFEAPSDNFYIQEDMTVPNHVKID KSNLLLIGPTGVGKTYILETLSKKLNVPFTISDCNSFTQAGYIGQDVESCIERLLIEA NYDVKAAEHGIIVLDEFDKIARKETVNGRDVGGEGVQQALLKLVEGTKVTITVKDNRP SRTQNQPPTGYGSTTPPQAPPSGKVDQYTIDTTNILFVFCGAFVGLDKTVLRRVSKPS IGFGSEIRGGRSFSSTNCLKDILPLESYRHLPHQPIFEPNFTPLDLTTPADLQAFGFI PELIGRLHNICALSPLSLEELYRILTEPRNSLVAQYTALFETYPSKLFFTRSALYAIA ERAAKNETGARGLKMEMERVLAEPMYDAPTPYVLITEACVKGEEKAGYWGKDGKMEVE RRIREEDERMLNGGVEVRLSAEEAREAAGLGSA QC762_605600 MDPVALESSNTLAKNGHTAGNIPNDGTGVVALDPYLEPFKPALK RRFDKAQEWIKKIEKTEGGLDKFSKGADTFGIHQNDDGSIYYKEWAPNAKQAAVIGEF NNWDRNAHRMTRNDFGVFEITIPPTSDGKAAIPHNSKIKITLELPDGQWIDRLPAWIK YVTQDLSVSPAYDARFWNPPASERYSFKHQRPKRPESLRIYEAHVGISSPELRVTTYK EFTKNMLPRIKSLGYNAIQLMAIMEHAYYASFGYQVNNFFAASSRYGPPEDLKELVDT AHSLGLVVLLDVVHSHASKNVLDGLNEFDGTDHQYFHAGAKGKHELWDSRLFNYGHHE VLRFLLSNLRFWMDEYHFDGFRFDGVTSMLYLHHGIGTGFSGGYHEYFGADVDEEAVV YLMLANELLHELYPDVITVAEDVSGMPALCLPLSLGGVGFDYRLAMAIPDMWIKILKE KKDEEWDIGNITFTLTNRRHGEKTIAYAESHDQALVGDKSLMMHLCDAELYTHMSTLT PLTPVIDRGMALHKMIRLLTHALGGEGYLNFEGNEFGHPEWLDFPREGNQNSFWYARR QLNLTEDRLLRYQFLNNFDRSMNLCENKYGWLHAPQAYISLKHEGDKVIVFERAGVVF AFNFHPTQSFENYRIGVDVAGTYRVVLDSDTKEHGGFSRVDSNTRFFTEPLEWNHRRN CTHIYLPCRTALVFALESTTTPNGH QC762_605610 MFKSGISAFARTARPSFAAATRRAVRPAPLNFRAPALSRFASTA GVGDGKIYQVIGKLDRPDHKHAPTLEVGQSGKIQLTMSLPTNRCRRRWLVTATPPSKN NFDNVVPEIIVADPLPTVKFDTEKLPPILNALETQNGGNKLVLEVASMIGDTQLTFAS VGPATLGRIMNVTGDPIDERGPIKTDKRLPIHAEAPEFIEQSTTAEILVTGIKVVDLL APYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYSVFTGVGERTREGNDLYHEMQ ETSVIQLDGESKVALVFGQMNEPPGARARVALTGLTVAEYFRDEEGQDVLLFIDNIFR FTQAGSEVSALLGRIPSAVGYQPTLAVDMGGMQERITTTTKGSITSVQAVYVPADDLT DPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRMLDPRIVGEEHYQTATRVQQ ILQEYKSLQDIIAILGMDELSEADKLTVERARKIQRFLSQPFTVAQVFTGIEGKLVDL KDTIASFKAILSGEGDDLPEGAFYMVGDFASARAKGEKILAELEASA QC762_605620 MVIPTLFFSFQPQLNHRRSAMAWSMSTLVRLVALAAGGVMGAQL TAVTYPNNATSKPQMHVYVPDKPWSGQGKGPLVVAIHSCQSSGPSYFNNAKIPWRQGS DRKGYVTVWPSSPNNGGCWDVSSKRSLIRNGGGDSHAIANMILYAIEKYNIDKEKVFV TGGSSGGMMSNVMAAAYPDLIRAVSLYSGVPAGCFVSSGGGVAQWNNSCSGGQSRASA EQWGNVVRAMYPGYTGPRPRMQVWHGSADGTLSPNNYQETIKQWTNVFGLSQTPTSTV QNFPERNYRTENYGTEGMLQGIWAQGVGHSVPSNLTASEQWFGI QC762_605630 MTTAKPRARGLPYLHRNWKKAYDGVTSQMGNKTSSESRDSVDQI TDQLDTTQRAAKRRRLENDQDVFPLYEDWSNSKRGLRIEVLKVSHKDAPRVKNGIMNG LVPPNIKDVSQIKARCQLTIMGYKGDHPVVLHVDSQVCDIKVFKNPAGQSPMARFYSI RPFHIPEAKISLERDDDAVFGLANTYSVHIELQSAGDPNWPPRDLVTVSDEDLLYSNN SGGLPPRQWVMSASIADIFNKNHRKTMRLRVKKHVSQDIATNFLMDVDVRWLTAISTQ KTMREHTKDVLPCITAFDPDGHNKVVTNGNVNGVAVINGVNGANGVNGVGGVSGQVNG GSFPDTPDEFMPEGDTTPNRSRRTKQNVNYNVRQMWNTAVGKEPKKRRKFGDTVENGA NQQVDEHVITYLLPPEQVQMVKFICIVCAAENDNLTQLRLHYQSHPQYLFSFEIRPKL GCCVTVKPNSENPGSPLRPKVYQLGLPVEPLDVEKYVNGDESWLEHRLGPDNDRDVVN NGKPAKPQPKPAPKKPTRPVVLVPKTKQPLFDPYSKVALEPGTPVPQYPIDDSWLLLK HREALQDFIDLNHEEKEYMQEWDAFILRKHLSSQQYLPKAFLQFVKDKAGWLVEKQAR AEEFSKHVSTLLARRVLGENEVIESTALLNEARERKSKAVNGEVSGGGGEEGRKGKRT GGCCVGCGEPVPVSEMVVCGNKECTNRLWHDRCVDDKKTAKKLGRKWKCKGCRV QC762_605640 MKTRNGGVVVSHTPGSATSPVLRRSLRPRTPRQDAGVQGGASSG GEANDPHVSLSSRVTRSSASSFSSTTSTNGHRVIQSPQQQQQQLITTSLPFRTPVGSH GKRAVSPGALSAGTARRRSKRTRHRSGFYNDESEGDPEDDGHGSFTTTLGVGAERTGS PRRSSARSVKRRKQTTPTRQTLRAGQLVLKPPKTATTTPDAVAESRPQEVFIPNWVSL PWFFWEQVFQDASSSLEDSHRAKWLLAASTICRALEEPAITALYRRPPLASRGMAHGL VSLLAKDPSTTLFAYRTKIRRLSIDVNEIASKLHRGEPLDFTQLLRHLPELKALELYH WKDDPVMRDMGSNLRWRYPRQLFQGLESSGARLVEWRWNRRFTEHAFDWGEIKKIHEK ELSSVKKVTLLNYQLPSLEATSRDDEAEVLERDNVFVKNMADAINALSQLEHVGFEYS NAVDDRILPMLPRNLRGLDLINCCEVTDEDLASFLLTHGNRLESLTLRHNRALSLSWL TILGKACPRLKWVVMDYKIVAQIELGYRNVDATCGVLERFESAPVWPETLVGIELKDF KHWTAEAAEVFFRSLVDCAGGLSRLREIDLKVMLDVPYQQRSDFRDRWSNTLRKVFLR DDFDEDTGITSLRAAPAAAVGGEVVEPPLSVAKKTKKRGVDSPSRRSSRIREQYSNPS SRASSVGRDLRGGRVAEKKGFGFSYAEPETDGEDIMGEDDDDDMEVDDEEKEEEKEEE LYYRQGMCNRVEVVLDNGKPVAMPYSMNDFVDVDEGGSGEGDDDSDEDWDGGEGGEGE DEGYAW QC762_605650 MDSRYVIHWLPSEPQRPAAIHFLLLLPQRRIGRYSSFLTFPQQH NQSYAVLQAALNLGPSSKMFGYGGGGRAPRVQRFDEYYRCYPLVMAPGAERPELNYGS KIFLPPSALDKVSRLHVQWPIMLELINGAEGKHTHAGVLEFVAEEGRAYVPQWMMQTL KLDVGDMIQIKTTSLELAKLVKLQPQSVNFLDISDPRAVLEKAFRNFATLTKGDVFNF EYNDEIYEMAVLEVKPETDKMGVCMIETDVSVDFAPPVGYVEPERQPRGSGTSTPRSA MGPGGVAPGGLMHSQGTMAQAINYGAIAPSAVNVMIGNFAGEGNRLSKKGSKASTPKP ATPVAGASVNIGNVALPAAALPKRRNNGPAPLRLPPNKLFLGYEIKPVKTAADKEREA ANAKQPHFAGRGQTLRGVVKNPEDEEKPPVEKKPEESKGRRLDGKDPR QC762_605660 MASRFSAARPKRASEAFARTHHGSTSESSSKKVRFDVRNPSALA PTADSDESDQEEQDQTLAADVIGSSTRATKRGAVNIDGYDSDSENEHFEARAEARSDK VNLEDALDNYNSQPTTTSKPDSDDEIDMFGTADSDTESKPSNKPSKKKDKTVNFLDVD QIEGQEGDSKSGGHITLNPSAEPTLSDDEDDDEEENPDTIAAAIAEEGLDEEVGLGGL KKHAPKIDAFNMRAEQEEGAFDEAGNFIRKAADADAVHDRWLEGVSKKEMKAAAAAHE KREEGLRKRQKEEDKITTGELMTRLILKLEKGETALEALARLRKGQKRERKVPRWKLE KQKKKKNVGGGGDEMDVDAGRDRKEKDAEQEGIKEAIGDIADAADKLMGREYPDIYDY ERERLCRMYYNETGERWVEPATEEEELQPAEKMWEFRWVDGRDGGEGNIQGPFDGKTM EAWREAGYFGEGVEFRVKGTEGWMRVADFV QC762_605670 MCTAPRRDNSNQQSVESHQPRDSKKCWRVHTSFLERQKSNIFSK VICNKRTMAAPVVTVSESKELRGLNLIAAHSHIRGLGVDADTLEPRIASQGLVGQEKA RKAAAVVLEMIKQGKIAGRAVLIAGPPSTGKTAIAMGMAQSLGSDVPFTTLAASEIFS LEMSKTEALTQAFRKSIGVRIKEDSEIMEGEVVEIQIDRSVTGGAKQGKLTIKTTDME AIYDMGSKMIDAMTKERVMAGDIISIDKSSGKITKLGRSYARSRDYDAMGVDTKFLQC PDGELQKRKEVVHTVSLHEIDVINSRTQGFLALFSGDTGEIRSEIRDQINTKVAEWKE EGKAEIVPGVLFIDEVHMLDIECFSYINRALESDLAPIVIMASNRGHSKIRGTDYKSP HGLPLDFLDRVSIINTHAYNGDEIRQILTIRAQEEEVDITPDALALLTKIGQEAGLRY ASNLITTSELIRAKRRGASKQIGIEDVQRSFKLFYDPSRSVKFVQDSEKRLIGEDGAV DFRVQNGAGAAPAAAVVSAVEGGEKMDTS QC762_605680 MEQNAITFFINCLSSFPNQTGLQAHALAQSQASTEEFEAALAAL TKTVEQQQESLKRQEEGWKEHGEAVATQIAQAEENLKSRDETTKDMFAKLEAKFEARF QQLEEGKKQELEAQNRKFEEVQAKSQAMEIAFSNLSQKCQTLEQALSRQAKTLEELAQ IVASHTKILDDHSNSLAEHSGEFNTHSEKLAAHTQTLTAQEGALSQQRQALAQQSENR VQKLDTVAESWRNELDMRLVTITKTWQHQLEGRLEKSNASLLHQQNLTGDMFDIRTGL MTVIDKVNKMETRFSGIDDTLGSVGNKTNKMEERLSNIDVDTLDAMGDITVQFPNLEE KVKGLEGNVKVLEGNVKNLQTEVSKVQRQRFESPTLVTTPTTVEAPLAGSAGVSKQVF SATMQAITAKFGDLINAVKNDFTALEDRVQSLEAKAPLKQETLDNPDMRSDIARLDLA LTNLKSEATHNKVQINSLTETANKLAKNHQVVEDTLKSNIGSLTMSISTLDDRFNNLT TKSLFDHMVSYISTLYPEPAQIKMDIRHLFDLVKTLQAGLQDCQGKVEKVDDMAKALD SDYHTNVKKRRIDSSPNMAGLNGVPKGVAS QC762_605700 MKRTMDGAKKNAEDLVAQHILPNCPYHLSLYHNRQYPKAEEWWF NGPSARLQYQTFSSDAERGFLYTVPPWVIVEEEQAAQMPPRPVPKTGERKKITLSEAL KRKQSPMSPMGNNSSSETPVRSESRVANGSGAHKPPPPPPSREREREREREVVVKKES LKPVERSDARRVVSKTDSRSDSRPEPESRKRVADTESSLPPQKRPRSEQVSTSKLDRE YGRQPKPEPPRGRDRGVPERTQRERDTKNDSLRPTTNGLAPASTDRDRENTASPRSTI QVNGSRVRPDSGRSTPRKGEGLTKSLLPELLSPLHPSLEAELEEHRPRRKLADKAPPR SQKADGGPPPAKKRKPPVLPELLSPTLPAIVEEALIQSNQTPARNQSGSQSESSPSSA RKTIIAAPLISLPPPAEEKPPPRPSRIVTLKLKKANAKRAKDLLSLPSKSAKDALKKE RSISVEATPPPARKRPRPADEIEQPPAPPPVIPPKSRGVKAELMKNNHNSPSTPLRVP PQGGSASQPTPLRPNSTTPLKHSITAAVSGSAEAVTAAARPPTRDVAPLDPKTIEKSE HHRKIHQELVALGTKIKHTRDDLSKSSHGNPSPAEEKRLSALHLEMVLTYFLAFYNLN LSRTLAGKSGDIQMWESLLPHIAELRGRQGVRQSRCLKALACQLHASCLDQIVHAYFM LDEGSAGVWFRRVGGVVQKGGRMWAEAEGLVGLVGEGTGLRMRGGVMGMGVEEVVREA LVVMRRWAKGEGVEWVGEGVGRWVGEGGKEDGGGGGREKGGGGREREKEEGRDRHGGR DFPPREHRDRDRERGGGRDRDRDRDRDRDHPPRERERDGPPNHRDRDMLRDRDRDRGG GRNSERAGR QC762_0092060 MALLPDEFFYCDRSQLGMMMSRLPNSETILIRGERAKAKTADLR TLQKIPSPFSYSSGGLVI QC762_605710 MATPHIIRIPRTDQEGAYVLGQVTPSGSKPLNVKFVATDGYAPF IIKLRHDRIGEYRVSNSPCSPEEWEAILKSFLLRGDPVEGIEAGAEVKSEVSLTITVR RRVQGINQRLGTLALKYKEDEEVQLFDWCGAVALEREKFQETVATETAKVTDLEARIT ELRNQLDELTQAKKDRESEILEKFCDILNEKKVKIREQQRLLAAARPGSSGHAISARV AQVASSQADRNPAPSRRAKRKALEDASGGGSSDSDDGFARPVSDADRMDIDTPEPAKV SAEGEDRQTTDDDATGSEPDDDEPIPPPQAQPRKPAPKKDGALPTRHHLRNTTKKEAT PPPGSETDSGDDEL QC762_605720 MIASELQITSVPTSPAMETLRLRARLPVEDEIENWDDEDFVIDG DDLTFQSQSNSANLPTNRRDSQSSFRSEFESIHGEEEKQVHLPGDDEKSTLDAIAAAE KAGVPIPKNVPPSALMGGTIKRLGGRKLKKVIQEDWVDDLEFPDMGQSLQFKPRDGSQ FPEVLRQEDRKENSSSSISNSYIDLERFRDNEDDDDFFGDGMETIKVSKRRQTPKPIS LITPPTPKKSNIDKPADGEDDFEKDFELPSDGKLQLSTRRDVPKTPTFNTMMDDFEWA EGSLGTRFGGTRRDLMSCRSSSVSAMSPSIASSIITESEDELFEGIILPHGPLDLGER LRRRRQSSRSPVRNIAPVPPIKEEKEEEKEDFLAGLVLGDGDVFDSRKLTLHRNIQVK ETRPISPARPKASVALKFTNKPVTTSRLPRPMGPAVNHERSNTQSSLSSLEPVSESGG PIARTTHSRRSLSRLGGGLGHSAQSSVASVTSMGSLSSIATPPTPSSAQTVTPAPSTP SRRRELGQKNSMALRQAGPTTTSAQLLRSKRSLPALRNLPSPARGSATVRGYERPPSR TDYSARGPPTLRPKTPVEHLRSSESSAAQARKAPVPFLPAGASLSQSHNINAKSSRVF RRHDSETSIEYRPGSRTVSRSTIRSPSPRRYRPSEKATADGAWSKLSMPRRVKNFGDG HELDAFDDLPTSTQAEAKFLKQPVSGGAKTHMRSKTLQNILPDRAITTPSPIAPFVAP RVDSVPSFARDTTASRIARETSLAQRNPSGPLAPLTAQRVAQLSSARGTLNISQPPTL AAKGTRKMRRSPQAKPHLISNLNPPREAKTVGGMFYNPETFKWEGNDNVLHAFEAPAS TTPSTTSVPRYVMRERENATPRPALITNIGATKGVQVVGGMVFDPQAMCWLKLGPQSN SKSKQQAQQPDAMDGFNALDDEDDVFKDIPDLEERTMASSDGGNGRASEIKDDWLVGE EFDVGPEFVRRQREEEDRWRKKCSSWISDQVDRGDAWKWAIRDIVKQSLAD QC762_605730 MTELAFAQSFLSMLGGIPSKITHDHVEDPRRYTVTTPYTLPHHP TLPKKFTRRTCATKAPPESITVTAVSPRNPPLSITLPSPLPVSTTSVLDIKNAISLQT GVPVQKIKLLHQKKPAQDSKTLKDVLAGEGKEQLELGLMIIGGAASVPEQKPPKQEDE MEVDSKEAEPQQQQQQQQPVAAPGQQSGREVLDTEEFWADLKGFLEQRVRDEGVAKEA VERFRLAWRS QC762_605740 MANHTHASNSATVNEECITAYNDLKLNKKYKYVIFKLSDDNKEI VVDSTSESSPEYDDFRKKLINAKTKSKTGAVGKGPRYAVYDFEYNLASGEGVRNKITF IAWSPDDAGIMAKMVYASSKEALKRALPGIATEVQANDADDIEYDSLVKTVSKGTAA QC762_605750 MQRALTRASVSSPLKAARVRSTQQLRFAHKELKFGVEGRAALLQ GVETLAKAVATTLGPKGRNVLIESSFGSPKITKDGVTVARAISLKDKFENLGAKLLAE VASKTNEVAGDGTTTATVLARAIFAETVKNVAAGCNPMDLRRGIQAAVDNVVEYLQKH SRDITTSEEIAQVATISANGDEHIGKLIANAMEKVGKEGVITVKEGKTLLDELEVTEG MRFDRGFVSPYFITDAKAQKVEFEKPLILLSEQKISSAMDIIPALEISNKLRRPLVII AEDFEGEALAVCILNKLRGQLEVAAVKAPGFGDNRKSILGDLAVLTNATVFSTELDVK LDKLTPDMLGSTGSITITKEDTILLNGDGSKDSIAQRCEQIRGVMADPTTSEYEKEKL QERLAKLSGGVAVIKVGGSSEVEVGEKKDRFVDALNATRAAVEEGILPGGGTALIKAS INALKDLKPANFDQQLGVSIVRNAITRPARTIVENAGLEGSVIIGKLQDEYVNDFNKG FNSAKGEYVDMISAGILDPLKVVRTGLIDASGVASLLGTTEVAIVEAEDKTPPPMGGG MGGMGGMGGMM QC762_605759 MPALDAEQHLRFLLSCVKHASAGRVNFDAVSQELGIVSKAAAAK RYERLLKAHDLTPTNVPKNGEASAAKTPTKRKRKDDPVPVKPETDESEEMPMMAKKKT AAAVKKGGKKGEDDLDDKNNGGGVKMSDIPEFSRHLLDGKKQEDGGEVEVEDEDEVVY MGESFTGMNGGQQGVKNGGGGGGGGGGEGGGEGDGNGWNFANQGFLLSQSRSPPVMMS SGRRGNLMGVRGGSDPSLTPVASLPRDMGFFSHHVLETPSSQGGVGGYDVSGSGSAAS VAGGDVDLQRIYQEQFGGQGYEGWGN QC762_605762 MIPLKQTLRTNTSIKQAEQSKGTDDRQSSHDSDDAPQPLQATLD HGKNRTRPDGPGRVDNVDGADEPIPIDGDDGCENIDDLTDLEVEKERDEEQKRKAEEE DKKKEDKKKEEKKKDGDWIKIFTVSIPAITLGYQLQLRLDDYRIKRYDDEDDDNDNDE PEGGYKATARKEAGEVPARQGPGGDSSGVVCSAEI QC762_0092140 MPPGRALSGKPTTVWDHDAHLTLLQAVIVRGEIKTECWDAIIAY TNLRGYNYTQGAALQHLQKLRRKDTAANDGPAANDGPAEGSSANAPETPAKARGGKAA AKKTPGSNKRKGQAAAAGDNGTDNEEADPTPAAKKRRGVKKEAPKSDTIVKKEDSEDD HDSYGQPRAATTVYSADASRHVGIGYAG QC762_0092150 MPPPTKYINRWEDIKDDVFEIIYNMKAPLSAEDKDQLVKAMRER GYNIGWNGLREPTKLSTH QC762_0092160 MSDKRTTEKVGNTKWGNEPHEALAVGLYNVLIAQGVSLANSKDI VVDTMARMGSPFTWEAIRSRVESRSSLASAITWKFCLFATPHTHNTIPTPTPIADMVR WDNQSNSDLLTCLYDVYSKEMGREVQAQIVDKMKSKGHEDVNWDKIRL QC762_605780 MNKNWNDRADKDLFFTILSVKNIGVISGSEWTTIGNHMRSMGYG FTNEGCRQHFQGLRRAQNKADSSTVNPDNSPRKIDPTLNPITRRPGPGRGRPRKNPAP PTAADHAAAAAAAAIAAAGPGQAPGVAPGGGPGLAPSVAPGPVPGVAPGPPGVAPVSS APPTPQQITSVPVPVIPGMPAPPPGVQMHPMYAQNVQAQVQTPVRPPSRPINAGGAPP AQMESPDDLAVDPNLEDDSDEHMAKRQRLDDSQSGSLDDEAMMNALSAHNEPTPGDYS QDFSSYGEA QC762_605790 MAHNDNAMTRFLFAILQQKCLKDIDWNKVAQDPNLAQPITNGHA ARMRYSRFRSAMLGIEPQKRNRVTKPTARKKKTTAASTSQKEAGDGDTQDTPSKPDNP PAKKIKVERLSPKPANPQLPSPKSDAIPASTFEIETVRIKKERTASSTPTTATMSMPG TPIDTPLFSNMMHSHRQGPTPIPPPAHNHTMRLLTPCSDHQSPVSVQAQASDVLMHHN LNQNFMTAAHSSPLSNTHDFHQHQHQSQTQPPYDHHTHHFDTASPIWGHTSHMSQNSP ASVSMYSPTNTTTTAFGYSPSAAARDLTHSHSQHTQFSQHQQTQEERNLGLMGMGMGM NTMNMNLAGMDTGQFDFNNMVMHQGLRTSVSPSPAHPIKAEHASGSRQWGAMDGGFV QC762_605795 MAQVCFMLRLSFVRFTPTIVSFPFLALSTYSTHRSHLTLDTNAL SISHHTSPTAKMDYLKTAFNYTKLTLLSFTDHKNNPFSSQQLTKATRTMAEEKAPQPT SAEAFLFYSIVKNLRTRPDINWEGVARDNGFKNAETAKVRYGQVKRKLNIENWSPPVK PQGGRSSGSGVGKTEMDQGTSLVSSKPKAGTGAGVVKKRTNNNGKGGGKKTPAKVMEE EDDDDDDDDDEEEEEEEKSKAKGIDAALARLSPTPRGRAQRQVEFGSAIADVDEYISS LQSRTPIVAARQVGSYPPRGVKIAGEVYLKTAIPVRGLGDVWTVKPVSPEGHEAWFQG LGLEDQNRFMEEAVEFFVKSSEEGVVGENALVVHQGDDDTGVH QC762_0092200 MATQQTPPQTLQAFNTYPWASDREFMQSLVTTLGPLLSPQSLSS PFTKPQVLTSIQTLRAKYYTAKFNVPISPSPSSATTLPTPDEEILKKASWLYVNIQKK INNNTPVPPAEGPKEEEVPEWQRSGGVKVDLSKKAEDGEGEVEGEDRKGEYPNKFQAI IEAVTTGKTIEGIREIEERIVRGEGVVPVGGGGCRLSLGRGGEFWGMGLGRMGA QC762_605760 MRRAAVQAFRTTFQYTARGLGRRPFTTSLPTTAARRLLSSTSTA ACRTLKNHSNATGIPPPLLENINNNNNSLPLPPPLQSSMYYRRASVVLASALVGYGAW YSYNGTDVNNVPVKRGYSTTTITDTSTADTSLPTRNVLVISADQLHTGTIVGDGPISK TTSEDGTRVIEMLNPEQATEKLRKLEESYLVNRGQGVVRYDLVQLPSNDPIEDDHTEK IIEMQPATSEKGGNDWMFWGVFDGHSGWTTSAKLRQTLVSFVARELNQTYQESNNDLS GPGVEAAIRKGFLKLDDEIVNQSVQKVMRANNKTMAAELLAPALSGSCALLSFYDSRS KLLRVACTGDSRAVLGRRTESGKWTATPLSVDQTGSNVDEASRLRREHPNEPYVVRNG RVLGGLEPSRAFGDASYKWTREISEKLRKHFFARSVSPALKTPPYVTAEPVITTTRIE PERGDFVVMATDGLWEMLTNEEVIGLVGKWIDAQQQKVGKQSGLFDSVWSRTFGGGGS SKDGPGGLPVEVSKVEEVEGQKTPFRGVRQWGGNDGFVVEDANVATHLVRNALGGKNR EQVSALLTLPAPFSRRYRDDLTVQVIFFGEGEGEGRGEVVVNREASKGVKGEGARAKL QC762_605800 MTKVELTGVEVAKHKSADDCWVIVHGRAYDVTDFLPEHPGGSKI ILKYAGKDATEEFDPIHPPDTLEKYLPKDKHKGPVDMSTVVVEKQEVLPEEQERMKRI EEMPLLEQCYNLLDFEGVAKRVMKKTAWGYYSSAADDEITLRENQTAFQRIWFRPKIL VNVEKVDFSTTMLGTKVDIPFYVTATALGKLGHVEGEVVLTRASARHNVVQMIPTLAS CSFDEIMDAADASQVQWLQLYVNKDRAITKRIVEHAEKRGCKGLFITVDAPQLGRREK DMRLKFTDEGSNVQKGSGEKTDNSQGAARAISSFIDPGLCWDDIPWFRSVTKMPIVLK GVQRVEDVLRAVEVGCAGVVLSNHGGRQLDFARSGIEVLAETMPVLKKMGLEKKIEVY VDGGVRRATDIIKALCLGAKGVGIGRPFLYAMSAYGQEGVERAMQLLKDEMEMNMRLI GARTIEELNEGMVDARGLFSHGVGPVNFLAERVYDPLVGPPQRERSKL QC762_605805 MHDLESSPAIDDDLLLLLLLSTRAITRYDSPSPLRSTSFWPTTP QPSRVSHQQRQPQPHNRPEMPTYLTHAFPFPRPLIRIFTVLHDLSDCSPEHLISPSSS HSFLSCLRNTYPFIPPPDSPPDTPPSGFDVLASQSCSPVKVLEAYNPGDLSSAFTPFA YIADFAVRIDDVVDITAITSQYLESDWFPKLRDELMKIGGGVVEAGGEGGGGVEAGRV GWYVVVNGDEERGFPGEEEGKGSDGEEEEERRSFKLEEELLGKRRDVQGQDVQQ QC762_605810 MSTTNPYGYTMAATHDQGLEPARHDYPEVTDPSHCAPEYVPPQP LANEPMKPYGSTYVTPAAPYELSHTRESSSAYGGHVATAAPPYDMHDQVRLRALPPTD PSSSMSSEKPPSHHRKKTIFGCTTMVFVLSCIIALLSMAVIGLAAAAGMEAHRANTNA SRMAVMLAESNSTCGGLESVSDAEPEPGTGSGSDSNTTPGSTRTVTVTISAAAAATET VRVTPASAISAVDDGCSENPEKVHGSTYTSYKRFNSLKFTRYCQRDPDGSLLMVIFTS TFEQCMDACASYSTYVAESFPTGGAKTRCDGVSFIPEWADKAAASKENSRGNCYLKSG VKGEGGENGKKVKVHAAILQG QC762_605820 MMAQPVPHQTTADKKRVKVYELRNNDWFDRGTGFCTACFVTIQE EQKEPRVIVQSEDQPDRLLLETKIVKEDGFQKQQGMSLDLCGIRNTLIVWTDNGVDMA LSFQEADGCQAIWKFIDHVQQQFQAAMGGPDDGLSDDLAMDMPTSIQLPPAELGTLID IENTLRNLSQSPSGRDALAKAIMSEDYIAKLIPLVEMAEDMESLGDLHHLCNIMKTVV LLNDTGLIEHAVSDECVLGVVGAMEYDPDFPTHKANHRQWLNNQGRYKEVVRIQDDQV RRKIHQTYRLQYLKDVVLARILDDPTFSVLNSLIFFNQVEIVQHLHMTPGFMTDLFAV FGDPTVQPLRKKEAVIFIQQMCAISKNLQPPARQGLYGNFLQQGLVPVINYGLRHPDV TVRVGATDILGSILEFDPSMIRKTIYEQTHRKQAPLTDALIDLLLVEVDLGIKSQLTE SLKVLLDPNVPGSGPPPENREGFMPKGKHQVSSDPQQDAFIAHFYEHSVAKLFKPLLD LEKRPNMKFNALEDGIFGYLNEILCFYIRHHTFRSKHFVFDHNIASRFAQLLACKQKH LQLVAIRFFRHLILLRDPFFTKHLSDRRIFGPVLDTLLRTLPRDNLLSSACLDFFTSI NYEGDRELARHIMENYREKVVALSHVDYFRGMLMRWDQSRGYTVSEVDEEDEVRGVGR GAMMEHLAVDQAQEEYWNSVSDDEEENHHHHHHRPTGVVVNGGKLPLVEYTSDEEEEG GGGDAVMTTTTTTTTAVDGGGSESKENEKPVVVVPSTPPPERLSEKRRREEDEDDALD KLMQHKRRNSSSAGSNSSLGSSGVGGMLRKKGSFHNNNNNSGKARSREGSPNGGGGGG QKKIAISIAPVLKTAVVRAGSPAAEEGGREVRGGAGI QC762_605830 MSMFSFIRKGRQAAKEHKAEKVEKAKREAEKPPYRHIPKHAAID AVSSGPAGWRSEDRQKIVDQNKRRSAMTTSGMNMTGQPRIHSSLSHVSFPAAFATPVV PRTYSHSSMPAGWASGDMNYSNVDVSSSSVKGKEVDRSTSASLYLSRSAARLSAGRYP MNMNAVIGTGDLSVSPVDSSSNSTSSQDDLEMKPIKHASLPPVTSKTRGYSRPMSDSG SIHRLHPARRLSDAEQNSTPTPAPARTSYSPRTSSLPAGIPPVPAIPAMQFGAAITTS TVSSTTASAASSVTMVPIASSVSLHTNNTKLIIKNVEERRDVAVISLTPELSSDDEVS PVGTAISPITTSPTKNKRRTCKPSRFPELETINSNISIAAVETPLSLVPSSEKDGLKL AVTEIHEKIRPTSTIAATLPIDFDENSLPTPKALDLPAPVAQKQGKLSKNPGRKGRWS LRGHKSAAVAV QC762_605840 MAANNSNTTERLVAAATQLISLLFTTAREQPIRLFFLFVFPLAL FGIISIYLLLHLLAPKPRPPYPSEKTYLTTLPSGAISPPRPLPCWYDRWHAEGCLKEQ YPTVPDGFDVPDQASIEPAEVEVSVVVPAYNEQDRIEVALEEMVEYLDTNFGRGQEGG SGRLLPTLERPKPGAGTPGSRPSTPHRLVFKCENALGGGRGQSPPRERPPRGYEIIVV DDGSEDRTVEVVLEFGRRRGLHDVLRVVSLEKNRGKGGSVTHGLRHVRGKYAVFADAD GASRFSDLGRLIEGCEDVVDGSNRGVAIGSRAHLVGSEAVVKRSAIRNFLMRSFHFVL MILTPPATSRIRDTQCGFKLFSRAALPHIVPYMHAEGWIFDIEMLMLAESAPATPVLA SDGSVIGTSYGIKVAEVPVGWEEVGGSKMSLVKDSVRMAVGLAVLRASWMLGVYRRRL T QC762_605850 MRCERRILRYHSLKRGRVRQTWNKYNLFNLFRMRDRKGNFGTFF QQKWHAKAATRAYHGEHIKEKQWERMFSRRLLSAVNMDPAYMAKYDGSEQAAGRGSGR DVKPGRSEKNVARNTGNAEGMTPYMQMTFAPQERRLDISVFRALFASSARQARQFVIH GAVKVNGKKMQHPGYLLNPGDLFQVDPEKVMIATGRKKTSKSESSSTPKTPTAEEDAE PAEETAEAAEQSAEELSPEQLKAQHLDGLKQLHQHARKIIEENKDKLSGGHKKEIREL SKKIKEAMNRARKVGTDVQETGDDMENLASLMSELELTPAERAELRQQQQAEAPAAEA PTNPSTPDYPQLRTRTPVPTAQTKFDMVESQILKRLLEEEKINPWDPSKPYATPWRPR PYMSAFAFIPRYLEVNPKICAAVYLRHPVARPGKTEIPTPFNMHTSQLAFNWYLRRR QC762_605860 MSDAAVNQPEVAAAATETPAVEQTTAAPVAEVEMADVAPTEAAA EKSEEKAEEKTEEQTGATKPLPMLKTTAKIDTNYKNNRKYDPSTQEVTDDPVQIRAQV EFWFNDSNLPGDKHMWEQTAGPENKPVSLKHICNFKRMQRFQPYSAVVAALRDSTLLE VSGEEGEEVIKRKVPYVLSTLSPQERQARSVYVKGFGDEHGSSQFEIEQFFSQFGKVQ HLKLRRTNENLFKGSVFVEFDSEETADAFVNKEPAATWKGHELLIMKKGEYCEMKAKE IKEGKIQASNSRKSTFWEGKEKTSTRGGRGGARGGRGGRDNRNGDNNEDKKNGFKGGR GGRGGRGRGGRGGRGGNRDNNKGRDGKREEKKPAVNDGEMPTIQATNEKGEVVVKPAE ANGKRARENDEAAAPPAKKVDTKTETAAAQ QC762_605870 MPPPPEPTPTLPIDPFNNPEDEIPLQQKRPFGSGLYRNPVTFVP ASSSLKTVSTDEPARDTSKSISDLYLGLVLPPEPKPPMGHENSPDNNHSDPPSTKPSP TPPLCPSCNLPILPNHELSLPHQLSLPHSHPPSSLDRSRMGLQYLSSQGWDPDSRRGL GSDQQGIAHPLKPKPKDDRFGLGVELPKGTKNIPRPKEKLLDAKKARKQYEQEKKKKG RIMKELFAEDKWEKYLGKEAS QC762_605880 MPLPFLASLYFDGLPPWFPDPLVALKYTTALGSLALTKWYTSGR PNPSERKLHGRVVIMTGGTSGIGAKTAFQLASRGAQLCLLTRQPPNDPFLVDYIDDLR TRTNNQMIYAEQVDLASLHSIRQFATKWIDNAPPRRLDMVVLCAATLTTPGHKRSETE EGIETEWMVNYLANVHLLGILSPAIKAQPFDRDVRIIIPTCSSYIGAPKLEPGDVTDK TRFWSPKLAYARSKLALMVFAKTYQKHLDAYKRPDQLPMNARVVIVDPGFARTVGMRR WLTRGSLLGLFLYVVFYFVAWLLLKSPNMAAQSILFAAMDGGLLRGPGGKFIKECMEV DFARRDIEDEEVAKKLWEESDKLIEKTEKASALRRAREKKEKEEREKVEEIESLVETI KKGKAKQGEEKKKKKTTTTTTTTTN QC762_605885 MAATLPPPPALSGPQHPRQEFIVPCQAVWPHAQPNPTQIQQRPP PQPAFNPAHCHPVFFSDKLRRPPFALGSGPQLNPVAHGYVYQDGTRPNGKTSGSRL QC762_605890 MASSSTSTPLLYSCISYSSTLLTESSTSSSPNLPQLATLILPKI DHSTPQKLTYTHGTYHINYISESPLPLTFLVISDSSSVSRRISFNYLTAIRTKFLAAY PPQSTDLATLPNYGCASLNSDLKRLMIEYGTNNVRGEDNAEQDDAIRTAQREIEDVRG IMTRNIEGLLERGERIDLLVDKTDRLGGSAREFRVRSRGLKRRMWWKNVKLMGLLGLV LVLIIVTVVVSVKNNLP QC762_0092340 MNALLRNAYKWPKCTPPVSVAAFSTAPSPWRKAANSSSKSRERE RNIINLHHEINDSLDQLKDSLDHGESSSRGGRPSKNKSTFSQKRSYQMFEVLVNARVN KVMREMGDYRDRQEDWRAFGVNNQVQLDSSVGLFKKALDTCFAKATEKGMISRSDNPL FWNLRNSFVKGDNRGLSSELVHSFQTFLMKERFPDAINELHREIADLRFPYEWYPATR MLQRTVHLHVGPTNSGKTYNALKALEGAKTGIYAGPLRLLAHEIWSRFTAKGKPCALV TGEEVRIPEGVDRWFHSCTVEMSPLNKPVDVAVVDEIQMIASEDRGWAWTQAVLGLQA KELHLCGEDRVVELIQDLCARIGDRCIVHRYQRLNPLETMSKSLRGDFRNLEKGDAVV AFSRVALHKLKAGIEQATGKRCAIVYGSLPPETRAQQAALFNDPNNEYDYLVASDAIG MGLNLEIKRVIFESSSKFDGNKVRSLTVPEIKQIGGRAGRYRTASAEIASAQEGAAGG EEAVEAKVESNTGWVTAFDFRDLQDIQNAFQKEAKPIETAGLFPPANIIERFHTYFPP RTPTSFVLTRLRELARLSPRFHLCDFDTALEIADAIQPYNLSVADRCVFLNCPVSFRV SRDGESNGQREALQAFAKCVAEMGSGHLLDFDCIDLSILDMDEETRMTMFNNPAYLQS LERLHQIITMYLWLSYRYEGVFQSQSMAFKVKEIVEDRITEFLDKLTYVTYSQARRRQ AMREAAERHKKAEEQLLGEEEQEHLQEHHEEEPEEPIIEIVEELDPEEIIGEVIEEGG TGEREPGPDAEKNQGSG QC762_0092350 MEALDDVRRREQTSRLNGLCLFLKSILDVLQIPKIKRRHPSSVG FHLCFHRFLSTGSTLLRGSNLGGSRPVPTSAAADLFDFGNGQTSHFVAVKLGRRLKDD SFDLQVQPHADGVAGDQVVVLVIWIVEERRLLSSCFRRQTAVHDGASLSGCLLDTGLE LVQCDTAEGNDRIAFFQIPKVSTKRLGHGLERVQALVPVNDAPVADPGAEVLDQLDNS VLSAEMKLFGLQAQNSLCPGPSAVLAGDHLNLVNDGHIHRGDISTVQLWNHLSTPSGI LTSSPVTRAHGLPLAVNRDQISWANSRNGPAYIPVLAPSRALRAFGFRKTCVERLLEE ANRAVKLHLVVDAKGPPILLPVPIIAHLSHHLVHPGVDEHLKHLIATLLAEGGLVLAG AAAAGRGFAMVEAVFELVEAVVDFVVQIYNVPLTLPGLG QC762_605910 MSNPDPPTTAPAFIPLEANPELMTTLLTNLGMSPTLALHDVYSL TDPDLLSFIPRPAYALLLVFPITPTYESHRLAEDSLLPDHVPTPDYPVIWFRQTIRNA CGLMGLLHAIANSPAEFITPDSDLDRIVKTALPLDTIGRARLLETEESLARAHRAAAE RGDTAAPEATDEVDLHYVAFVKGRDGGLWEMDGRRKGPIRRGELEEEEDVLSEKGLVL GARKFLEREGGDLRFSAVALARGYD QC762_605920 MGIFSFLSRKSHDKHKAASLRAHAYDTTAAGTVPLQGAYPVAGN GPNVFDTLSGGRPDSRHAQLSSTVPDNHNGAAPAPGVPRYRDPTTDRPNTAPSGQPTL QHVSSGTRLRKAAKKGPPISFKMLRSVGSTISGGSRPGSKGSEYEIKSTLQGTVSHSR SSSMRSESGRFRDILDAHSELKPPDFRARVKAAGAKDYGEDVAERNMGENGFDLESEH VKAFYNSHPSAPRPRRSAPALNPRSSRHTIREAQNGQQPPKRPMAPPPASIAPSQRSL PQALPGQTPRHKPAETALYADMKTTGGGHLKRRVSVNTYMPPASFQNTSAVSLSKVHG SEINTIDLDILKPPIVMGAPRTSGETPRTARIPRDSVMLARRKVSIPGHLARRDSSSP ERTFSLRSATTRPQHHHQRASMSSSTSASFSPRHRHSLHTLHSSISSSGVQSWDGSSF LQGTTTTTTTTTTPLAYPRRLHTATQPFQPPSVSEVDVDAVDDWVEFCSSHKTASTTP KPTASSLANLGFRRPLSMMRSTPPPPPLNPPARPATAVPFEIPEHHVPIRTRSLRGYS ASSGTPTTHTVTVRSSSSPGRPQSRTTVTSASVDDDSLAPEAVKLAEGEDDDGFNMDD HISSDEVDSVLGGGGKKPSGEGEEELLWKEEGYGGGGLMLPGLWEVFPEAKVKGSYDG IGDSFGPRGGGRRRRRRRRYVVDTGVEYGSSGDEGEGFGEERREEEEEEEEMVRGRGV VVGRVRGRGRRRGKLEGIYGEKKGSDADDEEGTPRGRRASVGSYYMELREKVGVGVIE EEKEVMGKVDAAAAVRMRKQVKCAERAAGGGRVRLRTGGEGAANIGVRRNKSVPVLCV EGEEYRG QC762_605930 MMTPSLSLEEWRAQVSAMKSAVVSANLKPSPPTNSDNEGDWTDD EIEPRHPRNGDIWDFISDDDDDLLSDSDDSEDISSGGDDQFSGRPSSSATVYDLNWLA AKCQNHPSGLDAQALEGQILEILTAGKRSEEEVQSQLTDLIGFDDLDFVVELSLHRGE VVAAAASERSGTRLLTKAQRQEQLRERDRLHKGRKLQQAREKEEEYPHVYKQYHGGNT LSHSGHKYKLPPNSQRLEFEKHEEYVIPAGRAGTLWPGHRLVSIKELDGLCRGTFRGY ESLNRMQSLVYPVAYKTGENMLICAPTGAGKTDAAMLTVLQTVGQYLTPSPGEVHDAS EFGVDLAEFKIVYVAPMKALAAEITEKLGKRLAWLGVRVREYTGDMHLTKREVVETQV IVTTPEKWDVVTRKGTGDTELVQKVRLLIIDEVHMLHDERGAVLESLVARTQRQVEST QSLIRIVGLSATLPNYVDVADFLGVNKQRGLFYFDSSFRPVPLEQHFLGVKGKAGTRQ SRDNIDEVAFEKVREMLEEGHQVMVFVHSRRDTQATAKMLYEKATDQACVGLFDPSGS EKFEAAMRDVKQTKAREIRDLVPKGLGIHHAGMARSDRNLMERLFGEGVIKVLCCTAT LAWGVNLPAAAVIIKGTQVYSAQEGKFVDLGILDVLQIFGRAGRPQFEDTGIGMICTT HDRLAHYLTAVTDQLPIESKFSAKLVDNLNAEIALGTVTSINDAVKWIGYSYLFVRMR RNPMAYGIDWSEYSDDPQLVQRRRKLAIQAARTLRQSQMIIFNETTEELRSKDIGRIA SQYYIQHTSIQIFNSLMKWDSAEKDILMMIAMSGEFDNIQSRNNEADELSRMKANQHF IPYEIKGGIDTPQTKTNILLQAYISRLQPEDFALTNDLNYVAQQAGRICRALFMIALN RRWGYQCLVLLTMAKSIEKRIWAFQHPFHQFDLPKPVLNSLDAKEALSIESMREMEPA EIGNLVNNFKTGHKIAKLLDNFPTLSIEAEIAPLNRDVLRIRLFVTPDFRWNDYLHGT SESYYIWVENSETSEIYHHEFFILSRRRLHDDHELNFTIPLSDPLPNQIYVRAVSDRW LGAETVTAVSFQHLIRPDTESVYTDLLNLQPLPVSALKNPALEELYAQRFQYFNPMQT QLFHTLYHRPVNVLLGSPTGSGKTVAAELAMWWAFRERPGSKVVYIAPMKALVRERVK DWGDRLAKPLGLRLVELTGDNTPDTRTIQDADIIITTPEKWDGISRSWQTRGYVRKVS LVVIDEIHLLAGDRGPILEIIVSRMNYIAASTKNAVRLLGMSTACANATDLGNWLGVK GEEGLFNFRHSVRPVPLELYIDGFPEVRGFCPLMQSMNRPTFLAILNHSPEKPVIVFV PSRRQTRLTAKDLINLCGMEDNPRRFLSMDEDDLQGILSRVKDEALKEAISFGIGLHH AGLVEGDRQIAEELFLNNKIQILIATSTLAWGVNLPAHLVVVKGTQFYDAKIEAYKDM DLTDVLQMLGRAGRPQFDSSGVARIFTQDSKKDFYKHFLHTGFPVESSLHTVLDNHLC AEICAETIITKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNTTTAQQLANEFIIS MVDSSLHELTVSKCVEVYPNGDVDPTPLGKIMSYYYLSHKTIRQLVKKAKPQATFIDV LSWMSIATEYDELPVRHNEDLINAELSKNLRFPGTAFGLPMWDPHVKAFLLLQAHMSG ITLPITDYVGDQTSVLDQSVRIIQAGIDVMAELGYLSSLKSFASLLQAVKGGIWPDED PVSLLPGVSLPANNKRKPTPLRDIARMSRNQNQLDRLARELHVPSSAIAKFKKAAACL PDVNVSVDDVRNTSLTVTLRRQNPITEREGRIYAPKYPKPQTEGYFVIVGDLATEEVV AVKRVGWSGRQARDKNGKRNPVEIGDRPTARAVIKLPEWEGKRRYDVLVVSDGYVGVE WGVKADVPGKPVVDVDVGEKEKGKMPQGQEGEMS QC762_605940 MASKPKSALDHERTRREQHHEADIVVIGAGVFGCAAAFSFANQG RSVILLERWLHEPDRIVGELLQPGGVAALKKLGLGKCLEGIDAIPCYGYHVLYRGDDV AIPYPTVSPEGNVVVTRGEKRDRRGPEGRAFHHGRFIMQLRKACHEHPNITVFETEVT DLVQKGGEVLGVKTRTTTDKEKNLKKEDAFFAPLTIVADGYASKFRQPLLNKTPIVKS KFYALELIDCDFPPQYYGHVCIGDASVVLLYQIGTRETRALIDVPLDCAAASPQNGGV RGYIQNVVLPALPKSVQPSVVKALADGKIPKSMPNSWLPPTKQTTTPGVLVLGDAHNM RHPLTGGGMTVAFNDVVVLSDLLSPEQIPNLSDHGAVASAMSTFHYRRKSLTSIINVL AQALYSLFAANDWQLRALQRGCFRYFQMGRTDEPVALMGGMMQRPLVLARHFFTVAFL AIWMNTVELCGGNVVLGAVMLPLAVVQAVMILWKACVVFLPVFGTELW QC762_605950 MPPNLPVPSKAALTALQGLVVGTTCTIAIIAEDRRRRINSALRI VENGERLKSCRQYRPGGGRTRLRVLQERAVQVDEESVVLSPADGGGECGGACLEKQEK RDAGVEGEVGGGRGDVELVAEPPELDPFAEFNAAAWRDGREEGVKRSVAVPEWYREHQ ASAKARSFRDISSIQRKATTGAGVPDVPTNTVSTTQLEGKTAGQTTDPTASLSWLNTV QSLQFPSTIKILRLIQEACISKNATRLGHVVQFVLENSESAITGQPGWLSGTALLCRT CQEAGMLEEAGNVLARVLRSGKINEIDYYAFEPSSLIRSLLAHAETLKSNQDASLRIL DLAAVVYRPKIADRTPVVDPGLHETGRRLLEARFAAGHLINGREIYRRCHGYALRMGD ATPEFTHWYLTKLAEQHSYKMAIQIFRFNYANCMPDSVSLYDIARLAVDCVEKAHNHR ADDVLHTLRKLYRGIDFKMPSELATRLLVAHWRRNKDFGGTQRLFDTLGEEVGIEKEV LYPDALYRVMVEISLEAGEEALAEWYFEKSAADGFVVLEDVRMLGVFARHYAAGGDWE AVRAIFERMKVEEDDPEGMKNYSQVFVPVLKAYADGHTVEETDGFLRGYVEGLGVPLN NYIFSVMGAKYAKGRDVGRMVEWLEYCARLGFEVDAAFSNVILTVLRREWKMPFRDMR TLYRKLRLLSPKFADRYTETVMVRAALGNGSGGRATRGRLLSLRVERNILPHKGKCAD ARELALVMKEHLTYGHPRVALRMYRVSRHEGMDVSHGTLRLAVQAQLQAEPDSYQDAY ELVRDAQDNGLETEGIINYIVGWKIRNLFTDMKRERKAWHPSRMLMVVQDSLRGMEEL GINPTDTALHQAARICLTMRFYSGAIVYAAHAAKAKGESPCYNLANFGIILSAATKLL DVARLKLAIGRALSSSYKEDTACLNMLKAAFTYVKRFEPNPESTTAKSKQEQAYEVLE KAIKAIVEAREILRVDAARLGTDALRVMKESALAAGYPPVDFDEIPWLNSTYGKEGRI ESGDGSHLVLTQA QC762_605960 MVLGFGSAAASSNSTTTTSSSSSSSPSSSQQSPLDHHVITQTPG ILSQHTTTPEIQHNMRSESEDGEQLEGRPPYLHCMLAGGIGGTSGDMLMHSLDTVKTR QQGDPHIPPKYTSLGSSYYKIWRQEGIRRGLYGGWLPALFGSFPGTVLFFGSYEWSKR QMLDFGIQPHLTYLTAGFFGDFVASFVYVPSEVLKTRLQLQGRYNNPHFTSGYNYRGT TDALRTIVRNEGPSALFYGYGATLWRDLPYSALQFMFYEQGQAWARKWKDGRDIGWQL ELLTGAAAGGLAGTITCPLDVVKTRLQTQVVEVPGQSSPVIVKPAVVKDGQHGTPVAE AASPKLQKRLISTSSPSTHTPKPGAVTLNTSSVMTGLKIIYKSEGIGGWFRGVGPRAV WTSIQSGCMLFLYQNVLRQLERYMPMERREVV QC762_605970 MAPRWTSWIPKKGSFARQFGHYLFRYATWLPAFIWFNSYVAQVT LVNGPSMYPFLNRGYNEGLGRDWCLVWKAGVREGLRRGEVVTFRYVCLMGREGGEGKG KGKGKGKGKGRGRRFWLMMGTGAQLIRIRLWSSEWWVWRGIGLYRNRLRLGGRTGRRG RCCIRLGWWCRRGMFGWRGIIGIGVGIVITMGRSVRVWLRDGWFMF QC762_605980 MSLSNCRFYEEKYPEIDSFVMVNVKQIADMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDIIRCEERY NKSKIVHSIMRHVAEKTETPIETLYETIGWPLNKKYGHSLDAFKLSITNPDVWNDIQF PSTPVADELKSYIGKRLTPQPTKVRADVEVTCFGYEGIDAIKTALRTAEARNTADTQV KCRLVSPPLYVLTNTCLDKNAGIARLEEAIVDIRTSIEAAGGHLVVKMEPKAVTESDD AELQALMEKRERENAEVSGDESVSESDDNIPETV QC762_605990 MTELSTTVAVAAAAGSGALSSAVATASDVANPRGSSSWLGMFTK VLIWVLQLLSTILYYVIKLATISVPTLLYNNLFSKSWTVTMNATTLMLIIAAIALGMA YFVRYHYLTSYERLPPEPQRKQPSIEIFSNTREEDAKAALATYLDQFISAIKIFGYLE ASVYHELTRSVQTRTLIAGETLNLEEEEGFCLVVNGLVEIFVKSGENRSFAHSPHISA VDGLSSSDDEHSIPGQQRYQLLTEVRNGAPMSSIFSIMSLFTEDVPLRSPEDEVPGPG LFAGYPPSAGYPPSAGLGVVRPRLAPMSQPVTQPGTPQRHDSATSSIENLPESVITPE TPVSVDTLPHVPPISLDTGTASRPKRPIPKRAATSSAHPDIIARATVDTTIAIIPASA FRRLIRIYPKATSHIVHVILSRFQRVTLATAYNYLGLTAEVMQIERNMLKYTTRELPN HLRGDALDRLKEKFKKERERLGEEEVGKGIALHNAGAGRRRQSQATLRKDAVLQAFAK QRHRSLVGSGDGPSPGDLLGDMQAARSGGHHHRAPTLSATSPQFDLHVPREAMSPLAQ RTPNPFNTLRNPHVSIAKRESVDEDNLFRESILECMFKALGLANNGTVKDTDSVQASP RLVSLDGRRQKAVFTTGAFGLMGGVGASADGDTESVASTGFSAVPVNPTVLAQEMRDE VEIVFFPKGSVLVEQGERNPGLYYVVDGFLDVCTQTEDSHSDIIHSSGSTSLHAMDSY ESLCAPHQRHSSIPGAKDGHFRNARKKKAGRRSVALIKPGGLAGYIGTISSYRSFIDV VAKTDVYVGFLPLTSIEKIVDRYPIVLLTMAKRLTNLLPRLILHIDFALEWVHVNAGQ VIFNEKEESEAIYIVLNGRLRLVEQREDGGSNVKAEYGQGESIGELEVLTESSRSGTL QAIRETELVKFPRTLFNSLAQEHPNITIKISKIIASRMRALIDDPTKMLSPKDSGSRI STTRISSTLNLRTVAILPVTSGVPVVDFGHKLLSALQQVGIPNGATLLNHVAVLNHLG KHAFAKIGKLKLSQYLADLEEKYGLVIYIADTNPNTPWTQTCISQADCVLLVGVAEGS PEIGDYEHFMLGLKATARKILVLLHPERYSNPGLTRAWLKNRPWINGGHFHIQMAYSP TLPPMHTGAKRTLKQTVQNIQAEIQKFTSRRVRHSYMPDAPYKGDFHRLARRLCGKSV GLVLGGGGARGIAQIGVIRAMEEAGIPIDIIGGTSIGAFIGALYAQHANMVPIYGLAK KFAGRMASIWRFALDLTYPSASYTTGHEFNRGIYKAFAKTQIEDFWLEYYCNTTNISK SRAEFHTSGYAWRYVRASMSLAGLLPPLCDEGSMLLDGGYIDNLTVSHMKSLGSDVIF AVDVGALDDNTPQQFGDTLSGVWAFFNRWNPLSNVPNPPTLAEIQARLAYVSSVDALE RAKTMPGCFYMRPPIDEYGTLDFGKFNEIYEVGYEYGRGYLKELREKGVLPLIGEDGN GGKERGDGGGKAGLRRTMAPRRNSI QC762_605995 MPPKRLQILQPLTSQPWQLTTVARRHFTSSSEPKTNHQKRTKPS LYNRLFPKASTPQPSKASPLGNTTTATAAQNDDPEPPRISLHDDQELGEWLKQLQSTF ADDTTTTTTTDKNPNAPTVLILSAPRDLLESDFYRVSPSGQHVQGWTSGPDKVIQHRT PHHLSPTEIFYLFFPSRPSALSYLSLLKTQHSLSRSALLSRLSSPLPPPPIHTSLPHP PSHPDHNLLHPPLVKPSNLLPNHHPPKDQPSPSTTNPPTLFRCPSTGSREFHPPPPEQ RQTHTPVSIPSDPKRRRTLGDTPQNQEKGRHHTAEGDDELCRIARVAK QC762_606000 MKSLDFRIRKLHVNTDADKRLQKRQPSRIYVNEEALIELTGSKD GGKAVCIERIHELNAVRREATLWKAPEKLDKAVTQMYDDFRTACGFNLGEQVRITALG GALPDADEVIVEQKPSEDGSLPDPIFPEDLPGWIVLIAGRLSQIEHVHTGWTIKDLYV RGPKRSFIVTSINGRPTGNARYIDRKTRLVVGTAARTNETGEGPVKPLERKLEVRGIK GLDAPLKKLNDLLRAFTFGGIKKSFTFPGIVIHGGHGTGKTMLLNSLAHTGWGTVFRI KFKDKLGEIQETFQTAKLQQPSIILIDQLERLIDKDRSNRDAVILALCDLLDGLAAEK STKGEAPQVLVVATCLDYTSDIPEDLKDPGRFTSEIYLPMPDVEGRREILSSLDLCVS PDQEDELLNQLSASTHAYNGKDLRRLADEACLIGTTRHLSTFTVSAPTPDQSRLLSPP PEAEEDESAPASAPATLIPADYHNALRLVRPSLMHDINLKPPPIHWDDIAGQSLVKQS LRRAVRLSLEPPEVLAQFFDRPPKGFLLYGPPGCSKTMAAQAMATESGLNFFAVKGAE LLNMYVGESERAVRRLFQRAREVAPSMIFFDEVDSIAGQRSGFSSSSSKSNGSSGGVN VLTTLLNEMDGFEALHGVVVLAATNRPHALDPALLRPGRFDELIYVSPPDQEARRAIF TKVGAKRKMNDDVDIDKLVEDTEGYSGAEIKGICAAAGVAAYDRFIKEGGTDVGIRMS DLEHAIKNQKKQITREMIKGFEDWERQFGKV QC762_606010 MSSALEAKIVILGSQGVGKTSLVTRYCKGAFDPAKTTSTVGASF MTKRVVDIDTDTLVRLQIWDTAGQERFRSISRLYYRGANACILCYSITDSSSFHEMSL WLTELRRNLPSDIILHVVGTKADIVAKDPSKRQVPFERCIAYVAENLAPGRGSTPPPS ATLPLPFAPSSSEPAASSSNPRSSGLFSAISSTDPGPKSPSSKRSSGFWGQEVGWDAC HEISAESGEGVEEVFRVVTRKLVERDRKMRADLLAASGGRLDGGGYMGPETPFFSSVA ATAGTDDQDGGGQGGYFDARFGVGGNGGGSFRVGRDRRSWLFSPGFSTPGLGTGDQGQ GQYVDGQRGGRCC QC762_606020 MAYAALNSTIGGLGSTATFSNVFDEVSKAAVDLNVAERLWASWY LWMQNDTIATGIMSFVMHELVYFGRSLPWIIIDAIPFFNKWKLQNTKVPTWREQWECA ALVLISHCTVELPQIWLFHPIATYFGMEYGVPFPPAWKIAMQIVIFFILEDAWHYWFH RALHYGPLYKSIHKLHHTYSAPFGLAAEYASPIEVMLLGFGIVGCPIVWTLITNDFHL VTMYLWIVLRLFQAIDAHSGYDFPWSLRHILPFWAGADHHDLHHERFIGNYASSFRWW DYCLDTEAGEAASKKRRERKLAEIRAKKAQ QC762_606030 MSIVADRVRRLDALIDKVSLVQLGFGEEDDNLHLRSNRGGDFHL ASEPRVTELLQIAKQLSSSSLSLGHISKRRIRNLLIESGLANEDQNEELHAEKWMVEV DAEWHLVGKATIQTYGLLMSSLLDQIKPLSDDIWYWDEILSSYPNSLLYTMQSSPVRM GEWTREVWRESLDRFNEWRQQQLEHRSAPRSRRESRTDREGEVLTAGTSSTQSESGSG DKNAAAESVTEINLTQQWREFYGIVRESITEKSLGNVRRVLGRVDTGRSDARRKLARL QKLREMTATGLGVLLDEGLDIRTPDDGSVVEATAYHEEWRVVLERSVALMDSILRGSL TLEHNMKEFEDNIFSGVQHDPELSIHTDDAAHAARPAILARRLLNILDEGIPQHAVST SVLARKNGRPSRLVRYWIPAIALLLSSSTILRVLVNRKADIINWIQDLGATTRDFWFN WVVEPIKKVIGTIRHDETSEIAIMSRDSLKADRESLERMVVEFSRDNPDIAVGNSTIT EAQVAEIRTRVKEGDVTPILKAYEKDLKKPFVGAIRGDLVRSVLIQVQKTKVDLEVAI SGIDALLKSQELVFGFIGLTPGILVSIGIIQYLRTAFGSRKGLRRGQRARRTRRVLRK MDRILTEAQHNLQDNTISYRDRGLLVCEAHVLRNLTQGNLPREVEKEFIEDLDEFAKA RSVPELFRVLDRIRWAYSEYF QC762_0092500 MTSQASSCVLRLTSRTICKGRHRLLFVAIFSRQVRCVF QC762_606040 MSSNRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIR TWFANVEQHATEGVNKILIGNKCDWEEKRVVSTERGQALADELGIPFLEVSAKTNENI EKAFYSLAADIKKRIIDTSKTEQGGAGASTGVNVGGQTSGDKGGGCC QC762_606050 MKTAVLFLSVGLASAQVLNNSTGVFSNSTSSFASPNVDINNLNL GGQVDLNSLDVNGLNLGNIDLGNQDEIVDAILAMLGGFCLGGQFNRNNILGFGFNNDV DLFFQLAQLQQFQQLGFLNLGGVQNLFSKGKVLGGFNLGPHTFFPQNQVKLLLTMSSG LFKREIADARKTMKRTVLRRGRYAKRQSCAQGAGSGAIGSGVGGQEEAAFTIATAENP PLETAIATAAADFTIATAYPGVDDLVESVDSADFEAVFSIATANPDVVVGAANDIYAS SAGVATATPAVDSASAVVQAFATITAEAVAAPASVAIPAAAETAIPAVAIEEVEEAGE VDVEDAVDNLSDLTR QC762_0092550 MDLAASKCAICDIYCVSPHERSVPSLQVHGFKMGSNQSAAPITP PGRLGGGLGIDLSASPAPEDPLFSHFSPNKCRNWGGN QC762_0092560 MTLLQVPSVLDYPPSCAGHIPVSANLVLLCLGCLDFLGSLVPPQ HRQHIPAHPGLPSVLAVRFIPSIFEHF QC762_606060 MKFFTVIVSTLAAMVAAAPATAPASTELDKRAFAFDINAFNGLK GFNQVNLNYLLNINSLQIGLLGNLANVNNFNILQFQGLFAQQKFDLQALLQLQQLHTF LQIHQLGVLNGFDLKGLQLQQLQLGLLNNVGLLDLQQFISPNVIGQVTTIGNSVRLPV KE QC762_606070 MATYSPEYSDDEYDFDEEYFAQTYKPLSNLPTPPPSSRDSLIAQ SPRSLFEDGGLADSVLFGPAVHLVNLVPPTASLAVPSVALVHEILVRADLPMDTIGLA VCILDSLSSKFSLNWRLLCPLAQREALSELPKRHTLPVSPVGMAQLHIDCVGPEIIVL TALIIAVKFLEDCQEPTQYYASAWGKNQWTCDQINVTERCIMESLGYRILPLWDPVLI GSVVKDMERAGRQALYPPQPRKIDKHQRSQSEAVTGLGLPLTPAETPVLENGPAVVVP LVDGGKMHVTFGGEGAPAPDLHLPRGKRKTFPTSG QC762_606080 MLSALLRPFGRGENSQDEGHRPDVEQRFAPTNRMYRASVASLGE YRQHRHAAADFTEAEDDDDDEENESHHDNGQSSRYQAAGVQTEEDEDGRSHSIGLPLF SAGHLDSLPIYSMTHAIRIIVQARTETTLTWDQLRSPQVSQFLIRPMQQQIRTQHFSR GTLYALMANCLQFEKEGQLYPGNAGTSSTRAKVCELLALKILKEYTTRELIDALSYDF YPLQGIPGSQGPLPQHARSSPATMRTSTLEVAIRASAKHFLSHPLVVMQLEAIWNGAI SFHSTEDQLRRQGSSSSAVGSNQSRRQSTVRTPLLSQQQQAKEDHGRALAHVSGRRFV TLYDPRTASLFKLSRLRVPRYRQILSTCSLAILIALFLAVLSQRSSKITSLELIFWFW SAGFMLDEIVGFNEQGLSLYIMSFWNIFDLGILLLLIVYYCMRIYSVFLLEPHKWNEN AYDVLAANAVLLLPRIFSILDHYQYFSQLLIAFRLMAVDLAAVFVLILVCCSGFFVFF TLSKNTNDPYVLAYKIFQILMGFTPAAWEVWDSYTWMGKALMALFLIICHFVIVTILI TVLTNSFMSIASNANEEHQFLFAINTISMVKNDTLFSYIAPANIFAWGLMPLRYFMSL KRFVWLNRALIKATHFPLLFCIFFYEKYFLAPYIYEATDLVDNPGRGRPHGLSLGDPS TRSAFFSPSVRVREESVLGYQKDRALEEVFRRAPDTATLRTQRRNERRKTQNAIRTWM DQNDGGFRSPQNYSTIDSRMTGDWRRRLSMNRERPSRFPRQYSDLRSAASDPADFVSD APYPMAPEYYHDGVHRRDYAHEMKENTDADGDDELVTNDEEEEDNVTNTIDDGHGHDR EAMEEDYFTTPVASRFINDELPSNDSPRIGQSRRNALHTRTLSTNTILYVPEENHQPY SSSSASMGRSPQLPSRRHTPIMTPISGGGHRSPRRSLYMTSSRPRPIAPPRDMARTAP TRSGLTLDIPARRPATQHQHQQDPQDVHRHRRMSSSDLNATVTVDDEESTFSGVPSSF ATQMAMATAMLSKSAQGDNNRMSRLMLAKMKTLEESLGDVVREMSLLRNSVPNTAHNS DDGGEEETAEDDGGTAGVVGAVVDFCAGGGGGDDDGSWTGRVRIETE QC762_606090 MEAKLYAPATAPLTSSEKLVTLELQDGVVYQGYSFGAPKSIAGE LVFQTGMVGYPESVTDPSYRGQILVITFPLVGNYGVPSRETMCELLKDLPAHFESHQI HIAGLVVATYAGEDYSHYLATSSLGAWLKEEGIPAMYGVDTRALTKRIREEGSMLGRM LLQNDSLADLAALNKAGQDWRPYFEQLEWVDPNKKNLVAEVSIKKPKLYSPPSASALK HSTGRSIRILCLDVGMKYNQLRCFLKRGVEVLVCPWDYDFSKEEYDGLFISNGPGDPA VMKDTVKHISAALAENKTPIFGICLGHQLLARASGAQTVKLKFGNRGHNIPCTSMVTG KCHITSQNHGYAVDAATLPTGWQELFVNANDGSNEGIMHIDKPHFSVQFHPESTPGPR DTEFLFDVFIQTVVKASEDNSVLQKPVHFPGGTVEENNKLHPRVSVKKVLVLGSGGLS IGQAGEFDYSGSQAIKALKEEGIYTVLINPNIATIQTSKGLADKVYFLPVNAEFVRKV IIHEKPDAIYCTFGGQTALSVGIQLKDEFESLGVKVLGTPIDTIITTEDRELFARSMD SIGEKCAKSASANNLEEAMHVVKDIGFPVIVRAAYALGGLGSGFANNEDELRELCSKA FAASPQVLIERSMKGWKEVEYEVVRDCQDNCITVCNMENFDPLGIHTGDSIVVAPSQT LSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPFSKEYCIIEVNARLSRSSALASKA TGYPLAFIAAKLGLGIPLKDIKNSVTKVTCACFEPSLDYVVVKMPRWDLKKFNRVSSQ LGSSMKSVGEVMSIGRTFEEAIQKAIRSIDFHNLGFNKTESALISLDDELQTPSDQRL FAIANAMYNGYSVKRIWELTQIDKWFLDRLMGLIDYAKHMEGLKGQSLNANTLLRAKQ LGFSDRQIANFVGSSELLVRDVRTKAGITPFVKQIDTVAAEFPAYTNYLYTTYNASEH DIAFNDRGVMVLGSGVYRIGSSVEFDWCSVRAIRTLMESGIKTIMMNCNPETVSTDFD EADRLYFEATTMETVLDVYEVENSQGVLGAMGGQGPNNIALPLFRAGVKMLGTSPEMI DSAENRYKFSRMLDRIGVDQPTWKELTSFEEAKAFCTKVTYPVLVRPSYVLSGAAMNT VYSEGDLESYLKQATAVSPEHPVVITKYIENAKEIEMDAVAKNGKVVGHFISEHVENA GVHSGDATLVLPPQDLEPTTIQRIEDATRKIADALNITGPLNIQFIAKDNDIKVIECN VRASRSFPFVSKVMGVDLIEMATKAIMDVPFEEYPKIDRTVDSVAVKVPQFSFSRLSG ADPVLGVEMASTGEVACFGSDKYEAYLKGLMSTGFKIPKKNILLSLGSYNDKLELLPS VKKLEEMGYTLFATAGTSDFLASHGVKAQYLEVLGKSEQEAQRSEYSLVDHLSNNKID LYINLPSSNRYRRPASYVSKGYLTRRLAVDYQVPLVTNVKNAKILIEAIARHFELEVG ITDYQTSHRTVQLPGLVNIAAYVPGLAVRDSGDLQSVTKASIAAGFSMIRVMPLGEGE GNSITEAKSLKIAQQNSKRGGYCDFNFSVTATSDNADKISLLAGEVGSLFIPFNHMSG KNISKVAAVQAHFDAWPTHKPIITDARTTDLASILLLASLHNRRIHVTAVTTKDDIRL IALCKAKQMNVTCDVSIYSLYLSQDDYPDCSFLPTARDQAALWQHLATIDVFSIGSLP YQLAQKLGKPIDATVGIADALPLLLTSVVEGKLTIEDIMTRLHDKPKEIFELHDQIGT TLEVEVGRTYTVPETGPWSPFAGKVLKGAVQRVTFQDQVACLDGVAVEGPPKGKDMST HGAMPAIATITSPALKPLSQALSPLPDSRLLASPARGPFTNKLQQLLSMDSPFRKKHV LSVTSYSRQDLHHLFDVAEEIRNRVDRQGVLDILRGRLLATLFYEPSTRTSASFDAAM QRLGGRTIAITTSTSSVQKGETLHDTLRTLACYADAVVLRHPDENCLEVAPIIPVPII NGGNGSKEHPTQAFLDLFTIREEFGSMKDLTITFVGDLLHGRPVHSLVYLLKHYRANN VKVNLVSPKSLALPKDIYRNLKEAGQILFESESLTPQILESTDVLYVTRVQKERFEDL AEYDRVKNSYRIDQSTLRNTKHSMRVMHPLPRNEEVAEEVDFDQRAAYFRQMKHGLHC RMALLALILS QC762_606110 MPSHTPIRQAAAATTTTTTSSPAMKRGVSRNPRLAAATLALTLT ALAVQQVSSSRTRENESARRKAGDLYVSVDRSGGGI QC762_0092630 MSSSSQCPAPNLPPSTSPPTKQKHRALTDIKTVHCATLASLMQC TWSRATVAPLGTGPRTFGQLKSKESNRSRGFDLFCLPPFAKQFRYLEKHHYLPSVPLK IFVAISVTLLLT QC762_606120 MEQQQLIDQLIDDWASTPSPPAQDNMPMATESGSPRAVTFSEPQ PEPPKPHQPHPATRNAMQQNFMDIVATRCHLAPAKGKDTSVLAFIIFPKDYIGTTCDG GRWGDFKLRMSYGSLIALNSAKINNMFKPRAQERFRRRLATDLGVKDLPEGVKYVLDF TPPVEGAELADLTAKLWLPKMVKLWFLAGHYSPDPVLKCFQDTDFRSRLMADSAVGAI MVMGHDDICRRQNCLTDASPWEVDSSCPGIVPDDESSPMCHIPPWRRIEDYCPIRHRV CIMRVLQAINGKDLMLNSAARMWTVAQVAISLEVPQVVVDPVTQWLSAPPNTKFTEIC PEKAFELAYALRIPSILTAAFKILVSETAVDYAKALRSPRLPKESWVQRPRDDYGDFP SDPVEYGARAFLDRMTGKYKLLKSDAVFNYLPTRIAEWDHLMATKQVIDRYGHSEVKE AYERLTRGLVHIVHEYVDRVMTAETQGCEIDGLPQKVVELVEAQRRHYIPAKSHLPLH LVYSKLDPSQKVLTPYLWRSLREKLETLAEMANTKVDGDNLEQLRLTFNKEMSRIRHP EAVEMLSDIASAGGGNRQVWTGWFDLFKFHSGLHYALKDLTTRMLGHHDENMFSFFLS DHLLLNLEDSELKYLPIWADGGLDDGSGGVFQEVIPEAEMGPSEPGPGYHTGYTVAGT DTDMASTVGYAPTMVSKSDLGFGELLLDDATVARSVSVQQTGAGESEWGGIISDNKRR VVAVPSEMTSEDRFTEGEDGEWNEAMYERPAGHQAVGQALEEYVEEPGLEGGSLKGKG VDDVMSLDDDDDDDGTSTLDGFEDMDDVNFGL QC762_606130 METEPRSLKAVFADAEAQRIALETQAFTPNSQQYTDAISSAIKN YQESLHLISHLSLFSPNESLEDLSTSDLPLLLINYHLAELSQKLPNPSLPERKRILTS ARDFYERFLHLLDSYSLLTPQSAKLLEAYTSSPSTFSTANSSDPTVRRNAKIANFQTE KALRQKLEYLRARPEYGATEDEDIPSGAGDEEVVREVHLANLAYRVHLTFNALDSLNR EMEILSMAPPQPPPSAQQNQQQAEDDRRRRGITSDGSYNDRLDIMNRALGGKGGPILS QQGKPLQPFTLLANRQEIAKGVFRPSHNLPTMTIDEYLEEERRRGGIIEGGGEASGQV PEPDEDDYEKAEKEMYKARAWDEFVEENPRGAGNTINRG QC762_606140 MATFFNHRLTSLVMHQTIRFSKSTLPITPPMRVSPRRLPSLSVF ARGTRLISSSNSRMALHPKQDDKLIFAPTGTPALLDSGPTLPPGQAAQFLKAERQGGL KRTDVDPESPITQFHTWFQQASLPEAGVFHPETCTLSTAQLPSGRVSSRVVYMKELDH KGFVIYSNFGTSGKARDLFGTADGKSTGNPWASLVFWWEPLERQVRVEGRAERLASEE SQVYYDTRARGSRIGAWASQQSSVLKPDASKEGDDGRSQLEGWVKETEKKFEGAEKIP VPPFWGGLRIIPERVEFWQGRQSRLHDRFVYDLVECEDGSKKWQLERLSP QC762_606150 MSPGVLLSPPGSLVEGDLLSLYGSTPDTASQTSPDASSEANDDV DTDRDEDDGSGGGKIFEVNDPPGPRKMSEKKRADNAKFDIWLEENQQNLFKGAGKLVL DEERSANWLMREFENKKIITSPRDYQLELFERAKTQNTIAVLDTGSGKTLIAALLLRW TIQNELENRSQGQPKRIAFFLVDKVALVFQQHAVLTCNLDYSVAKFCGQMLDRSSAEF WETTFRENMAIVCTSEILYQCLHHSYIRMDQINLLIFDECHHTKKNHPYARIIKDFYI QNEDNEARPRILGMTASPVDAQIDPRIAAAELEGLLHSQIATVSDPTIIQHTISRPKE EIVLEYDRRPRQWTTPLHQSIKALVGNHDHFTKAFVFTLQATAELGPWCADRYWQLFF NQEDIAKLETQAERSLLRQGAFSQLMGLDKNRVREAHELVKNHEFEQPILDTRLFSSK IIELWKTLHDQFSSQDLMRRCIVFVKQRNTANILVDLLKQPELKIPGLEPGILIGGGR NDSSWESSKTSYRDQVLTIIKFKKGELNCIFATSVAEEGLDIPDCNIIIRFDLYDTLI QYIQSRGRARQEKSTYIHMIERGNSEQLQKMKQLKQSEDGLRKFCEAMPDTRKLTGNN FKMDYFLRKEKGQRQYTVPETGAKLNYKQSLICLANFVSSLPHPPETNLTPEYTVNTT EGGFQCEVILPDASPIRSAIGKVHGSKAVAKCSAAFEMCLQLVRGNYLDAHLRPTLTK QLPAMRNARLAISSKKREEYGMRMKPEVWSTLGEPTELFVTALTLESPESLGRPSLPL LLLTRRRIPQVASFPIYFGKSRSSIVNSVLAGEPIEVDEAELTSLTAFTLSIFKDVFS KEYEATFLDLPYFLAPTCKDHSFDFSAPASDELIDWDTVKYVQENNIVTYNFDEPDDF FEHKYVWDPWDGARKFYLRGRRHDMKPTDSVPEGIVAPGHRAWRTTCDAHDILNYSNS LWSKSRAKFKSRGDQAVVEAELLSTQRNLLDDSLEGEDLEPKQCFLVLEPLKISPLPV QVVAMAYNFPAIIHRVDSNLVALDACNMLGLNIRPDLALEAFTKDSDNTDEQDVEQVS FQRGMGNNYERLEFLGDAFLKMATTIAIYTLIPDKDEFEYHVERMVLICNRNLFNNAL EVKLEEYIRSMAFNRRTWYPEGLTLKRGKRKDIRKKHVLADKSIADVCEAIIGAAYLT AQEAGNFDMAIQAVTRMVNDKNHTMQTWSDYYAVYKKPAWQTMPTNSVQEDMAEKFHK RMGYRFQYPRLLRSAFQHPTYPTSWEKLPSYQRLEFLGDALLDMACIDHLFHRFPGTD PQWLTEHKMAMVSNQFLGCLAVYIGFHRSLQHSSPAVQSEIASYVTEIEEALAAAKVA AVQEDKSESEFARDFWVDCSRPPKCLPDTIEAYVGAIFVDSSYDYQTVQDFFDKHIQF WFEDMRLYDTFANKHPVTFLGHEMQHRFRCQEWRLLTREIMVDNDEEGGMMGKKQVVC AVMVHGQKLAHAVAHSARYSKIGAAKKALRELEGLEQGEFRRRVGCDCKVVGEVEGKN GEKGEEVEIEVYGDAI QC762_606160 MSSMTSDFTYENVLLPNPSHYIRLLHLHPPSPLVPGDAFSSPLH CSISVCSIDQPTPYHAISYTWGPPNNERHYLQVHHHNNPDSPSQQLPITTSLDTALRH LRQLASGKVATLWIDQICISQADNAWEEKSKQVGIMHMIYSSAEQVRVWLGPAENGSN EVMEMWEEVGRKCEQEVNLASYFSSNLSAIHSLIDHVNNRTPDDPVTQRIQRYMDWAA PRMKPYLKGMTVMFSRPWFRRVWVIQEFALAANTVFVCGLKFTQAQYPAWVLNMFSHC RSRIWPGGLTEDDFEVSNKLWNPAFDTLFTIRKRRQDHDNAVKRGLLGKNESPPPGPG AGDYLFDLLVRTSSDQRMEATDLRDRIYALLGVAVDREKLERLGLKPNYQKRNFEEVL LATARAIILRGEVQILSFSQFPKQHTLPSWVPEWRPGLATPYFHYSNRSLARLPPMFT ASGSSKSAVIQMDNPAIIGLRGCRIDIVEDTSDPWAQTEWGISNNSSYLTFLRQVQAL CNRSAVKEQNIYPSEPRRAEAFWRVPVADCEISPNGLARATTAQSAEMYAYCLESCEL FESVSPSQWDSKTAARHKELRPGRVYSTCLGRTANKRPFLTERGYVGLGPLSTRAGDI VVTFSGAPIVYLVRSTAREGHFEFLGDGYCDGVMDGEAWDETKAETFFLV QC762_0092690 MPQPQHTRVVEPPTRPRRSLPTSQPSHHSNTHTASHSNQTSQTS AWSAPAPAPAPAPAPATAMPTRTSPRQHRSYDDLRQQQPSAWTSANRPAATQPAQAAH TSPYQSAAQLSRAKSRQSNRSQTPVNNASRQPQPTQSVTNTSGYSATQSSQQTTQPDS MSTSTQGYNYNQYSNTASASASRADSSNDRIGYQPYSSTPAAPSTNTTSFSNFDKALE NYNRTNHMTSSTSYSTPVSQNVASSYTTTADATPSASQWGTTSTSQTRNSHNYNTNQS ASSNNSYTQQSQAVQGFNMRPQPPTMQTRSSTATYAQQSQQHHQQQAQRHQQQQQHTQ QPQQQTQQHQQQSYNTYSNQPQQHTSNNQQQNWYGFQSGNNASSAYSSATAAAAGGSG GYSGSGGSHAHGGGHGGESHGQQHRSMNLSSHTYSSIDGGEQALYDLLRNNPTG QC762_0092700 MDALLGGLGLSLGGAQQPKPTCGSIFELQDKLRTALQGHVTETR ADFIAETFQIRSNAVFEIPVNENENEASENASSIDPSLGEGVRASGGPTVIGSDGQPA RRVNANDAVIHQPADDNAVQKLVASHLTTSLGQIDGSQWVVRAVARTDQGWTFSFICK DSWQSWSRQATKTPAKTVISEWSEKGGQDPIHLSRPAFDCRGCLKISFLKSSRTIEVK YEHSPLHKTVGQLIELLAPPPVAPVVKTPENKPKEPRAPRLCKGPRAPKETKAKTPRS RKRAVGEDGLPVEDSSQPKKRRKKKDSTAPAGPVGDPSERQLYNNEVYGANNAQPNGD YADPAPPVFNLPPGELERRRDVANKLLTDAGIDPQTLSTEQFNIFANQSPDLQKDSLA MLVQYGAERLRIVHPTKDGANSEQSTPSQSPPVQVPALRTPKKMSRKKKSEAELQPEK PVAPVTPIAHVYSGAVEGKKPPRGVCDNCRTHKPPKDSKRDLCVKIVAPKVGCATIPT EYGARSRMSVQRHWLYQVYQSQPPLLSQTMNLKI QC762_606180 MGMAWGDYEERRYGGEEEDELDENDYKTQKDAVLFAIDVSASML EPPPSSDDRKSDKDSAVVAALKCAHQFMQQRIIAQPKDMMGILLFGTEKSKFRDETGS RSGSGYPHCYLFTELDVPGAEDVKKLRDLVEEGEDADEVLVPSKEPVLMSNVLFCANQ IFTTNAANFGSRRLFIITDNENPHGNDKQAKSSAAVRAKDLYDLGVTIELFPVSRAKK PFDLSKFYDDIVYRSSVEEGDNSEEVKTSRSGDGLTLLNSLISNINLKQTPKRSYFSR MPFELAPGLTITVKGYMPLHQQKPARTCYVWLGGEQAQLAQSETTKVDSEARTVEKSE IKKAYKFGGEYIYFTPEEAKSLKELDTKVLRIIGFKPLANIPMWASVKKSTFIFPSED GYVGSTRVFSALWQKLLTSKKVGIAWFVARKNANPIMVAVIPSGSLNDEDEDSTAPYL PAGLWLYPLPFADDIRSVEIKTSTKPADELTDKMREIIQNLQLPKATYNPLKYPNPAL QWHYKILQAMALEEDVPDALDDLTVPKYRQIDKRVGAYMAEWKQELADKAKEFMQLRA TKRELEEDDAEERPRLAKRPKAAAGSASSGGQMSNAELKAAWENDTLKKLTVAVLKDI LTSKGISTTGKKVDLLERLEQWIEEHT QC762_606190 MAFRLPAAALRPLRSSVLLKPAAQQTRWLATPTPFPVTQNMTSS RGPTAMVFLNMGGPSTTDEVGDFLSRLFADADLIPLGRLQNYLGPLISKRRTPKIQKQ YAAIGGGSPIRKWSELQCAEMCKLLDQISPETAPHKPYVAFRYANPLTEHMYRQLLAD GFGNGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRQRLEGKAGPLDDGSDGTIKWSVI DRWPVHPGLVEAFAQNIEAKLQEYPPERRDKVVLLFSAHSLPMTVVNRGDPYPAEVGA TVHAVMQRLGHVNPYRLCWQSQVGPQPWLGPQTQMSVEEYIAKGQKDLVLIPIAFTSD HIETLYELDEEVIGESGHKDTVKRVESLNDSPVFIKALADLAKTHLDSGIATSPQMSL RCPGCKSDRCHESKKFFAAQELA QC762_603680 MSTHNMARDETIADVGTDSTESTRLLADRDETSNSERRQDEGEA WVGYADFEGLPWWRRPTVWFLLVPYALFTLAFGGTVVPKLNLILELVCKRYFADRSAR DPDFTFTPVVPGEDNDQCFIPEVQRSVATFMMVLNVLTGLLSALTAPKLGSLSDRYGR KLMLTVCALGGIMNEIITILAAKFPETIHYNWLILGALFDGLTGSFTAGSVLIHSYTS DCTPPSKRGVAIGYLHSCLFMGLAFGPLLAGYFAEWTGSLLSIFYVTLGCHIFWVFSM LFITPESLSKKRQLLAREKYEIEKAARRPPTVATRVGEFGMRAMLGQQINGVVYMMKS QNPFAPLKILFPKGAHNARLRRNFLILAFLDMVLLGAAMSGGTVIILYTEYMFGWRNL ESSRFVSLVSMVRVVVLLGIFPVINYVFRTRKAARLRRESTAPIVEKNNGADEFDIWI LRSAILSDVVGVIGYAVVRDPAIFVGCAIITAFGGLGSATIQAALSKHVPAERVGQLL GGIGLLHSLARIGAPVLFNGIYAATVSSYPQAFFVVLAGLFSATFIASLMLKPGVYMT EDDAEPVEIVATPGERDALEDDELLPRVS QC762_603670 MLSSRASKNIPATAAAAFASPKTTYPTTTTTTTTTWTTTTTTNS HHFSNPTTLPLKKNPLPQNVHHPSKSSPPPTNITFGPYPIPHSQIFLLTPLTFALVNL KPLLPGHVLVCPIHPHKRLTSLSQEELLDLWSTVQKVQVMLARHYFPSPGAPEQGSFN IAVQDGQEAGQTVPHVHVHVIPRIRGVTEKGGDGAGDELYERMAGEEGNVGGALWDKV NGCGGERPVGRGKFDRIEDAERMAREAGDMQSEAEVYKRVLEEMERDEVRDYGIENEN GEKMADDVVAGEEVKSRQRGVVGFGEYLILEIKKNLGLAKQEVEALNKGLDNGYVRKP MSEFAGWYDWLSRKGEAAIEDEEPPDVGKLFEGDKRAMKALDRFDGFDDDRGPGGWED YQVDYEFMALYDQKYDQWKGKRRKQRREARKRRAAGWETFISSKPAHEPFFDEDENFS LGHGSDVDGSGKDKTVLIRILNEKKGVSANWHVNFGALTVDEDVQRRFLIGVARAERQ RKLAFVRLPIKGDDLGGWLAAKGVNGGKGGKIAK QC762_603660 MEGTDVAVAASFEHGDLDAFAGGSADPPPPSKKKSRRAADPANQ KRRCVSTACIACRKRKSKCDGALPSCAACASVYGTECVYDPNSDHRRKGVYREKTDSM KARNSTLQILIEAILNAAEEDVPAIVKKIRTCDSLDNVAESILKNDISNEADEEEDFG RLDDDYSANLPIEGERELARKMGELRLENGSVRFIGGTSHLIYLGETANIPDEPESES YLSGEDPVTSWTEVTKDTQLIVHLINMYFNWHYPYFTTLSKDLFYRDFFKGKPRGQPR TTVYCSSLLVNAMLSLGCHFTSVPGAFALSGDSRTKGDHFFAEAKRLIVENDEYEKPK LTTVQALALMSVREAGCGREAKGWVYSGMSFRMAQDIGLNLDIGGISKDKDSLDEKEV DARRITFWGCFLFDKCWSNYLGRLPQLPKNSYNVPKYDVFPDEDAMMWSPYTDAGFDQ SSKQPARTRATGLQLSKLCEISSDLLLFFYHPNHIGRSSGKYVELKKLSELHRRLEDW KAELPKEFEPKEGQLPNVILMHMFYHLQYIHLFRPFLKYTPSSSPLPSHVSPRRICTS NAGAISKLMRLYKKMYNLRQICNIAVYMVHSACTIHMLNLPEKTAKRDIIHGIKHLEE IAEDWLCARRTLSILSVLARKWNVELPEEASLVLNRTDEKYGTFSTSDVPSPNKSSHY SAQSPQSLPASPNSKAEHSPPNPYMYPSSHQHQQMTFDSRLPAASMSPDILANFSVAG LQLPQSQSHTASTTAATSPMAMSVADPLSAMNAWSTVSQPPQPPMPSYNPSPFNPNPR RHVSSNSGYVIDGQDWYIKDGVNWQQNFETWGMSPNGGGPQQTNQGPSNGDPSSLFMF RGLNGGGRGGNEMDTGGFDNLGSMGTLDHLPGLD QC762_603650 MAAPRQVVDGLWRCLCPSINAALFQKTSRLRPSVPRRLTSTTAA AHEEDGSSTGLLTSNLTLSQQQKPVIDDGSQLTQRSKKTRPGKNQRIWQHEKANRKLL KEQYQHEVSSLPTTTTTTTTTTTATTGQPETPAITTPSLDILTLPDNTIPPSLLASLS TPTLLLTLTRLLTSHSKHSKRFTGLKIRSLVHYLVTDRHQPPNELLYRALVVANWDPE RGSAWELEDILKEMDKASIAPSKEFWRAAIKLLAIHPDYTLRNLILRRIGVVYGEELI KEVREDVILGLLRERQEELALEALEEVVGWEEEGERRWMSGTGWDTVVHVLGKRGYTE EAFQVLMMRVGLETGREEGRGEEGRLDGVPMEVWYYMLEECSRESYLEGTKYLWGKLV EHGLVVPSDGMLNNTLNTGARHCDEELATGAFKELAGRGIKMTGLHYEALVDCYADQG KLEEALEVASIKAESYPGLKEESRSILRLLVREPEMANRMFEILGELKEKGRKVPASG PVALLEFVGLQGDMGALVDALERVQPLMEGSDWGLGYLCRQAKTAEDWNLIARAYPRI GPTMTVGKPLVTLNDTVRNLAADREGDLDLAFARLWDMGEHLVQRVAKYPTDDTLMAL LDRCYWEKDSRIWAVIDLAREKGIQVDETDMKKLSTIPKPERFLLLAPAGKS QC762_603649 MLPLGLLNAAQGHPMLVELKNGETLNGHLVLCDTWMNLTLREVV QTSPEGDKFVRLPEVYVKGNNIKYLRVPDEIIDIVKEQQQSGGGGYRGGRGGHQRGDN GGRGGGDRGRGGRGRGGRGGRGGNRGA QC762_603630 MDAFKGFQKSLTSLGGQITPFASRTFQYTKEQLGQAEDKTELPP DYIDLEKRVDALKAVHQKMLAVTSQYSNEAYDYPPNIKETFQDLGRTVSEKVTLLSSA TSPAEAQAALTAPPSAKPQPKTFHHAIARASLASSQALHQQHTSSGEDPLATALEKYA LAMERVGEARLAQDAQVQSRFLAGWNTTLNTNITFATRARKAVEKARLTLDAVKARVK GTTWKLGGAAPSGQHDEQELSPEAQEEIEKAEDEFVTQTEEAVGVMKNVVDTPEPLRN LAELLAAQIEFHKKAHEILSELAPVIDGLQVEQEASRASAYSFQ QC762_603620 MPLVAAMLTRSNGAWKKATSVVQASICRDQQRPNHTTITSVTSV SQRRHFSSAENGAKPSRSHPSAAEAKQKRESDREFLISVLESSATKRDAKAYLQTYGS SKAKAVPKESPAFTALVSDKPIPEAKDVSFFVQGSVPVESLEADEVPRVAIVKLREPQ TWDDTLLGGVAKTLTRLRDLGLRSVIVLECSAEKSSILDWKDVVTQQTDRLQKAIQKY GTPGAELVDGGIWKRSTTPPSASSLGHTKLSVGFGEAFTAPLRHGHILVVPSRAVVEE TLEHTAADANEVIFALAKYFAGLQVNAGQNQTRTAVVDRVIIIDPFGGIPARNLGDGA RVFINLEEQFNSIKATLSAAEPQDNGSPIPGISGNPKASHIENLELVKNILAILPSTA SAIITSPIEAANLQSNPAYDIRRDAEEAMAGEVKTRRWQNPIIHNLLTDRPIYSASLP IGRIKSTTNGTYQRSSRMPTTTLAKKGLPVTIFPDTRTRSWQPPKPGTPRLKLTDTCV DLPRLIHLINDSFDRKLDAEHYLNRVQDSLAGIIIAGEYEGGAILTWERPFGLDEETA YNSGRLVPYLDKFAVLKKSQGAGGVADIVFNAMVRDCFPNGVCWRSRKDNPVNKWYFE RSRGVRKLPGSNWAMFWTTPEAAVKDQVMEDYEDVCRGVVPSWADSKAAD QC762_603610 MTPTVFWIGLGNMGRGMAKNLVLKGPLDNQPLLVHNRTKQRSLD LASQLPPGKVTILDSISSGILQADIIFLILSKDSVVESAISEILTHDLTGKLIIDCST IHPTTTTRIANSITSRGAQFLAAPVFGAPAMADAGQLIGVLAGPSASVDRARPYFKGV IARAEIDMSDEPYGKALTLKLIGNTFVFNMVEQLAEGLVLAEKSGLGTKYLHQWVEQM FPGPYAAYSTRMLSGDYHTREEPLFAVDLARKDAGHALKLAEEAGVRMRNLEVADEHL KEVKEYAGEKGDIAGIYGAVRREGGLDYENS QC762_603605 MKFTTFTTLSTTAKADIWIYEGTDLGSGGEVLWLFFPGFPLPPT AAKTSRIPGSFSKNGTISSGWILMLILVISLFIRIGTGTWLGLKDEVWGHCRPTEEDE YDCPAQYLSAGQLKFSCETDVFP QC762_603601 MANGWSIFIGLAIILAMCTAAWVFAPKGENQVLWRSSLILAFVS CYLMWAITFLAQLHPLIEPRRSDIRKEFVHH QC762_603600 MPICIECRHPVKTLWREGGTNNTSSTTNKPSTSGGHNIRLTVCK NCGRFCDKYVEHDFVVLFIDLVLIKPQVYRHLLHNTLMNTPSSDPSDPDHPKKEEDAF APSIVRLGVLLLLFDVYLTWARIERQSSDTEGLAERPIVTQYVFFLLLCTLTTLSFHL SIRFLTSSRFSPLPFLGILPRYPRPNSVSTALLVSSSTKLFPILMVIWEYDVPAAARS LGWAVVANNVEALKILLDCSYGVAAFLAMAGALSRWAMGRVVLWAAGLEGVDSWTAGT EGGQIMGGDGRGLGELGVLLGYLKDWAGRLAVG QC762_603590 MNPSQRASSSTLLPAASRDSDSSSIRPRNRHQASYKDDPDNSSS RSTSRGATPIPAAHIGSVTGRNDARTEVARNRPSTKGSSGQGAGLLGGTWAPSWASVQ NLATTLIAGGESFINGESHRPQTNGNRAKGGQGGGRGDATNTWGPAPPGETRPRSDEI GTGTLAKRDARLKAMRTASILESHEGVNGGLDFGRKFKKKGSDEDLRRTVLNDETEEH LVYIHHVQPTDTYAGVVLKYKCREDAFRKANGLWSRDGIQVRKYLMMPVDACEVRGKT CEAPVDPAAKVDLLARTPDTTDPWGQPTNGGDFFSNPGNSNQLEQQSQSGDDDRPWTH VRWVSIDSHPHPVEVARVSRRSLGYFPPRRKKSTHTMSSLSTPRASVDAPNTSVSEPN IGSPKSPSSRRPSLLSTRSPIASSHGNLPTQSNPTATTSDNDPRPAWMRRPGGVGSLG RNVRAPGPQKDPLNDWAKKNVPGFNFDSLPSMSAMGMERARFGFTNDANAPVTIAESP FGEGQDTSAMSRQGTGLDKAALAVETWLRGAIEKGVELAKSGNVTPILGPRRVSGMHG GSNGQGDLIELENTGSDDDGRGWHDPNFGGTLGSPAVAGPSGRSFGKAAALRGRGGSK KAD QC762_603580 MRVTELIIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITHLSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNKDKKRSPIGFEEYATIS VTRQIVLGGTTKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILAMIEEAAGTRMFEDRRDKAFKTMAKKDLKLQEITELLRDEIEPKLEKLRTEKRAFL DFQQTQNDLERLTRIVVAHDYVVCQEKLKQSGSDLEVKKQRQKDLEASAERLKSEISN LEEDVERVKAQRDKELRKGGKAQALEEAVKKYSNELVRLATVIDLKRTSLAEEEERKI QAEKAVTELEATLQEKTKAYEKTKAKYDTAKDAVEKQSQEVESKEELLQTLQTGVASK EGQESGYQGQLQDARNRVTAATTEQEQAKIKIAHLEKRIKEEEPRAKKAKEANAGLLN ELEGLKVQAQRLEKELAKLGFQPGSEGELYKQESQLQQIIRNLRQESDALKRKVANID FNYADPVPNFDRSKVKGLVAQLFTLDKQFIQAGTALEICAGGRLYNVVVDTEVTGTQL LQGGRLRKRVTIIPLNKIAAFKASAQTVATAQKICPGRVDLALSLVGYDEEVSRAMEY VFGNTLICADAETAKKVTFDPNVRMRSITLEGDAYDPSGTLSGGSAPNSSGVLVTLQK LNEITRQLKEAEANLGLLHNHIAREKSKLDQAKKIKQELDLKSHEIKLAEEQISGNSA SSIIQDVQNMKETIGQLKESIVEAKQRQVEASADVKRIEKDMKDFDNNKDGKLEELQK TVNSLRASVAKMQTSLKTLQKELQNAQLDSEQVSADLAAAREQVQEIDLAIASQQEEL TALASKAETIKTTHDEAQSELDAERRKLSVFDSELKSLEQATRSKTSRIAEEKLELQK LGHQIEKFGKESQSALAHIQALEKEHEWIPDAKDQFGRPGTPYDFRGQNSNISELKAT ERNLRERSQGLRKKINPKVMNMIDSVEKKEVALKHMMKTVMRDKRKIEETIVSLDDYK KRALEETWRKVNGDFGAIFEELLPGSFAKLDPPEGKTISDGLEVKVCLGKVWKESLTE LSGGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIV VSLKDGMFQNANRIFRTRFSEGTSMVQALTPADLR QC762_603570 MDQDPDSVLLRSGPVIDATGLLLLISTITVFLLPLFIYFPPIPP SQRDALLETHSPIGVKHTKSKKKTTTTSPSKTTIDQLWIYPVKSCKGIQLTSSKVLPY GLEFDRLYTFAQLKSPFPLSTNPSSAEDKLQPQESWEFITQRQFPLLATVTVELFSPD PVKARGKPLYSDASIKDSFLLISFPWQEPGLRGVVSWVAAKLAWGRGAVPQKQLVLPV SFPSQDEIESQGYEREEVRIWKDVVSALNMEKDLPRELALYLGVSNRLGLFRVDPGRL REVHRCAPGREEAGYQPVTGFQDAYPLHLLNLSSIRDFSAKITKDENLEQDLDARRFR ANIIVDGPEENNPPYDEETWKKVSFKKGDDAEGEKSKPAAATFHVSCRTVRCKMPNVN QDSGFRHPVEPDRSLRKLRDVDEGARLKGCLGMQLTPLFDGEEKLESWVEVGMSVEVG ERGGHRYINQ QC762_603560 MGDYHYHHFLSSMAGVGGQPNQSPLEGPPQMSHQPQVLAMGGHG PPMPNPYQSLGYFTGFPEPIMFNAPKSQRSRRKSAPGLDHIKHRRTRSGCFTCRSRRV KCDETHPICERCRKGKRECLYPEPAPPKGSGGSTSKESSSTAPSQQASPISSRGDDDD DDDRDSKLEPIMDEDEEEPQSATSTTAPMFPLRRSSTTSSFGLQRVPTGYRYDSETPS FDGNKSSSPLSTGTATAQSHRPDWTFLPHELQFYLGYFYDNITHYHYGLISDAGDFFR TTLVGLALRNEALLYAIVAFSAYHHALHNHHGRINEFLQYYNHSVKNLLECLKRKEKY SIATLLTILQLATIEEYLGDWVNLMGHQKAALEIFTQLFTPQTIMQSQTGRAALTWYG RFDLFVAIMGSFETTLPREWYLEAATYHDACAAAEPDSVFWKFEACSAKMQLISMEMA MLYARRVKEEVTAEDFAAEHQRLSRCLDDWKNGWDPALVDPSHLVTDFSGSRAPDPND IVSPFTSGVLFQPPLFPSTVLTASYHSMSLLHDSQSGIRPTGEAKDKLREHAYKIYQI FEAVEFWSHSPPGGLIALQSALAVAALYVQRDPRHQMWIRRKFVLLEAMGYIFPATMR VRMAELFADDTCTRWWLPNDEGFPPLLQNIRAYADERNAMAATTQRDTVQQIRHVFSR MNIREAARKAAEN QC762_0092890 MSLAPNSPRLPSPPPPAEIQIGPKSPMMGAHHPPPIEQTALDAN SKRRIHPGTKAADMHAGPPLVPLQELDSAFQLQEHLSALHYHHSSSNTSPITRTTALL LATPPPGIDKTLWLYELCRFLVAHCNKLIVQFLFDTPPCSAQTCPEMRASEWQFLCAV HDAPKSCCAIDYCCHTLDWAANVVTNPKIFPSRFVVDSHDKNQAVKNLVNVFRRLHRI FAHGWFQHRQVFWKVEGETGLYVFFKTVCDVYDLLPAENYKLPPEAEGLPIAGEEEEK TAGGGKRQGGITIAKPPPRVAELSGEDPGLSRTNTRRHIKSSPSTGSFIMPVPEADED DSPGGHGELSRRLSVMSISSGVSETGTVVEAGRPEEEEEEGGPEGEIPVIVEGLKEPV RPESVIPPPKTTKAEEKKLEENFADEPESYSDVSATAKEVLASPPEEDKKLEGEEKKG DDKGKGIEEGQGGAKDAQEEEEEEEEETDGEVDDVTVVGGGAEEEIEIEETAPAAATG DGKDKKKEEEEDKPVKELD QC762_603540 MNFDDDDAPPDLIGADETVEVPEEKAKKVPITIVTGYLGAGKTT LLNYILTAKHGKKVAVIMNGHSSALDIEKSLTVNKDGEAVEEWLEVGNGCICCSVKDT GVNAIESLMEKKGKFDYILLETTGLADPGNLAPLFWVDDGLASTIYLDGIVTLVDAKN ILRSLDDPAGKVEGHEDSDDHGPVMTTAHVQISHADVIVINKSDLVSGEELEAVRERI TSINELAKIFVTSQSVVPDLEGFLLDLHAYDRVDELDRAGRGHSHLDKTISTLTIPLE ELTTNQLTAVDAWLRSVLWENELPRNKAANGPAFEIHRVKGRLFIEDGAEKMVQGVRE IFDIFDSPAPSSGDVPRKGKLVLIGRHLTDLDFEKSLLDAVRTAA QC762_603530 MHDCVAAMNNSNWAFGNGGGLSMGGNANLSMGMRPQASGNLSFA QSLIGSQQQSATLDPSEFPSLSNTAPNQSNPASMWAQQPSRNIGGGAHRGPQTPLSAH PGQQDDLFTSSRLASTAQSSFRFGNQGAVNQAPQGGQADEFPPLNRSTNGEIGGQDRG PGLMSNMGFGQGGAPSTRGVSHANLAGNGLLNALSATSRTGEVISPTSIQRSQGPRSP VDDEEPRQKPPGFREGSVASHTSGNDAVGRNPLGAIGNDAPSGKAREEDRGQLPDVVD PLEGMSPIDRWGIKGHQTLMNNFPDYNIIGHGIEPSVLGLDLRSTDLISTQIYSLFNA MPPRPAVQNFKLPDCYEVKNVQPMDVKISSFNEETLMWIFYSCPRDYKQQLAAMEL QC762_603520 MADATEQQVNVPGLLFILVLSGILIKYLFSSGSGNGNGNGDRRR ATGQQDLAAMARQREAAVQRVMQMFPHLSRREVLWDLQRTGGNVALTAERILGGRLES PPATFQPPPPPEGSAGPAASGNANVNSAVKPPVPAHPDLITRYNLAEKLKGSEPEEDN TKAGGKGKAWSSNKDERQSLLQKRRDQMILEARRKMEAKIAAEKAAKGL QC762_603515 MRHLPYLDSFPQEFLKSRCFSRLFYFLALFFYPSLDKGIDRRPT KEVRFSGLVRFQRSPARISSRTPLVYPFLAWDASERTDKMWTTFSTRDEPATPLPLPA RPPTSSSSSCSPAHPYPPLSPNCEHPQPMYRVYPHDNNRHHQQHHVESEYCHARRGGS PTSASTTAAPWDNPMVDLPYVDYAQVEIQSQSIKVIRSPLSLVKSVASRLPTSPYMLA RAVSTYATRSRSSSPPASTEPPVAPPLPNRPRIEEGYSPGVSRQGQTRSMEARARESE SGVNWDYGSQGIGMLSLARQGGNAPDLERMNYINSLAYLMRGLPADLTPAEASTIRQS TPASVVGPQPNDYSGPHHDRSMPPLQRSIVHHIAFIVLNWLYAFWQVFAPFLGQGISG LLQFERDNQLINKMAMSTFKGAKNAYVWFSAAYVGQLIAAFMAWVFQGVHGALTEFRA QSDAVRAQGGGFSNQQQPSRSSEEWERRRMYEQQRAQHQGWPSQNYSMDCAQLARQGL QC762_603510 MMKTTILRHAAACRVALTARPLQLTARLSPSAFAIAQTPLKASY RPVNSLLRFYSSESAAQQETATPAGRITKFRDLESLGVHNALVRSITEGMRYKDMTEV QSLTINAALAGKDLVAQAKTGTGKTLAFLVPILQKIIADQPALAEARRPVKARSDDVR AIVISPTRELAEQIAVEAAKIVKGTGIKVQTAVGGTQKRMSLQKIRYEGCHLLVGTPG RLADLLTDEYSGVAAPNLTALCLDEADRMLDVGFDAELDTILKALPNRKDTPRQTLLY SATMPKDVVGLARKYIDPTNFEFAQTVKSNETPTHERVPQFIVPCRSFDTMPATLFEM IRTWVAKNRDELEGNPLKMMVFLPTTASVISWSAAFRRLRREFPDIPEVRDIHSKLTQ PIRTRCAEDFRRAKSAILFSSDVTARGMDFPNVTHVVQVHTPNDRDSYIHRIGRTGRA GKEGEAWLLVSDSEVSTARSRLPGLPIKRSTDFAIASTDLYGAEPESFPDSVKRVREA FSKLPYETISEYYKSFLGGALQGVHKQAVVDELNTFSKNIFGLDQPPGVSPSLMRNMG RITGLRVAEREENRFQRSGTGGGFGGRGGGRDGGFGGRGGGGGRDGGFGGRGGGFGGR GGGGRGGDRGERKPRDNWEAMEMAGQRDKQQSRGGGRATF QC762_603500 MASLLEARTPGFNPYSVKYSPYYDSRLAVASSSNYGIVGNGRLF ILGLGPQGIAIEKTYDTNDAQYDLAWSEINENQCAVACGDGSIKLFDLNVPEFPVMNF HEHKRETFSVCWNPLTKDTFLSSSWDGTVKIWSPTRPASLKTLPVGNCTYSASFSPHN PSLISCVSSDSHLRLFDLRTPVNAKYHLVAQIPIHSPPSIPQSTILPRLSNGTTYAGA IPNEALTHDWNKYSDTVIATGGVDRTIRTFDIRNPTGGPTAVLLGHEFAVRKLQWSPH ARDVLASAGYDMTVRVWSDGSAMPFPQEENVIRVGQELGLMNRHTEFCTGVDWCLFGT GGWVASVGWDERVLVWDAHTLLRR QC762_603490 MDAFVTRRPQKRKTSDDFAVESHEESTDIKLAILASLHPDIEQE MLLDILLAHDGDVQATSNTLKSPSTPRSVKKTANNRIAGQTSLRAFAIDPSSGEPSPK KPKILSKKGQTLHLYDPQDISEHTPCTIIHNFLPAHEANELLSELLEESKTFQKASFK LFDNIVSSPHTSGFYVGSQKELQEQKDDYHYNGGRISDVRTLTPKLEAVRGRVQETVN SAIEEHIRTHHGGQKPRHQPSEPWCANAAFVNCYNGPQESVGWHTDQLTYLGPRPTIA SLSLGVTREFRLRRISSFLPSSTSDNPDPNLQGQVSLPLPHNSLLIMHSTTQEEWKHS VSPSPTLTPHPVSGNIRINITYRHYRSAFHPKYTPKCHCGVPGVLRVVTNDTKQANGE RERKENRGRYFYMCHAGNVPDPTKKKCGWFLWGDFDQDGNPRGLNMANQSKPG QC762_603480 MARLLSCFGCFPCFRSQPPVTTELGPVQDSGKTNPLIANSVHNS LTVSRQSPASSSLESHQDAMSATQSPDLAEEHVLCTACHSAASNSDLLPTLKKGTENF RSYASLSELKLSSLSGCHLCSLLFGVLRGSDIGSGSVQVSLFVSRSGGAWLKVGILNP GETREKIMGELSVFRNLEGRLDNPPEPASPFMFPDPSIYRNARLSKSLSHDVSAALAR EWLRQCVTSHKDCALAANLGTSNHGYPTRLIQVTGDENLNLRLVLTENFSKSKPPYLT LSHCWGAAKILRLLLDNLADFQHQIPFNQLPPTFREAARVTRQLGHTHIWIDSLCIIQ DSKADWRDESKIMGDIYANSVCTISALTALSSVQGCFAEKSPDGKENTPRNPLAFRIC HLPHGLHVDCHQRLDTFLQIDRSPLPLHTRAWVLQERILGPRTVYYGTWGLAWECVEC LATESKPWGEVGRFSPKADFLRECIRASSSSSSGGDSSTALFSAWRAVRAAYTGCQLT YFDDRLVAVSSLIQRIERLTSWKNLWGMWEDKLLDELLWFTDAPSDRPHTREYLAPTW SWAGLEGRVFEEMALMPRVGPSGEDLLPEWIGKVVETGMDEKGRGYVRLRGAVKRVMR RRDGSGGGLVDRDRGGDHAVASWAADTVEDSKRPLGIITGQQEGLCCLLLARNADENP DQVLDLGLVIRQNASGEAVRIGRFWQARGDTRPLFPAEIRESDMEDVLVI QC762_603470 MFRLGNWLGTHHSLARSTLLSNALKPTWQQTVITVHLPNIMTDN AINLRHECKNADFVKILNSDALRPLIHRGRTPECTLCTFLQQTVVLSGIKRHGTSLEL EIRRNHEEIAGELSASNQTGSTTTSLRGWISDCEQQHSLQGSKCRAAAPFHLDSSRVV DCAGAEPTLIAYPLGAGTPWATRGWTYPEGVLSKRRLVFTKHQLIFQCQKTQFLESPL EVLGDHIEQYVARNFTASTDGLDALRGVLQRYKADEDPPPTRSLWGARLSSTNGTIPL AWPCPTTSRLLPELDLAWVEDGEEDELVSLIGST QC762_603460 MVAVCGQPSTMADRELHCLPPARDLGWGQVPEPLHIRKQSSEYS GSRTYGHSRRSSTQSDATDASFESLPELPEADVPLTIAKRRGNPSAQSTNPPTPDGSC QFRSALRPNDVGNIAPTIPPKSTQRRSTSCNAGRHPRARSPPLTRGFHLASSRSFRRR GQVLDGSADQDQEHGWRDTTPVVPTASDGHRLRNARSLFQLARTADGMNGMDSIVEPP RSPMPPEPDSARNSPDEVGAAPDPLVLVPRIVVTPEHKALDEGAVSLWAAVQLSTQIS RANVPDQLGGCGLVGEHGHEPSPADLFRYGCLYDVSLEILPTSRSSIIEVVDDKACAI STLYPGSRLLVVAHVRLLPSANAHRLRRKAHQSSDDLIEDLEHHLGSTMTEYLRVRVT YRHSGFPQQQQQTRNMTMKNGSSDGIANVQTSIQTTAVAVIKRHNSSSPWSPRPRAPQ PNPIFKIIASHWGVQSANEVMQRIISSKPLTARKGAISPPLLGPLGILTGGRLTPILV SPEESGEEDYDRGRFSDDNTREDSHSEEMVRPRQQQDIPALGSTPPTRMAPPVPPTIP KRQTSLRQVPVDQSQAQQEVQQPQQRHLRSDTKDIAQMIKIGSWPESPRTSGGSDTGG NDDGVVTQETPSSISMATPATRSTNRTTYRSSKVRGLPSSLKMSGGGGGGVTSPQIMP MSIQEVGEVPRDSVGSTSSGGNSEERERPSYQSYQSLLGRRRSLGGDGSSGKEGRGDR GRDSRDSRDSRDSRDSRDNRDSRESRGSGGRPSTSGGLGGEKIFGSIVRGIESGGGNG GMGRRVSKKEKEKEKEKDKERGWGGWSGWWQ QC762_603450 MGVDSHRRTSPKIYRLFPISLSLILGTGPPEIARLLIVVARFLW FAGEAVSPTLTQVHTHNSLSLTQQTQIDLSPRQRVGIIIGHHRFLLHDISVHPTVRKM ASPRSSSSSFFSPANSSTNLSSGAGIISIAPSPRIVVGSEHTVESTRKRLTSLPAIKR ANLIAEFTALKHCPPPGIIVSLPPSPHSPDYPTLWSGVLFVRKGPYATAILRFQISFP DDYPDSAPLVTFSTDIFHPLISPLTTYVGEESGKKGGVRLPPGGFGLSHGFPEWFAER EEGDGMGGAFVVGGKGKRGRGERKVSTWEVLRYIRSTFDDEKVLDGVPLEAAGNPGAW HAWRTHRRMQKEKVEREREREQREKERKEGEGEGEVVEKAETVVSDDGRGSVVDARTS SGSLTVPATPTSSRRPEEWNWEGVWERRVKRGIAASLSESVLFGGAGGPDEVINFLPM DEAEVEGVKQNLLRTLGAVV QC762_603440 MAGGKKGKGGGDAAEGSKKALGQARKAETAARKAAEESEREAAA EAAKWEKGTKSNAKKLAVGWLLGAVVISMEEEEEEEEEEEEEEGRRRRRRRRRRRRRR RRRGGSHWVGGGFGAV QC762_603438 MPPSDCIHSAARKAWMIHATAKEQRHHRWHSLTLLGCIACDRGA RIADKEREHPPVYPSQLWPLWGKQLHRSWEKEKKAKTQIRRGRHAILTGLVNRNPNGP LD QC762_0093030 MVSHSTFAIAAIPRPTSEPRGRLMSNGPVEAPKQGGCGQIQDTF QDISTSTGFSPRGVTDVLFPAIVTYIHYNPCPVAGVVGKEGPEQDTRTSDVDYTGPAT GPVTAPTLLGTPDRTTERPCRHCVALIQPSVPDGWQGSQHQLVSQTAWNVGRTSPPIS RAAQGDSTRVSTILCVVFDPMQW QC762_603430 MASHHQSPTKHTMRTRRRNSMAGSERSVGSKKPLPPYPSRQLTI LALCRICEPIAFMSIFPYIWHMVRDFKITEDESQISFYAGMVTSAFTFAEFSTSFIWG RLSDKIGRKPVLLMGMTGTGISVIMFGFAPNLWVALGARALGGFLNGNIGVLQTTVAE LVTVKAHQPKAYTVMPLVWCIGSIVGPMIGGWLAKPVEGFPGCFSRDGIFGTFPYLLP NLFSAICVFIGVIVGLLFLEETHAERKHRRDPGIELGRSLMSRIWGSEKVEENKGKLP AKAVPEEERPLLSENDELLPGYRTGSARSSSPPDTVSEESLDLEEGGGLELAEAERAP AGKVFSRAVIMVIISYGILAFHTMAFDSLLPVFLSTSPPATEIPAKLPFKFADGFGWD TQTIGFILSVQGVYSLASTRLLFPFVANKIGALRLFKIMSVLYPLLYLFTPYIVLLPD SLRKLSVYGIVVWKMTFSTLAYPSNAILLANSAPTTLTLGSINGAAAMTASLCRALGP IISGFFYTQGMESGYSGLSWWVAGLVAVMGAWVGLQITEPKGRMDEKEDMDETTEDEP RTTTTPNKDDRTRPSP QC762_603420 MPAPATSSHAPPSPDLQHPSKRMRQSSPGPDKDSPASLSLSAGA NSPLATPTHEHPLSAAGGLDGSAKVGQSSSFRNVSACNRCRLRKNRCDQKLPSCASCE KAGVACVGYDPITKREIPRSYIFYLEKRVEQLEGILREKGVGFPRAEELEWCSKVGGV NGGVRGEVEQMEDGGQMSAKEGGGNGEDEGGVVARRETVRRGADKGQGRHLGSTTGIS FARVVFAAVQSSVSDQRSNSDKGGIRPYRPPAGGVNNGTTTSMRDSFFGLHTKPTIHP ATFPSKELGLKLINLYFEHANPQMPVVHRVDFMQMFEQAYAEGAERVRGPRELYCLNM VFAIGAGIILAESKGDQGTKQCQPEEYHASAIVHLEACLGSGGGLEELQAVLLLANFA LLRPVPPGLWYIIGVAVRLAVDLGLHYEDGKDVDAGLGGEQQRENAASERGRREYTRD MRRRLWWCTYSFDRLVSVCVGRPFGISDQVITTEFPSLLDDKYITPNGFLEAPPNAPT YKLVAHHYFRLRLLQSEISQVLQYQQARVARDFGQNQKNPHMHTSLPSPFLSRFDSFR SWRINIDKRLYEWKMTAPKKQDTGVAFLTDFLDLNYWQAIIMLYRQSLSVPEMFEGEY HTAKEVESPSVHSVELREDEDRVYLKVAEAGQKILRLYRQLHLAGLVNHTYLTTHHLF VAGISYLYAIWHSPIVRSRLSMDEVDFTILAATSVFTDLMDKCPPAEACRDAFDRTVK ATLKMVNARGGFGQKHPPPAPPAGASSTTSRNNDHHRMDWSSRSDTASLSSSSHHHQH HYRQPRPAPPHRTSSSIDQISDIKSEAYSTTPSQFSAFQNQYRPQLKTEGDGFSLMRN LPGPPRSNASSVNDGPLTPEAGMPSPAGGLASPGGVGGLGSPVISMGPPQQQQQGGGM FSPGLLSYNDLRGVEFLQGGLGGVGGGAGGQEGMMDTNMDLGFGMGWDGGLGQHDFSD GAGGLDLFDGFFFGGQQGSGGVGGGVGGGL QC762_603410 MTKTAGGNNAYHNFNNDFLHIKDVNERRRLALAEVDRAPFGWYH IRAILVAGVGFFTDSYDIFTVSLLTLMLGIVYYPGVGKMPTTSDTAIKMATSAGTVIG QVGFGTLADIVGRKQMYGLELILIIIATLAQALTSSSPSMNIVGVIIFWRILQGVGIG GDYPLSSIITSEFATTKWRGAMMGAVFAMQGLGQLGAAFVMLFITLGFKKSLEPAPTL ATCTGDCGVAVDQMWRILIGFGAVPGCIALYYRLTIPETPRYTFDVQMDVEKASADAE AYLKGEPEGKPDIVAQAITQQTAQKKLEIPKASWSDFFRHYSKRKNAMLLAGTALSWC FLDIAYYGVSLNNATILDVIGYSTNNAKNTYEILYNTAIGNMIIVLAGAVPGYWVTVF TVDTIGRKPIQFMGFGILTVLFVVMGFAYDKLSPKGLLAIFVLAQFFFNFGPNATTFI VPGECFPTRYRSTSHGISAAMGKIGSIIGSSAIAPLRTRGATPGNPNPWMDHVLEIYA LFMLLGLGTTAMIVETKRKTLEELAGEYDMSDEETASSTDNKVEGEVPAVRGANGGGE SDDQIRQHA QC762_603400 MPTELEELVGFIAHPNPQIRLVAIENLVPYSVSDPSIFKRENLT PVKHLKFLIRDHPKIAEHALTILINLTADASVVEFVASDEKFLGIALGLLVDPKEPNA NLLAMLLANMTKWDGLKDIISRKQPAPESLHSDELVLNQLMDLFVKGADGSYNKHADY DYLAYALADLSKHEEIRRFFLSEQEYDSVLPLNKIKVFTEHKSDIRRKGVANIIKNVA FDVPSHPKFLDEDQINILPYLLLPIIGNEQYDEDEMLDMLPDLQLLPPDKQRDTDNNN IHTHIETLTLLTTTREGRDLMRNVKVYPVIRETHLRVEDDGVREACERLVNVIMADEA ENGAEKAIEEEDNDDNRIVEI QC762_0093080 MPKAPSSLPGKKRHNPLADDLVATGVLKNKPSKRKTKAEPEEGE RYVDSRASKNILAMSRELLQEDEQQSEEEKGGERGAFDFNPSNFDSREQDEELYGEDE TWGDEEEEVEEIEVEAGDLEVFHKFIKPSMEDDPLLTHGWDMKGDGGQEQQQGGGQDL AEMILAKIAEHEAQQQGGWHDDNPADEEHVLPPKVIEVFEKIGMFLSRYRSGPLPKPL KVLPQIPGWEAILQVTQPHNWTHHAVFAVTRIFVAAKPKVVQRFMEMVVLDHVREDIS ENKRLNVHLFNALKKGLYKPAGFFKGFLRPLVASGVTLVEARVVSGVLTRVSIPVIHS AMALKELCDFAAEMVSSKNESVSAVNYLIKVLLDKRYALPWQCIDSLVFHFHSWWLIE DLHKQKKRSESSEQAPVDDGKFDAVKKYLDRLPEAEKPVPEQKTDVEPNTKGRRVRFG RVLWHRRRSTRATDNSNPSLSDMDRKERPSGPAIWLTQFPGGEATRVHTPPYKEDTVD GRPRSLFFDVARPNSRHDQTPSETSSTREKVGIRTSRSSPLNVKSRGRRSQQRSSASG ASGRGLGGSISPYRVPSPRKAKEDGSPSRSKSGPAKTREKTRSPLATTPKESVKEWWD APLRTPDTPPSTPTPRTRVTRATTGTAAMFKFDVPEHLPSSPMCPANPKNPKSLGKGV CVYHGRRRSSRFGLAGSDSLLSPKVEREEAAYDDGSNSM QC762_603370 MASPTTDPLLLFRQSIRTSSRIVPVASAESNDEVALSQATNLVF SDEGKRVVLPVDVQSRFMSSEGNLIDLRSIYFAWVNRDITIPEYNAAAEKLNEELAGG KGVHKFPFVERLNLIAWLEGAGEDTEYIKPLVGGDAGKGEEGGKVEKVGDDGGVKKER RGKGTLDPRLAQIYEGERRMGDRNSVLRGIKPTDFSHIRKLAAQFMTRKPTGGSGDIR SSTNISNNPSLALNQKPARRPDPIILLSPSASSLLRMSNAKAFLEGGRYTPPDHSTPP TMLAVSRIIKDMDPNRPIRFILVEGPENFKPEYWNRVVAVFTTGQTWQFKSYKWTNPV ELFKHVQGVYLGWRGEQPPESVRAFGHKVLACSVEKWRDPGQPGAEQSRWRDREVVES IWKAIETNMRAKGWRKDAAPTSI QC762_603368 MTSIITLVASALAFFGIAQGYTLPDNIPDVTWNLPINPANKSSA TVSFTGTIEQAVAKMENDYSGWNATLLAQSAAHHAAHSAPFGVKADSKPLRINFDPAN CTNPNFPPFPADTARIREGIRYLLGVSGTAKNGPGPGNCGRVSCSYYSAIYCAITYVS SLWSYAVCCY QC762_603365 MENDYPGWNETFMAQDPISSPAGDKAGIPIKHDCNVPGDGDAKR TQIGIGVMYLSRLSGTAVNGPGPENCGRVSCSWNSATIWCNNTQIASAAQYIVDQCSK RKSFTPLKDSGRICADKTFVEGDMTVKGHADFDDGWYVVVRGDWC QC762_0093120 MDTDYPGWNATFMARDPHPSDGDRDDEEPLHNGTFNCRPDHEGW ANRGAIRDGIQYPRKVPGTAKTELGPTTAAVLAARGIPPLYGATRMTLRRSLSGMTLP MLPVISPINPGLTSVST QC762_603360 MPSDPHPQGGNLSDMAATGTKVPKDAAKPNVVPSNAGENKPDSL GSGISDNALGSTYLGEVTSAGGGQLPEDIGQKYSRSGGKDREPHHSAPHGGRNLPSH QC762_603350 MPESTYSDSSSSRPRRRYDRERERERDYARDYARDYDRDYRDHH PRRSSHRRRDRPRRRSPSPSVSSCSTCPSTCCCSDATPPPTYDESQAVVLRRPLPSDH HPRHHQHTTVTRARNYYDDDGDLESVEEYTVHHPHEKSYPRGAYGGRSEYEARRRWEE EREEEERRRRKKKEKHQNVFLALGALVAAVVLCSN QC762_603340 MAATSNNKTSTTSPTDTNLQSPTLPSDKPSPVGPGLLATQVPAP QAAVSTSTPAYTPTTTTTSTSAFTSAPSPSPSSTIAHRPHHSSSPSTISLRAAGFLGF FDRTLSGKSEPRVRSRQSLSRLSTGPEALATLAAVSGIQSGQSSPERPPRSIRSASQS TRNPPSQPYSETDPSRPEPTLVGRLDNKMHQTSSRLLRMTDDDRPFTKDFKDLFATLI VSLLPLSAHRVRLTKIEHTFLSEDAINNLGSLKFSQSNRMPDPKDPSRIVTTTTTTTF SMAKDMARSICQRFLEARFIESADGRYQQVYTMKGSVWQLTPKGISILDRFCSRNGIQ QKQVAELVGASLPQLVSLERDGQTDKLLTDRGTIEVIFRRFIGANGPNVKSSVSSADS DSLSDYKDGLTGVKMAAERKVGGKTFKDTFTGKSATDWLMDCSTTVDRRETIEIASLF VEYDLMEAVQQDRSHMSQYPAHNLFQPTKHAIYQVTAKGRDLVNGALARGRPSESDGH NTRSGIAKDSNTQRLDKILNDPALRLLFRENLRETHCEENLSFYIDVDEFVRQCRTAI KSVQRTPANVSSLDGIKEIMAQAYGIYNAFLAPGSPCELNIDHQLRNNLATRMTKAVG QDVAMIDTLHEVTALFEDAQNAVFKLMASDSVPKFLRSPKYEQTLKNYNFDTIGATHG QPRVPERSQSRSNRK QC762_603335 MQLLAPVLFVTATLAAASTEQQPFRRQVGSNSTLVVVQAAETGA FRAGALLPTDGLGAGRFAGDSGSGFFEVSGAPSSTFTAIGGLLGVVGAVVGGVMLL QC762_603330 MSKRTVFTTVTPLPPNITREVVVNFLHDHEEMIDLNPLVKERHP IPPPPHASPDELNCQWFSLTDKISYLPGGLVTGDVTYTCAFHDLPDGVQTHCYAPAGL TIRDKWSVGGSLPGEIPQPSELGLNLPPIGLYIREDVNMKCNVFMAGFVKKTLKKSHA ALVDRLKIKAEIASNKPGSQIRTPSNADRISMTDNTIRTPSFSQNMSTSAPNSRPSSA SSSTHSVQSSPSCYSAQTSPLWSPTLSNLTSPPQSVKSPPPMGYHSFQPATIQTCNPP PPQPTVQIQFSGPTPTVPYPETPYFEESRQDYWDQRFQQHPLRNEHSTQPVDRTLVPD PLRVPQHSTPDVNKKEIPQDALWQALGGRHQRACSEGSVKSVDGATPGPRSRAGSDVG QEYPEMNPYDELLPSQIANKEKRGSQSSATLRGPFVPEVL QC762_603320 MAPRGTSTAAAAGKKRARSQNEDEPELSSTTAAKRVRVSKESTA TATNGAKPRGRRVAPAPAAANNSDEAPDSEETQPKPLTATKKTRQPSVKKKSNIYDFP ASDEDELSSAEGTTTTVPATKRKATVKSVTSAPRNDKANVEEPPAQKKKRGRPPNKPV AAETVAEQEEDDVTTTKKLSGKAATSLRGGGMHAVPKGILTPRKEKGVDGKRGKKNVV FAAKEKSDDEESEEDEEGTPTKKTGEKHGLGLDGEVGPEDENEAEEEESEEEEDDEVC TVCSKPDSKRGNQILFCDSCDMAVHQKCYGVARIPKGDWFCKDCAEKKAAGMLSVDDT AKVRGTSFQDMKAATVAQVAEDLLDIPNFEHHLRVAQRVLLDRCSGRRRIKLFGQNEA YEKTFQLVEQTIVAGEGNSMLVIGARGCGKTTLIESVISDVSKQHKEEFHVVRLNGFI HTDDKLALREIWRQLGKEMAVEDDLVNKTSNYADTMASLLALLSHPSEIAESHEGVTS KSIVFVIDEFDLFATHARQTLLYNLFDIAQARKAPIAVVGLTTRIDVVESLEKRVKSR FSHRYVYLSLPKSLPAFWDVCRQGLSIDEEDMKAEGVDESLEGHEEFWKWWNERIERL YSKDQRFKDHLESYFATTKSTSAFLTTCVMPLAGLTPTSPFPRIPAPSIAVSLDPPDS KLHMLESLSDLDLSLLIAAARLDIVAHTDTVNFAMAYDEYSSLMSKQRAQTASSGLLV FGGGTRVWGRGIAGMAWERLVALGLLVPAASGGRGTAGLSGLDSKMWKLDVALEEIPA AVKLNAVLARWCKEI QC762_603310 MKTTILSVTAGVLVLFGTTQAFEIPDVVPAVTFNMPINITDKNN ATVPITGTIQQAPKGEEKTVTINIEDGIAYLSNLPGLTQNDTGQCGRVSCSYNSAILW RNTDVKKKYVPWLDIADSAFDMCL QC762_603300 MPHSTTADVYDLRIVVIGAGMGGLGTALAFAKKGFKNISVYETA SNLGFVGAGIQMPPNVMRVLHRLGVAPEIEAEATDVQATSIRQGSTNEELAHVSMPDI REKYGFPHLTGHRSNLAGSLYNGCLKEPAIKFHFGTSLVNITCFAPKPTLIFRSRAQE EEFTVECDILLSADGIKSLTRSHLLRASHNLTQTEEEDTGQAAYRIMLTREQLAPFPE LIKLLDSSTVVRWVGERRHIIAYPVSNHNIYNISTAHPDTNFASATSATYTTKGSKSQ MLSVFETFCPLVQDMLNLVPDGEVCEWKLRIHKPLPTWVHGSVALVGDACHATLPHLS QGAAMAIEDGAVLAEVVSEIPAEKIHDGETITKTLKVYELLRKPHCTALVDLATHSGR VLHLGEGKAKEERDKAFKENGKSGSVPDKWASPDVQKMIYANDCVKEARERFGELFAS L QC762_603290 MATPTPDVTDPVTETLSTIASASTSLLESILSSSTEALTTTSTQ AATTTSSSAAEAPTGPTDGRPGSGQQDRGISLVAFVTALAASLIVFGVQMGFFLLLRN KLVRIFKPKTYLVPERERTEPPPASHFALIYKLMAFRDREIIKKCGLDAYFFLRYLQT LLIIFIPIALVVIPILVPINFVGGLGKSVVEDLKDDDGNPINKTNLDLPTGLDTLAWG NVPPEKQHRRWAHLILALLVIIWVCGVFFFELRVYVKIRQDYLTSAEHRLRASANTVL VSSIPDKWLSEEALRGLFDVFPGGIRNVWLTRDFTPLLAKIHKRDAIHKQLEAAESDL IREAKRRQLRQAKEGGLRQRFTSEGRANKVQRAQQAKAQNLEAQRRAEGPGGLSANTP RIPHSVQEEVAEEKKPEGDLTDVQEEGSEDTEADNQRGNPLTKIGRGLGKGLGKGAAV VSGAGLGILGGAKAVGKGIDNELERSGGFTVINQPEGRAPSPGNSPTSDVSSPRRAQI AVDEDDKPRTSYASERPLTQSTRHQHTTSNATNETDSRHDDFNPRSFGNTTRKATNMD EMIVNKKTYWFQFWKPPTGSYASPIPQGYEGGEYPWIKTEKKSFWEKFKSSLPWVEDE SDPVEYPPAYNYDYRTHPEDGAEWTKWLKPKNRPQHRVANLDWTPDWLPGLPFINKKV DTIYWCREQLAQLNMEIEQDQQNPERYPVMNSAFIQFNHQVAAHMACQSVTHHVPKHM APRMVEISPDDVIWDNMAIMWWSAWLRRAVVFLVVAGMIILWAIPVAWTASLSQIDAL LKQYPWLSFINSSETLTNIVKAVAGVLPAIVLAILLALVPVVLDLLAEFQGEKTGSLK SEMVQIYYFAFLFTQVFLVVSIAAGTFQVLEELGKSPQETPNILAQNIPKAANYFFSY MILQALSTSSGTLLQIGTLAVWYFWARIVDNTARAKWVRNTQLPHINWGSFFPVYTNF ACIALIYSIAAPIISIFAIITFGLLWVAHRYNMLYVTRFKTDTGGVLYPRAINQTFTG LYVMELCLVGLFFLAQDENNKPACIPQALIMVVTLFLTALYQYILNASFGPLFRYLPI TFEDEAVLRDEAFRRAQERRLGLYDDDENTHLNGVNGTGDGEDIELEKIGQHKRSHSR TGTVMSKMTHAGQWAAKGGKQIRRATGKTNQAIRTAADYRKQKRQKDLEAQRAMGDAL YGGYCDAVEDLTAEERDVLVRKAFQHSAMRARRPIVWIPRDDLGVSDDEIGRTNEFSQ YISITNEGTALDSEVRVVYGKNPPDFSDVDLINL QC762_603280 MVRKSSAMTFGLLFELDIPVQFSSSTSTSTVTRTRVKEHQAATN IMDHPVNDIKNVIRSLTQGNADEQARTVFKYFAKGASFTHPFCRVPSFKDRQIPFTNT TNLDSRAFIIAIYRWYKLLSPRISLSITSVAFDHKTNTLYVRLNQDFSIWFLPFHNAR RVELVSLLSLEARSSFSSQQQPTVNGTATNLLVEPLPHHPPSEASTDGETLYDAASET RHLIQEGEKPSFSEVAAGEASAPSSPITVPAGANGGNKLQKLKQSGTASGSQGQSGQG DVKYYITHQEDLYQVTEFLKFISLAPGSMMYGIWQVCAAVFCVFGAILLGPLMQLAYE AVGIGKQKGRELRQEGREVMDQGREVVQQGRQVVGGVVGDAKQVVNGVVDDAKGVVNG VVGEAGNWIMEEVVGLKDHVGKAGEKMGERMQQDGKRLQEKGEKVRKAEI QC762_603275 MAPIPPPPPPPSPSQAASSLLLRARSLLLLVSRQTPTDTIPASY GALYTSLTPGAIAGIALGSVAGFCLVLYLFYTCINIGNVDSTTEGTASVVTRKSHHHR AHSRGERRRTRDIEITRTTREQSRGPVIVEERTGGGMESVILEERRRSYSRGGGGGVR RVVEVSDDETGTVEDEVVVIEEHSPVRGGGRRRERSRIRSQERRSSGYREVDPERFAG GDARVVEVRRSSSHRR QC762_603270 MTSSGSSKNLVKGLTAYLQSPSLPLPSEVNAIITSYLDKHEKSE ETSGDKLNDELATIWEKIVWDRPERYAAFLVILRELRPVLRTPSRIFKWWDKLLDPVL EHVASERGLAREVLEHTLDLLSTDEHDDPEAWSSGQMLPLVSRLLARWLEIVQSESSF GPAAELKERMIKDALVAFGKRDPKGFMTVLNDFVLRKDDRNATLSLLIDFVSCGPPHL HQILQTPLFQSILQSLQRDESTNTVTIALLSLNMIMPFIPSALVQYLPTLFNIYARLL FWDRDSLFTKQHTEMGEGKDGPWATMPWEKVLMDPDFDGSTIRYLKNYFNLLYGLYPI NFLDYIRKPQRYLRHANNPEDIDVQAMEIRDRSERFRKMHLLHPNFYNLTIESEKTDL SRWIRSEADEVLADCTALQIEPGPSPVNIPADTSLPGVPASMLSEGQELDSREFALLS SPVVDPLSQSMALPSLALDRPGSQLSHSTPSGYNTPEPRSRGGGDSPTLPPQLGPSAS HSRLQDLIHSNKVIKSGLHQSLNSDSVPSLILSPQEQAGEKSPFLPSTTHTSPQGGQT SGDLAEQAAMLHHERLILLNDLQYERFIKHQHMMHMGELRRKQVREAATEAETQNLVM ANRSLKQRLDEAKRSEAQNKKEFDNRRNMSKKWEDSLSNKLKVLREEQKKWVLEEAEL RQQLEKARGEIASLRRIVDEAEKKRLESEQNLEAVDISTAMIEKLKAEISRLSATEHL FHGKEVKMQLAVQEAAAAEAKAEQLSKELAAREEQLEKQRRHYQTEIDGLKSELGKAL QIKQQTSSKEIVAAYEGALAAARAKNTDLQKQYGALTRKYTVLKASLLNMQCEQTERS GRPIATPTSVVADGRLDQGSGSPAMGRLRSPRVLADSDGFEGSHNATPPLEPLSSSLG SVLPPNRPSTPPGRHETSGSGKTSPQTERYFGRGGVQNTKKDKKEKKEEKSEKKEKKV GTIRGIRGFV QC762_603260 MAHKESAVADEPIDVLFALHDKFNLMDFAGPLEVLTTALHDQSD PASKAFEVTIAGGSPTVISEQGVSVQTQINWKDAKERLNDFDVLVVIGGGTDAVLKSK GEPLDLITAYSELQKSDPTRERTLLSICTGSLFLAQQGILAGLSATTHPDYITKLEIL CSQAATRDLGERTDVVDDARYVVNNLRFELGDEDENPYIRRKSDAGRRPSNARKGSIS FKGATRRESIARRASMRLGGLRVITSGGVAAGLDATLYLVSVMVTEESANQVAQFMQL TWTKGIVVDGLDV QC762_603250 MSSMNSLPRIAEPVQRWKRFGEKQLFLPNHVVALLRPKAKQSPH LATFAVPLQFNKLDLRDYLHHAYGVETTAIRSFINQPKPERKNKTGPWYRPRAQKMMI AELVKPFVWPEPVKDEEALKPWDHAMYQKLQKDRRAQEKKQKDPKKVPLRNKFAVPDD VKSVSQQARELLREAKGLKVKEKGLKVEGKEEGKKGEVKDE QC762_603240 MPESSILWQNEAKTVVLLDLPRSIEEAQYLSDKQMLSGRKPPDT TAPFRRLICSPAPEQPFVTPEPKSSNYAQSAISPAAQIAELMTMASVKSALDEISTSY QGPWCLPRLTSKPLAPVESKQIATQPEETAVPPKSTSTPTEPNPPPKATEDHSIIPTN QVDTPDSPYHPPNSHPLTGPFPPLSQTFSLILLDPPWPNRSAKRKRSSPAAYTPLPSL SSTHSLLSSFQITTLLSPDGLVAVWVTNSPRFTTLLLNDIFPYNWGIELVAEWTWVKV TSRGEPIVSLGSQWRKPYERLLIARKKGACAGAGGVKNKVIVSVPDIHSRKPNLKRVF EEVLPRGYTALEMFARNLTAGWWGWGDEVVKFQGGEYWAQEEVVEGEEVVGREGDLQE NREEKTAGSARLEEDIAGNLSQIVQPG QC762_603220 MSHASMPGVSSPQIGRDTTRAARPNATALPAKDPAVCPTDDEAQ VPRKPPKNKRSLDYVWRSGVAGGLAGCAAKTVVAPLDRVKILFQSHNPHFIKYTGSWL GVSEAMKAIYQQDGPTGLFRGHSATLLRIFPYAAIKFLAYEQIRAIVIPNKEHETPFR RLISGSLAGVTSVFFTYPLEVIRVRLAFETKKESRSSLRSICKQIYDEQQKPRTVAGP VGEPLPVVPARPGLTNFYRGFSPTLLGMLPYAGMSFLTHDTAGDLLRHPKIAKWTTLP QSENAPAGKAAPLRSWAELFAGGVAGLVSQTASYPLEVIRRRMQVGGAVGDGHRMRIG ETAGIIMRERGLRGFFVGLTIGYAKVVPLVAASFYTYERLKTWFGI QC762_603210 MASTQNQNQGQDRPIIKAHSAPENHSQHVKDPLAHFNAIPPFAK MLSDPALLSTQVVDRRPLPSGESNFVRKVMNSGSTVRACVTFFRMLQPPASMVKKAQA AGTKGELIPMEEITKSKAMLQGGGAQDGEDMKNPFLLFSALVDLGEDLCGYAGTMHGG LFAVLMDEVMGTAANFQSEHGAYTVQFNTNLQKAIKLPMVVVIRGRVVKKAGRKIMVR GCIEDQNGNIMAEGDGLWIQMDKNVGRSQL QC762_603200 MSASDSLPVYDGPKCRICCDLMPNRQIPLPNGKWVDVQKQGKIT LSLAVWLSDVYESAKTCVNCKVMIEALECFEGKDPRLEDDMFTVDGRVGTSLKLEYNL ADDEYLRFIEVFSDTESESCLGRATFIEADINPRKAAEIAKRRLRECVDSHDECRMGR RGFIPTRVVDVGTGDGLPRLVETTEDMKDTDYVALSYCWGLAQSLTTTKATLPNRLEG IPWEDIPKTLHEAIEFVQHLGLSYIWIDALCIIQDDGLDWEKEAAQMKNVYENALFTL SATSAPDVATGCFLARNNPVHELHSAKPQHTYYARRPCFDTHSKLFSYSVDTEIEMDN YPAMTRGWIYQERLLSQRILYCAYDELIWECRNTITCECNPTSLHRHHGGNAIVSYKR QMGHLSDPTQFNKNNSSCFPIIDLWLSLVQSYSQRVFTKYTDRLIALSGIAQKFQPLN IGNYYAGIWSSEIHHQLAWTRDANPKALRVKEAARIPGSPSWSWCSINQPCVFDDVMN PPRISTRLTALNYKAELSSPDPTGPVSYARLTLSGPCVEAKIVLGPPVEQNPSSPLKA YISLCNKQYLITPDIPQWHNAPQSADSLAASDEVSCLEIYITKKGFKDNVNVNPDSPW DDPEHPDQYYNYRFPWLVLKWSPLHDAYRRVGIVNFANRPSFDQEEQAPGEMMRHAAM RVVDIV QC762_603190 MQEVTSEKWAPSQTTLPDHEPLKFSPRAVTRLDEEDEDWQRTLR PAVLEPRSVAHSRESSLDKFQRTHPHVPPPRGALGGVIERVVDPRAASYGHHRQTSIV HGIGIHHSRNGSLASSSSSPLSPQMIAAAGAGLTPDRTDMHIQAFSRTEGDTGPGSRP VTALSNATTAVLNPGPGYPERTSSATDMSGAPAPTRGTLERMHSGSGRSRRDHSHHHS HSSRASKDEQKTVGEYALHVLFTSFIAQAEEKLNECITVPFEPEPNVEQICGPGADPA FDQLIVALGHISKQKPKPLIDSMMLWRKSKSEAAGEARGQLHQHARINPAPHPGLLQR RNTEPVQPMSAQGGPEAGGQMGLAARQDFVAQAERRSTVSIYVLCRVLLEVMSQSTLA SITPEMEEKLESIIFGQLKMSETEQLMLSPLKLANWNLFAQLLGVMSDIDFNSVTARF VTDLDRSLQELAARSPHVESRLELVLGGMKHLRIKTSPAAAWDQSCDFMASLGKLFGR SKGGRVKSAFCQVLEMLMLPIAAEANNSDIAHPKWAEVLSTICPRLAEIMAKPRHWIY AFPLTATMLCVSPPETFVSQWNQLIYPLPPKLKDRETRPICMQVVSRLVWTYLYRTTE SNNGPTKRLDDVMRTVLPNPKKPYSATDTAVIEPLIQIIRFVGYKHPEHCFKTIIFPL ISADLFEKKDRELRIEQLDPDRMVVGIRAFLTVMADLEKGDKGKPPFPQTYAHTHAHE VMTPTSPVLQSPVGAPTVPPAALSAGEQLSKPVMISSLTREVRANYNKFCEILNKITI ICDNTFGGQAALDEKFERFNSPGPKTPITETFNFSRRDDHSNPQDQKQAFYELLHVAV QAIPRCLSPDIPIPFNTLINLLCTGTAHVQSNIAESSARSLKAIARQSHAHQVTMGFA RFIFNFDDRYSTMSDGGMLGPGHIEKTLMLYIELLHIWIEEIKQKTREATTENGEPTG DKRGIKLDLSSVWAEVDQVEAHGLFFLCSQSRRVRYHAVNVLRLIREFDGVLRKPGGG GQETQRLIDILENDSMQVMNFNDEQLSVAERSRQQRGMQTNSQGALIELCTSDMSYDT TLWFKIFPNFIRIAFDKCPFTITLTRDLVCERILQLYKVITVLSEPSRGLHYNDINSA RLTAKTPTSQQEGVVEQWKLYLVFACTTLADPGSGPNGASNGQHGRKGSTAAEKIGSA RTLFKYLNPLLSVSSASIREAVVIAMGSINVHIYRTLLEELQGHVGRCNDDARQRIHQ RTNSSPRRNRKMDILRTEITHVYKLTSHFLKQQEVYQDEWILNNLVGYARDLKIFLMD GEVQMDWEFQKLRRHYCGLMEELFEGINRTDDPSRWMTFEARKSAFALMEDWCGFSPN QPQIRQREDNMRKSVIDQKAVGERGTVTAAMEIEKRNLRTAALSAMASLCGGPISLTT DGSSSRQFDVRRMLAWIEAIFNSGSDRMNVIGRRALKNLIVHNQEFVYLLEHCISRCY LAEVPKVLESYFTVVTEVILEHPENPCPFWKLLGLCLFMLGNDQSKIRSKAAHLLRAL EERQPQPKSSKLQDFDISISDKTKAVYKLAQFEISKRLAKRHTELAFHIFSEFTFYFK EQQGSAQRNIVAVILPWVQSVELKVDPNGGPIAQSYVLLANLLELTIKSSAALHNEVQ ALWQALATGPHPGNVRLILDFIMSLCLERREQNFVEYAKQIVVFLASTSITPGNKVVE FLLSQITPKTMVPNEKREASPPPPDNIEKLPYYADLAVVLPVGTKQAGFSLGQLSLIL LVDLMVAPVSLTAENVPNLLQVVTVLWDHYTPLVQEQAREMLVHLIHELVISQLDDDA PVETRKSIEDLIDAIRRHDRSVVWGYEDSNGKVNEHDNKVPPSMEYLTTEVVKTFELT FPGIKDQWGRLSLTWATSCPVRHLACRSFQIFRCILTSLDQHMLGDMLARLSNTIADE DMEIQSFSMEILTTFKTLIVKLDAEKLLALPQLFWTTCACLESINECEFLEAAEILNE FLDKLDFGSANVRRLLYDGQPSKWDGPFEGLQPLLYKGLRSSTCLDSTLRTLERLVQL PSDALIGDDTRLFFTIIANLPRLLNVIDQKILEEEVVQTANSLMSVAYERDLRSIALV LDKYLANMYSSGEDFVSQMLAALQDYFLPALDFHMIAMLMGFLTNATAWVKIKTMRIL RVIIPEVDMRKPEIASHGSDMISPLLRLLQTEYCMEALEVLDNIMTMSGSTMDKQHLR MSMTRPTSKAVRKEYERTQSLFGIPETSGWAVPMPAKKTDSTRANIHAAFYMCQSIEG IAAEPTPTPEVEFHNDEFPYGYFSTSDRADTMMSDDIRDNPPMGDLVSKLDSLDDFFD DLSTSPPSDGRSSRTVTEFSPDAFESDAQLYDEQILPILHQASSNTSSMSFQNGFADR PSFVLPTNGLVAANTMTPGAFSVTMTGTGGGPGPANITPPSAILRPGLHARSVTSPSA PYHHQLDFASDDEHDTPQQQNFVEDVFSDGDDERPTTDGGNGNSSPFFLESMIRKGGL RGRLRGVGTGGARERLPSVQGVSPRSQQQSRAPSAMGGEGFEGAREQGMVLQQRLQMG SFGGQGFGNRELL QC762_603180 MAELDTLDIIVLAAILLGTVAYFTKGKLWGVAKDPYASSLAAAS GAKAGKTRNILEKMEESGKNCIIFYGSQTGTAEDYASRLAKEGKSRYGLETMVADLED YDYDNLDAIPEDKVVMFVLATYGEGEPTDNAVDFYEFINGDSPQFTLDNEPALGNLNY VAFGLGNNTYEHYNIMVRNVDKALQKLGAHRIGQAGEGDDGAGTMEEDFLAWKDPMWK ALAEKMGLEEREAVYEPVFGIVERDTLTAESPEVYLGEPNKTHLDGTPKGPFNAHNPY IAPIAESYELFNVKDRNCLHMEVDISASNLSYQTGDHLAVWPTNPGEEVDRFLNVLGL TEKRKDVISVKALEPTAKVPFPTPTTFDAIVRYHMEICAPVSRQFLATLAPFAPDEAS KAEMTKLGGDKDYFHDKINVHHLNIGRVLEIVGKGQKWSNVPFSAFIEGITKLQPRYY SISSSSLEQPKKISITCVVEEQKLPGREDPFRGVSTNYLLALKQKQNGDPNPSPYGLT YEITGPRNKYDGIHVPVHVRHSNFKLPSDPLKPVIMVGPGTGVAPFRGFVRERRKMVE NGQTVGKTILFFGCRKSTEDFLYSKEWEEAKQVMGDSFEIVTAFSRESNKKVYVQHRL KERSKEINELLEKKAYFYVCGDAANMAREVHNVLGQIISEERGVPEAKAEDIVKNMRA SNQYQEDVWS QC762_603170 MSHYRTNNGMSSEAGGNRQGTYSAYLGAQVGHSQQGLPGSHVHH LGHSSEGMDSLASQFGAMSLPPSNGHGASNMSQIQQHGYMTAQEQPVAYQGYSVPVHV GLAPEAAYGYSVSGQYPVQGNYAPLPVPYHAMPYTPGRVASYADRSSSEVPALENRRG SYSTTESTPATPFFGSASERGAGSRVAVFRSSYTTPSPEQVVMPGTAPKASSQPIDEE LLELLKVNPAIPEAVPAVFTPPTHIKSIEQCLENRIHGNRNVYIRGLHPTTDDDLLHR YASRFGTVEQSKAIIDTATGACKGFGFAKFADVRDSEKCIRGFYHLGYEVGFARESFN ARLKAEGDEGSTNLYLSNLPKRLNESELNAIFTGYHVVSSKILRDSMGNSRGVGFARF ESREECEQIIKQYHGASIGDEGMLMQVRYADTPAQKELKRITAERRQFRTNEYNIGAY GTADVGIHPSIYQQAPWTRRGGVGSDISYAPRVPVRTSIGTASTNTTPVMSQGLGRTN LMHSIPSVTASSDDGSADEGVTVVDSPTVKKGSTQSSPTIKKDAATIKKDTATIKNEK A QC762_603160 MKLDTRAMRHLTAEDWKVLAAVEQGSKNHELVPVPIIERFSRLK GGSSLVSKCISTLAKTSLIAKMKEAKYDGYRLTYGGLDYLALHTHSQKKHIYSVGTRV GVGKESDIMLVADHTGAQQILKIHRLGRISFRTVKTNRDYLKKNASGSWMYLSTLAAR KEYAFLSALHSAGIPVPTPIAHSRHTIVMSLVDAPPLRQISSVPDPAALYASLIELYL RLAKHGLIHGDYNEFNILIREDISTNPETGTEEITLVPVVIDFPQMISMEHVNAEMYF DRDINCIKIFFERRFHFKPTTPGPFYKDVKKTVGRDGFERLDATLEASGITKKMAKDL EAAIRQHEEEKLQNPEAFEPSDDEEDDEDEDEDEEDKEGEETNTDEKEKEEQPSIVIG FQSPSESGAEQGMEKLSINNKT QC762_603150 MAQDSDLSKTADKGKGKAADDETQKDKAAQPVENGKKDDDKAET SEELSEEDQQLKNELDMIVERLTESDTSLYKPALEALGSSIKTSTSSMTAVPKPLKFL RPHYETLTKLYDEWPASDDKNSLADVLSVIGMTFSDEDRQDTLKFRLLAPTQDIGSWG HEYVRHLALEIGEVYGKRIAADEPTADLVDLALALVPLFLKSNQEADAVDLMSELEII EELPKFVDENTYGRVCLYMVSMVNLLTYPDNEQFLRVAHDIYKTYKQYTQAMVLAIRL NDLELIEADFQDAPDLALKKQLSYLIARQRIWLDSDETDDEEIQECLSNVKLPDHFKA LGKELNILEPKTTEDIYKSHLESSRVAGLTNFDSARHNLAAAFVNGFVNAGFGNDKMM LVAGDKESWVWKTKDEGMMSTVASLGTLLMWDIENGLDQVDKYTYLEEEPIQAGAYLA IGIMNSGVRLDSEPAMALLADNDKLTHKNPLIRVSAIMGLGLAYAGSNKGELLDFLLP IITDTTQQMRVSAMAALACGLVFVGSSNPEVTEAIITTLLDDDRKGQLTDKWTRFLAL GLGLLFFGRQEEVDVILETLKAVEHPMAKPTSVLASICAWAGTGAVLKIQELLHICNE HLEESEEKKGDELLQAYAVLGIGIIAMGEDVGQEMVLRQFGHLMHYGEANIRRAVPLA MALVSPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLVGAGTNNARLAQLLRQLASY YHRDQETLFMVRIAQGLVHMGKGTLSINPFHTDRQILSRVSAAGLLTVLVAMIDAKQF ITSDSHYLLYFLVTAMHPRFLVTLDENLKPLTVNVRVGQAVDVVGQAGRPKTITGWQT QSTPVLLAHGERAELEDEEYISLSSTLEGLVILRKNPDWESGK QC762_603140 MEGVSIIKEHQKSIIDIIRNTTRGDWKVLVLDETTQAILDTTVN EDDILNHNIANIERIEERREPNPTMDAIYVISPTPFAVDCLMADFERRRYRSAFLIWT GILPDALARRVDAARRQIAAPPQNLFVDFYPRESHLVTFQDPSSFQVLYNPSCNDLVA RHLTTLAQKIASVCHTLGEAPRIRYYAPQTATHEAGVLSFHLARFLQNEIERLQKVDQ NFPPQTTRPQSVLLITDRSMDLMAPLLHEFSYQSFIHDVLPLREQPNGTVTYHMVINE GLPQAEEKDVEITEKDKLWVDNRHKHMADTIAKLMGDFKSFIAKNPNFAGKNENETSL NDIRDMLAGLPQFQEMKQAYSLHLTMAQEAMNIFQKFKLSEVASVEQTLATGLDEDLK KPKNILDQVVRLLDDQDVAPTDRLRLVALYALYRDGMIDQDLLRLLWHASLQRSREST DKAAIENLELLGARPLKAQLKEVSPRQPNTPLFPPNTKTAVQSEEYALSRFEPAVKHM LEDLCSGTLDQTSFPYVIPPQAGGQADDAFQTQGSLRSAAPRWASANRRQVENRQRII VFVAGGATYSEARACYEVSEKHNRDVYLVTSHMQTPNKFVEDLRHLKSDRRRLKLPMD EPPKKAPAHLFERPAPPPQQQVRPPQPGGGAPGMGMGGQMPPGGPRPPTKALGQMTLS SGPGGPSGGGGGHERTSSTATGASVGMGEDKEKKKKKRNIFGIKKDK QC762_603120 MSHSQDSDLERQEPHTFKSALTSILTVKDGEVYETHPDKNPKWY QKLLDIGVEENGIKPVPLEQRTCTQYNNLFTYPNRHARHVGHGHKLEGRLSRHRFLCH VDLHSACLYGDWWHGDWAKAVNSSEIFLWPLPRHHSPTPQRCHSDWLFLALSRGGRPN PRLSQPRSAFGQHRYYHHLSCLLCCLPLWLQGSPLLGKMDLDPQPHRHCHCLGLWRQV SSPADKQPTGNRFSGHDVGCSDRGVFHYIWRHGVRLQHLPQTKRCVSVSLPLFFSIQT TFRVFAYTYFGLLLPSVPLLILGAAIGGCTPNIPSWSAAYATTGIGGILYQMLVPGLG NFGKFILVLLALSVIGNIAISMYSISVNLQQLLPFFAQVHRFFFIFVAMGLLIPFAIK AAEAWEESLTNFLAVIGYWAGCFDAVVITELVVFRKGDYSSHDHKIWNVGRKLPPGVA ALGASLLSLGLVVPGMAAPWYTGPLAKVTGDIGFESAFVVTGLGYLVLRWVEIKVVGH V QC762_603110 MSRETLSFPPTSIPPLEPYLPLTCPSPSSKPHLTLTYATSLDAS LSLAPGVRTTLSGPYSKSMTHYLRTRHNAILVGCTTALVDNPALNSRLGSITSLDQQP RPIILDPKGRWDFTEDSQVIRLAAEFKGKGPWVVTKRGGETKERGELLERVGGKFLFL ETGEDGRFKWGDVLSVLGEQGVRSVMVEGGGEVINSLLIDPENRLVDSVIITIAPTWL GKGGVVVSPSRQQGQTSQLKLWDVSWQPYGEDVVLCGRILRS QC762_603100 MISNSLTTSRALRWAMANSHLPARITSSRARILLRDSTTHSSNK RRRDLVSSRRAWPVWRVAAAWTSCSKRTRPINTTTIKQRQKTKKQEMEILSARWRKVI LYVCRAAWGRGAPVRFSRSLHADHHLQDTMQTGEFDKRRSRPGIRYFF QC762_603093 MGPTELDNLNLSERGEVPAAGTRAGSLASTCPTLQGTGISCPEG EENKDEALGRAKCALYKELCQEWCFFPALQAQFSLALDYWAAKVLGTFRDLKSLEREN HAQGCHDYKEHRPDNEYGKKKEELAIRLTNELKEFSTLFNSANVIMHQHTPNGSMVSE YRLWSSNVVGSDSSDRELFAENYRALGPRPSMIDEFVHSVVKTSAMLWIRFRSYAARL RSTKDCSPGPVSIILSYTTLHVLSHLLVVVMAGVFFVLPLTMMYLVTMKKAEIVLVTI TFSLLFCVGSFYFGGGRGGLKTDTKFLLLFAYTSVMATLLSNFAPHQEQQHSQQCSCP ESS QC762_603090 MKRRAASPTPSEHEVDIAGSLFADESDGDFEVVKKGGEADFDLD FGDLLTAGDGDSNAEDDEDFIATAQRKSNRKSSNVQGKSVKKGGGFQAMGLNANLLRA ITKKGFSVPTPIQRKTIPLVLERRDVVGMARTGSGKTAAFVIPMIERLKGHSPKVGAR ALILSPSRELALQTLKVVKELGRGTDLKTILLVGGDSLEEQFAQMATNPDIVIATPGR FLHLKVEMNLNLSSIKYVVFDEADRLFEMGFAEQLTEILHALPSSRQTLLFSATLPSS LVEFARAGLQEPSLVRLDAETKVSPDMESAFFSVKGGEKEGALLHILHDIIKMPMGTP EGAPKDEADPNNSRGKRKRGSDRLSFKEKPTAHSTIIFTATKHHVEYIAHLLRHAGFA VSYIYGSLDQTARKIQVDDFRRGRTNILVVTDVAARGIDIPVLANVINYDFPPQPKVF VHRVGRTARAGQRGWAYALVRESDLPYLIDLQLFLGRRLVMGKDGQDPSFAQDVVLGA LKRSELENQTEWVNKVLNEEGDISALRGVTLKAEKLYMRTRNSASSSSAKRAREIINS KGWTQLHPLFGVEAINAEEARDNLLSKISLFKPQETVFEIGPQGKSHRNKAAEVMRSF RTKVAPRRITKGENDSDFAMSDGEGPKAGSEFDSDSDDEPAKREDVSESEQEEDDSDS EMEVTVISSKSSKKQKGGDPIASFQDPEIFMSYTPRTTSAAEEKAYGVHSGGVNSSHF VTAARDAAMDLTNDDGAKAFGLPTRSKLRWDKRHSKYVARANDDDGSKGVKMIRGESG VKIAASFQSGRFDKWKKSNRIGRLPGVGELEKPGLARLANGPGHQEGGTRYKHKQEKA PKEADKFRDDYHVRKKRVAEAKEKRIGKFKDGEGSKRELKNATDIRKARVIAEKKREK NARPSKRPRR QC762_603080 MSTPKPTESAATPADPAPVDTRDALEVLESEAKEWDKDAEIDRI LKAFRLNAYAVLGLKPGAPESDIKNLYRKKSLLIHPDKTKNPLAPDAFDRLKKAQTEL MDEKHRARLDEAIADARMLLMREMKLTVDSEELKTPEFEKKWDEKTVFVLVENEQRRR RQMKAQLQEEGREQRKQEEELEQRKKKRQHEEDWEKTRDQRIDSWRQFQKGKNGEPEK KKKKKLKPIG QC762_603070 MSGVTKGTSCPTLDLRISWTRVQFVWMAGDECGVEGLFSTFLPQ LLLRQPSRFYLLSALSKPGKFSWDRISRYFSHKRHVITIRQFPDPKMGKARKNRVRAS RADPIARASKPPSDPELAKLRESKILPILKDLKNPEAKSRTQAAGAIANIVQDARTRK LLLREQVVHIVLTETLTDNSIDSRAAGWEILKVLAEEEEADFCVHLYRLDILTAIEHA ANAILDTLTSTEPVFSKLTKAQQRIVWEISSSLLALLNLLGMAREEITTAIVANQTIV RFLFRLAASESTPQEIYEETLSCLTTLSEDNLELGQAMTNDQETRCYDVLLKLASGSG PRSVMACGVLHNIFSSLQWLDHSPGKDGACDAVLIGTLTRALEHVPSASAVANGNTNQ GAVVQLALEILASIGADLQETLEKGNRSQPKEEEWNGLEDKPEGDDAMDVDGASNAGD GDDEDKEDDGEDDDMDEDEEDDDDDIADLEADMEKVTGADDPFDSGDLDDLPTLGAFI QQAIPQLVRLSNIQIDGEENLAIQSNALTALNNIAWTVSCIDFSESENSNIYDAWYPA AKKIWVKAITPIVEADNADLDLATQIASLAWAIARSLGANVPLTPGQHRKFIALYQAA KNQPKPEEGKEVDPLQGLGVKCVGILGSLARDTAPIDLNREIGVFLITLLQSCEQDKT IPPADVVEAVNQIFDIYGDEATENDKEVFWKEGFHKHLEEFLPKLRALAKGIDKRAQG ELRDKADEAVLNLVRFLKYKKTHAPKKGEQKKEERVLNEKAMAMR QC762_603060 MKCGSAHTSQSLIVRDTELLLYIVVDEWECTQLASIAECKMGLF TNNDDAMVKKDDDLKRGKTLPTRAPWVPASAPRTPPRKTIKRLLIALAVGFFVYLFIK NLPPMDDQVRRDYRHPRYSDRKPGKRPGPMPKMKPPPPERIPEKPVVKDIPSEQPAAV VVPPVVTDTATNARTYDGPIYFRKLAATLQAIESSTSGYSAVNKNVLFAAASLKSASV LLPMACKMGTELRSYVHFALMGGSEIDLDELQAVNGIDESCQIIFHDARPDYASTSTL SRLETCATRGLYHIYKYMHPQVLFLDASGVEEHYFLDGIRKQADVSGVPIIELPKNAH SRLSWMTKLDSSSLAAWNKISVDILIHAPLVGSGSLIRLLQSLSAADFSAGPTPHLTI ELPHDIDRATTEFLRDFSWPPSRTHSLSNVRQLTLRHRIPRARLTEDESSVRLLESFW PVSPRYSHVLVLSPQVQLSPGFFHYLKFTLLEYLYSSNSLLQSWDSRLLGISLDLPPT TLADTSKSFSPPAPKPMKQAKDSKKPSLSSQTSSSAPFLWQSPNSNAMLYLGTKWTEL HSLVSNTIEHQHSTSSLSTFFTTKAVSKRYPSWLEHALRLSRAKGYFALYPSEATAKY LATTHNELFKGPEEYEKELEVDDGRGEEVRLTSGPLLETVRLLGFDEMPIVSWEGKET GLEGLDEGAEEYVREFRKVVGGRCEGWEGAEVGERLGVGGLFCLRGE QC762_603050 MMPSTYPTIPNTLFIPPDQNPAMSDQIDEEDLTRSSLCCQPLAS LTRPVDVHQITDRDPLARAFFHPPLFGPLIFNNESSDCRDHCANERTFLSYLRLSIYM AIVSVAIVLSFHLRKTATETELRMAYPLGAVFWALSVSCLGVGLANYIKTVNKYSRRA AIVQTGWKTQTVMACIALCIVGACVSLLVINKLNEGADE QC762_603040 MTSYGGYQRTGYGAQGGDEGGGFMSGSQQGSQGQSKRSTIDEYL RPVTIKQIHDAKAGYNESEITIDGFPVSTVTLVGQVRSVQPQTTNITYKIDDGTGGTI DVKKWVDLEKSESGAETPFSLDTWVRVLGRLSSFNGKIHVGAHHVRVIDDYNEVSYHL LESTYVHVCISRGLPGGPWPQKEGAAAGGHGGGDSDSMFIDSGNGYSGDAAAANARVA SCSRQAKSLYQFMQSDPRSIEGINVADVMAGSGMGKRDVLAAADELLGNGIVYTTVDD ETWAILET QC762_603030 MATSTTTTTTTTTTTSSPSATTIPLSTSSTTTNSGGNGSSSGVK VLATLTKRSLLPAAWNTQVRTVQTHECREAALSLAHAFQADDYARYLVDIESNPAVES PLSNDNTATTSGGVTFTWAGFGGEAKGRQGKGAAEDKWNLHVDILTYTVASHCIGGGL VTTVGEDFDSVALWVPPNPPPSLDSYLTHFRSGLWRLHFQLCAEGRRRLFDEILPLLH DTKREVLGPEKENDAWYLVYLGTKPRSQGRGLGGRLLRDGMMRADREGRQMYLESSSA VNNEYYKKFGFEIKKEIYLKRGRWPVRLTIMVREPGATGKGRRVGKVVG QC762_603020 MSVTTIETQTRSVPITAETILSLFPDIDTSGEALEGHDEEQIRL MDEVCIVTDENDMPIGTASKKLCHLMTNIDKGLLHRAFSVFLFNDKNELLLQQRASEK ITFPDMWTNTCCSHPLHMASETGSNLEDSVLGVKNAARRKLDHELGIKKEQVPLEDFH FLTRIHYKAPSDGKWGEHEIDYILFIKANVDLNPSPNEVKDVQYVSAEKLKQLFEDPS LKFTPWFKLICNSMLFEWWANLDTGLDKYKNEQEIRRM QC762_603010 MPSSPRSQPIPARKESSIPSSHHDRHASLANSFLSTSPLAQEVL ARDLAAFSDDEDIPVDTEVVDGSDHGEDDATQGPTLYRRPSGIAFGTTRPALALPLGH DDPALLTRTERARSRDAERSLLRDNHIIPPKHHHEPPPKGIAGQFSRLYKRLFSTKVP LPSSDEEAPRIIIGPDERAPLLGSTSRHPSDAPENLNEQWEAAVAAGQIRTTWQREAK TIAGYSKSLIVTFLLQYSLTVTSIFAVARLGTVELGAVTLATMTANITCYAPIQGLAT SLDTLCAQAFGSGHKTLVGLQMQRMVYFLMLVLVPVMGVWWKSEEILIKLGIEQASAA FAGIYLRTIVLGAPAYAIFEAGKRFVLAQGLFHATTYVLLVAAPVNVLLNFLFVWKLG WGFKGAPMAVAITQNLLPLLLGLYVWKVEGKEAWGGFKKGALRNWGPMIRLALPGMIM VVAEWFAFEILTLLCGRIGVTTLAAQSVLVTITSTTFQIPFPLSIAGSTRVANLVGAK LVDAGKTSAKVTVFGGFLVGVFNLTILTVFRYQIPRLFTDDEDVINLVAKVLPVCALM QVFDGMAAVSHGLLRGIGKQEFGGYANLVCYYVVALPISFGLGFGLDWKLTGLWIGVT IGLGLVSLVEYAFIWASDWDQAAKEAENRNNAG QC762_603000 MGKRFSYGGDAAGGPRKRAKVVHEAPTSEEVHASRQLRQLLVFD QDPARSRHGLQSLKLYLDELLSTDGGIKSERAKILRDYLDSEKPAEDGENPVYLPDIM HTWSHAGQTNNDNLMSAVPVVLVLLLRLLSQSLETVPYGLGICRTLLIKEFQQLISRN LTADKGKSFVISPTLRLLREAVSFDGGAIARPFFRARATMLKSLARNMGIVHMGEDKE DTKRPSARTNAIQFFLAAIKYLHPEAKKELLSQRDIVSALTRDVKQDPPYMLKELLVG LKNHIIMDDKVPREAKSNLLNTSTLVRLSALYQYRLDSPAEDEPSIADMAHELLLAVC TNPACGVLRQDAGFYPREIDPNTTIPRAELDDLGLEAVAWMNKFKTEVPIRNFTLSNF LPNLRPWSSVKQSQLITSIFKVAPELVANYFINNKSFTFEPKLSATWIGYAAFLFNTV ILPIPENLCRGPNVPELPPPTSIVLDNVLPLPLSQKALVRCLEHKSNMIKFFATRILV VAIEKLDAVITIYQDPSHTNKSIWNEAARRLVDEFCQRAPGIRNMITACQKIPTEDLL HREAASRLLRLCYEVLPQATLSAKLDVSAHLDAVLGRISNQELEDPRDFALGLKELES LLAIAGYSPGMRWFGASKATTLSPFTQLLKICVEAPKGVSLEAMKQVLNFVAVEQQLV PGHAGHPGLLPLIEALQSSSKYASQAWPFLDNCLTQCAIKSVKYVEMLQDIAQETAES TSQNIDEPLVSPIILAIRDQLPHASKNGGEEVLKALGRILPSYLGLSVTVGESRPILS SIFSKMVSDLPDPKGKLAKAGVPETLEFQHNSWSSSKPKSEKKAVDTQDAATLETNID QETLEGMLHVPDSLEAEHSALMKWANKTADELVDEGYATSLIALLASEHASIRKEALV SILKVADKIKQSQYEEKEQVWLLLSELAETAKDNINNGPLPSTIVAFASHALHIVRDP LHGLYPKVNIFLTRGPYWSLDKLPLVDEILTEEPNVGDAFYTQISWLLTYLIDGLRSA SDLELYHKKRSRGPILERILALAVNPYMRLPLRTQVLRLLYRASSIEGGSTTLTTRFS VMNWLEERRSACADSSEAAVYDGLRRRIWETCDQQRVTEWSKNGLP QC762_602990 MAQRYSYTEEHLLFLRQSPLCVKPPGLPPAEEWMGPPPETFRNQ PGKVNDARKGGDSLLLGQENRRPTLDRNASRTSGFADDLILGPPRNAFASATAIRGAR SGDADKGSKDLDRPDRTDRFNFRNRTNDADSTGDRLGRDNHNRDGKDGRNNNGFRRRG DQDQDTEGWSTVKPRKSFGAEGAERFQGRMGGAGTDRFPARDDRRTRDREDQDGGNRR TFRPRDQEDEDPETPRRNGLTRGKTDPWFSKDSGTATATEASTGQKHIERKSWRDRAP PEERPAERHNDRQDRNFERRWDRQQKVENDPEWMAEPAEEPSQGRTADDFRKFMEAMK SGPKSDEKPASIALDKPSTDSFFELEPKVLSAPAVENRPDKFFEAFGGTGLDAGTPAA EAKDAARPKGAKPSRFLSFLAQQENGQPKAEPPTPAPAASAGDGKPPSGEADKLAFQQ LIEKLHSSRLGGSGSPTPNGPPPPGPPRDAPGGLYNLMPQKSNVASPEPFQQYGNDRR DDPRFRPPPQPSPYEMMTSRMGLPGPSHSPPVSRPEHALQELLSQRQSQSNPRGPQTP GVVNENTEFLMHLMQGHRRVEPMRPEQRQPDQHLPEQLLARMQQQQQSSKQGPLPGMP DREHLEYQRERSASQRQQQQLRGQPGPQGFMDESQFHPGDMNARPPQQPTQILQRPMP PPGLDHQMHPFHMGGPSGGAGPAPQMQPQRPMIPPPGLLNSGPRNANVGPMPGMQFPP NFPPPHGPPGPSNFPSVPLPPHIGGPPPGPPEGMAGPPRQMQPPPGFFGGPPPPGFMG PLGMGFPGGPGVPGGPGGPGPDGLGPNVMGYGGLPSPFDRMERMDRRGMMPPPGNFRG QC762_602970 MPRPTQTITLPILPLPPGAVLLPGVIQRISVSSLRPDVASLLAA VYTRAAAASTSSSKSGPSGRIDTIPIACLPLASPLINYKGQQLLLQKEDSPSTPPPKI DPSKATKNDLFPYGVDAKITGIEGRGTGEFTLLVEGICRVKLEKAWTNTERGFLEGRV IDLVDDATELEKGGVVLQELFNHLKMLSRELVAILRLTAMLPRSGQQGLSPLLARRLD LFITRQKQPGALADFMANIVEASYEEKLMVLAAVEVKVRVQRVIDLLDRQVNGLKRGI KITAISTTGSGMFPFLDKGKEEEERERFRRGGTIVPVKGGLPGVGGLPGMFSGGQQPK GMIGGGGQDGEDQEPNEIEELAKRLEATKLSPEAAKVAERELKRLKKIHPAQAEYAVT RTYLETLAEIPWTVTTDDRLGPHTLPRARKQLDEDHYGLEKVKKRLLEYLAVLRLKQS VNEEVDKEIKKVEEELGATSAHSDTKEAEEAAEKAADATTALEEKIKANSAKVEILRS KRMVDKSPILLLVGPPGVGKTSLARSVATALGRKFHRISLGGVRDEAEIRGHRRTYVA AMPGLIVQGLKKVGVANPVVLLDEIDKIGGSNHHGDPSAAMLEVLDPEQNHNFVDHYI NIPIDLSKVMFIATANSLDTIPAPLLDRMETIYIPGYTTLEKRHIAMQHLVPKQIRVN GLREEQVVFTEEVVGRIIESYTREAGVRNLEREIGSVLRGKAVEYADAKDAGHPEKYN PVLTVDDLERFLGIEKFEEEIAEKTSRPGIVTGLVAYSSGGNGSILFIEVADMPGSGR LQLTGKLGDVLKESVEVALTWVKANAYELGLTQNPGEDIMKGRSIHVHCPSGSIPKDG PSSGIAQAVAMVSLFSGRAVPPTMAMTGEISLRGRVTAVGGIKEKLIGALRAGVKTVL LPAQNRKDVKDLPQEVKDGLEIVHVEHIWEAIRFVWPDAEWPVDRKGVAVQSRL QC762_0093550 MGVIDDAIGGYTFFAVPTSPPSWQRGRYRTDPDSRRHGRGFLED LPVYLSLLSANLALTESSDLSNVPVSITFHPVFELHIMPLPIRPGNALPAARSTREAS RLGGWISK QC762_0093560 MLWCPGPCQGSSCLPAYPSKTSSVDNRGKNDRPTRNTGRSNPSP ELSPQITPYLHTLLFPGSAPNETGIALLTSGSSSSRASGSRSSTSGPTPVISAALGR QC762_602965 MASASEAGLWRLFFGPRQRSPFEDGRQQDPAELNRPLVYWRRSR LQTHEKGGLVVRQAMTQRHSAHNRVSALRQMTEDWRKTIGELQKKCIVTLFVVWGAGG VKRRAYGLVPKVLERCQVPGGRRAVYIDAR QC762_602960 MQRSHPGDDSWTPLGQRRGISDLARSALSLDLHWVRADTESAAR QRSYPSPPMSGSPSIPPKLSQEAAERAQGSYQPITQDVYRGIPTSQGHERAQASNIAG PSRQFLTDPPERTAYSFPPQQSERPAPQPLTYSHLSSHIGGQPGPAYLPIPGTGSAVG PSGQLAASQTYPSALHSQLQDPLQHSSAKARKTKGHVASACVPCKKAHLRCDRRYPEP FRPD QC762_0093590 MGMGFVDEESQLLRSDLVADVSLKTRPINASTSSTRSAGDPGFE TITKRDMKVGGGWEVQQRP QC762_0093600 MGMVQSDSLRRTQSYRVLKSQPAESIAPRFLERGLAADANVFPA PLSISTTRVPEEPVAYLRVVGLEFLKASATFYSAIGRPSRTGFKLLEDVLAPGDRGKA ERIDRQTKEEQRNREMGLPAMTTVDEQAHFAQRLGFGADDIARYQTDWRVEHLTFEGE DGQHRQFPTRFGLVKEDSVWFVVLILQIQPPRPYQYPTPSPNPRDNTYPYQPTPLSFS QPTPMSATFDPRQSRLGEPSPYGARQSMAIGAPPPSIMTGRSPGLPSGYVPSPNRPSY PETSSSYQVPRSEIHPSSSRPPQMQGYQLPPLNLGPSTGPSQPQPQERQSRGDRPRVD IGGLLDHPGHPRDPPPPQQ QC762_602945 MSNDSRLPFPRLSSPDPNGSDANSSVQVQLDALSNRGRSATGPS QTPSRLPSPSQPEPAFEQHPSQSNSQSSQLSIDFLLRQSGEDPLLPNEDVLLGLTSGG VHSGQKRPYDSETIDEDEERYAKRDRMTEELELMSPSTEAPMTSFPFSSAPTEVPSIS VADFDDIDRILSPERQQDPIFQSDSQTVVIGNRRTLPIRSVSRRLPPQQSTNVPGTSG RPIEGQSGEGDAEEDRRR QC762_602940 MSDAMEVDSEVDGPRGAKRKADALDDNTPQRRIKPLDEDVINKI AAGEIIVAPVNALKELMENSVDAGSTTVDVSVKEGGLKLLQITDNGSGIEKEDLPILC QRFTTSKLQKFEDLQTIATYGFRGEALASISHIAHLTVTTKTRDSECAWRGHYGSGVL VPAKPGQSPDPKPVSGRQGTQITVEDLFFNVPTRRRAFRSPANEYNKILDMVGRYAIH CTGVGFTCKKHGESSKGISVSPTAPCLDRIRQIYGASVANELTEFETKDDQWGFKAKG LATNANYRTKKTTLLLFINNRCVESTNIRKALEQTYASFLPKNGHPFVYLSLEIDPRR VDVNVHPTKQEVNFLNEDETIQAVCEHLRSKLAEVDASRTFLTQTLLPASSRAASSIQ LPPAPSAPSMATPASSRRAPPRSDTSLVRTDTNLRKITSMLPPVRPGGSTPSRPGPEP MEFDTAPEPRQPTSCHLHSIKELRAQVREEMHNELTDIFANHTFVGIVDERRRLAAIQ AGVKLYMIDYGRVCYEYFYQSGLTDFGNFGVVQFQPPLDIRNLLSSSPNLLTEYEEEQ DEDEEEDIDPEEKAEIIEAVVEKLIERREMLREYFSLEVSPAGELCSVPLLVNGYEPP LTKLPGFLVRLGPCVNWTEEKACFESFLKELAGFYVPERLPLKKVAKEENDGTLDSAD DREEDSKGEEEKRIDARRRNVKWALEHVLFPAFKARLVGTKGIMEAGGVVEVADLKGL YRVFERC QC762_602930 MTRDGRVVPRHPSGKRLWDRCKFESHHVKGIGSLYCSGWDTLHH HRMFSTTEREVKGSQRICIMRTGVVRICKHRVVNWADIETHAIDLLTKEPQMVGSWFE VDVFREAKAATVQLIACEDPEHERSLKFQLEFVSDKRITFLGAAIFSHNWRGITPGLW SDLRGLTDQTMQEQRGQSVRYGRDGKARFNPSHSWFHAMDPDSYISTTI QC762_602920 MHIRLGITQGFRSFRHPPPELGSVFFLMKRRYCDSTSKHSLSSP PAKKHRPYTATMVSRTITLTEQEEQLKRLLVDVARYIDSSSSENSPTILRWAGGWVRD KLLGIKSHDIDTAINNLTGEAFVGKLRDYVEIPGNKQLHNLMDSDIGRLHTVARNPDK SKHLETSTIKLCGLDVDFVNLRKETYCENSRNPEVEFGTAEEDAARRDATVNALFYNL QTGEVEDLVGGLPDLGAGIIRTPMEPLQTFMDDPLRVLRLVRFASRLGFKIDKAAEEV MADENVLRNLKIKISRERIGVELEKMLKGKHPVESLRLINRLGLYHAVFTVPERTDMP KPDISKWDRAYECLFFLESNNTPGSIWELLVTTEEARYFAWSLATITPWEQLPDDPPL KSGKLAMPLATQAAREGFKAPNKLSDVITAAHRHRPAILELKALVDENKDEMKDRAKF GMAIREWDSRAKGSWRLQVLYSILGDVLEGSTSREEILMGWLRFLDHLVELDLMHVTK LDRIIDGTDLSKALGVKPGRWMGAALEVVMEWQLRNPGVEDPAGAVEEVKKRRVELGI K QC762_602910 MSWYKVLLDAASAMNEKPDSIGDAIQALSQEVPDSITLDELLTG VSETVASSDKTRPENTERVQKALVVALTCLQQPDRIQEKASDQATAQELARVISTAIA PVVPVLNDDNGLPASFNDALSNNSKALNTHCKATSTIGLQCLEAIDNVFNPPTLDDDT LLTLIAYSHPDQNWSDDPTKIAKIAAAILKTYPFPNKTDFITSTILQSYLRPLFSKSK PSTITSSGRKAEYQTDNSRDGIPDDTAATKPWKFTDLRSIPVFSWAVTEANNALISTH WPSYIPVLLTLADDSTTPIRQTGLNILSNFLTKIPAKTLQDTGLGQVFADAVFPTLSY LPSLTPEDESLQLLEPAYKALLVLAEKQSATGGKDGSGSSPRHKLLDHLIREGIFTGY FHAKNHVRIVELLCRETVEIVDAMGVHAVKHLKDMIPMISAILTDPFASAAPRTLLSA VKALQAVLRNCWPRLITGSVWQDEIINALVMCWLNLDEPTNNISDNSLEEVRKELVTS FQALSAISRTEGTDLSARVEPLVAKAGSLAGLFLGLVDDDMGC QC762_602900 MAADTAETQLAISALVAATPAGGGGGPETPAPVPQAPTPAPVPV LPRQSQAQSQNGPPPPPSRVPELPETEPVLFPPLHNSADTDSVASGKSAPLRTGAGAG LGDDNDEDEPAVAKPFLRTSSPDPASSRPGVGVMAEDDEDEEVRLGYGGIDGAGPRSR LMHKMHRFSLYETASRFYIVGGDVTEKRYRILKIDRINDDESELSITDDKTVYTQKDM NELLDTIDDGNKGTGGLKLRCTTWGLLGFIKFTGPWYMLLITKKSTVAMIGGHYVYQI DGTDLIPLTSPNLKVDQRNTNTEESRFLGILNNLDLTRSFYYSYSYDITRTLQYNITR ERAALINGHPCAVDDDFNSMFVWNNHLLQPVAKLLNVPYDWCRPIIHGYIDQAAVSVY GRTAHITVIARRSRYFAGARFLKRGANDLGYVANDVETEQIVSEALTTSFHAPGPKFF ANPSYTSYVQHRGSIPLYWTQDNTGVTPKPPIELNLVDPFYSAAALHFDNLFERYGAP IYALNLVKSRERTPRESKLLEEYTRAINYLNQFLPADKKIIHRAWDMSRAAKSRDQDV IGTLEEIAEDVLITTGFFHNGDGYTSPIRVQNGVARTNCIDCLDRTNAAQFVIGKRAL GHQLYALGILGDTAINYDTDAVNLFTHMYHDHGDTIAVQYGGSQLVNTMETYRKINQW TSHSRDMIESFKRYYNNSFLDGQRQEAYNLFLGNYIFAHGQPMLWDLATDYYLHHENP RTWLDKRKRDYIHWYTPKFLEPRVLPPYQPTKGKAPHTSKPMSAYDDYWLEYYRPSTL SSFLKMFSYKMNSTLRYIPFKSTLDGRYDLSPFRVRTELGDSEAQEKKKAKKEVTIVA PHDMVRMADDAEISSINEKTDHAGPTPTTTASTGSGSKGIFSHRWLQPDKHATHGIMK DTSHHPNDNSGDEAAKNKQSALEKSRAAQWTFTQVVQESLNPTVSAVEAEDYARYISH PQNLPLVVSSEIPLSEIEPEYQEYVNGSWQWEGLPISTPWPQKLGMPRRDDREEEEEQ EQDRELYLETVTVGENPLTVTEEDAQKKRYKAYRKWLRGKSLFKQQPVD QC762_602890 MPSSTSEACESSARHLVILTRLGDSTLRSNPSRRTMAYSTKVVG PLSSPRGREDNVLIILEPPPPPRPSLSGRRVKQVAPFSHPEITRRRPLRSTTVLTEYT TITTTPVVVVLVEGPFTTGHPQDTTTTQKANPLFSTKRGTLLTRTRIAGVAEPTRTIS VAMDAAPYAPPPEPTASAAVDLPQDPGNGAMLTGECASAQNTLIDDGGPTMIYAPFVG CINNKPDCCPYTPATMAQKFKAAVTASSGVFPTPQNQKDSTMKSCAADYYSVSGSCCP SGYALWTSVMGDQTPCVRALTATTEVQTITNAPAATTTKPTMAVTGVVFAMAYPLEET SGGLPSGTIAGIVVGIIMGVFFLAAVIFFSCRYRRSKQLKNFKKELHQNFYGDNGTGT SEVPTLVNNTNANSIRGSTTTTMAHHRPQSSLCGTQYLHHKTIKRDSVASLGHKEERD LSQVDNPAERYTPDSAYPQRPPAREAMVRRESSVHSLDSQLSLHDENCYDNILPSPGT PGTLADRNNTHNIRNSSNNPTNDWVVSGSELHLAKPQRLSRGYPRIVYTHSHGHGSST SVPTTVNGGEGGGAGRPSTSTSGSASGSGSICSRPSTRLEVMPGTPEEDNKTNGNTER QKVEV QC762_602880 MPTRPSRLPRRISHSTPPSTTYARDYTEHDDDHDSSRGPRRGRA DDHHHPRHRRRRSVESDDGIYHDPRDRRSHKSRGRGRSVDSDDDTYRESREKRSRRSQ RRDRSTDSEDSTYHGRSRHQSRRRDRSIDSQDKRHHSHDEETSRRGRHRSVRHPLRGS HQSPSRSSSASSSSSESDFDRVRDPSPKQPGRLRRLARSVSRLGRRRKPSVSSRSRSR SRKGREDAHLRSRSHPPHHHHSSSKHNESHSPADIIYTAARTAFEAGAVAALKLRNDP SPLIGAKGGKIVAAAVGAAVVDTFIDQKHPKRKGGLRHTVMRQATQMAIGNIVMPAVI HADKRHGKGVPVQTSVRGKSAWFGNMKAAGAKAGGGVRAGGGRR QC762_602870 MRILGLHGQGTSAYIFKSQTVALRAKLPKSYEFDFVDAPFHCAP APGIKVLFDSSHYTWWPKATINGIKGAHKWLIDYIEENGPYDAVICFSQGCSLVSSFL LYHNLETPEEPLPFKAAIFICGGLPLGVLEDLNLPITEKAHAVNDATGALLKKKAGAL IDLAKNLDKIKPGMGLWDDVNGLLHDPSKMPDETDVFGIDFTAMPKGAMIKIPTIHIY GAKDPRWPSSVQLAYFCENRKEYDHGGGHDIPRSTDVSIKIAKMLEDLREEIGA QC762_602860 MPSATGPVVQPLTPPEGSKINFGATITGIDIENLTDSDFALIRD ALFTHQVVIFKSQSHVSPRAQYELTNRFDPLATSYGHGKTVDAKRSILHPDLKTIPHQ PQVQVIGNGFVSSYEGLQNITLRHPHHKTFHATSIPEADDLTHTRFYRWHIDAALYGL APPIVTTLLAVKVPSGRRQICRYDDGTGDELSVPLGTTAFVSSCTSYDILSPKDQAFC RSTRVEYAPHPYIWMSGAKSRSDGLGMVSQGKEIPLGDLPPIEQDKIQILPMCWKNPV TGKLALQVHPSAIRKLHLADGTVIEDLAEVREIVHRLQRPGIAPEYVYAHDWEEGDFV LFHNRGVLHSVVGAFAEEEVRLFRQCNVAASEFPLGPDDE QC762_602850 MADVEDQQQGGPRTSRSSVRKKRTGKSPKPEPQSQNDWTSASGP RPRPSPHPKRHGDIDIDIDIVESSDDSDDAQASPPKQQQPSRRPSKQRQQLPSPTDSE DSDLPSKPPSRSRHRTAAMRPNSTVPLVDTKAMARRMQHRPSYDDDDDDDEPPIRPSR PASRQTMASRRDQSSRSAHRYRSTPESRRSPRTSMSDSEGETDVTEDSLEEVVIQQPT RRRKPPAVPTAPVPPPAPSMHERLNRRTEEPEIEVVYEDPDPEPDFASTRYEQSVGVG RSRAQSRAPSRAPSRAASVKPDAYRRPRDVSRAPSLDGDRARSKSRTRRPSTRQYESD AYVSRAPSVFRRANTTIEGSHHASSQSFSSKRSMFADPTAANNMQLERQQPKRFTTCV SCRDNKTLVENTAKLKCAHRMCNTCLVRSFELSLRGPQHMPPRCCTAEPIPPKHVDKL LGEDFKAEWNRKYREYTTRSRIYCPEERCGRWFQPDNIRQENGRGQAKCSHCKTKVCC ACHGLWHPQYNCPGDENTAQFMPQSKRDTYQTCYQCHHMVELAEGCNHMKCRCGAQFC MLCGGPWKSCACPMTNNSVQAVPRAAADRMRTPMDEPPNPFASAPKYASRVPSPQALR SGFPASYAGTVKPRPSSYEEEPYLARRMEVREEPHHARRMHSFDDAFGHVDEQAEYGG GRAGVNVFDFEEQPRRRIEARSRGASFGNGDFRAGRAATVVAPSPPQTHVPMAPPPPR SAFEPPSRPAFDRVPSRAAPRADYASEAYAQRAARYASPERYEEFAAENYTADRRRPY SPERRQTFPTARRPRSLDRHHPFAQERREESPDGWQVPTRFPSPERGAPMAMEIPQKP RHMLAPERHMQIPERHMAPHDRHMQLPERHMATPDRYASMSERHAPMPERQQQQMPER HMAMPERQLAHPDDRLRAPSPERRRASSFDKRLADRFNPESRQSPGAYHMGGMGHAVP PAPMTTIGVGPVGPPGHMGHMGMMSAMVPQGPLSPTRGPPPLSRTASHPAAAMMHGHG GGPSIPVAPVPPPAISHMPRRHTMEEDMYVSGRHPGGPTPEWFGPPGMGMGLHEWDPS GGSARAPHIRRRATQAHREHNKTEAKPSMQAGLSGSGRGLHRVSEWVNYIEPGPPEDT MGGGGPATIVG QC762_602840 MSPSSNGDSPHSSTATMTAAASATVVGRFPLPNRDFTIEVPSPQ LLAQVQVNGGGVNSLKSPTSLKSARTPSFSREGILGSAQKARNLSQSSDNRPESNGMQ KAPSDEGINPLKRRNTDAGVDYPRRRATIACEVCRSRKSRCDGTKPKCKLCTELGAEC IYREPGIKLDAGDKLILERLNRIENLLQMNMVGHPNGMGMSHDSPNMSNGTALSGDNL MGIGSNAANFVSVIPSGGLGTWSATATNNISTMPKVHTNAALHLLQWPMIRDLVSRPY DPQILLQLEMAREPLHSLAKTPCVDLSNTNAYIEAYFDRVNIWYACVNPYTWRSHYRI ALSNGFREGPESCIVLLVLALGQASSRGSISRIVPHEDPPGLQYFTAAWSLLPGMMTS NSVLAAQCHLLAAAYLFYLVRPLEAWNLLCTTSTKLQLLLMAPSRVPADQRELIERIY WNSLLFESDLLAELDLPHSGVVAFEENVGLPCGFEGDEQEAVGRDELWYFLAEIALRR LLNRVSQLIYSKDSMASTTSLEPVVAELDFQLTQWYESLPLPLQFPFTRTMLPDPVQT VLRLRFFACRTIIYRPYILAVLDNEQAVLDPSVREACTKCLEASIRQLEHITAHHAGH MPYLWQGALSIVSQTLLVMGATMSPSLSSILWSLVPHRDTIDQIINDVVMEIERYAVL SPSLSLSAEIIKEAEVRRRTYLSG QC762_602830 MRAPHGIFAALSAGLSLSSAAACSKQGLLLVSSYPFESSPGEIV KGGVTTLKLGNKGLEQVGEISSICGTNPSWQTLVGGDQYYCINENFDDGPGAFTSAKV NTDGTLAFVGNSSTPGGPVHIALFGENGERAITSNFASSSLDVFNIENPAKLQSLDNK PFPPRADNETITSRPHQAVVDPTGGFVVIPDLSVDLLHIFSIDQTALTLTELPAHPFG NGTGPRHAAFLKSGDKTFLYVIAEKKVSILGFEVSYGTNSLTLSEKFNIRTDGSENAP AEGSSGAEITISPDNKFLTVSTRNETTLEYTSVADGTKIPSDALNTFSIDPATGELTH VQSAPAGGSFPRHFSFNKDGSLVAVACGGENRVNVFERDVGTGMIGKAVGERVLTTQV NHVIFKE QC762_0093740 MYLTGNEAECHPDIGFPNEKQGAGSGTTTSLASRRRFNREGVCD DENSPVGDEKPNVEAVQDSGGAVTASLFVF QC762_602820 MLGKLFNLGAAAGTGAVPSAQASSHKPFSLESVQEDIHTRNLLF PNPQDLFEHHANQLYPLSSGSSPLTSSSTNAFDYDADIDLGVQDVRIIIMQDALSSVA ASLLYDSQAPPAVPAGYADRPSATAGSYSVQERRNPASPRKPPITTAGRPIIIQQGSP KTRQGAFDRRPSVHSRTQGYVESESQRAWREYREELATFSSCIFGNSELMAYKGTSTK VHVVPSEARPLDNGSMFSDGRSSMGRSSMRASRLSQSFSSENPPPMTTPPTPGVASRG YERKKVLITRLFPVNLPLDEKLTPAGAEESTSYPFPPTSDDNKVKKKKFQPKQKRTPM YAVALIISLPPPPQSTTTPSARPAFRGSNSYTEQDSFPSSFSSARRSGWTLVGNQPGH AVDSFETSFSSDMEDQIDSITQHWDIIMRTLTHLQSKAASVIFQMLRQADLTSPDPLP SLQHMARAMPMSGRRSEDGAPVKPPKTNAKPITLLPNCLLENRHIKEEVNAAKTRIVA GIRASRVTTGQNRWPIWRDETRSVAKWAGGKEQGFFIYTLLTSFLATHTDWLQALSPH EYRRRYFLQKQAKADEDNMVPARTIIVSDNKIAARRLIFLLAAFLPASQQIPTIRAHR PSTSTSFGTLSQSPPSFVVPILKEESLRRRINRRTGSRVPSHSRNLSLQSQHARGTGV PPPLAHLSMEGRHERRASDATSMRTAHLPIRGADHNTRKSSAATTATITAETSVPHFA TGQAADLFSPGRPGSSNSVAANDLKRLTRDDGTGANERRESSRWSVISGFWPTRRRDS TARTPDFAEEQNGVPRSPINARRPSGAALTMVFTESGKGSGTKPVVDAQVSSPVSGCG DAASPGRASADTLGLSDQSNPPPKLQRTPDPSGAFESPVKTSINIHDGVIDVDIPLPD FLTSFESAVSSPSSSGYLSAAGFGSGLDTFEQSCRFSADGDVPLNVAGWLQQYHPDFV LQAVPAQNDLIKQVKASMRAEPTPQVLPDAFSADGDAERWVNISSAIVADTTTFTITR IHYRRLVKPGYTDGSLSGRTPSPQAAAMTPLTPAAAAWTTPAAADGGQVQDEFIEELI VSLDETLVEAVEKVMAAGGADMMSKASSSCSSRSTSKRRERSNSTSTQGDARSAHATH HHHGGGGGMAPEVPRSRCKTVLLGALEEIILDVIEDRKQEQQQQQEGSGGGRESMDKD KESILREAVRSWLDLVEGGGDGRWNVDWV QC762_602810 MATRKLNKRTRVSIIIAISTAFFVCELVVAFKTSSLALYADAIH YLNDLLGYVVTLVAIVVSERSGSPQELSFGWQRSTLLGAFFNGSFLFALALSILFQSI ERFIKMEKVEEPKLVLIVGGVGLALNLVSFAFLHEHNHGHGHGHSHSHGHGHGHGHGH GHEHEHSKQTDEVPRGDHHHRHELTSPCKKGGDAELLKNAGTTTSSATVLNLPSPKAS CVDIEADGTTSPIAATDSHSEHRHIVHIGKAPLGDLNMLAAKFHVLGDIAGNLGVMIA AAIIQYVRPDTTNEFDPKYYADPAISLGIAALIFCTAIPILRESGTILLQSAPAGVIL IPGVLAVHELHVWRLNEEKSIASAHVVVSDPDMAKFMDRAKTISECLHAYGIHSVTLQ PELVRAPLLPTGCQISCGGGMCEKLACCSMSVSSDGGHE QC762_602800 MSSRTASADAPGPAKGAQGWPSHLRQFSKASAEEQPHLYPNLNP HSPADTITSSSSTIRERPQSAKRPERRWTVTVNEALSSDEVLLNFELLGDDIQPGSLV AIDVLKSETEKQHHPARSKDDSSAGCKPKRYICIAKDLNKDFRARYPMVEVYVAKHIA EAFGWRKGTQVTVAPIDSTNPAVEASHLELCFKDQYLSRADMWRMAVGMLSERTVYKG QMILFMGTVKAQVTAVYVDGRQIHSAFFGRDTKPIFRSESARYVLFIQMSREMWDFDS DGSGEIMFNKVVNGFLPALFKKWAAMKLKHLVTIVLFARVEYDRGISTELGNDAVQNL YYTGIQSSGNRRPYKDFYRVVVSEMGSGEWTKILHQLKREFNYFRKDISTHHMKAITW SPFPGSDDPATRESTLNRIKAEASRAVHGNFLEAINMASSLYAHDYIDRDLTRTGVSI VVISPSPGVFEVDYDALRRTTESLVGNGIGIDLICIPKIPLHSVPLFRYRAPQNSELA RQRSKLNMSSGSTPKQTSSVFGSYSSQVGLFSPGKGFEMARRGEPFGQRFAQDEFVSA VPQWLHVSYWTGASEEALSYQGIALSVSDAPHGDAGDEFPIRCRMYDLQMRSVMETNE IETQPLHMDPYFPLSALQATQIPQPHIDSDGTIFVKNTKVPETLFEHVYGFQKFVPDR NSKHAERSLWKQLQQYDDSRARLPTSRRIAGPHRHGRMYEDVPRKQVSEDTSLLSTSF SERRPSTAIQPAISGLSQFHRQSSDRLEVPSSLSTNRKSPAGSSNASSTATSSPTKAP KFMRQISRSSAGFKIAAPKAAVAELSVQSVEASRPSGPHDHRMGNARGDQRPMSSDKT RMGSPLFNQGSFSSHTFAQGHLSPGDLGSKPILIRNQQLSGISMANSILASTLRPEPT RLDRDIKKSNAIRNDDANRLNKSKLLADAMPELPTTLSPTTALNPWLTILNPSNTKPE DVNMASLYSRWQHVFPRPAEMRVMKWKSLCSPAAVPLTSEYFPTKAQFESEYQRQPYN VSQNMDDGLSEEPRSRDDLLRELISLRFCQGFQIIVGPAVAKAFGQKQLKVADVFSRD HMMEDGTSIFMSAGNTIHQLSCVNGTEVEVNIFVRKPTESSPQVYDGASSRYKPAIRT LLDQRYRTSEFDLVTPKSERNWNYIDAFVAGHNDELTEHLRFWRARFVLIPITGRHAS LPRAQSGDSDEEIRIEGIRKLAQLWQRYRYVPPNERRLQGPGQRRKKEANPLDIVYKT EDPSVVIAAELETLPLLEGVDRKGGLVRRGEQFSKKNFSLAALAEAIQQPVEQGGVRM QNRRWHLRLHYNCFIGSDMTSWLLDNFEDLEDREEAEALGNRLMVCDDKEKDKEGNKK ESGGLFVHVEKRHSFRDGQYFYQISSEYAKPQPSWFKRTQQFSIPTTPMSENMPRDLR VGISRPTSIHEENSSTSGASTPTAPPTVIGGKKPKVVLSKSIKYDVDHRKKSYRPEIV ELHYDRLHNPENCYHIRVDWMNVTAKLIEDAVENWAREAAQYSLRLVEVPIAEACSIS YINPFRRPYIMKLALPPPDQSPVTYYDSNSFTPSAQPGKHFYQKAILRKFDFVLDVEA ASNFPSNVDVSYSWGKPDFRYTQYIHRSGSVLAQITDEGDLLLLANRLYSSRAAAARE REMQRELRTEHPALGVNSAVATPGGVPGRAMTPLGGYPAFNLPTPSGGISSEPVTSSP SIKPGFLSPIIRPVGATAMSHPPSAGGGGGSAFGTPGILQKGPGWSWTGQEPEMVKDE LERFCRDTGALDQFYREQRMAAVVREAGGGVSAGGIGAVGGGVVGAGGVGSTPVAEGN IPVIGLPGNIIGSVGGESGSGAVGMGMGISPRVGSPAFMMGAGERFLRRGSVQIAGGL EGLRMTGKGEGKGDWGG QC762_602790 MSYNAHQTKQAARNVAWHKSKWNIFPYSRNKYTHDSTSTAAWQS ANDQDLEGHPLEGLPIGPSDDPRTPGIPLNGFGSTSRNINASGRAPDTADGQFGSGET FVGSNPLSAGEQKVTSSALNKLPAMRWRFKRSVTGDATTTPEGATADGVKKKKKESSS FFKHVEPKEPFTVRNQIQRVFFNSWINVLLIAAPVGIVIANIHSVSKIAVFVVNFMAI VPLAAILGFATEEIAFRTGETVGGLLNATFGNAVELIVAIIALADRQVVIVQTSLIGS ILSNLLLVMGMCFFFGGLRRREQYFNTTVAQTSASLLALAVASVIVPTVFDRSSQSPQ NDVAKLSRGTSVILLFVYCAYLFFQLKTHSMVFAEESQKVQAKPFTHPMRRSVPEGAV SQGLVAPAGIISGHGLGSEQSENEKIREMVTKPPMRSASGATQGGTVSNPGKGSVEED NDKDEVTLHFLVAIATLAGSTVVIAICAEYMVDSISAITASGAVSEEFVGLILLPIVG NAAEHATAVTVAIKDKMDLAIGVAVGSSMQVALFIIPLLVIIGWGMGIDAMTLSFDPF QVAVLFVAVLLVNYLINDGKSHWLEGMLLMCLYAIIAICSWWYPTDGSSIAGGGNNRT LGLSL QC762_0093790 MSSTLEYFRARHIARGERGKVFGSFVLMVSGSRSGAARFAVSLL LRRRWRGREWVGDGHVDGGNMVERYGVTTGGRI QC762_602785 MELDKLDLEKGLSIQEVTTQIFPSQRLEGTTAVIAEERQGCREW LFFPACEAQFSFILDHWAAKSKETFQEVKELEKETRAQGQDDYKKCLTKNALGRKKQE LVDRLTMECKELGTVFTLANTIMHQHSSNQTLTAILSLVGWDPENSGCGLLPEGYWSL GPRPSAIDDFVVSAAETLSKAGSSIKPETRKSFTMSYPTFRILSHLFIVLMAVIFFFL LVIIMYLVPMKKIDSVMVPIAFSLLFCVGSFYFGGGSGGLQSDHKFLLLFAYTSVMAT LLSNLAHNQKVGVPVAD QC762_602780 MAEPISEQQVIDLTNILRTDAPVDTKIQHINVAKSCIKSHYIPE PCIAPLFDALRSASSSQHGVLVNAGFGTFNHLLTRLRKQDPKGLSKEVARTGTLPLLA EKLGDHKDKIRYIATQALVTIYKVAPEQVERAVRNLAMTGKNPRAKESSLHWLLQMHQ ESSMPFRSYVPSLMDLLEDADATVRDTAKTTVIELFRNAPNTAKSDLKRQLKNCKVRP AIEQAIVKELIPTGSASASQADSIDEHHPRPASRPASRAALSASASSHAIDRPITPAP DSSKTSDPVEPSYVNTKSELDEILRDMHAHFEGRETEQNWMEREKNVIKLRKLVAGNA PQDFTERFLDGLRALLDGMIKAVTSLRTSLCTHGCNLIQDLARAFGPGIDPMVEILMQ TFIKLAASTKKLASQQANMTVDAIISNVTTTPRIMQHIWFATQDKNVQPRLYACGWLQ TILVKEAHHKHHVEHTGGLEVIEKCIKKGLGDANPGVREKARATFWKFNAIWPVRAEV IMDGLDATAQKLLRNDANNPNPVKRQPVAGGARPGLGLSKSTMEAPRPSIRDAIMAKK KAMAGTSTKNPPTRPGSAMAHFSPVRTATGSSEAPAATAPKPMAIRTRPESTTGGLSG APMRPGRKRPEVAPRPATAGPYSVRSHDQASSEQTSPPDSRRPKSVITPKSISSSPKR TLPKPTRPNQPESNLPTPARAGTPKFASLRNTPSRIGQPLFSSPRASTVDLSVPTISV AKHTPPHDRHYEPSPLESVETLPSLSDTPSRTREEFAVPSVDDHEEIELPLPTSKPPS PAPQIVEPVSTTTSPTTLKVYEDPFTAEHSPPKPTFEAPVLEDKPVNEDAATLLRPAP VIVSTGENGDISKGSVMSPEKIKQNSRLLESGITKVKAKALDVHGFRKLQSIIRDSNN YKGLITDEKFDALLIGLFEYLESPLPNLAPEKVQDVKAQVLATIKVMLKKMRARFQPH VSRGLESLLRARSCYDGRTHMVSGLELLAGELVMLGDAGEIVMVLARMMQGLNVDDAE RDSRVVSMGLHVLKEMMEQRGEGYVPSEGELEALAGLAAKCLESGESAVRMDAVLLCV VMHARVGEGRFWEAVKGVREDPKSLITYYIVRRQREGSVSASAA QC762_602770 MTFYEQTPVPRPITILEPPISRPSDAPSATQSPIPIHPPRDTTP NDPKRTTISSPKPFPLPTLRLENRNLNHPASALLFTSINPATVLPDCLQNVLRLLYHT PSSHSFTPPPTRSVTLIFRDMDGVAYTTGTDLDHDHKEIHFNLNHISNVASRPNSPTR VRDEIIGVITHELVHCYQWDAKHTCPGGLIEGIADWVRLNCDLSPPHWKKEVDGAWDR GYQHTAYFLQYLEDIFGNSTIRHLNDHLRHHKYHQDSFWEEQFGLGVEELYEGYVQHH KEEERKNKKKQDDL QC762_602760 MPLPIAAKVSIAAVGVAAAVAVAIYESPEVRRVAEDLRRRIAIA LRSLGDDFDPDNRQPRFNRPEDAHGFYESHNVDADDETRRRQREELMYWNARRNEQQS QSAESPTPRLPTFDDFLRPDNSGERGTLVYNTGANPANDSNNVVRRRGNLEGVRGLNA AMVYNPFADEHGIELEERPHIDETEAANLLKPDHDETVSDIYAATPRTQSPEPAQKAV AATNPEVLFDFDSQSNDTSLRDQFPDAYEKTEDGTHTPTTRSETIGREEEQDMSASYS STQSDAYASIQAWAQSNTGFYSPLPVTPEPPMSDGEYLSQGQRTPSDDTASIAESGVD VNFDAASSKDGDYGVMSDSDDGIATPGSWSEVGSVISETESVARA QC762_602750 MDPQAHDARLPLLKIYNSLTRTKNDFIPVDSTGEVVTWYACGPA VYEDAHLGHAKNYVSTDIIRRIMRDYFGFRVKFVMNTTDIDDKIILQARRQHLLARFK HQHAEEETVSETVLAEVKAAFLQYVGKNLPSLPGDMNPESFAEEVNKAVTVEDLLLRA HIGTAQLAVEALQNPGTASEFFAKADDVLLPYLDALHGASIDPNNHQVYLELSQKFKR RFFEDMDALNVLPPDQLTRVTEYVRQIVDFLEKIVANGFGYATPGGSVYFDIDSFEKA GHIYSRLEPWNKNDPALLADGEGSLSKGASMKRSPNHFALWKASKPGEPAWDSPWGRG RPGLYIECSAMASEAIGKTMDIHSGGVDLRFPHHDNELAQSEAYWSTPDCHVQIRGLK MSKSLKNYTTIRSVLSEKDWTARSLRICFLLMPWQDGIEVTDELMKAVIGWEGKLNNF FLKSLDLSKHTRPNAEGQDIGVADQQLLKSLEKAKADVDNALCDSFNTSAVMRILSDL VTEVNSAEKLADETVLSLARWVTRIVTIFGLDPEGDLTNSERIGWSGLDIPAPAKPYI YPASQLRDKVRTLAIGSAVDHDAISKLADEATLATPTFIDTSEPYSQVLQEFRKNVKD LAAEKAPAKDLLVLCDQLRDVHLWNLAIYLEDRNSALPPLVRPLDRSLIEARAEQESL AIAKAKAKLEQKAKEAEKERELRERAKIDPVAMFKASEYKE QC762_602740 MSSSPTTSTPNLSASSSTVDLSHYDPKVRNLLETGSVKFHIRTA GAKWECTIVDRNTHERRKTLRGDSSSITTLDSNQASPSAH QC762_602735 MTDNVTRIPSSLWRGTSTAGLDFLAVEAQQLQLHSTAHWLCKCS YLLSCSQVIKPSTRRARAPEVRYPLWNPGLLLDD QC762_602730 MFALRAIAVPAQRQAFRAAPRAAVTLSLQNQRLYSEKVAKYEGK KDAKGNYTVSLIEGDGIGPEIAVAVKDIFAAAKTPISWEPINVDPILKDGKTAIPDDA IESIKRNKIALKGPLATPIGKGHVSLNLTLRRTFNLFANLRPCRSVAGYKTPYDNVDT VLIRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRFAFQHARSIGRKKVRVVHK ATIMKMSDGLFLQCAHNIAKEFPDIEFDAELLDNTCLKMTTDPIPYNDKVLVMPNLYG DILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKGLANPTALLLSSMMML RHMSLNEYADRIEKAAFATLAEGKALTGDLGGKASTKQFTDAIIEKL QC762_602720 MADIERERAVLDFYQISSLKPETLVQWPTEKDRDSDASEDESVK KKANRRKSRYQALERAVSTRSSFVPGSETSGSGVANLVQRDEPDPLGSTDSVVRTLKQ LGVPLQDDLKLRNRFLLSSTTFSPALFLSQMHATADTQSLLSGLDILSRSIDQKSASL KVLVESNFERFVRAKATIDNVYKEMKYRGVDPTPPRARAHSRHASRNSFRSGSGAPMT SPLNPATDPRKKNALAKESEYGILGIKAPLLDVSAKAEEVWGPALGGREKEEHLKTVA SSLDSYKEYVEISAAIADSIKRNDHESLVEEYTKARRFAEQAKQLAQELEGSQPDEDQ VYRIVLAARMWHDVEEQISNLKRDIWRSLVSPYNMAKPDSGKSGDQHMELITLLLELG VEDNPIWVWLLSRYDYLKSKIQSTTERSKVEIEILRRRLANSEKPSPQTIASHMRTLG RQSLESKTKTFDSPDIAELWELNVAYMSNLLSSQGILGEVLEFWQTVQGFIEGKTQRS LPVGYRGESKEHHRLSQQGTVDLQKGAVELVSLIRESVLMFFAGPPPEDISLLFSPMP QTPSTPGYGGNLTPRDPRFNLDPNNIPPPSPRRGEAWEKFAFWPPWSNSLSGVHYLAR MLVLVGAAACDMASIEPVGQGDAAEVERVKTLVGVARERCVTALCAAWNRDAENIKYV EDWNRSPDRKEVTKMPASFKAFEGALLAGMQNILYISEAMGKPGAGEIVLPPPPKLLQ MVRSQYVTTLYKALSGMVENAERPVKKADDEWTVDVDGYVLVSSAAAPRASTAVGGST IDAGDRNVRMLLTLSNLSALRTEIVPDLNTQFENAFSVKLTDETKTIRDVLSQIDARL FQSYTRPAIETLKRIIRAGVSDPNWAPSSLSRPKEVRPYVYEALLSLVLVHTQVSTTA ATLTSQVLSYLLEQASKELLEAFKSRQRYNLDALMQATLDVEFVAQTLSHYTTDRASE LQSQIYQELDGRTDNEARARLQGELPEMRAVLKRLREASKSEFACFRKPKRPVGNGLE RRETGGSVGSL QC762_602710 MGRRPNALILQYFERGPKLQDQSNRYPHTCKSCGEHFPRGRLDS LTSHLTKKCPAISEADRVSALLTLSGMGHASKTFQQSQQAHAHPQVSDGSSVDLPMTS RDWTALGVLAEVSRQIDLNEKNDDRGQMTGAIPNTHLGTAQPGERFDMQDQFNFDNPP LNQDIGAQKDPKVEPPSPNAGDRGLITDERLQEILRAENEANTDSANISMAAAATARL HPAFLDPELLADEATVAAVTEAANQANTAAVAAAAAAAVAAAVAENSNISTPEQSGLE DQPPPTPTPPALPESLIQSSGIPVTTMPNAPMIPVTSDTPAWVDGNYAAASFQMPLNS PLPQPPAPHAKGGFRLDTANGAKSRHSRARFNPDRRKEVQEVRKIGACIRCRVLRKTC SQGSPCDTCRKVLSPRVWRNGCVRTKFSEQLDLYSAGVQIVLAQARVNGIKHTVALQH HGIFIEACHFPDYESRLQLLVLQSEPERDAEGKLLDASIAEDHPSSYHIAMLDNDNQD VPARVETYMREVLPEMIRREPSQFMRITLQTAVDVATRTNDELLKKSLELWGLVEILD RERQWTITVKNGENELQPRIIKEETDGELFHTICLQLAAATERKAAATSKALLTGMQR VLQDSKVKIDYNMYFAVLILLNCVEKSIWAFRAWEQPNLRGQWPLEKDPGSFAQQGSV IAELLHMLLGIRKALPRTSRRESDGKLVTDDANPLIQAYFEAIDLDFATVKAKQDQPN FSPTDPRSFELLFCSTLLLPPSSD QC762_0093900 MNCGIHMGKYRCMPSCWGPIVCWTVLCCAVLAYEACGRLGAHNT GGILKTPIAQPPPPMDQITVHIHSYLFVFIPEKPTVSPSGPSVTSPCVNTPFITRAR QC762_602700 MLLLHQSGSVKIGEVVRYTVTYTPSADRILPSPEFLYLRIKNTC AIALRAAFVHGPYTLSVAAYPSHFDPNKKFEEPRRYGVPEFEPMLKAGATWNCHLVVP DDIRQSAGEGCSQHGYLGKGPEHDGESVSWIIEVASQVVFSTSAAVHYEVLLARDEKS LNLGSVVPVIGGQSQAPQPGRVSDFQQSAGAIKDHPAQQKGVFSRAVHLKVEDTASLW NTPQLPGWDDIGWLRARSEGGADAPVEPVMASGKPEDRRPRRSFKQRKVHLVILTHGL HSNLGADMLFLKESIDAGVKKAKADAKARKARERAAKKKEATPAGADTEGNANTEISE SVGEENKDEDEEDEDDEEVVVRGFSGNATRTERGIKYLGKRLARHILSMTYPDQPCLP TAKAASEAIAATLKASSQKKNGGEEAHKHSTIHHAPSPSNRLYKFTKISFIGHSLGGL VQTYAVAYIQKHSPQFFDLIEPINFIAMATPFLGLNHENPLYVKFALDFGLVGRTGQD LGLTWRAPTIARNGWGALVGNLGEQAHKRVYGEHQPESKPLLRILPTGPAHKALKKFR NRTVYSNVVNDGIVPLRTSCLLFLDWQGLGRVEKARREVGLVEAVVQAGWAELTGANV TTPRLAPWSPENNEKEEANETGKTTPTDTEELLEVPQPPTNAMLEDDRQSLRSAVVSP FQEQPASADLQQLSNSTTNTSNPLSGLFSFFRTESPKPQPPPSPKINKIYQRSQTLKV ESGSTSLLVTSSSTSKVTSGTELGDDAEGLTAPPRTSIFESAGDLLNPKLPTVEYLID PSKRHRTIFHDRIYHPSDIPPPPVKDRTSTLQIRRRSLSRSSRTALSESNSPLGSPGI QHKDTGLSQQSEQSTKSMPVDYESTVNSAPSSSHDEPDVVDSSQMRVEEKIARAYHRG LSWRKVLVKLEPDAHNNIVVRRMFANAFGWPVIHHLVQSHFSDEAMARVRDEDEPGVE RAKGLDQSADETGRETKETIRGGDHRGRNVTVREEDSSHDDDIINAERYDVVPELAPS PRSPRSLSRQGSTNALGVFSGTNPTTPTTATRPPIDRYDSMSWSDRDWADSADESDEN GGGASYWSRMAAGANVKKPQPSPSHTHPFPSSSAATTPTPADPNPGGGGNADKKRRTV AVAAGGSVEQPGPLTPTGFMMRSVSPLSWNWTEKIVGRGKTGKRMSRSLSPGRGNSVL TTPTASTPGLGLLSRPSLALSQEGYFPGQDRGIVGEGEGERGGKDKDV QC762_602690 MHIREMLADAERTGAPSFSFEYFPPKTTQGVQNLYDRMERMNNF GPKFIDITWGAGGRIAELTCEMVVQAQTYLGLETCMHLTCTDMGEEKVNDALRKAYKA GCTNILALRGDPPREKEKWEAAEGGFRYARDLVQHIRKSYHEHFDIGVAGYPEGCDDN KDEDLLLDHLKEKVDAGATFIVTQMFYDADNFVRWVGKVRARGINVPIIPGIMPIATY ASFLRRANHMNCKIPEEWMQRLEPVKNDDVAVRDIGKTLVAELCRKILAAGIHHLHFY TMNLAQATRMVLEELDWMPSPDRPVKQALPWKRSLGLGRQVEDVRPIFWRNRNKSYVA RTQDWDEFPNGRWGDSRSPAFGELDAYGIGLTGTNEQNRQKWGEPTCIRDIANLFVRY LNKEIEYLPWSEAPVAEEADVIKDYLLDLNKRGLITVNSQPAVNGVKSTHPVHGWGPS KGYVYQKAYLELLVSPEVYPEIKKRIENHPDLTYHAVTKSGMLETNAPSDAPNAVTWG VFPGKEIVQPTIVERISFLAWKDEAFQLGSDWARCYEADTPSRLLLEDIMKSWYLVNI VNNDFHQGETLYEVLKDLQEPNLDKVPQPPATNGEAANGVEAST QC762_602680 MSGWFSNETAFNAQFCGILIACGVLSTFSLLYFNRVFASIVSWG IRTYTWHQYRIYIDIQALQISLLAGRIFFTGLRYHGNNETILVQNGHITWAYWLRRVR HVEIGKAKGKGNKQDVASDEQSRRTPCRVVVSLRGLEWFIYNRSPAYDSIVAGLSNSE KLVDEVYHGLDDKDVPAGPPSRLCRRRSRRSSASRSPSAGRFTTQNDRSDTQEKRRDS FLSSASDTGRDEESSSPSGVDDIPLFLQTLPIHLKCKGAAMVMGNENTTAVLIVKTES FSGEIDATATETDTTDPYRQCFRLKFKHPVIEMKENPDFKEDQVNRAEREKQSAQGSL SPPKKRSFLRGQKSRLREGLRGFIPFWNKSVESFSPSSRGIGTAASQVPGSGHWQGLS RYLSEEAEDQKSRWSSIEYAAVPTLLDSPEATLTIYWDVPGLVQPMTASPAEKAPELL SNINGDEPPAWVIKLSINGGSVNYGPWADRHRAELQRIFVPSLCKDAVPTPHLVPGAY RVPTQFKFYLELEDTTNIRLPVREESKNWRWKGKEPDPNNNTRAKETRKSRARSKKSD QAAEVHQRPYGWLDIKAPVNTTVTYSMDMTAGSSGYSNSLNVDFPSTEISSSINHEVL WKSGRQRISCDLSTPLRWNSLRQWHFNIASDDLSLFLLRDHIFLLTDLVDDWTTGPPP DYMVFTPFRYHLNLQLQNVRIFLNLNDANIINSPTDLDDNTFLIISSPLLRCVTSVPI DTFRPSKNAIPFEIRAENASVDLHVPPWNTQAPFLTSKEVGHLENLVIDGAYHYNATT SPSNIDTIVLNISGQSPVAQLHGFLVRYMLVLKDNYFGEDVHFKTLEEYQDMLRLKAN DPSAELANKPPPKKTNDLDVILSIRADDPKILLPVNLYSCQRHIQIDTANLALDLRFT NYYMDMELTLAPLNLSLGNTESGAETPISATSSTQLFVDGITVYGHRLFGLPPAEPTY MCNWDLSVGAVTGECTAEFLTTLVSAGKAFVFCLDDEENALIILSSIVVYDVTFLRVF VDSLRIWIHVEEAAFLLSTGLIDVNFNDWAGSHYSKRANVKIPDVKLSCVNAESATRY KTRPQHPVETDALVETSVRLAMIGRKANFSEERRLQQELIRRHDQRTHRTPFLVLPGF TDDFVPEPVDPPSQSVPPVPLPLTIADVGGDDRASLNSRGTSRRSRGLRHKSSLLSIA SSGQSSILKPASSPRPSGLGKQSDQYLRSPVRHRFGGRGSLHGRELSPSTRHSAFYSA PEDSQQRQDVVHNTVAFSSQYFAPYFPLENVKPSHKEVLLQSIEADDDDDDFDSSDFN LGDIDPSQLSEELPYTGVLVEFPNGLTAFCNPTSLRHVATLLGALQPVDPDVILDAMQ ISSVQEVLDMQKDQHLKGRIGDILVKLPHANLRLVNSSDPNSIEQPMDEQDQYDVALS KLVLTSRTETTWKDAFKPESKEARTSFHVRLDSAAVSATERYQDMAGAQAAAVARIDN LAAAMGTKDVSYLDVEIGNIQSSTSSEKVDYLASLIHRAGVLGADLGKVFAEVSSQEG VRSRNLVRRLVSSGKNIQDPSFLIRPSSVLRSASQHLRTYDSWKLIMRLRQTWSVLAP SIGEQIKMDCFAPLTKASAQLRQEVVSAFEKWRSWDLENVSESVLLNIVFGPPAKAQS NGGSDKPVMAVARIKQFQVALNPGPKQNAVTLVDLTTRLQVKPALQNQAGDQPAVLVN VHCEDAAVSLNWELCELASSILRMAKKMQSKPEPEPEPENAPRPKTPVKVQRQTVHGV LSLDHGSLILEAVNLYSSSFSNGTKISVLATRKADNTLNTNGILSCDSVSSSLRSHHQ KLAKLVLEQPSVFVSHELQATQTTDSHTIKTTASNQYMNLTIRQDPVTLAEVLDLLVR DEFAQLYKLKDQLLPPSPRNPSPPKKKIADRLSAFKANAALFMDQYTITVPLLRSLTY TIHGTVSRAAMAADFGKELIFDFDIKENQHDIQVRVNNVARSISLLQIPPASGRIISH IEPGEHTISVYSSVELVQLDASAVYSLLSALNRPEISNAVSELQQQGRVIREHVDDVV GHHKQQDKPLNKDKKTETIMAYSTNLTFAGLEVFGNSPLPSEKEPVVANVLFRLDRVH VGVNNRVDGHGPTLSHPQFDVNLRGVRFEIRKGRDDGGDGGMRSCGSVAFGAVVTGSS QRGEDGEEEEERRFFNVKSDGFRVELSPETVSTVVDVLGYMGDKIKDLDTSKELEYLK KLRQSRPRIMINDGEGKARGEEEGEEEGGDIIDAFFSSVTYTFEMQDILVAWLVGGGG GGGELEMMEDDDKEDLVLSLERIEFGTRTRNSARLTIENLQLQMVNPAQQDKKVRSPN SALLPEIIFKLAYVSTAEARRLAFQAVGKSLDLRLTSGCIIPAAKLNDSISLSLRNVQ RASQNWNPVVNKRMGSSEPVSPDRTQEVSERKTGTSIFGKKRMESLLVDADFAGAVVH LSGKKAPDDIVSATRVAVRPGTRQGHDGTTAGSTVLRSPGLAWKVEYRDNARDDPSLH AEVKLDPSTNILSPSVVPLIVDISNSIKHVVSSRENDRKKQPSAAQSQAENIAAKVKL VEEDSILTADPTAVLGRMKLNLGLRICKQEFSLSCHPIAQVAATASFEDVYFTANTVR SIDHGNFFALSGAFTNLQASVKHVYSREPTGSFKVQSIVLSLLNSRHVSGTSGVSAIL KVSPMEVSVNAKQLQDFLLFREIWLPREVLENPMVDAASSPIAKLATETSQGHLVQRY QQVARTAAFPWTASISITALKINVDLGQALGKSTFSINDFWVSSKKTSDWEQNLCLGF KMIGIESTGRMSGFVTLQDFKLRTSIEWPQREQALNETPMIQASVGFSQLRVKAAFDY QAFLVADVRQLEFLMYNVRRSKDGTGDRLVAIFDGEAVQVFGTTSSAAQGVAMWQAIQ RLVKERREGFEVGLKEVERYMKRRRVEGVEGVVKRLSNAQNGGAGSPDRNDPESAVSK SPISLDTDVVVTLRAVNLGVFPSTFSDHQVFKMEALNAQARFAASVGEGRIHSILGLT LGQLRIGLAGVRNTTSPKTLSELSVEDVVTSATGSRGGTILKVPQVEAVMQTWQAIAT PKQVDYIFKSAFEGKVEVGWNYSRISYIRGMWANHAKTLAQTWGRELPSVAGIKVTED KTKGKGKEGEGEKTEKITAEVQVPMSKYEYRALEEPVIETPQLRDMGEATPPLEWIGL HRERLPNLVHQIVIVALLELAGEVEDAYEGILGSS QC762_0093950 MGGSGQKFETITTVVAGVASIIATLLSIVSIWLQTKNYRKPLLQ RYVVRILLMVPIYSIASWSSMVSRTAADILDPIRDIYEAFTIYTFFQLLINYLSGERA LIIMTHGRKPVHHVWPLNHVLPPFDISDPHTFLAIKRGILQYAWLKPLLALATVIMKA TGTFHDGRIQLDSGYLWSGLIYNASVTISLYALGLFWVCMNDDLKPFRPMPKFLCVKL VIFASYWQGFALSILVWLGVIPEGADKSSESMAAAIQDFLICIEMPAFAIAHWYAFSW HDFADNRISSARMPVLYAARDAFGIRDLIQDSKETFSGDKYGYRVFDSGDKIMAHEAS RSRLARIKEGMRYERGGKGKYWIPRPDEINQTTPLLGNNGGPSRRNGSQSPHTNDQDE LILDPDEEALYNSARKLEFGDWNYPVITANQPASERYRSPFPSPYQQSPSRRTPDGSF YQRSSTSSVPSLNSNAAIDRRKKQAPEGPQAGIDDKKGKNKAKGSSSTGVGGADPLEI AYGPTIGTHKEITEDDFNVDVESGLHKPQDHEFVEPHPTPPPREDDESPINEERGQWE RSDGDGADDSHKSPHFTIDEIRALMDKPTNVRNMSVIAHVDHGKSTLTDSLLAKAGII SSGKAGEARATDTRADEQERGITIKSTAISLYGTLPEEEDLKDIVGQKTDGKDFLINL IDSPGHVDFSSEVTAALRVTDGALVVVDTVEGVCVQTETVLRQALGERIKPVIIINKV DRALLELQVSKEDLYQSFSRTIESVNVIISTYFDKSLGDVQVYPDKGTVAFGSGLHGW AFTIRQFATRYAKKFGVDRNKMMERLWGDNYFNPKTKKWTKNGTADGGAQLERAFCQF ILDPIFKIFAAVMNFKKDEVTTLLEKLNLKLAVDDREKEGKQLLKAVMRTFLPAADCL LEMMILHLPSPVTAQKYRVETLYEGPADDEAAVGIRDCDPKGPLMLYVSKMVPTSDKG RFYAFGRVFSGTVRSGLKVRIQGPNYTPGKKEDLFIKAIQRTVLMMGGKVEPIDDMPA GNIVGLVGVDQFLLKSGTLTTIDTAHNLKVMKFSVSPVVQRSVQVKNAQDLPKLVEGL KRLSKSDPCVLTMTNESGEHVVAGAGELHLEICLKDLEEDHAGVPLIISDPVVQYRES VTTKSSMTALSKSPNKHNRLYMVAEPIEEELSGAIEAGRINPRDDFKARARVLADDFG WDVTDARKIWAFGPDGNGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPVAEEPMR SIRFNILDVTLHADAIHRGGGQVIPTARRVLYASALLAEPCLLEPVFLVEIQVPEQAM GGVYGVLTRRRGHVFAEEQRPGTPLFNIKAYLPVMESFGFNADLRQGTSGQAFPQSVF DHWQQFPGGNPIDATSKAGQLVQTMRKRKGLKVEVPGVDNYYDKL QC762_602655 MAPKRPNEGGDAADKFTKKLRQGFRVGPDNLPDGAWKRKVTKIK KDLITKAKIKKKYSKIKAAHASEPTPALPELPPSPIIHPAGAPSEPTPNSPSQTGPAP EPDLHPDRLHLLTTDEPPNPNANAPDFPPLPKRNNGDRSRGKNQKQRKPNYYEKELAK AAELNAKQEARNAEFARRQKEREDRIKQREKWKRQMDKAKRPDKNGKMRLGRESKILL EKVERLVEGK QC762_602650 MPMLREDDAGQQDAVPDVPDHVEDNFTRIIPPQLQAGLPRSLSR KLSEESIRTELCEGPIPDSPPVPFKSTTPEPPSHAVSDRAELIERIKRGESPTWVPNR HLGSLFQQDNISLPPRTPPPPPPPPASMSPGLLPPPTITPEKKDGVVVGLQPDAQLQE GLNIERPRSALHSGNFTPQELSPGEVEKEARQSHHNPFQPPSSAPWIATSPPRDFHPF GYGNAAASHRKDAFDYRKEAFGTPGTPSLSSSLSSSFVYKPPTSPLVQSEINEEIDLA LPLNSINIASSSPRVSPRRHTLNFGPSSFNNVAGQRQVAQRREGNHPYQAHQPRRSLN STPVFSFAGSPPPPPPPTPWSARRPSVGSEASPLHHASLVGSYEESILRGRMSTTPSK PLEFMAQIGVLGLGKCKSSLRCPPHVTLPFSAVFYSYASTSHGRSKTDDGPSPYVGNI DLENGLTNSDEGQRAKRKLQSRYPERKVTAAEDDDDETGDHLSDSSKYPTNNNNNNNN NNNNNNNNNNNNNNNNNNNNNNNNNNNSKKRRSRSPKSPPGGRYRIPEKGQLQIIIKN QNKTAVKLFLVPYDLAGMEPGTKTFVRQRSYSAGPILETKVPDIKPVVDANTLGSDRA TLRYLVHLHICCPAKGRYYLYKSIRVVFANRVPDGKEKLRNEITHPDPRFSPYKPVRV MGPSPVVGGGQGERRRSVGFALQQQQQQQQQQDQPRVAFGASGSLPRGFQQPLQQTPE RGGDNMEEGISPMLGVQMTGLVAASYDKLSKGAVGYGGNTTVGSTPSAGLLSQRLRSL GEQDRRPFQQPNSELA QC762_602640 MPVRNAPLRPLIKALSLSSASPTLLTSPPTTSYAFRRLFSNTAR RNADFTHAVIGGGVVGLAIAQSLARKHPSSSTLLLERHDAIGTETSSRNSEVIHAGIY YGADSLKTSLCLRGKELLYSLCAKHDIPHRRTGKWIVAQNDLQLAALEQIHNFCQNEL HVPIEWVSLETARKREPAVRAEKAILESPTTGIIDSHALMLTLLGLFEEAGGTLALSS DVKGITPLGNKGSSGWELVVSDPSGETSAITTETLINAAGLGAVEINNLIVPEDQHKK LYYAKGNYFSYPAPQPKVNTLIYPAPEPGHGGLGTHLTMDLAGRIKFGPDVEWVDGPG DLGVNQARLGETIREVKRYLPGLDEGQLVPDYAGIRPKLGRASAVAHGKGFVDFYIER EKGWEGWVNLLGIESPGLTSCLAIGERVEKLLYGR QC762_602630 MASAIPEPTNKSINGDGAVSPSPGGRHPSISLQATATLNAQLQR ESPSRRSSGSPLSPSRASPINGRRLSQVITNLQLADPSVPAPGEMLSDSQTNRPGSFR AMSPHRLSVTGSPRLIATGEPRHNRAPSLGEIHQELETEQEFQVNRLLGEIRRLQEQV DSYRRQQSGSAAGSEDPAERTTTPIPTSIPQVPAGASSGSLPRSPVFAHPRSSFDVAR ADLRRRSRTPSRGASPRLRSTSISGDSGEQWHLGCRDESAFYQAETQMLIRENQMLKH RIKELERQLTDSTGSNASITHEPSHPSHLTHSTSVSEEESSKPV QC762_602620 MTGQQGDPAPTAAGLEALQNSLGRRTRPPPLGDWNAETGKIPLR DDDKLRRRESRLGLRSIFGRNKSTSVTAAPALPREAQRSSGIRASLTNWPYQFHHQRS ESTLTSQAGQNLKHEKSTGGQPSPEGIVPWSPPPLFQAYPQAIKHTHLPACTASTESV LRLNNKTSFSIGGGSNPATPVVEKFDEAIGEKTEKPKRRHRRNTSGLGGEFKWTTKIF VLVTAGYLLQYSGEGSYDRLPEKILHLGKDSAAFASDAIPGRHWVLQISAVAESDRVP SSHGSSLLARLPFMGQERGRSPHFLMVFESAEEMDAWIAVLRREIEALGGRKVLSETG KPKTADEDSQLKSQTSQRTLVVRDPERFSRIWDHSSLNPDIQLDAADEDVREQSFDDT SSASVISHDGRQLDALRDSTNRFSYVSSGQRTMITSAGSSPACSPIRDTFAEHESMTP DMPPLDEHWLPKMRPNAAAINDRRQSLQAGNHVLEMHLSTAQTLRPRSTEMVPPFSPG SHPGSNLSIPQGISKRFPVKGVDFTPGSFSTLPSRFGSRRPPPSALSINSRPLSLVLD QPSPASSPMSHARKNSAQSTLSSTPEALSTSPPSHLWIESDKSDEELNSPVQPSSYPS IENSPPKSKLNPPPRPRRPSACSDIIEDESSPISSQSHLTAHLCEGPRSSSSLGTYGG GLSRLSETLEPRARRSSFSAQAGTSLPLSPRYLSQCPLKSIARSSQHLRIDSLVSPQF LNSSRSMSMLAEGPPPAPPPTRALPPIPKRTSTGGGRSSYVAAAPPGFI QC762_602610 MAAQAKHDWADDDDLDELTTADLPPPQKIQNKDGSTTIIEYRYN DQNQKVKTTRRIRYITHREVVNPRVAERKAWAKFGQSAKDGAGPAPDTTSVGENIIFR PSINWRKDAKDESKDPNAQAMKDKLKDKKVKCRICNGEHFTARCPYKDTMAPIGEAAG ADVAAGMGDDAGAGGAGPGGAGAPGTGKKGSYVPPALRGAGGAAGTGVGERMGGKYGE RDDLATLRVTNVSEMAEENELRDMFERFGRVTRVFLAKDRDTGLAKGFAFISFADRGD AVKACAKMDGFGFRHLILRVEFAKKAA QC762_602605 MFRRRQPPPSTINPIPPSSLPSRSPSPSLPFLTPPIPLPLPPPK PPNYQSKPSPSPPRRHPLHPPPISPPHIPKTRQRPGTAGTSGTEAALALQTRQISAQL KQASRTEKAYRTRKRAAAARANYAESKDHLRQAWLHFALGIRLMLGVVKSSAYVAKEK KYKWQAGREEKKAAKEQEKQRKLEERTEKEGRVERSPVDSDRLERARTAEGGDQGYRQ EEDVISDNEVEIGDGEKQTEVMVEKELPTPKEEDTIDERVQTKRWKGKGRAKGKGRQ QC762_602600 MSTTTAIDDPAGLKTTRQRNNQPQQSEIPLPSPSISDVDSDSNK GDDHRDAHVKKAYGRTPDGTVFVVPETHDMVSQLLDPREPKNLSDYIVLGVLALHIWL AWAVPAPYNKYLLGFAFTFWRLAYNAGIGYLLTVQSKYTLLVTWARRLRAFEQPATNP RPWLYNLLKTELETKIPKDYKMDEAPIEYNTWLAFRRIVDLILMCDFVSYCLFAIVCA HTPEDEGLGMLLGRWVGGIALVGFNLWVKLDAHRVVKDYAWYWGDFFYLIEQELTFDG VFEMAPHPMYSIGYAGYYGISMMAASYDVLFISIAAHALQFVFLAFVENPHIEKTYNP PPPRLRAESEIGSQTEADALVTKELNGNSDIPQPVHNMIGSFDLFRVTDASSLIIVAC FIALTVVTPTTGTYQTLAVANAIFWRLWYSVGLGYLLKKQSEKKMYTRHFLKFGESTG EAWRQWKGLYHISMILCHVSFLTACWKMYTYPEDWSYGSVLLKHVIGVSLIALQLWTS SSIYESLGEFGWFYGDFFFESPRPPTYNSIYRFLNNPERVLGAAGFWGLALITWSKAV FVMALVSQLLMLGFISFVEKPHMQKIYGQNLRKEAGLTKFVKKSLPAPVKRWQQGVDK VLDETKHFAEDFIDAALTRLSAGSSNFVKDTTALFHKPLRLSINRIDRDLAGYDPKHY KLSVEGEQLIAPDEKATRKESADARVPKDVKTKVFQYGAPIRVKWTAPANHSKKDWVG LYLVTDNRNRDFTEVPSLGRWIPTCRGQYDTTTDEGIISYDEKVESEGVEGPLVQGEM VFEGDKLWWTQGVYEFRYHHHGKHNVMSISEPFEVRIPLVVKEGTELTIEEAENALLP IVRNCLDRDPEIAPETVDEPWGAHVERDGKYAERVVYAIREMFAIEFSPAVVPADGNV KKLAWRVVNGRIALAPYSMSLQSRRPPTPVADSYK QC762_602590 MDDYLDSPMETEDVFPCKGCGEILEEGKAFELGMMFQLCKGARM VLTLCATAGNRWHLDCFRCNTCNTLLDSDANLLLLGDGSLICNNCTYSCSACNNKIED LAILTGDQAFCASCFRCRNCKRKIENLRYARTSHGIFCMNCHESLMARRRKKSKAAAQ AKQREKDASPMITDKSLPALPPNAVPPIAFSGDRATPDSDTPTELSPRPRNAYGGINE SSSRSVSRNELSPERTQDSSKEPSLAPPQQNYRNNRNSTILSGDMNIGDGEGFFIPVA LDPSPAPSLTPQSMSDTFTDSSRRKQERDYFSAPKPSPSQDKRSESAASTPHIAFQEK GRQPSSDYEVPQYERPARKLSKRNGKPQTSPGIGEERRPSNGRTPTNDEFKLQDAPKS KKLVNSRSNSLSGGSIDASAPTRPPPAPSRNREPLSNTANNNSPSPSNLSERVTPPRA SQDSRLRDEDAIRPSIDSLSNRSDLSGPKPVARKEVPQPPPRNGELQVRPAAPEQKLS DTYMQPRAAPPPPPSQAGQRTPRGSVSSVNEEGKVSPKLPRWSAGGDFSMDEDMARIL GTDEGSSSILRRVSNAVRHGRHNSAETTTHQTRVGHSRSVSETTRGTTSPRWPRTPIA EDPTNGGHVPDISSPISLSGAAHDDPMFLKRQLRNSEQRVAELERQFNTEKDLKNLNK KLVEKRKTVSVLDTQTEIMIRQLEVLAGYVERAKKTSEPLDPRELEESAIKDFVQKLE KVKQNMTAAIEQLHAERDDLLEERNQAIADRDRALLEFEQLSSKNAQLADLNNDLTHQ IQERFKQQINNGDLKMPPNGLGIYSHSKGSSSVNLDTASMQTGTTLMGTDAEEPILEG PTVVNIRKGQVKKFNWKKGSSKVAHNITKGINRAAGAFQAQEGNPRIGAPQTLNSDSI GIPYNMTVAQVESPVTTIPPPPPPMGVNRVNTDQRQGFGFFGKKQQQQAMTKSQSMNN VPAPAAAEAPSTLFGSELVERADYERRQIPNVVTRCIEEVELRGMDIEGIYRKTGGNS QVKMIQEGFDKNGDFDISDPDLDITAVTSVLKQYFRKLPTPLLTFDVYERILESNTIQ DESERCAHMRRTINTLPPKHRDCLEFLMFHLARVASRERENLMSPKNLAVVFAPTIMR DHSLEKEMTDMHVKNLAVQFLIENSHVIFGEA QC762_602580 MAVTRDGYNSTEHLIQAKPRRHYRTAVRWQHWQLRSLIGVHGQD AVFFPLVAGERHITVQRLNTTTGESETVKRLSFPPRCLVARNGWICCGGEKGAFSAFR VDEPCAEDDIETLLELHLRDRAAGMPLDMSRSTRANAGKNSVARSRHCGKDRVNCITL WFTPTLQKPCKGAYDEGVAVLANNDSTVIVASLCNMETLDEIKYPDFMNRAVISPDGQ LLCAISDDPYLYIHKRRLKKSQAAGVSLSISGQPLYEWTPCRKIQLESQSKDDRSDNR GSFALCFSSTGRYLAVGTQYGTISVFDVAALDVATADPVCAVFTTSRPNQDFGAVRDM AFAPGPIDLLAWTEDRGRVGIADIRTGFDKRQILYLDQDDDFEHLPVVDRDTIDPRLI EEFRRERGDILSNFSSTLDLAGERQGRRPDVREALERYNIPLTPEETVVLEAIQGSRR REMVALEAVDGGRRASERWGSSTSFPRATSNSGGANESGTGSGSGTGTVTGTGAGSGA GNGTGTSRSPWAERGSRSSLTPGAARTRDRSASVSRAVDDLLGNVRDQRERLRDNLRM REEQTRTAREGYSSTSNTAAAATGGSFIAERRRYAAPLSSRPPVSGSRIDTSDRRALV ARLMANANPASSASRWDNVEALYGGPSPQLVVSLLTAADVPTTSSSEDAPFTDLQRRI RAAYLMRELEESPTRRMFGSIVPSHIRPEPYDTAGLSWSEDGEVLFVGAESGVYEFHI NKLSRKLFPSIEFR QC762_0094060 MMSTRLSRALPRASTVAARAGMLRRTPAFAQRRYESTEEKVKGA VIGIDLGTTNSAVAIMEGKTPKIIENSEGARTTPSVVAFAEDGERLVGVAAKRQAVVN PENTLFATKRLIGRKFTDAEVQRDIKEVPYKIVQHTNGDAWVEARGQKYSPSQIGGFV LNKMKETAEAYLSKPVKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAAAL AYGLEKEADRVVAVYDLGGGTFDISVLEIQNGVFEVKSTNGDTHLGGEDFDISLVRHI VQQFKKDSNIDLTGDRMAIQRIREAAEKAKIELSSSLQTDINLPFITADASGPKHINI KLSRAQLESMMDPLIKRTVEPVRKALKDANLQAKDIQEVILVGGMTRMPKVAESVKSI FGRDPAKSVNPDEAVAIGAAVQGAVLSGEVKDLLLLDVTPLSLGIETLGGVFTRLINR NTTIPTKKSQVFSTAADFQTAVEIKVYQGERELVRDNKLLGNFQLVGIPPAHRGVPQI EVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDSEIQQMVEESEKYAEQDKERK AVIETANRADSVLTDTEKALNEYADKLDKTEADQIREKITSLREFVTKTQSGESTATA AEIKEKTDELQMASLNLFDKMHKARNEAGESTSSTEGEKKDEPKA QC762_602550 MLWSPVIGFNMSGNDDQSLLRPSASNIIFDSEKDRDLNYAGRDS ISSVEDEKHDADEYSKLQTPGHELSTSREEQPAAATWLLICRRILLGVEWVLVWAFLV FLLTFIKAFIMAAWKLSSSIPLDKLVNTTELNLQTGFKVESGKQRLREYEFDVTRRRE APSGVWKKMVLVNGQSPGPLIEVNTGDIVRVKVNNLIWDESTTIHWHGIHQRNTTWMD GVAGISQCAIPPGKSFTYEFEIIDQRGTFWYHAHSKVQYTDGLYGPIVVHDPDERLPP DVDIAAERTVFMGGSFHAYGEDLLESYLQLSSAWSPSMPGVEPLPDVILINGLAAFTN CNLTSSTWPSFMPPICEPSSRQFTTPMPNTTTRPRLINHSSFTSLYFTIDSHPYLTII EIDGVEVEPITVPGIHLNIGQRYSVLVSPIHSTTTGSFVMRATIPKSCFLPYVPYTSE ILESVNHQGTALLSYSPNPNSSLPQNIKPVVNIPQNCTNLPFNLPVPIRKMAAFPPDT AHPSRNTHEINFQFQQAGPVNRILINKTSYSPLPHSAQLWLSLPQTLDSDSDPGSYNN YNFPLNQQVLLLPGPNKTVQIAINSRDIMEHPFHLHGHTFQIVAWGPGEFSGGTNPYT TWNLPNPMRRDTLTIPGLSHVVIRFLADNPGIWALHCHVAWHMEAGMLLTFLERPDDL KHLVHDMSPSTTQLSRSFCSDYR QC762_602540 MSKISPTPVSVLTPLPVTSWARASTQVTTPATSTTGTAGMDITK FVVSHREKALLYGGYSTYHTSLSKKILNCRKKLNIATKKRGTFHPRNQVTAEQVAEDH VYIHLQLLTAERTWADAMAVKAAHSVETKGIGGKTRSHIVSRLEKGARTAEQLAEVLT QTASGASSVDILEARAYAALLRGAALFEKQHWEPCLKSYSVARIIYSALSTSAKNDIF KDLLSETIDPSIRYAAYQAQIPRTQPIAEIALKYFQHAGPELAAHIEKQNPAILQHTD ADAKQGTGGAPATLTWRGREVKIEDAAIAIAWAAVGAEKAKLADQLSSAGSLAPRELA GAYDDILVASQDAVDATKQAIDELKSEGVPQSDSRMQSLQITRTAVNYEMISWRIGRN RALIGQDDGARLDFGAPSKKKKKQEQEQEQAEEALEQKGRDLTPGRQIAKLKEKVVLY DGILQSVESIKELPGVANDQELSAQLEATSQYFTALKCLAISRSHAIAGNTVNALALV KHALDQCTAALPALSGSDDGKPAPRNIQVTNQHAGLLRDILGGELQRSRALVEIHSLN KSASASQTAGAGKPLSSQLFNYPTGGVDLNNIVTYPPRLEPIPVKPLFLDVAWNYIEY PAKQQQQQQQQQQQQRPAAAVQDKSKGTEKEPEPEAKPQKRGWFGFGR QC762_602530 MLERAATTLEPCSSLQRILPSARHCLQTRRQLHTAFWQHGAYDF ELSDACQAILRAPRSEPAPTRPSSRNKQIPEPMMASVFLLDFLYPRGAVAMLRRMYPV LPKRLEPIAKVHQPFSRLFMSSYQDRNQGPPSSQVGARGPPNQTQTQYYEEDGEAEFQ APSWEENDAEVWTLEDYKRLPAVERLEVFIDNKTFRGNYERIWETFAELSPEVQMHFR PKVIRALATSARPLEAWRVQELFAVYDVEDWTEENVLAQIRADLVTGNLTSALAVFKA GLELHGYGQPLDLLVAYGISANNWDLVMKGWRMYTEVLTTGVDVPVAWLSLPAEPAMS GGTKVNRSGEFSADLLAEAPTNTVHQGGDVLASRRPGSGQWNYVSTPAVKYTQLSTIS DLPARIRHHFAKLSKAHQKLPKEQRPLRGLFRHVARNSLHLFQPTVAELILDHAADSA SYEAYIVHLAEQGQVKEAEQQYMRYRSLKDLKPRAAVLHAIVPAYYPDHVQGMERLRE DWYRGYPHPDEVAYKKFLTYYAKRGDLRSFMNMTKEYEEHYDPNLQKDPMYVRTLMHA HAVRGDPDSAQLVMEDAAETLGYQPGRMEQNILLKAYYNEGDYDAALDLFSRMWEMNN VDQYALTTVMKNAGWRGDLSFVLELFAMAKERNIPFSLTMMTCLVEAYCKNDRYSEAE NLAIDITKENKLEGDYVHMWNLLIRFNAKRRDLSAVNRLLGAMSQRNVTYNQDTYSGL LLTLLYCRQAHHAMHLLHVARTQSGFEPTAHHYTLLIAAFMYSGEPIMAQKILNMMKD MNFPQSAKLMATAISVLSRYEDIPPALRHENDARGYLRRALNYFYQVLDLERKGSPDA RRDMINIYSKMTFVLTQMRRFTTIKDIIALHNARWPRQSTLQKVPLKLLHDIMLADFH EGNYDRLQETWDIILERTVKRGMSVMAQAGPMSQEDQKVMVAQKYRLCDPLKTMQRFY LESEDADGLINLIDTVRAKGFELDSKNWNYYVQGLARLKRWRLAFSICEDRLMGQWLG WQTDRAANMEMWKLPVEVRRLASDPKRPRPIAHTLFILAKEYMDLEKITPWSNDALRE FRLIKKSAPRVVQAISNIAAGHPLEREIFGDDEGYRSRLKYVPPPLERRGRRRRELVG EEGEEEMRERKAESQKAALARQQAMIRRMAERIAAEQVAKEQAGEVEWEERNGEGEEE EGEDEY QC762_602520 MLFPEEHENDLKLFLVQKIEKTPDADEVDAEVLADYVLALLKHD GDVESVRQTCVASTQQFVDGILEAITFKTYLPNALPPPPPPPPMTGLLYDDDQAGNGY LRQGQAAGQQNNGFGGGGGGNRKRGYNDLDSPATGAEAYHARAFKQPRRGSAGRGFEQ SLLGSSGGGFLDPEYAAKFMFNVLNQPEFMAAAAQNGGGGGGGGRNKKKKRAPKCRDY VNKGVCPRGFNCRFDHSSDDPAGFGGGGGFGQVEEYDPANALMTNTFPQPGAGLPGMP SFGEMFAQSQPKQHNSHRSKGQGGNRGGRQKRGGGDRSTFSAEGPVSDRTKSTIVVES IPEENFDEDQVRDFFSQFGNILEVKMYPYKRLAVVKFDCWEAANAAYKSPKVIFENRF VKVFWFKDEEQIAPPPGKQGGGVNGGASGQGGEEAPENVPLTEEQLEEIRKRQEEAQK VFEEKMRKREELERKQKDIDERQKELLAAQEELKAKLARKGEGVGDGEGEGSTSKQPM TQSEALRAQLARLEEEARQIGLDPHAEMDGEEGLEASWGAPRGGYYGRGRGAPWRGGF VPRARGFASRGFRGGFRGRADVHAAYAAYSLDNRPKRVVVSGVDFTEGQRDEVLRQYL FGVGEFTHINHSSSPPTTEITFKDRKTAEKFYNSVSLNDYTIPEIEGQVELSWASPSS SSTALSTPTASGFSHVNGVATNKSTGVTAQNGDEDGHDQDHDGESVDDDRDVRILLDH QPEQEKDKDREQNEMDYEVADDADQWY QC762_602420 MLFSTALLALLPAVLAAPAPLIQPRDPSAKLIPGKYIVKFKDDS SDVLISKALGGRKPDYIYKSKGFKGFAGALDAASLNKIRGLPEVEYIEQDASFTIGSA AELVSKRYLITQANAPWNLARISSHTLGSTVYRYDNSSGFGTCSYVIDTGVQVTHPQF AGNAVWGTNTAGDGINTDANGHGTALAGVIGAQIYGVAKKTKIVAVKVLGASGSGTTS GVIAGMNWVIQDKATRGCPKGVSANIALGGSFSAAMNNAVAAMVSNSVFVAVAAGGSN TNAGNTSPASAPQACTAAASTASDARASSSNYGAVIDIFAPGERILTAWINGGTNTLS GTSFAAAHITGLGSYLFGLPNASQTGANMCTYIQSIATVGVLTGVPAGTVNLLAYNGW DLFTFP QC762_0094120 MVWMASRRQRYMMRHPGDDDSDQVMMSSSTKNDAFAVTNSMARA DAITMELTQQRQKQRRFEEMAQTSVSGGDADTEAVLPELRVTSQTGIWTVSDILELVK HLIQYGDRCARYQDAVTTVPAKRDIHEKPPEPPAKPVDLHNIEDLTFELFSTVIRLAL ALQDHGTTFKKLARPVQLLATVRLERIKQLDALCERAFPSSSSACKHTCESCNSKSNN NNAHVPTETNSDMDSQKVAVAVAQQVEQLIANKLDAAQSAIVQDFQSHVSAAIKEEVA RLVEQVERDMVQKIKDEVQRRLAEAQADQP QC762_0094130 MHLLLASLLGQLTIKCKKVPDDGLYETLRDYQGQGQLPDDSMDL FNHLQRFISKADRDIFLVLDGLDHVLDRRGSRKNDIKLLDIILKLIQKEYTNLHVLVV SKYEKDIAQDFENKIRDMLVSVDVEQGLGKVLKTFVERKLEDTPVLKGEDSLKGEVKQ RLGHYQSSQGSNFHWARSILKQVSACHEPAEVTAELRKLPENIAARYQDALEKVAANS VKRLKDILLWLMNQKRPLSQAELAAVVKLRNAKEVAGICPRVLVETATEDEVDVFRFT HFSVQEYLKDPFSRAPKGQETIRSGNIERLLPPQKHDAHLLITKRCLEILSAFRPTKT SKKKGDAIENTSSSDSDSDRLVSSASAPNRRRVTIDASDHGSDAWRTQDTSPDDSAPD RRAPIKTASRKSIDNEIHSNEGQFNAIGSDKGFPARLKELETEICSKLLLDKEKMRAW LDTYNPDGRGNKKPPSAVYYAVKLELNGILTRLVDEISKLHTDLPARRRALDQRGLEG TALQLAAVRGESDIINLLLQQGADVNAEKGPHGTALYAAAAEGHLEVVKSLFQAMKLL HADTKTGDQADGNLGSPLHVAAFRGHNAVVKLLLEPPGVAVDHLADPFGTALQAACAS RKISTIKLLLANDADPNIVAGCFGTAAQAAFAHPRVSLVKGSDEVLEMLRSKNAEWLE SPMFWTLAYSRVRSSLDPRPRYNRMNVLDFASTNYETLLKASAPSWLEEEALGEHELL ASVVHQWAIPMTTMPDEAFINRRLLARIPFQDQLDAIKRAVPHHENTMNHLRHQDFLS KAHF QC762_602405 MEREAVAKKPSRMKSVRQWLSLRVTQERTKTEAPAELLPNHEPS SQLEVDEGAARATPPETASNKNAAASTETGKKDCKDSKDDGDERGSTTVAQLDNTGAK GESESESEKKRPEDDKDDDDAVDKSARDFWKEAWESDELGEAKRALLQGRSGVGKSKD QKPSRANSIELVGLVIENTEAKMVNYKARWGSDNGETSLRNAKSILFSALTFKDLLNN VVNLIRQAIVQRHGLSSCLQLALHDKELADSTFKACSYLSHSMALYSRTESNYRERKA KQEKQLEDALVRVYTAILVYAAEVQESSNGRTRTRVKKFILSLAGQPLRDLETKINEE KSALEDWQNQVSRELADEAREEIMEIRTNTEVILKRVDGLAKTASDTYAKATAAELER LCEWLLKRDGGRQDKIRTSLATERAWWLNSGNSHWRPGGDGDKKGKKIPHGQRHRDRR KW QC762_602395 MQFTNNLLALLTLLPLTLAAPESQLEAKFESGVSILGTAVVTTY SGDACNGSNEQATVTNGGYRCFAVSNKRSIGYSGSGCTVTTWSGNNCRGSSFVATRAG CYSVLYGSVSIQC QC762_602390 MGCFPRFLKLRLRLKRKTTTPGLQLSGSPKAWEQCPHCKELFGD VYDKQVQLSTVLKSAAQCSRCWALGQILNYITDLRSASTVLVGSIWHDNTLEIGIIRP GDTFETAPGYKIYEIGDRYKGERPLLDPIVGLPIAHKATHVNFRPIRTTAEHRFALAK EWLSECVTSHEACNEHDAEYLLPRRLLDISDVEHIRLVNTQHQELHPRTGYVALSYCW GKQGNLCTNTANLNQHAAGIPMLSLPSTIRDAVIACKHLCQRYLWVDALCIIQDDPRD KMAQIPQMADIYSGALLVLSAAGSSGSLEGCPLGPPEMQPSPPKIIGLDFSRYANNDN ESSDYRETIVVEQMEHERCRSLPGHWAHETFDQDPTDALNVVEERGWTFQERFLAKRS LYIGKGEMSWTCATEVQCECRNPSPHIKTKAGDRVFTRRYGINHMSVNKLFSEAELSK TYSYLWSDIVATYSGRLLTQFGDRVAALEGIAVALQRRWPTIYKRDEYFFGCWLSLLP DLLLWHVSGEPVSEQIYPDLFPSWAWPSCGRPVSFISWVWYGVDPKLWVELLDFEVKE PPPAAVFGQGGGTVTLRGPLIPVKREVVTYDENEEEVVYVPIDTQLSFMVGGASLDHG DGDPDAERVSHLALVGSYPFKLQEKNKQLSCAMLCLAPITGRQENVFRRVGMVLLPRT KQAFLGAEFQRLLTPHVTDYKLT QC762_0094170 MDTYRHPPGDLPRYLYRVQYRGNTGLYNKTSGLKARDTTTQFAR IRATQLFKDAVADHFDWSSRRPTPFITFFSVEQHAERWALCLEKWGRSEPDEDDWFIL TIDTSTLTDVHFFKLSTLVDRFGLGSRIGSKVQESHKRGAYICLHGIPARATDPGKTK ISGNGRGGGVWGGFGSFAAHSGALFGELSLNLKDWCCDVNYFSFCQINFTLKIHFLFQ PQKHSSFTSFTMTPPPPTTSLSFTSWNDDKPATIILLHGGFTCRLEFALILPHLSDFH LLVPDLPLHSASRHIKPGTTDHSAQHVAQLIRSHAHGGKAHVVGVSMGGYIAQCLALD QPDLVLSLFVTGAAPPSGARLFMAQWPGLTYYTMKMMVGWVPSWLYQWQASLLGLKLD IELIEEMKGNITWEVVHDMFPWILEFGLDDVRRLEVRTLHVAGAKGDDVGMVVRTAEA LRSRRTDRGGGWPEDGSGGFMLREGVHGWDMQFPELFAGGVRAWVEGEKLPGEFERL QC762_602370 MAKVDAYMSQKIAAGKNNGCTLENAGVRREWGDMTIEQRSDFIN ATLCLMKAPSKAPKSQFPGARTRYDDFMAYHLTNAGSLHDTIGLFPAHKYFLLAYETA LRNECGYKGYHPYMNYDRYTKDPKNSALFNGNATSMGGNGLPDPKYTGLRTGTGTIKP GGGGGCVVDGPFTEYTANIGPGAPVMNNVPRNPISNGTGYNPRCMRRDISVDAALGAT ADRAYNLLTKSKDINTFYNTLLTPPRNVSDPYNFGIHTAGHYISGGDPGGDPMVSPGD PIFYFHHASLDRLWWIWQMMDPEKRVNAQVTLGGRDAATRKLDLKWLAPDVVPVIEAH DGLGGFGGLFCHVYV QC762_602360 MHIANFRVALLCGVTLANQDCTTTSQSPSYWNINDLVLKVYDWD KGGSMGTFGFTSFSSATNKTVECLAQDVDLANLGEDGSWSKCSDPGVEFRFDFEEMSL SLKETWTCEGSPGVTFSANATGLMMLHGCLDSDTDKGVESDCYVMEFDMASDVTTSAV I QC762_602350 MEGTGTLASLAIMATGFQRALASEWTGWTGTELQAYATSYLPYG CNPEVLTGTRSCETYVPRPFTVKHTNIPTGVIPAFSTATTNIYWGIVMSTIILPADAI PRSELEDWYKLPSPSIRSQFTTDLWIVDHILTAPASCPTPFEFTTSTSLQPWAGWFPS EFLTEYMLPKATVLPVQTHSTKGYNPSLVREIHVKPTNLPPTRHGGPHARTYYDSVVS SLNTTYVQKCHRPGGPRPLTQEERCPYTYAGKCSKVEPWKVIIATVIPSVFLLGFVEN FFWFGRLMMGRTALRLGTVCWILIFIFTIGFTIVEDARKPEDQSELREQWKAMPLKMK IKLWFHFGFRQRYPVDWLGERKPRRHGENIEMQRRGDTGGGNGGAGTGGRQVEDDTPL PVYSGPPSSVVGGTTAASSGPVLGNPNAVLASMGSGTVVISPMQTSFQTQSPVSPQRP YPNTGIAGDGFRAV QC762_602340 MQLPKVLTAIFYFFLKVQAVLLPVPHTLYLAKWTTSELINYGFP DPFNTSHTRRIMISRYTPVRKRDCLQTCRLPYMPEFIASVEDEIFQADMGATPWPRGV LASLEVEMCCKERRRDHHDKPRPLLLLGPGLNTTRYWYSGMGQSLAGMGFEVVVMDHP YETDVVQYPDGTVIYGGRVPADAEAVEELRFALEVRAKDATLVLDWLKVPKSAKVGFV GSSFGGPAAAVAMRRDGRIAAGVNLDGGMFGAGVAEGGAVRPFLIFGADGHNTTTDET WGRFWDATAERRPGLWMKELSVVNATHRTFLDFSLVGEVSEELRRVGFGGVVSGVWAM GMMTEYLTDFFRFALMGKGEGLLAGESGRFPEVKFLRSQGTQPL QC762_602330 MVDSWLSTVYPAWTLDDKDFKQAVYNQFDWDYKGRTPFISCFSD KDFAIKWACKIMRSSRRCSQKKEWTLFTIDTRLLSHSVYVYKLSRFIDCMDIRTPGRA EEVYKPGAYICLHSIPSDAIVEAEKWNESSRSIKIPSIGKYLALK QC762_0094230 MLVYKNKARVTQDISRFIVPSAESLALRNKNHKCLVESVNEGWN NSIPFTTTRPQPDYFVGFKRDAFTEEQLAKLSPFIGDFIAGDLSFFMATYYMYFPFLT CEVTCGAAALDIADRQNAHSMTLAVRGIVELFRAVKREDEVNRKILAFSVSHDYQSVR VYGHYPVITGKGTEYYRHPIRKFDFTELDGKERWIAYQFTKNVYDTWMPKHFENICSV IKQLPSE QC762_602300 MAARLKSTIWPQAGATSIDVSLSTPTVAMSLASDGRTIQVTTGG EKSSTNSYDMVFNTTPLGPLQQMDLSGLNLDRDILDGIRALSYDRATKVAININKRWW TGFYPNADAIHRGGGVSSSDLPLGFTVYPSNVLIASCTWAQDASRMAALVPDYTDPST PTPSYTGPIAAVCLEGLVKLWAGRQEAPTLKDLHGYYITHHAWAWSHDPCTCGAFALF GPGRFQNIYPKFRELLANSWLAI QC762_602295 MQFKALLALLPLVATTALGFVVPEGTPNGFYKVTVGDDGNTTTV EIDPSTHAVIGEPLENRSLPRRSAKLRRQVNSWGATGRTFPNQADYNACTQGWKNFFN AGSHVPSRTQYFAVSGQAVLAGCNYKYAEVNHGASLVDSFNGFMDGNAGWWRTGWVHF FYHSGTLFPTIDFTFWRDLSGTNFCDNLT QC762_602290 MVMCNEGCVSEEFYYLFGTCRGSILHAFIALLLVGWRSTHQQPA PHGWDPNLALGNKASLECCSFPRRETSTPDILKLHTIAYIEMVLVPDNNLPTSPGLNQ AAGSSQSQARPLIHVVNDALPPMTDDQEDQDQKDVSPHTCRHCSRITIDMRQNSKDGK DDGQIGFTEADVISALKDNCALFSAFRQGAYLVSMTSGPNNPIWVERTLKQRAIVNFF EVDWERLKLGYKLNNRLGEFVLYNVPGQKPHELFGPQPPPNLLPNSELSYSRARKYLR DCSANHTKCREFNLSHMPTRLLEVVTRPNSPNPDEPHLIVRLVSNPPPAPYATLSYCW GGDQPGKTTKRNIGTYSRNIPLDVLPLTIIDALTVTHGVGMKYLWVDALCIVQDSDQD KMNEISNMHLIYRGAFLTIAAGVASTSLDGFLRPRVHDRGYVFNVRVDSPVGKQEGEI RQAIAMPVRLRRDQEMLPLYTRAWTFQEGQLSTRVLAYGNRGMVFCCLESRHTDGGLE EPITTLRSIDDSIGASFKNLDPGNQSLGGVRHPLAWGVIVEAYTSRELTVGDDKLLAV MAIAEEYKRTKEGVGEYLAGLWRGDMLFQLLWAAHRVSDVKTKFKRPERYRAPSWSWA SLDGHFRIFLHQGVLDGSIGYKYACELLHAETTLVGGNPLGQVKGGFIRLRGRVKKVV WKRNGTGKHDHGYGWALGDSESDWVKSGEVPGDDRLSWYVDVPDEWPVKRDIVMSCIE VCTYEAPENLMQFAMVQLYDERGGSPNMTQGRGILLVPVEGQPDTYRRVGTMGCKGYL EGDVGFEKKPYWFDEGHSMRQEVVVI QC762_602280 MSLVGNHPPSTSTDVLNKSDVEDVVDESSPPSSQPGGTKEGTTS VNETKNTDPAKTQEAKITRVPPEEWRRVKKGRKLDLDQSVLITSSKVNVKANLRKLRR ESAIRKKKGESSQNAEVSQQLDVPYRLAINSQYLLHALGECIGEELTETQNVFVRPFK YLVGHEAEIRQFYADLESAYDQAEADAQAEVVQEKETSEDEISAETEHETLREIADRA KRQRDEFRCLIEFMDHDMADIFDVKRQISNKTITEIAFENLWQLFRPGQTSYLFQNRD DHRRCQALQILHVTGGREVFDSGKKCSFDPVRDREWDSETESEERCRDIVKASDHEST SFIIDSFHIDFDGFRMGPRPKRFVISRYVGARPVKILPLYPSFLHPNDSQVQEILLHR GKKFTELATGTHRKYDGFTIRESNQTTRGFYNYVIADTEV QC762_602270 MSTKAPNTNRGLGTLVDSYVRKRLRRDIHPAFAKVRDKSEAEST FLKATGAELRVSCHTAVGASEDGSQSPTSIAMVAGENDCGISLEEIYRDATQEKLRFQ LAIADYEKLTSGPKFGSGITTKPSFTWEDVLEEAQRAADTYSEASGMWNKIRKGLCSF GRNAKAFDAWASLLPSQSEYLSVLCGGLKFILGAAARLHDLNSDVCDALAEIPILLKS THLVLGIFKRSKDLHQASADLYSAIIAALHHIVLWYREKAIKTLFKSILKQDAYAIQL TELLSNVRQQADRFEHVVRLNSYERIVTTSEMVRTQGVQQDENHSILVRYLDDANNEL HNFREEFSSKAVDLQSQVSELTNVLAAFLGSGAWMNARTHDVRGPYLPIRKAKSESRL IRDSSPGSLPHGSKTRHRLEETIYTLDYDSSVIERDIATSLRSVWQVPVSDQDRLVAA IQSPKLQSWIMETTSSALFLNFNATRNHHTTSFVAAKLANSIQSSSVLVVTFFCGPHT DRRSEDPDFGVTGMMRCLISQLLLTYPNFGLHTLRQIQEKDMDDVEDLCEIFYLLVAQ LPRHKALFCILDSVTNFEDNNILRVESEMAMGQLMEIITWTAEYGCCFKLLLTSPRNS RVLYKHLSNPEQDSIWLPAKVPSQGGFTKGKWDGSIGGEVDKLTIF QC762_602260 MCYEINASHPGPKQSLLCKVLYLKALPWDNAKLNKDPGFRSGFR RAKSILYSSVSAEYACWVCRHDIPTLATLDHNPASRQTRRIYLCLSYSFPNKKMLRIQ SRPGTRVGISTAMNFSRRGRSHDCAAASTNLYDKVFAVVKDERDVGSKTKLYIKEAQD VSRWFELISTQQLALQELSTSTHRPSHPLLFIMATAFGVFSGILTVLGFIQSNIPDRP NQYETKFRIHVGLDGPSGLSNAGGDAPDIRVWNEAGQFIGAKYDPGKINHGSFKDVTV QLSQPHQPTYALFTGNDDAICIAYITNSWADGSKYGWVGNWADSSSCNQDWYYSNIVQ SGKTLNCAWIDRNGDRPKTAFQTHIHEFADESRNRGKNVGYYCASNPSLKWYTNWEPN TISYWVTPRKRGLAGRSSEPQQGIAVGPVKPGSEEREKLAGSRPAFNETRLVRSSRPE HSAVTLCGSDSSRGPDLVSLHEGKFCDMSTREVLPLCSPSVTGDCFDDTVKTLKVRSG AIVGREVEVEKDYTEVLDWGL QC762_602250 MLSPKLLVLAALSSASVAFDPATDTTCNDQGICLSSFIWCDKNG QSCSYPEGADALIPSSTAASYAVLYHHVEYEIRWRQAKRDFDVLIEWLFDGSPFQSEE EKSARELPVMWSTNVTTSSTEGSFTFDPFTILKDFPTRHAPNMSAGEAASSASGMANT IRLSQPGSGFPEVYTDQFSVQSGWAHQLVRNIRQEEADVRTDEKRKMRLGVGIGVGLG VPLLSAVMWWAGSRHGASRATRSVEGK QC762_602240 MLTEVFNVVVVGGGPVGLAAAYEVGKTGASVIVLEQNNFFNQAG SSNDLARMFRTMYTEDFMADLAKDAMKLWDKLEKDSGSSLRWMSGLLNFGDKNFGGDS PEGTLMVTAKEIEERYSFKNPPPEWIGLFAPDNGVINVQLLLRSLLSLAKDYGAEAKQ HTRVERIIPSTTDKTIWEVHAIRHDTDPALFKAKRIVIASGAYVNHVLRPSFGIFLDL DIWEMVASYFNTNPGLNGTIFPSMWFQFVPNKHRRSQLFYGFPTVPWGPPNVTRIAVD AVTRRIKDPGERLTNVVNPADIRDTQVFIKKHVVGVDATVPAFTLSCLQTNVFDNMFV LDYLPKEYLAGGAEKSVLVFTAGWAMKFVPLLGKTLAEMALDGKSDYARKEFEITRKD KKGKGIIKRVVRGKRGDHSMMMEELDVDDEVEGESAFTYEEQASGSSIRECQNVGNRE YIRGFVNVRLQTTQAISSTPLPSATSISVSVGLHHVTL QC762_602220 MRLAALLHTSLFALALAAPAQQLIKRCRVSRDVMACWVAWDLSE CVAYIPLNVTYEFDDANKKVIIRGLCESCSQALALERAASWDEYSKWSSSFGEVQDLG NGTFVITDTGKDYFDLFRGMEPTPWGRTSCYSLDEYEWDYE QC762_602210 MLLPAAARLRYKSFSQLFKTSTKSNARFILAPLFTPPSITRTMS SAVAKRLEGKTVVITGASSGIGRSTAFEFARTAPKNLKLVLTARRIDTLKQIAADIVA EVGEGVKVLPVQLDVSNPEEVKTFVGKLPEEFRDINVLVNNAGLVKGLARAPEIAEED INIMFNTNVTGLINMTQAILPIFKKRPEGGAGDIINVGSIAGREPYPGGSIYCATKAA VRSFTDALRKELIATRIRVMEIDPGQVETEFSVVRFYGDKAKADAVYAGCEPLTPDDI AEVVVFVAGRRENVVIADTLIFPSHQAGAGILHKKST QC762_602200 MADSRNPSTASNTSPTTTNFPISPSPTSPRRDRRDSDEWDASKV PPSRFQKRKGSIYAVPGSRDGHVDSNYAHKFHELHAEKGYTGFGTPNYNQTTTTKKQ QC762_602190 MALALSSGRQPDLALVSDDDYPYEQDIQRNPGSTKPWLAYIDYK IQHGTLREQAYVMERACIQLPRSYKLWKMYLRFRTKHVAKLNAAIFTSEYQKVNALFE RALILLNKMPRIWEMYLKFLMQQPLVTLTRHTFDRALRALPITQHNRIWALYRPFANS AEGLTAVKIWRRYTQVHPEDAEDFIELLIQSELYTEAVKRYIDILNNPRFQSKNGKGH YELWSEMVDLLVEHAVDIETGHETGIDVERIIRSGIERFADQRGKLWCGLATYWIRRG SFERARDVFEEGITTVMTVRDFTLIFDSYTEFEESVIGALMEMASGRAEKGVVDEVAD FDLDIRMMRFEHLMDRRPFLLNDVLLRQNPNNVTEWEKRVALWGDNKQEVVQTYTDAI AAIQPKKAVGAFHQLWANYAKFYENGGDIRSARTIMEKAVKVPFKSVAELADMWIEWA EMELRNENFDDAVRIMAKAVQAPKRSNVDYFDETLSPQQRVHKSWKLWSFYVDLVESV STLEETKKIYERIFELRIATPQTVVNYANLLEEHKYFEESFKIYERGLDLFSYPVAFE LWNLYLTKAVDRKISIERLRDLFEQAVEDCPAKFAKVIYLMYGNLEEERGLARHAMRI YERATRAVSDEDRADMFNFYITKSASNFGLPSTRPIYERAIATLPDNEAKDMCLKFAD MEKRLGEIDRARAIYGHGSQFCDPRTNPGFWQKWEQFEVQHGNEDTFKEMLRIKRSVQ AQYNTDVNFIASQALARAQQAKNGEGGGEVDQEAADAMEQLERQARAPAGFVAASEGI KGSVVAPKVVEVANPDAIELDDME QC762_602180 MSGAADREAVFPTRQSLGIMKAKLKGAETGHSLLKRKSEALTKR FREITRRIDEAKRKMGRVMQIASLSLAEVTYAVGGNIGYQIQESAKSARFRIRAKQEN VSGVLLPAFESYQTEGNNDFAMTGLGKGGQQVQRCRETYARAVEALVELASLQTAFVI LDEVIKVVNRRVNAIEHVIIPRTENTIKYINSELDELDREEFYRLKKVAGKKQRDTAE QDAEMKARKEAQAAAADEQNQAPNAAADDAPADLLAAEEDEDVIF QC762_602170 MASGQQPIATVYVRNLEERVKPEPLKEALMAIFSEYGNVIDIVV KTNLKAKGQAFVVFDKPESALAAIEEVQGFELFEKPMQVALARTRSDATVKQTGNEEE FDAHKRRRMAEKDKKKALETAEEQKRLKRPLPGAETAVSGRPTKNARGAGLKSTGAGA AAVVPDEYLPPNRILFVQNLPDDFGKDELTGIFSRFEGFREVRTVPGRSGIAFVEYDA EAGAITAKENTAGMALKNGEKTMKVTYQRQ QC762_602160 MDETIPQAVMATVTPSFDNTSAWHNFTLWTTQHLKMSMNMSRLA PSLEDLVLAGPRMVMKLGKLGSFISFPDAVDNFGQRTMADPTDAGVFSSALSSSTSST TASILSDVASSSATAAAAAASAAAEDPTASVSRFSMEGARGIGSVLSYATSKWAITCI AMAILFNRTHIFAATRRRLRLAWHIRVLLRLPTILLLLWQARRLLQSIQCQTSPDFAQ LRWGDPNKSFDVMFSEANSFFHGLSSTLLFGASDEDSCRSVRMVPWDNKEQSELVGSL SRLWPLFLTFCFGQFMEVLSCTVQGRPTGTETGTTLFEQSLAFAEADAAISSQLGWGL FASNASKAAADANMGTKIALTRAMIMKRVNTPPEVLLVTFISTMSYVTSHILGLLNLQ PRFRLISTGFYGLCSMGCMVWSTINFSVDDPTSQGLLRYPTVYIIGIIPHTLILIGII SCAVIYFVALTLSALAVPEAGTGGETEQLTFKQRFLRAHANMQANISLSEIRIRMDMD FYTALVRAGFGAITMASEAVYLNEDHKVNLKRYTWLEEDRFREIEDLKMQWIGGVPGS RFDTVGTIGLVPVKNGQPGVNNGYAREKSAQQVSKKDGTGRRQRDGVGAAERSSRWLM AVDYMMHISRLVVVTWALCTVRFLRFVGWRNPPRWLRGLSERPKKSDGRDKKGRSRQD GESYADILSPGNGGYFTIPRDDQVDVEELLRSRMDNRNEAEVDTKLYSYFLRDGWWGN KDTSGEYVPSHPLITAGNEEVDVNDPDFDTTSMISTTETSVESDFGWETDNDNNNDWL DDGQRTPTQQNPGTELTSALVSALQRSREPSPVLDTPIDPTTLARLLHPQSAADRDEA QTLAAHLSSGTIMTRSKYKQALQRQRAQILLTNLNRANPLQRMSPEEEERQLEQLLLT RRSEAQARGGQESWKEGGAGLGAEGPQCVVCQCAPRTIIVWPCRCLGYCDDCRVSLAM NNYDKCVCCRREVSSFSRIYVP QC762_602150 MTMAHGRWNWAASGGIEMLTGILSLDNFTQFWIERICGLPYQCC FKMATSTSTPTHPPVRISLIAGRYLVFDIDAVMVLRRSFGLCGVLTGTMPQNPTQNLF LSMPQELRAEEARLVVDRGAGYVADEAGDHLRLLKEMSSGVGEKRREGYLRELREKRV AAKRLFDEEKEAVRRMHEGKRGKGKNKVQKVEEGGGGDSLFETATAVQPAPKEDLPAI TPSTSNAMLDPAGTSGAIADENLPEPSAFYRELNKRGYFTTPGLRFGGGYSVYPSDPF KFHAHYLSSCYGWDEKILMLDIVTSGRLGTAVKKSFMFGAEREEEEGGKKRGEGEVRM FCVEWAGM QC762_602140 MSFVKRNTVLSSRPGRAIPGAKQPDAENQPPPPGVRPSPLDGRP TTSTGTASLDQLLAGHSGLPLGTCLLVEEQGTTDFSGILLRYYAAEGLVQGHQVHLVG YPPQWRRNLPGLAEPDKKSKSKQPPPAQEEKMKIAWRYEALGNSAASGNTPRGDADQP IFCHSFDLAKRLEPAVCKGSLNPTPSTGPPLFDARPQPTSISPLKAIIRHLQTKLETS PSSDIHRVIIPSLLLPELYAPQCSLPSEVLQFLHALRALLRRYSTQLTAIITLQTSLY PRSSGLVRWMELLCDGVLELIPLPATPGAAPPSSSSEKTEQAQGLLKAHTLPIYHEKG GGGAETSSFRETLSFSLSATKGLNIKPYSLPPMEEEENKKEKSPAGTVRDGIDF QC762_602130 MAGLGSDAQLFEDNFRVHKLSDKKYDRVDRIYATSEDKSIEITL DINNELFPCKEGDELNMLIATSLHYDGTKDDERGWRDVAKMGASEGSLADQYDYVCYG KIYKFEDGEDGQTIKAYISFGGLLMSLVGPYKKLTPLRVEYVYLLVRRR QC762_602120 MTQQRLATIDMVNDCDGFPDLETNPRGYADQLSRLYTLVWEDDQ GAFPIGYLPITVLDALRKTPVSIRGRLDINPTARTAALWQNLKSYEERTKQAAQLTSY WRKNQTFRLLRGWRNEMWPVYGRNGELLMSIERAAMGLFGTTRYGVHMTAYLRRKDNT SDYDFRIWVPKRSSNKSTYPGMLDNTVAGGLMTHEDPFECIIREADEEASLPEDVMRR SAVETGTVTYIFITDERSGGEPGYIYPECQWVYDLELPSDGSVVPKPKDGEVESFSLH TVEEIQEQLAQGLWKPNCAMVMLDFFARHGVYTPENEPYYDQICARAHRFIPFPGPHW DYQHPDTRC QC762_602110 MSQTFGLVPAGQPVITTPTKILSDTSFIYSIPPSPNTKPFSHIV VFLLPGITLPPAHAAAIHLLTPPTDPNSGQEFDIAFLGALGHGKPSAIFKLSNDTSKG VAIGISVEPEAAVGLKMQELAEKQSKALVPTAAGTGSNGGGQTLQLAQRIINNAFNFL SSYSGRMHNGEEVVPIKAFEQWWRKFEGRVRADPAFLERDV QC762_602100 MGGKKWRWGVILDAGSSGTRLHIYRWKDPEKALKGASKHDLASL PKLMTEKIWTKKIRPGISTFGEKVDQVGPDHLQSLIDHALEIIPKDKIRDTPIFLMAT AGVRLLPAVQQSALTREVCYYLRSNTQFSLPDCDMHIQVIKGETEGLYGWIASNYLLG GFDNPDKHQHGKGHHTYGFLDMGGASAQIAFAPNATEAEKHADDLKLLRLRTLDGSPV EYKVFTTTWLGFGVNQARESYVESLEDLYPSSSTELPDPCLPKGLRTTLDGVPVKTPK PKNTTLIGTGHFDECLKKTYPLLGKDKPCSDNPCLINGQHVPAIDFDVNHFIGVSEYW HTTHGVFGGKDDKAYDFTTYQKRVKDYCSQDWTSISKGLNPKKEHAAKNAQEACFKAS WLINVLHDGIGVPRIGMEDLPQVNVSKGTQGALEHAKEKGFLEPFQAVDKIDGIEVSW TLGKMVLYAAGQVPPKSGDDGYPVGFGSNVVSGKAVPDDFQFAGSSWRPLGGDNGKHH NGTVGGDDDDWDLDAEDILDRARTRGGQMGFWVFLGLVGLVMWWFRKRERRMRVYSRV RGLTRRRRSHTGKYVPGGNRSPLTKLTTKLFGGRSSSGGGYERVMEEGELDRFELGDV ASSSGSGEYSDEDSSSDHSRGSKAGSQFGRSSGLATPTATSGGGLGGGYGFETVTTVG GGMSALNRSGLVVRTDSRERLNLPLSGGVGGVTGRRSRAGSPTRMKSPLGGGISPLDD R QC762_602090 MADRRRINGPPGATMAPVFEDDAGQPEIKNGKGRDRAPNVIRKT FLKTGVTPSASGSAYLEFEGSSKSGVSGLKLSCTVHGPRSLPRSSPFSPHIVLSAHVK YAPFATKQRRGYLRDPSERDLGTHLETALRGAIIADRWPKSGVDIIISIIEGDQDRET SRSQGDETWDMMNVLSGCITVASAALADAGIDCVDTVAGGVAALVQDAGEDSTPRIVV DPIPSDHEKVLGACCVAYLPTRDEVTNLWFKGDLPAADAGLYTQLVENGLQASRTANR VLVECLGEATS QC762_602080 MLPFTSSGSKVVPRHHVSGYSNGYPRGNTFEISPHRFTPRGTTP ISRRRKRLFVRLGIVAAILFLFGLITWNGGSVLSVLSLGLLSSSDDFQLETVRYYDLS NVQGTARGWEREERILLCVPLRDAESHLGMFFSHLRNFTYPHNLIDLAFLVSDSKDRT LEVLTESLEAIQNDVDDNQHFGEISIIEKDFGQIVNQDVESRHGFAAQAPRRKLMARA RNWLLSAALRPYHSWVYWRDVDVETAPFTILEDLMRHNKDVIVPNVWRPLPDWLGGEQ PYDLNSWQESETALALADTLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMEIDG VGGVSILAKARVFRYGAHFPAFSFEKHAETEGFGKMAKKMQFSVVGLPHYTIWHLYEP SVDDIKHMEQMEKERLAKEAEEKEKAEKSKKIKEEFGDTTGQWEQDKTKLQNIALQDK KEKEAQAEKKAEAQPAAQAPAQGQQKVEGKQEGAKT QC762_602070 METGGGGTGSEEAVGVLEKGEEGEVSVEGGDGVVEGEGGETTEK TALLETSAVDEPRLSSSSEKASSTEETAAAGEQGRPSTSSNTEISSESFDLRPYSLPS VRELFRVLVSFLDPHDRRHPDQMRVMALRIIHVALEVAGPSIARHPALAAIAEDQLCC YLFQLVRSDNMAVLQEALIVASTLLSTCRHVLKLQQELYLSYLVACLHPAVEIPREPG IDPSLYSGIPQSPKLVKPPPSQQGSGRSTPVPVKDRQKLGLEGGARKPDARQAMVENI GVLARMPTFMVDLFVNYDCDEDRADLCEDMIGLLSRNALPDSATWSTTSVPPLCLDAL LRFIQYIAERLDQTPETEGYPDPEVLREKRRRKKLIIKGANKFNENPKGGLAYLQEKG IIADAKDPVCVAKFLSGTTRVNKKQLGEFLTKRGNEAILDAFMDQFDFSGKRADEALR MMLGTFRLPGEAPLIERVVVSFSEKYFKSEPEGIADQDSVYVLSYAIIMLNTDQHNPT IKKEARMNEAAFARNLRGVNGGKDFPPEYIHDIFHAISTNEIILPSEHDNKHAFDYAW KELLLKSDSAGPLVLCDTNIYDADMFATTWNAIVSCLFFVFMSATDDTVYARVITGFD ECARIATKYGNSEALDEIVYRLGYISTLSSEGGSNTTLNTEVQVGENSVMVSELAVKF GRDVRPQLATLVLFRVVTGSEPVIKKSWKHIIRIWLNLFVNSLIPPFFSTEEDKLSLP PIPLQPPSQVIDRGAKQNETGFFSAFTSYISSYAADDPPEPSDEELESTLCTVDCVNQ CHMGDVFANVSSLPSHNLEALVDSLLAQIPEDNGSTVITVKAENIPPSGTNGQKPRQT TAVYDPGLVYILEFCTVLALRDETTIEVLGKRVVEVIQEILRDVPRYHPVLIERATFY LFNLLQASYEFDYVRVPILLHTVSSFPKDTLIKTSGLVLRGLKLCIEKPCPLRNEMMT SPDFWVILQTLATNPDSAEAVFEILETGVINSNPSAIMADNYEASLSLLNEYASMASV GAVAEQQNDRKVKGRKFIAKKLEKPSDNKVVERGVRALEGIYKLTSRIPGLMSQSHLE SREAWSAYWLPVFQALTTQCTNPCREIRHLAFSSLQRTLLSPDLTSQEEHDEWTAIFG EVLFPLILRLLKPEVFSSDRDGMSETRVQAASLLSKVFLQYLVMLSEWEGLLGLWVRI IEIMDRLMNSGQGDSLEEAVPENLKNVLLIMASNGYLVPPSKNPEREELWNETWKRID RFLPGLRADLALDVPEEVVPAARGEQQAVQPQGEEGKVEGAAA QC762_602057 MKFNGASRRSHRHFVAIATLIFSTLALTTPGPVSNGQDGEQRVI SDGPKDETQTSREKSSDKEGTISGFNPPDFELRILALGDSITYGQGSSHGNGYRKYLR DSLRQAGYKVNMVGSKQYGLMNNNQVEARDKMDQVATIHGLSKHSVKYQPNVILIHAG TFDTLMQNDLYETEYNYSMPAFGSGSSPWIGGIYQRYADMVDYLFDQVPNTTIILSTI IPTGNRIIYKNMDYVTSMAREVVADRLWVREPERPQKIGLADMWAAGIGAAEFEVMGR HDFRSDGIHPNDSGYEKMASIFLRAIIGAGESGFLSPPRDSEHVEDMAETYGDDLDTF TVEAQVPSPPIDENVMIYMAARATDMRGTGGKTPDDGIWSKAED QC762_0094500 MANPLSLVASAIAIIQGGEKLRKLVRKAQELNKAPAEVELLLRE ISEARTSFTSLQSTVIAAAQGARPINFEALRSLLHQYSYLLDSMELLVDKYLLKSPKQ DAEGGDERAGMERSVVRLGWVRKKTKVHEMQDKLRNVRFLIVDTTYRRERKLRVRGSR GSYHRIQAKRVTTGQDRGNPDNSRGNDKGESSESSSWAYPIDFHVRPMQPMINGAFHL PHWIASRAIILTLINGPSISVSLTVARIVPPDSDIFRYIQTGNCSGLQTLLLKGQASP ADMIESLYGTLDALLFALNCNQYEICQLLLSWGADPHAENSTKLTDSAANMARNLSIE CPSPANNARKHLIESTFPAPLDLDRRQFSLLHKTVLGLAYTNLPALLATCSPDALNAR DCHGVPLSSWPPGAAI QC762_602010 MSPSLTTLLTLLLPVLPLVTAHGAIVQATGNAGGSGMALGIDTT TPRDGTRRRPFQQDSTRFRGSSAQTFGETIGAGDNQLEAGTRAILAETGDQLPQVTPG GEVSMMLHQVNADGGGPYQCMINADATGQQWSNIQVTQNVPGRNSRNRQGQTTAFPLT ASIPANQQCTGTVAGQENVCLVRCQNSARAGPFGGVVPVQMAGAAGNNNADNNVEVGN GNNGTDTGAANARRLLARSVKASEMKLQALIQRAVELDGDLRDPDVLAEFLEDFEA QC762_0094520 MVCQPVKAYLSNRTVKASSVASGAKTDLGSAIRDHDRKTLFVDL FMAIVDHPGNEVQRTYNF QC762_602005 MPVYLKCKVQGCDAELVNGMVVTSCMHILCNQCAITHGFGNEPP WSCPVCRKHLEEEEICNHQDWESSVQDAVQLMYGLSPTMIMEAASSAMAFWSQQLEVQ MSVAPSYTYLFDSYMLTAKDQRTVEKRRNCREPVLAGKLNMMQYKGRRKLFRASVKIS TEIWKKEIKNCYIFGVSTTNSKRSVENFRSSSIRCVPRKGHHNESKSPQAVFAQEIFH KQDMESEIQDCRALHFQGPTGSIGPDM QC762_602000 MTEIVMSQTPPILHGPSEKERKYDRQLRLWAASGQAALESANIL LVNSGPGTVGVETLKNLVLPGIGRFAIYDEARVEEADLGVNFFLDENSLGKPRSESLT GHLLELNPEVQGDWYPNENVKTLDSLLTSSPVFTTIIYTHPITPENLSLLESHGQQHQ TPLVAIHSAGFYSYFRINLPGAFPIVDTHPDETATTDLRLLTPWPELAVFAEELTKNI DDLSDFEHGHLPYVVILLHYLERWKAEHDGSYPSTYKEKTEFRRIVQGAARTQNAEGG EENFDEAAGAVLKTLVVPSLPSGLKEIFEYERTNPAEQKSGFWVIADAVRAFYEKHKC LPLPGKVPDMKAQSKVYIQLQNIYKAKARKDAAEILETVQATAGGQTIDPAEVDLFCK NAAFVKLINATTGKISRADRLKEVAAQEFRNDEQAGMTLQPLSLLPIYLAMQATAHQV ETEDGRGWPRQEEVLARVAKMVPGADENERVRQAVEEVARAEGGELHNVAALTGGMVA QEMIKIITKQYIPIDNTCLFDGISSRCQILRL QC762_601996 MHPWTDRVISIYTFPIGLLTPDFRMTLGITATAVRQTTGLARRA QQGHPGIVASIPPTTIPRFHSHSQAAQHEVEVTWFLWLASIPSPPTRRFQDQNVDIVP EACLTTSLPTRRTIMRTGKAASASTFSGSLR QC762_601993 MLQQLFKDLIKLSFSLGSTRNVEMGYLDPPRDINTRTIDEVLVT GDIAGASGCRGQGGCGELAKGSTRKTATATGTKRSANRGTFPISTLTVERTGGASHRR MLRSPAINALSPYNWSVDRTMAGTAR QC762_601990 MAPSIPVPTQGGMFHTFQGVTPRKQSTDSQDSTKTSSTGTAKRI TTPHACAECKRRKIRCDGQQPCGQCLSSRAPKRCFYDKHRQRVIPSRKTLEALSQSLE ECRSILKRLYPTQDVQSLLPLSRQELLSLLDRPTIDTSVGGLPSPPLNTSPMSDLDSP MMPKTENLLEQMPSRDTEWDEERRGRDPIPAEADDINALSLSVDRQTSYLGASSIKAA LMVMLKVQPGLRNSLAAPLNGVEMSHNYPAIRQKPTTQKDPQRIPWSWKGQTLIDAYF KRIHAFVPMLDESTFRADYLEGQRTDAPWLALLNMVFAMGSIAAMKSDDYNHINYYNR AMEHLPMDAFGSSHIETVQALALIGGYYLHYINRPNMANAVLGAAIRMASALGLHRES ITVGLPGSDIIAAETRRRTWWSLFCLDTWATTTMGRPSFGRWGPAINIRPPEFGINAN RDSSQHAGILPLIENIKFCKIATQIQDMLAITPLLRTEDRCAIDAQLVNWYTSLPWLL RTTDPCAEPLYMARCIMKWRYQNLRMLLHRPVLLSLASSGLNPHTQACDADLQAIETC RELAAATIEDVGREWTRNQMSGWNAVWFLYQAAMVPLVSVFWQWGSPRVPEWLKQIEQ VLDLLEVMEEWSLAARRSREVVWRMYEASRAVQAQGAAARSQSPASLHITTTADSIVV GGGEVHMSPIGLEPVDGMGGMMGLLDQGGLWDLDGMYWGGNGPQSPTHTGNPDDSAAA AEFAAYAAAQQAASAAHHHHPELMQHVDYGMMHHHHAGHHHVGMEGFGYVQ QC762_601980 MKVKPSDPVMTVTPVAMDSEKEAIAVTETTIDSADEKPPVTSTP ANSEAEKSPSVRVVQLIANTPPSTPRPFFVEGRPTITRTRYFILVFGLTSGLFLSMLD ASIVATSLFSIASDMKNFEHINWVALAYGLTYLGFAALFARVSDVVGRGDAFVVAFCI FIAFSLGCGFSETIEQLIVCRAFQGVGAGGLYSISMIVLPELTPDKNKKYIGAIVGMV IATSGVMGPVLGGVLTQYITWRWVFWINGPIGAVALMLFIPAWPAEEYLPIYEDRSWK ELDYLGSFLLMAFAVLVVFPFQNLSSQKSEGDLSSMNPYAQPTFIYPLVGAALALLLL IGWQTFAIRGPRKLKSLAFAFPPSLCNRKYIATILVTALTGFPYLLSVYAFPIRFQVV HGKSSFEAGLMLLPMLASSAIGTVTASLVNNGCITGQKKPRFFETFLLACLTMLIGCA AQTTMGNTDDGRITGFDAKDVGLLSLIGFGFGMSACGATMFINLESPIGDHASAQGII TQFRILGGSIGIAVSSAILGGKLRPSTTPEMSSLVLAHIVSPTPDFGDDNWAAVRKVY TSALREDMKVCCGVLAAAVVCSLFVYRRYWLTTGEIMELRYEEEQDRRIGLLREDVEP HVRVVRDKLVKIREEIFEGKRRPFPGIKRGRTRLGEEMEGV QC762_0094590 MTHYGFKEFASKGRKGAETGEKQMAEEIDTTKPVGQEGDRKNTI QPDYFSVKTLHSADSDRKKFDKEVGILKAMNKKPHPHIITLLVTYEYDEKFHFLFPLA DYDLLQYWKSGNKSQAHNDEDKDDHTGEVWAEVKDSVAQFADDMRERSECTRFFHDFL DLIQHRMLVIDPKQSSSQLEEQLRELKDRGDLDVGYFVRQR QC762_601960 MHRGPQPLLCATTDLSLIMSSKPQLFPVFLQFMKASSSHAPSLT STGDITSINIFYRKTPTFIHLTMAFPNILSCLCGPTSDDHPSHHDEKSPLYPSTTPYT DQPEPQPITPSPSPSPLINDILTLLLTTPLPITPSASQSQIDTTLDLHTTSWSEYLAE KILRALSDLLATATDPESRKSWGEALSQAYDTSITIAEELFNDLVEYVKGHPYEIAAT VLLSLVTFGVLVRLAPRVLVLLGFSAEGPAEGSWAAWFQSTYGGYVPKGSLMSYLQRL GMTWE QC762_601955 MRPIQAQTQVGAWRTHQVLYRGRTLCRFQHTQASEPNSNKLPPV ERPSQALGDVVWGLRADKYEIIQVSTWKPRGPVCRTYQAIKLVEGLKETFVLKEVRRY SQVRHLLGASQMLQQHPNLQTLYDVASDEQLLIYRTLPYNVSGLIREHPGLPYETRKE ILKRALTGLAALHDTDLAHLNIRPSAILVDYGPKLGSSPSNGVHKVQLGRFEDAVLPY LSKQRIDHYRVGIEDLPWKSPEFWIRGRQARPSDVFSFGLTSVNFMLNNLNLKCADTG FPQKRLAALGGLASGVPTQKQLAKLEIWFNHFGDADALMGLLEQVKDEPNQWYGPLNK LVKETTFGLRKPLPGLENHVDLGFVDVVTKMTHLDPSKRITAREALEHEWFANIEVGP EHMWYSPFKPRKNQPNARQSFDDIQTESGIPIRKIPHFYPQDVTREATTPRGAEVVQK EQDEALVSRIAQEEQDELPVSESGVVPQDEDKPPVPKPVPAIRNLIRKVYIPLTESTL KAYRQYDGNSTLDPEALEKRSFQVEKLEPRTPKPEKDSKRF QC762_601953 MRDVLTMLASRSVIKARLAHRLSLPGLWKFSFETTSSYDLRRPK KMEEVIARKIDIIWGFLPYEICLMIAPYLVREYSIGRLHALWPSSRRAVSANQQLETS RTIWARYIDIGGVEYVYDLSNKKEEHHPKVFDPATTFDADALRGMVEGFIAIPSDTGD RGLKVRTIRTASSSSITHDLWPVPIHLEEIASLKIHNLTEPKSERPQEEIVRILPSQI NGPDVNGYSFSWSGEFIFLHTHHKGEDVPVNMYRECRQMFDFPV QC762_601950 MSNSTYAAPTAPSTAPAAPAATGPGAPRIAGNGPFPPPTAASGG VPGLTPDIPICAIFLALFVAGAATNMTIFQRNRRRSYKFFFSVLLFGFCMARIVALSM RIVWASKPRDVNVAIASQIFTAAGVLILFITNLIFAQRIIRAYHPFFGWSKSITWLFR VLFGSLIALLVMVISVTVQSFFTQDPGVRMIDRNIQLFCATYLAVFAFLPIPLVTLAA VVPRRTKIDKFGEGHFRTKFALLTFTALLLAAGAIFRAVIAYYPRPVNNPAWYHGKAP YYCFNFGIELVVVYVYAVSRFDKRFHIPDGSSAPGHYSCSDYGPRRSAVAAAVAAADF EKRSSYAYGKMASSVEEGSASVWTGSRYSTIKRGSGSSKSLPSSLGRPSVTARPSSSR GPGGLSSSGRSLKSVRSLYSPAATDENAVVSGEMDGGSSEATRAEDLAWMARAMVGFH SHEFGVDPLSPYDEILRLDRPLPPLPPAPPLKEYPPLLPPTEVLGPDLAYGYVQVAGG GGGIMGAISEGTEPEEEEDEEERYHHPE QC762_601940 MDTTMEDVGRAPTDLPPVQVQETTIPTLDGWIESLMACKQLTES DVHRLCEKAREVLQGESNVQPVKCPVTVCGDIHGQFHDLMELFKIGGPNPDTNYLFMG DYVDRGYYSVETVTLLVALKIRYPKRITILRGNHESRQITQVYGFYDECLRKYGNANV WKYFTDLFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIKALDRIQEVPHEGPMCDLLW SDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLIARAHQLVMEGYNWSQDRNVVT IFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL QC762_601930 MSEDRDRLKISLRSGGKRKNKAAPIKISGPILQQNDASSQRSGS RSIAEEAPPARPRPPPQSSGKTSDLVKRRYSTRFNQLPTDFDPTANPMPALSNLDQYV QAQAQDRRPPPSRGGESGRKVGATPEVDVRALRNPNLVAENYVAEILSEATEDEIREY EIALRQLKSRASIDLQQNVYQNRTQFIKISKEAEKLKGEMRTLKNLMSELKTNTTALR SASNSTEPVSFNNEIPSGLSKRDKRSSVADRTALWSAQMQALYKNVEGSQKFLPNVPG RHVVQNAGPWVELDNATYKSRRSMQIFLLNDHLLIASRKKRKTDGPGGADGRGPMTKL VADRCSHLLDVEVVDMAGTGDSSAGRNKLADAIMVRGGGGNESFIYRTEKPEDPEKGT LILNIRKTVEELRRNLQSEREATNKAKETINYFASRDPGLLQKTELLETLSDIKDMLI EVDGKQQNLRWVESEMDELDINIALQQIELAVARIEKLKSLALGLRKNAIAHDFITFK VEERCSKLAALIARELTSTHHHQRKTKQNVSWLTRLGFEDRAREAYLEARSEIIQKRS RQCIFKGDLHLYIWEISFVYFTIIRNTVSCFQACFPPPMMSACVKWAKEEVDAFNGIL ARQLSSTEEGDEVWVQCMDRAKEHSDMLSEVGLDFRNLVGQNVKTGAESGSNGPVGLG LS QC762_601920 MESSATRPAVIAVAAVATGLLAYAVYFDYRRRSSAEFRRELRRN DRRQARSAKEQALIDAEAQKQAIYLAVDEAKAEGFPDNSEEKEAYFLEQVQIGETLAA DPSKALEAALGFYKALKVYPTPGDLINIYDKTVSKPILDILAEMIAYDGALKIGTNYT GPPGVDMAALMREMEEMGVNPADMD QC762_601910 MVFPNDWEGFSPAIMGRVMDYAVDLWHEHRIPILLSTVFILTFL RFYLRLNDAQSEKQKLVALPRALNKDEKKTTTTTTEKLDKVVVKKVSSSEGSSGDDEK KEGVKKKTAGPRRIKGDASKRVKKEGGEARKIQVLVFFSCLTGSTEKIAKQFAGAFEE ALTKAKGEQTTFLSPQVLDLSEVDYDEYFISPPKTEGEEKVDYFYLILLPSFNIDSIN DTFLEHLQETHHDFRIDTSPLAPILGYSVFGFGDREGWPTEEEGYCFQAKEVDKWMAK LSGRKRAYPLGMGDWKRDGKERLAEWKSGLVDVLGQIEQNGGLGEGVPGSGDPIESDD EELDDDGEVYDEGAEQNGKSKSSGDLGDLEDLGQIMAVSGNTGKKSSGPIAVDFTTEG KPKRKAAAPAVIKEMVPKGSPTYNSLTKQGYAIVGSHSGVKICRWTKSALRGRGSCYK YSFYGINSHQCMETTPSLSCSNKCVFCWRHGTNPVGTNWRWVVDPPDLIFNGVKENHY KKIKMMRGVPGVRAERFAEAMRIRHCALSLVGEPIFYPHINEFLGMLHKERISSFLVC NAQHPDQLAALQHVTQLYVSIDASNKESLRRIDRPLHRDFWERFNRCLDILRERRFQQ RTVFRLTLVKDFNLEDEVEGYADLVQKGLPCFVEIKGVTYCGTSTSSSAGLTMQNVPF YNEVCDFVMALEKALKKRGLNYGIAAEHAHSCCILLASDRFHKEGKWHTRIDYERFFE LLEERGPDGEFTPEEYMGPETPEWATWGRGGFDPRDQRVDRKGRPVEVS QC762_601890 MPTTRQQAQRRLASGLDDTTTTSSAVTSSSSDTKVTSLKKRAPP NQPTVAEDEKDKCGMSRLSDEERPLLPEGTAVDGGGVPSSTASWTKRNEWIVYALASG ACAAFNGVFAKLTTTELTTTLSMWIARLVGLEKAEGIVEVGVRGSFFSLNLVFNGIMW TLFTKALSKGNSTTQVSIMNTSCNFFITAILGFAIFSEALPPLWWMGAAMLVAGNVII GSKDEGVKEAVMSDGVSVDGISLATQDDGVEGRRRKSEDDEEEDEDVLQDLVVEEER QC762_601880 MAANTGFYDLYRHGSLGLTLTDALDELIGDESIAPQLAMKVLTQ FDRVVAETLQEKVKQRLTFKGSLDTYRFCDEVWTFLIRNVTFKMDGNQGSVVADKVKI VSCSAKRNDDK QC762_601870 MSLTYGVAGITTLLTVVGAYMLFTGNGEAFNVGAFLESVSPYAW ADTGIALCIGLSVVGAAWGIFITGSSILGGGVKAPRIRTKNLISIIFCEVVAIYGVIM AIVFSAKLAPVEGADVYSGSTYFTGYALFWAGLTVGMCNLVCGVAVGINGSGAALADA ADPTLFVKILVIEIFSSVLGLFGLIIGLLVAGKAEDFGMHS QC762_601860 MSSQSPALRAAILIVSTTAAKDPSTDAAEATLRSVFEQDGGNQW TVAETHIVSDDSAEIQRQITTWTEPVEEGKHIINLVVTTGGTGFAIADRTPEAVSPLL HKQAPGLVHGMLAASLAITPFAMMSRPVAGVRNKTVIITLPGSPKGAKENLQAVIKTL PHACLQAAGANSRALHSGGVKKLEKEAGVGSQGHAQAQAHTHGHSHSHHHDHGHSCHR HTHSHGHGHGHNHGNLFRLSSENPKSNDPSLGPSRRHRSSPYPMLSVDDALSLIAKHT PCPTTATAKVTPDLVGKVLAQDIYASAPVPAFRASIVDGYAVVVPSSGHLRGVYPVVS TSHAAPSSNLVPLKEGQIARITTGAPLPPGANSVVMVEDTVLISTTKTTHPTTGQEIE EESSVEILSDGIVKPGANIREIGSDIPLNTLLLPSKTRLSPASIGLLSSIGTQSVKIY SPPTISLLSTGDEIVDPSLPRELSLGEVRDCNRPTLISVISQAGFTPLDLGIVSDKQS NLETTLRRALRSSDIVLTTGGVSMGEYDLLKPTIERSLGGTIHFGRVNMKPGKPTTFA TVPVKNNDGTKSQKMIFSLPGNPASALVTFYLFVLPALHQLAGISPVGLPKVPVVLGH DFPVDKSRPEYHRAMVTVSKEGKLIATSTGGQRSSKVGSLEGANALLTMPAGDGLIKK GEKIEALMMGELRSELA QC762_601850 MDNDNSNSRPNFVDDSARNFDRVSDHDPDHDSEHNPDTPSDCPW VGFCVPRRCRLCTYLIEPNEWMVATIYSAFINNEHSIEDVPRLKEEFRPCPPACAHDQ SYLNGSVPVYHAECFDYASNPRHDLLTITAFSFEPMPSYDIKRKKRMVQLLATKMGDM WCHLPHELCLMISELVYTIATLQGLYTAREDLHHNLDFSQTIWARYIDIGVYKYVCEL SSICRAQCFRKLYDPEMTPDVNIFYVLEDHLGMRDLLFSSTDSKQMNLSSDHLEPGFW WRVLSSPQVLQAEYDVSASYSSEGTLGRPFSIYKWRETPNYGECVHIFIESPQYDILR AIAAGERSCPQAVLPPSISSAT QC762_601840 MIASQDNHDNFAKVTPQPGNCCDITSDASAERPTGHHRGGEEEI TMSGQPAWRRRGHSLASTKTVLLSLLSASPTAMAACISLQGSKACPAWQSASITTSNG RLNGIFRFLSFVSSTETFDQRLLTFVQSDYVQEKYQTLLGCGDFDLTNTTELYARFTT TVICNTIVQESIADCSLAPEDSRPVCAETCSQFAEAETYVVSDNTLCPNPGRNAKEQI RADFTDCSSPDNALSGRSCIQGIANEPENCGYGSSTIGLCWYCAKGGINSTDSCCYNS NAESRCAGVVLPSITPTFVLPTNTALPPLNEQNDAEKTGLGGGPIAGIVIGSIGGAAL LALGIFLCWRRRRQRQGSQSGSVFNQPSPARKGPAVPQMAQPGTSGQPGVPPGFEALP GGRIARMSALEGHSADAPSHHVGRDIATATSAGYSRRSDRRRGDDHSSSDGFGSSPES DRGTGIGVLRPPPTALRRNGSLSSSSVLNSDEPHSPTSGGMSSPQGMASQQSEQLPFF RDYYSQDEIHPGDRVAVLWAYQPRAPDEFTLDRGHMLKVVGIWDDGWATGILLDERTE EWEARRNAQRDSGVSNTSGRVASTSPPANGEIKAFPLVCVCLPEHWRRTIEGDGSTET GSSSHPLTTTTGS QC762_601830 MGQGFSLAAPPAGAAGIDVPELADLVYEKSIGTARFMKSIRARH HDGVVLVKVLIKPYPMSLDKYKEQVLRVRNVLADVPNALPYQRAIETETNGYLIRQFF YNSLYDRLSTRPFLEDIEKRWLAFQLLCAVRDCHDKDIYHGDIKTENTLVTSWNWLYL SDFSSSFKPVMLPEDNPADFSYFFDTSGRRTCYLAPERFVPSGEELDPNAKITWAMDV FSVGCVIAELFLEAPIFSLSQLYKYRKGEYDPGISHLSRIPDKDLREMVGHMIQLDPQ KRYSAEQYLEFWKGKVFPAYFYNFLHQYMEVITDLSPGHSSNPAKNVGQADERIERVW SDFDKISYFLGYHNNDTQVEERPVAPRLGLGHFPVRLNIPNNEHFVSSDKQPLADDGT LIFLTLIVSSLRNTARANSKVKACDILLAFSERLTDEAKLDRVLPYLVALLNDKSEIV VISAIRTITQLLDMVRVITPVNAQISLEYIMPRMQVVLLGTQRSTSSAVRATYASALG KLAKIADRFLVMAATLRGDGSTTIADPEVEPGTEPDAAFDGLYDNARRELTGLFETHT KALIEDSDPFVRRAFLTSVPELCIFFGVAQANDIILAHLNTYLNDRDWMLKCAFFDAI VGIAAFLGSSTLEKFILPLMVQAVTDPEEYVVQGALRSLAELGSLGLLTKQSYTDLIS DVARFTVHPNIWIREAAVEFIASGSMFLSRAYLKVQVLPKLGPYLKPERVPDFSELGI LEALQKPLSRSVFDHALMWASKTEKGDFWTFKKGRDSVLGPSQIFEQASKNKEDDAWL RKLRNLGLSQEDEPKLLALREFIWRLSQMKTRDSTGQETTTTTTTTTGGSNGIIPLRS LGITPQTVLFDEEPLRYPMPSIQAEPGTPRTIEDALLDASMSIDDPVGKKRRAALNNH RSRLNSRDNMSPASVDGRRPFEDEGAVSPTASRDTSVRTSVAQGKNVLSVMDDDRSLS DAPYASRRVLRHQSSAMNLMNRKDSAKSGPETGTTETNAFGQVEGPLSQGLRVSSYPE TETGLAFAKERLRGHHTYTGSDPNIIRMLDNMYIENYPRDLLEYGPLVTPVRRRKSSR QSVPSGYEEPWKPAGKLVGMLAEHVGPINRVVPSPDHRFFITGGDDGCVKVWDTKRLE RMVTHKARQTHKHAPGARVLAMCFVENTHSFVSCATDGSVHILKVNSILSGVSYRYEK LKLLREYTGLGEGEYVVWCEHYRQEANSVLVLLTNKSNIIGVDLRTMGELFRLENPVH HGTPTCFCVDRKRNWLCLGTSHGVLDLWDLRFKTRLRGWGVPGKGEIYRVTPHPAKGR GRWVLVSGGRGRGDYGLGFGEVYLSGGVQGGGE QC762_601810 MRLTPDLIASSLSYLNPLKEREIDLRGHRIPAIENLAVAGPHDS IDLTDNDIQLLGNFPLSPRVRTLLLARNRISAIAPGAVQSLPNLRNLNLGENEIRELG DLDVLGRWGGLVHLCLGGNPVVKKEHYRYWVLWRCPSVRFLDYQKVREAEREKARELF GTVEEPSELAQKIMGIKSKTFDSTTTSGGKGGGPGGETSKLSRLKLTDKEKKKLQELI KKANSLEEINRLEKALLEGRLPPGIIVEDGDAMEE QC762_601800 MGKKRTRNPDDDVAMTDEVPAQTKNNNDGDSSDSDDDMDIVNVD FELFNYDPTIDFHGVRTLLRQLLDADASLFDLSSLSDLIVEQNTVGSTCKVDDKANDA YAFLTVLNIQEHSPTKPVVKQLAEYLADRATKSQDETLAKVVPEVLLGEGKQQVGLVL AERLLNMPAEVIPPMWSCMIDEIEAAVEDKEPYEFTHYLVLSRTYLEVESTLNQTERK NKRSKATGELQYFHPEDEEMRKFATAAGSFEYTKEGQAAVADSKRAFQEMGIKPVGFM MLIEAEKFPKAVQGITEYVATGGMSMDIS QC762_601790 MDTIIDLSDRSKALDLTNIRYQLIRLEDTITFHLIERVQFPLNK NIYVPGAVPIPNSELSFMDWYLREQEKLQSLIRRFESPDEYPFFPDAVQKPILDPLDY PQILYPNDVCVNDKIKQFYTENFLPKVCRDFGREDRGVSQENYGSSATCDIACLQALS RRIHFGKFVAESKFVSETEKFTRLIQAGDRDGIGEAITNKAVEKKVLERLKLKAQTYG TDPSLQGPDAAEQVKINVEAVVSMYEDFVIPLTKEVEVEYLMQRLEPEQQ QC762_601780 MADPGQKDDFPDLSSFDDDVSVISTRGVEAFGRKVTSTASHLIG TIADPTSHPHYTRAMTEVSRQLTKPSLQRTMFSMARTTPTDLVRSRLSTTEIHSRALA YVPDELLKNIPEDENSYSLFQGFKASFPEFAEDSKGKKHRRRVSRGRRLLEERPTTPD GSPESVHKIKKEKSTMMHQLEMLSIRKNMASAEIKEIDAKLENLVGMRKIILERLAAL EKDEALLEHDIVEVETRLEEAQEMAVEAASIAQHTPARSEDGQNEDENAPGFMSQSIY EKLPSVSSGSGPVKRKPRSIRRKSAPILHEHFEPGSMIRSMRAHQDSITTLDFDAPFG LMVSAAMDDSVRVWDLNAGRCIGLLDGHTASVRALQVEDNFLATGSMDATIRLWDLSK AHYDPQGSSFGKEEDDDEDALAFENPSDLPVDPPANSMADCPLFTLQAHLDEITALHF RGNVLVSGSADKTLRQWDLEKGRCVQTLDVMWAAAQATALSHDNDTWRQTNKAPDTSA DFVGALQVFESALACGTADGMVRLWDLRSGQVHRSLVGHTGPVTCLQFDDVHLVTGSL DRSIRIWDLRTGSIYDAYAYDNPITSMMFDQRRIVAAAGEDVVKVYDKVEGRHWDCGA GITEADEAKSPAIVEQVRIRDGYMVEGRRDGIVGVWTC QC762_601770 MAPTFEEALTSTFTLAPPPKGPLARYRLLSPTAGVRVSPLCLGG LNIGDEWKALMGEMTQPQAFSLLDYFYSAGGNFIDTANAYQKEQSEQWIGEWMARRGV RDQMFISTKYSNNFRAGHGETEIMASYIGNGTKSLHTSVHASLKKLQTGYIDLLFVHW YDYATSIPELMQSLNVLVNQGKVLYLGISDAPAWVVTKANQYARDHALRGFSVYQGEW SAVARDFERDVIPMCREEGMGIMPWGALGSGNFKSEGGQRRAEGGGRVHAPVGERHVK VTKALGRVAERRGTGLTSVALAYVMQKAPYVFPVVGGRKIEHLRENIEALKVRLERED FEEIEGTVEFDLGWPNKLQFGGRLPENMQDFWLMGTAGWFDNVPVVKAIVPAKEEEAG DLSSDHADGAKVVSLVSGGWKRVKGE QC762_601765 MATEEGAETYKIQVTPLQPRSSNLRTYSRKKSISKLPSLKPSQK QYPVKPPQQTQLNLRVTKTPSNVSKAAEPRPSNRIEVVIPDTESASFDPHDYDDAYFG EEEDEVVDDDVGGNEVAENYEVVYASEGDGENLNTTRRESNTLFLPPGAGEDVYNIPD DLPEDLPPVVPNNSDRQKKSNGSERQKDPVQVVMPSTTPHFTQQMIRGRPPGNGPRRP HLIFNKLPSRSRFKKLPQKSGRQTSNLRSNPGDGFSDDEIKRSGLVLRRSAKQNTSIT RVADTDIPRRPPLPRLKQDKRRADSEDSVFEIRPRKRTKCTQEQPDSIANRSTQWRST PRLAVPTQILIPPLRQPSNPKARVIVYPPTSDEDEESEYSPDDRFIIPQSRDFITSSD LRTTEDEDEDEDEEEEGNGYATYISETFTGGQRSSSVPVEPTVQSDSAGNGVDAGVQI TQGLEKRRGLKRSKTQ QC762_601760 MAPSTQFELPQPPTDAVSSLVFSPDSSHRLLVSSWDKHVYLYQL TEGEGDNANLLNKYEHRAPVLDVCFGDASNNEAYTAGMDRQVKKIDLTSGEQTVLSKH SEPVRCVVYSSAHNLLVSASWDNTLHVHKLSDPGSDPLVIPLPGKPHALSSSPTKLVV AMTARLVHIYDLPTLAAATSPPAPWQTRESSLKFLTRAVACMPNDAGYATSSIEGRVA VEWFEDTPESQARKYAFKCHRQAAPEEEGGGDIVYPVNALAFHPVYGTFASGGGDGTV ALWDAEAKRRMRQYQKFPEAVASLGFSGDGKYLAIGVCPGFETGMEDYTGQGRTRVFV RELGETEAKGKGTK QC762_601750 MPFGQLVLGSPGAGKSTYCDGMHQFMSAIGRQCSVINLDPANDQ ASYPCALDIRDLVTLEEIMSDDRLGPNGGVLYALEELENNFEWLENGLKELGDDYVLF DCPGQVELYTHHASLRNIFYRLQKRLNYRLVAVHLSDCFCLTQPSLYISTVLLSLRAM LQMDLPHINVLTKIDKISFYDPLPFNLDFYTEVQDLSYLMPILDAEAPAIRSDKFGAL NNAVANLVEQFGLVNFEVLAVENKKSMMHLLRVIDRAGGYVFGSAEGANDTVWQVAVR NDSSLLDSIDVQDRWIDNKAEYDERERLEEEEQEKIREAQAQAQMDAAGPVPGLPQLG PGDGSGIKVVRKKK QC762_601740 MHLTAPHFYFKLRLAHHNVLSISQAFLTLLLTHQSTSTFPHNKP HTNPSLDFQDLIMVRLKDRYLLVNILYTDLPPSTSTPVPIPVPVPVSDLLLYNQPTNS DLKPQTLLKDVRNEVTSLFGDCGAGKVNHNLQVKYFSPATSTFILRVSRDHYRLVWAA LSFMQSCPMKNGRPCIYRVVRVSGTMRKVEKETIRRARLLVLAAKEELKGKSSSDSLG ALLRRDNNNQQRTLPILGGQDVDSASEDDMVED QC762_0094840 MMALSEASPVPQSPPPTETAQAPRRTALPTRPVTSKAAASNSDR SKMESNGQPDETSGPQDSPLLSRATAFTPNPPSPQSIAHSPSSQSVASSTQGHAGQVC SNCGTTQTPLWRRSPQGATICNACGLYLKARNTHRPVNLKRPPNVIPSTRQPSAKLSP KAQVALAPSPAATYVNADQVSSGTCPGGGKCNGTGGAEGCGGCPAYNNRLSKSGVLRC GSGGAAATKASTPSDGDGPTPIDIGALHIQSQNTTVVIACQNCGTTITPLWRRDEAGH TICNACGLYYKLHGVHRPVTMKKSVIKRRKRVIPAAGGSPEMESATLDRPYSQSPSPE APKERGTMNADGSVNLGFGKKQQQQQQSQSQQQESSLKLVPEDVLMQNRQTSPLPSSD LAQYHSSQPSQQSQSKPESLNNENRLAPIMLMNMGGDRQTSLSPASFLSPSRKRSFSA TDPEGESGEQTKRLSSIKSILNPTMMGRGEGEEGGARQDRLPSPGSLMGASDAEQKKA ERRAVLALEAERMREMLKQKERELAELDGRIVNLVVGGLMVAGGISQFFPVGFQSSII GVYVILFGLATALLEFQIPPQVSRYGSFLFSFIGRGVFYIFIGTILLHDGTLRIIMGS LIGLAGAAYVALEFIPSIEPPANMREADAGWGAEQV QC762_601710 MGPSGALSSLPKLMASVGSWVESVKSSPPLPLSPPPTSEYGDRY EEDSRLQECIEEDIKQSIERDDDGDVDMTDSEGSTPPSSDPRTPESRHLLRGGYPKHR QPSFGQYSPTSRLAVIQEDEPLEIHEDGYDTSSDWDDYGTIPQIIGDYEEGVSRLEGY DDWNEDQKKVHKLIYLRGLHPMIPSNWKICFKMWGINQPHLDDVFTPSDCEKRVVIHA YKGIHAAGKALESLFYLSQHVTDYEELGLQDKASGLIVKAIKSYIKWSMQDASMTPRK ELSIVLVHDYTARLLNMPISRDNSMGVDHDSFAYDSDEEGSSYDREIEDAMSRSIERR LRALGQRWREVLARGNRFVSKPPTLYQFSVIQHMVMLSSFDPSSSKNPIVILHQVPMN DRGQWLWNALSIAIPVHLAREAMIDLLNVEGVMAELSESDDPDL QC762_601700 MPTLRSGPCAPSTHASNPCSYFRVGRLFDACNFLSGSTERYANT CNTRLVANAHPTPTMATEFEKMTVTQLRAELKRRKLATTGLKAALVARLVDDEEGQTA AADQTAQDESPADEPEPVQDANPEAGTEKHDDVAMDDAPVAAPEKATSPAPASPSPAV KNEETVTTQPDSAIEPEITTQKDSNPPPEEPAEVEPVTITEIVQDTVSRKRRSRSPPP SGDESSSKRARQDDWEEKAELEESRKEPASPADKHLGEITPFEVVPPRSPETRLHDES PPHKRHERAWGQRLEGNQPRHQEQNMDIDEPMDEFGRVERSRHPATSALYVKNFMRPL REASVREYFAHLAAFPGAPVDDSCIIDFHVDQMRTHALVKFDSVSAASRVRTALHGKV WPNESTRKELWVDFIPSEKVAEWIDLERQHGRQTRWEVIFEDDPDTQEVVVASLVQCD GASSGNNNSGNRPARQPLPPPVVPTGSGRRFAELQGQGFPAGPRVRGRGQAKHDLENF ENTKRTSTGPPLYYQPVSEELAQRRLDNMQSYYTKDRYRDMGREDEINRYTFESGSQF VDRGKEVFVGIRHPIREARKRRERNELRRGRGRRTPSPPPFRRQDDRAYRVRDDRAGG GNWSRDRFEALDREDDRIGDGDAGTKIHPDRRLSMERTDRNDDRRWERGDDVPRSRFD GAPLPTYTGPPRNHRRRGGGGGRNRR QC762_601690 MSVQVESPAKMAQLASPVEIEGRSMAILYGSETGNAEEIAIELE DMARRLHFHTRMDEMDSFKLSDLLKVSLVVFVTSTTGQGDMPTNTLNFWKNLRREKLN NSNCLGPVKFAIFGLGDSGYRKFNWAARKLQVRLLQLGATEFFRAAEADERHNNGIDS IYLPWKEEFKAALLEKYPLPEGTAPIPNDQLLPPKYSVRVMPTMEPLPADKSATQSAL YSHVDNPAPLSQRRFEHNQRQDATFPAWEAREDQSWEIRTGTPVDVLDKNNILKDHPS KYSLETTKKVGPRTPLPTRLAIPQGVFAKVVDNARVTPFDHWQDVRHIVFDVYGGEKL ISKVSDMRGQSILSIYPKNYPEDVQELIHLMGWNDVADKPLDMSNKPKRVFDRVDGQP STLRDILTDNLDITAIPKRNFIRELTFFTKNRDEVERLWEFLLPGNEQEFYDYTSRPR RTILELLSDFPGVKIPVERVLDLFPVIRHRDFSVCNGGASLTENQYDPDAPNGTLPVF PLRIEILAALVEYKTIIRKPRQGLCSRYLKYLARDTPLTVRINPSRGENVMMPLPTAV QRPLIAVATGTGIAPIRALIQERLEYEVDTQVGETVLFYGGRNRDRDYHFGGEWEYIK GLTVFPCFSRDEEEPVAVEKKEEEKEKKKGGNVWEKPIKTEEEENVEFGIVEEMDPDR GKRYVQNEIRKRAKEVAGLMRRGPVVAVCGSAGKMPIAVRKAFVDVLVGEKLVRDREE GERWLGMRVVYWEDTW QC762_601680 MSTVVEKVADAAAAAVNDVTNALANTSITGKTDDKSANNNDAVL ASAAEGRRLYIGNLAYATTEGELKEFFKGYLVESVSIPKNPRTDRPVGYAFVDLSTPS EAERAIAELSGKEILERKVSVQLARKPEANEKTEGAGEGTNADGTRRRQSTRGRGRAG RGRGGRARAGRGSDDEKKEDGATSGDAAEIAADTQVQPLKDITNEANTNQDKSGKNQA RAARERRERGPPADGIPSKTKVMVANLPYDLTEEKLKELFAAYQPLSAKIALRPIPRF MIKKLQARGEPRKGRGFGFVTLASEELQQKAVAEMNGKDIDGREIAVKVAIDSPDKTD DDVHGAHEAGEKTEGTTQEQAPVAPAAVATPAPAPAAATPAAAPATTTA QC762_601660 MAANAMWEVDPETRSKLVTIQSEPGNSLCCDCGAPSPQWASPKF GIFICLSCAGVHRGLGVHISFVRSISMDAFKQAEIERMRLGGNENWKKFFEEHETNKM MGVSWEGATIAERYSGEVGEEWKERLSCKVEGREYVPGQKKETPVAKSSPAAAAAPLG GSRTGSPANAAQGGGKIKVDVDYFAKLGERNAGRSADLPPSQGGKYQGFGSSGTSMPQ RSNQQQAGLPGLDDLQKDPVAALSKGFGWFTSSVAKTAKTVNEGFIQPTAKQIAESEF AAQARVAAANAAKAAQAGTKTASEGFTRFVEGPSGSGYKPVRSENFDESKRAFWDDFA SAADNRKTSGNSIGTSALGGNKPSSSAPPKQNNKDEWEDW QC762_601650 MSALRILVPVKRVIDYAVKPRVNKAQTGVETAGVKHSMNPFDEL SVEESVRIREKKRAPGGVEDICVISAGPTKAQDILRTAMAMGADRGIHVEVKEGEDLE PLSVAKLLKAAVEQQKSNLVFLGKQSIDDDANQTGQMLAGLLGWPQATQASEVTFGEG DTVTVTKEVDGGVETVKAKLPMIITTDLRLNEPRYASLPNIMKAKKKPLEKKTLADFG ITGEKRLKTLKVTEPPPRKGGGKVEDVDGLISKLKELGAL QC762_601640 MMFTRLAARLPKTTSAFAAPKVSTRFLSKAAVSGSKGRSMPGVD RRATAAASGVEATFTIRDGPVFQGKAFGADTNISGEAVFTTSLVGYPESMTDPSYRGQ ILVFTQPLIGNYGVPSNERDEFGLLKYFESPHIQCAGVVVADVAEKYSHWTAVESLGE WCAREGVPAISGVDTRAIVTYLREQGSSLARITIGDEYDADEDEGFIDPGQINLVKRV STKAPFVVANPNAEFHVALIDCGVKENILRSLVSRGASVTVFPYDYPIHKVADNFDGI FISNGPGDPTHCQETVYNLARLMETSPVPVMGICLGHQLLALAVGAQTIKLKYGNRAH NIPALDLTTGQCHITSQNHGYAVDTSTLPSDFKEYFVNLNDGSNEGMMHKTRPIFSTQ FHPEAKGGPMDSSYLFDKYLENVRMYKSSASVYRDNRPSQLMIDILSKERVGVEPTKL ANAA QC762_601630 MRLPCRSTAVICDILLLLSASRLAAAAAAAATADDAAATKPPAA PLWIQPSGEWYGIDGTWSNFMFVIGSPAEIVYLTPSTALSEIWTISSGGCHPVQICIN ARGGVFNSSLSESWQPLGAWKLGMNHTGMGGNGDYGLETLGFVNTLTSVSTLLENTLV AAINDTQYYSGFIGLGVTPGKFGSNVSTPFIPQLAQVYGAIPSHSYGYTAGAYYRENG KKGSGTVASLVLGGYDRLRFVPHGNNFALDPITRLPLVRLRGVTAQITDEDKAPAANW TSTSRTLVNMDDSIEAFIDSSTPYLWLPTEVCDRFADALNLTWREDLGLYTFAGGGEQ YTRFQNDESLSFTFTLSSFDNTDNFGQPFNVPGVVNITIPSAAFAQLLRYPFRNVIQW GESSIPYFPLKRSTTEVNNNTYIIGRAFMQEAYIITTYDKSMFSLHQALFPEAASSNY SLEAIARPPDSPYPEFTSAPAPTGTNNRLGTGQTVGIVFSAFITGSILGGLIWFCCRR KKSKQKSQNSETDEDKREAHVTEEEEPQSPVKKVFSLIIRRKRSRKPEIHEVHNNSTQ PVEVGADGENQVYEMPVPPEPVELDSHDLGDDETDVGVDSAQGMSEYELTQRKLERQM RGPVPTYTPTSTTHMTIPTGVMQQEKSMQDMSRVAHYRPPDTPSPASSPTYANSDSFP NSLPSPMTPHGDWAGRMFDLPSPMTVAPPPLHLYPPSQQHHHHHIRTSDPAGSSYSPV SPTSAQTFAPSSVSMMRLPPPSFQRTPINNSQIVCLGPLPEHIELPTQRPPQPPTPTT RVFDSNGQPVDGGVSPVSPTSPLPLPPGRLGGGHYRSENNGSSETLGSNFTEEEAGEM ILGRGGNTKQPTQGEQQQRRRLGQVPQRINLLQPPRGGRGGEQEEDDDNDMPNSPQSM ERIEGRDGLIHVPQVADKRYSWEEGSG QC762_601620 MVGGRRLLLSIAALSGAGLNNGVEARFRRQDGAGVDVVQNATTP ISEPTTPVITSTPDPPPTPIDLPGTPTTFTTTKKVPPIGPPRPGVSAAGIIELDETIG VDDEEEVTVLGGGLLRLTAASNAQPTPAEEEYVYPGEYGSGGTLTTDTNAFTRTVLPT RVSVVPNLGTVRGPPPVETTTSIISPPIRTPPTRPPAIPAPVAAPQDGVQNIDLGDPL QETPAPEEQEPEPEPEPAPAPAPMIPGIPGGGRPAGPPVRTSKSVVTAIVTEIDLGHG NPTTTPSTSTWNGYSNSTFVTSVLYPNQTTPHISTPTATLSFCQPSDLTIPPTVWSIV YTSTLTWFGNPEDYTPEYPPISTPPVSSCVPSPPRLTVSRCSSTSTGEENTPCFVTVT ASTSYPWGYGPQTSTVPNTVTFVTTDKNPAVIYTSMKPPNYGVTQPPRTQAHHESLTE DGAASPISTPSYGSEPNNPGPNNPSNPNNPSNPNNSPSSPNNPSNPSNPNNPNNPNNP NRPQPIEESRSATITRSPVTVIIQPTAIVINDNTIKDNTTKKTQVVIVSGETFTIDPT RVVGADTTIDRVTATGGGVYYPPTPTTTSLRGVEVILTSSRVIIGGSTYTFSPTSTIA VVNDETFTIGPTAIAAGSQTLNLPAAPVPTEVVVVGGELITAIGPTLAVISGSTITYG STVSTTTIGGDVITFGPGGVTAHGTITLGGSAARPGETQYVVAGGATITKIGASVVVV KQTTYTIGPAAAGGMGDRTVTTTVGGEVITIGPEGVVVGTTTMGFPFGPTVVITPGGR GGGVAAATGVVEGEDEEDGGVAVGISRGMLLGVVVGWLAWMV QC762_601600 MSLAIQFLTKIRGFALEADGASLKDWLQVENDVPDIYYNLAHEI RSNYPDNGSDALEKFVDNCLPEEDNVPEGKGSPWPGFNSFIKDYLEYWRDVNFDDVVN VYTRLSELLISCANALANPTYGVMLLPTSMALSESLSKLVMSLTRQPEVLALIEGDET GDGESKSVVEMAADIIQKFFTSCLGDRSSTRWAPPKGKKVAVYLFANLTLKLLFACEK SHLAVQMFTNLSTSGPALALYPASQRVTFLYYLGRFNFDNAHYFRAHMCLEEAYRQCH TSFLKHRRQILTWWIPSNMLCGRFPSVNLLSRPDAAGFGEVFLPICRAVRSGNFVAFR AALEGKREWLWERGLYLVFLYRLKPLLWRSLTRKTFLLRSGMMDDAVGGKGGEGNKAA SLAFEDVVTTATYVQRLLEGYTRAGFGELVPPPGGPKYLMPSEGLIFGNKKPDVDSVE SVVAGLVYGGLLQGFIARQQGKFAVEGAKRYGGNAVRAGWPGVYEALGQRFRESWEEG LEAADRGEGEVVGEFGECPGWVRDSS QC762_601590 MTAMMSRALRLSPQLKSGSARLFSSQACLRKEIRDAYILSASRT PTGKFNGAFLNVSGPQLGAVAIKSAIEKSKVPVDKITDVYMGNVLQASVGQAPARQAA IFAGLPPSVEAITINKVCASGLKAVAFAAQNIQLGLAEAQVAGGFENMSRVPYYVPRA SNLPPFGHVKMEDGLIKDGLTDVYDQFHMGNCAENTVKKYKLTREEQDKYAIQSYERS QAAWKAGAFADEIAPVTVKGKKGDVIVGEDEGYLDIKLDKVPTLKPAFVRDGTGTVTA ANSSTLNDGASALVLGSKEIAQQYGSGSRVLARIVSSADAALDPIDFPVAPAKAVPIA LERAGITKDQVAVWEFNEAFAAVIKANEKILGLEGARVNPVGGAISLGHALGSSGSRI LTTLLHQLKPGEYGVAAICNGGGAATAMVVQRIESV QC762_601580 MSTAARRRLMRDFKRMQTDPPAGVSASPVPDNVMTWNAVIIGPA DTPFEDGTFRLVMHFEEQYPNKPPSVKFISQMFHPNVYATGELCLDILQNRWSPTYDV AAVLTSIQSLLNDPNTGSPANVEASNLYKDNRKEYTKRVRETVEKSWED QC762_601570 MSNANSIKVVARFRPQNRVEIESGGQPIVTFQGPDTCTVDSKEA QGSFTFDRVFDMSCKQSDIFDFSIKPTVDDILNGYNGTVFAYGQTGAGKSYTMMGTNI DDESGKGVIPRIIEQIFSQIMSSPANIEYTVRVSYMEIYMERIRDLLQPQNDNLPVHE EKSRGVYVKGLLEIYVSSVQEVFEVMRRGGNARAVAATNMNQESSRSHSIFVVTITQK NVETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGKS SHVPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETLSTLRFGMRAKSIKNKAK VNAELSPAELKQMVAKAKNQITTFENYIADLQSEVQLWRAGDAVPKERWVPPLLEDRV SGTKADSRPARPATPSRLLERTGAETPSAAERSGTPSLPLDKDEREEFLRRENELQDQ LAEKETHAAAIGRQLRETKEELAIIKEHDGKLGKENERLISESNEFKMQLERLAFENK EAQITMDGLKDANSELTAELDELKQQMLDMKMSAKETSAVLDEKEKKKAEKMAKMLAG FDLSGDVFSDNERSVADAIAQLDALFEIASSGDPVPPDDLKALREKLLEVQGFVRQAE LSSFSAASTEAEVQKRQELEAKLTALQQEHEDILSRNLDEADKEEVKALLAKTLSDKS STQAELVEGLRTDLNVRVAENEKLKALVDDLQRRVKSNGAVPMANGKTVQQQLAEFDV MKKSLMRDLQNRCERVVELEISLDETREQYNNVLRSSNNRAQQKKMAFLERNLEQLTQ VQRQLVEQNSALKKEVAIAERKLIARNERIQSLESLLQESQEKMAQANHKFEVQLAAV KDRLEAAKAGSTRGLGSPTGLGGFNIGSRIAKPLRGGGDNNTTTTVSSNPTIASLQQQ NAPVENKRSSWFFNKS QC762_601560 MQSGLSEVSNSETHKPTDSTPSTASQHGIRRPSSQPRPGSQSPC PARSPSPGARGSELDVSELDHKLDRLNVVGVEVDVDTAPSYELVAGQRISEYENASSV MLSNRQSPRPASDSKPTSNGVPFKSAQLTDFPNELLTQVLSHLHPDSHGAVALVSKRF YALVTTPYAWRTAFLRYFPGRDALAESRKSGQKEQEGESHHDVIRSEVRFFTRLTTSA SWRSEYLLRTRLLRSVVRGKPGIGGVGTSTKASQKKSGAVLTYNSKLPWMISTVHANF TGGRQGPKVIHGSRDLGIATASDPTTGRLDKVGLDDPFSFQQLDEVFPDLDFWGLDDG LAAVPNILDVSQQYGLVGGEGFPGGRVYFKGAGDLRGKYLGQDDVIIDMEPEIPKIPE LMEAVSAVWIAKTPNPMQVTNSMVGIMTGSTLGVVTAYTLGIDSTGPRFSKGDMTARW VLCPGVPVVDIKVDDNYSLKRKALGRVWAVALNALGEVFYLRDVPTPPLVKGKLEQMV RHAWYSGRTAYWELIEATRRTARPDEFDRNVVRGSYSPRSPCNSMRLNKDQIIAESKE IEKFFRYKPEHWRKACLGWDMLRKLEVDFAGGDENRAGEGIFVVTMGHGRGEQASVLR LVRAESRPLVTTPSGPTTPLAQPFHSSIFGDQVVPNGPGKTPVGSGLNTPRTEENTAK PDSEEWKLTEFTFKSNASTEITASSMDLSTFAVMAPFEDPLHSGSQLADPPGTPTSRH ASGEIPGRRARLLAIGTSTGSIVLWNARDASPVVKPVRVIQTDSPEISSLGVSALYVV HGGSDGLVQAWDPLASTLEPIRTLNARPSGRIPRHILQSNPALQHANFYKVGAIFLDP DPTALRGVLAFGTFLRYWTYSSTNQVTGRKRRHRHNDVHGRLAGRRDGAGVDSYIAAE AAEMRREQEHRSKELDRLRKRFGVGLAELTEEEALQYAQMISEESLLLDEQRRFSASD TASGSNADLGSLDGSSSGRSSSRTAETITPEPSISNGGVATAALASSSSVVLAPLPEE TTEDDFEAQMQRAIRLSLLESGGDSSGQVTDCYSPVGQNQSEEDLEMYQEFQVVVKKP NGRGKKDKGKGVTNGGGGGGSGSNAMVDKMYGYNGVSGVNSVVDLGGGGGDGEMDDDL VLALRLSMLEEEERLRRLGEEREEGVVKGEWEREGEEEEEEFPALEVKGKGKGRA QC762_601550 MSSPAQGGPSIPKEKDKKGLGKVLSRMKTVLKKADPSRRLSTLG GSKAAGPSSATPAAPADEPAEELIPISPPAQSLAAKKPDDPNAIRVPRSQLFAERAKK LGELYGLELKPSEWHSTEGHALRVEKPIKMRVHRRCHLCNTSFGLGKECPKCKHPRCK TCPRVPPKRTEAEREESRKKRAALIKEREANAPIIPDWDTTAKKVVLKRPAKTGGQDR IYQKQRQRIRRTCCQCQKLIPGGTKTCECCQHTRCTDCPRDPAKKDKYPFGYPGDAPG TKVGHYTCLDCKHNFSEEPSDDKVCPKCSCRKTERLTPRKVQPEPDPEVVKSLAARLE NIGIS QC762_601540 MASSAAKDDTNADYWPISIARSDGQSYQDLDQKPLDMGEDQDVT QLERWQVIIGGHLGMQLAPKDDKRQFILSAFPKGYELRVAIRKDGARDYYLYGHPAGA KSLYRTPGEFASHALWLASDSRDPSQCPCDLCARYVEAQQKKRPDAIAAWEANPATGS AAVQNSTPPRSQAVSVHPEQQQTQQVPAQPPRIPMPPGTTGLSNVFRVGEMVWYRFTA WRLGIVFAITLRPGAQPGAPDTSYEFQIAPLGHATLQQDVVVKDASTMRPFLTFSVPD SPAHLRGKPFDEVDWLALSENERRGKDAAQQQQALQTVGLEASKLAARSINDCFSFFN KIHDAPTDDNLFRLKCFQGVYFGAEMIMVHDPIRVQLGLPADGSQQQPADASVPAVGI MHVEQIQYLIPTGQQNSKPVLRFQGKLIRPVRAPADVPPPGAAPPDTLGPVCAEEIAG RNAFEKNKSMAWYWVVLSLKTLQPAATEEDRQFTTTTKLEGDVLGRFYVSTKIMSLVN ADLCKQWVERGQVDEPPSYLNNRGASGNLGAIQKRVTRKQTFGLAVHGEFKLSRGLME ETQ QC762_601530 MKLTTTLQAVIGSVSFLFAAQPALAGHVHGGHRHAHERYARRQN HHSHMAGEVIGASRIAARKAPCSLPDDPDLVRVPGDVNNGFAMSPDEPCEDGKWCPIA CRSGKVMAQWKPNTKYTYPESMYGGLYCDGGKPMKPFKEKPYCVDGTGAVKAVNKCGK VVSFCQTVLPGNEAMIIPTDVSDTMTLSVPDASYWAKTAAHYYVNPPGVDASRGCVWG KITEAIGNWSPYVAGANTQSSGETFVKIAWNPEYMTTDNSKNTPTYGLKIECPDGGCN GLPCVIDPTKSGVGGVESPNTASVDGGANFCVVTVPKGKTANIVVFNTDGSTGEKPKP KEEPKPKPKEEPKPTPKPEPTTVAKVPKTTAAPPSSTTEAAFSIKKVNPTTSKSWSSE STSDPYFMGGIFLESTAVGKTKTYSDIEPTATAETVTTTEADGADSRAAAAAASTSPS NEGGAADHGGSAIAGLVVAIVAAAALL QC762_601520 MLSPVVHAGGMLAKRAYSNLADSSSDMPDEPQGPPIKWAPYGGL QLFINILLFLPMFLYIGYTLTHIYPTLAIVEDPLPAYDAIPINEPTNEDGDINKANTN KNAPPPAYNNNNNNNNNNNNINGGSSSSSNGDAPFPTKPITSSLRATHRTLQSISGFR SQFRGLGCFLFLAFTTSILSGIFSFIPILGNLVVLLLTSQLMTTLTHIIISVPRPANT PHGRTFFSRLPSWRRNLVATYQPILFYWIAIHASLILPGLLAGLIGLSPYDDNSQLKE GISGSEVAKLVCVLGVFVGLNLLLVVPAHVALVRVQATLLPHEEETIVPFDRTFGGSV EAEVINGRGFGSLRNALKTVSWGSWVRLYGQQVKILGVMVGLYAVMGGWLVVQFFFLG WRA QC762_601510 MSAPSIPNLLSLRGSRGGSRGRGRGGSHRGSSSGPSASHDTTIQ GTDADAAVSRLSAVELDYLIDPFAGYFVAPSPPPFHSGPGPGLPTPRRLPIINRGTYT RTTAIDILIHRFLSSTFSTGKPRQIVSLGAGTDTRCFRLFTSSQKHQNIHYHEIDFPV IISKKNTLIRTVPALNGILSPPMPLPNSATNQSYVSKQHANPETGNTLTLHPLDLRFF PSSPSSLPGLSQECPTLLLSECCLCYLPPSTASDIITSFTSCFPSLGLVIYEPILPGD AFGRMMISNLAARGVTMPTLAVYQTQEDQERRLLEAGFREVRSRTVDQIWEEWVGEGE RERVDGLERLDEVEEWKLLAGHYVVVWGWNEGEGVRLEVGRGDDDEGDETE QC762_601500 MLDQQLHRARMVSSVAATVISLACGTNYVYSAWAPQFADKLNLT TTESNLIGAAGNLGMYSMGVPIGLFVDNRGPRPAVAAGALLLGLGYYPFRASYENAAG SVPLLCFFSYLTGLGGCMAFQAAVKTSALNWPHHRGTATAFPLAAFGLSAFFFSQVGS LFFPGDTSAFLTVLAAGTFALIFTGFCFLKVYPHTPAYHAVPNGGSGSDTQRLRRTSS SEDGKARGARRFPDVEPGMSTPTTYTTPATSTQAQTDAEAPGPSSPSANAQLRDQTDV ESARPPSDEAPDSDVDETSSLMSKSSSLPGDVLVQSSVDMDRSHRVDIRGWRLLSNVD FWQLFTIMGILAGIGLMTINNIGHNVNALWRRFDDSVPESFLVQRQQMHVSILSIGSF GGRLLSGVGSDFLVKVVGASRAWCLVAASLVFCIAQLFALNVSNPHYLGFVSGLSGLG YGFLFGVFPSIVAETFGIHGLSQNWGFMTLSPVISGNIFNLFYGAVFDSHIIVSPDGD RSCYDGIDCYRNAYFVTLGACGLGLIVTLSTIRHQYVARLREAGKGAAED QC762_601490 MLNFILIQNRQGKTRLAKWYVPYSDDEKVKVKGEVHRLVAPRDQ KYQSNFVEFRNHKIVYRRYAGLFFCACVDTNDNELAYLEAIHFFVEVLDAFFGNVCEL DLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE QC762_601480 MGKSKKKNQGEPPLGDFRKCPSARKLFESLGRAFPVKVNKQRTL NSFVIWLIERLIDKDVSNPSSAESELKEWYQLLKSCRIDDQTIIDGFVELEQSHVSEH PLDARRLHIVETELRELIRTTASLPPAPSKSSTAHKIQKPSGTLSKPMDEHKHLNRRV SVLRIVAGPDPSKSTNDYTHPDRRLSGLPARNDSTAAFEGDMSDYIHPGRRVLVSTPQ ESPKHDSPPPTLAHMHPDRAASVSVDHSSPAPEIMYGRMHPDRMMFSREAAPEPGELI EKVVPAADCIRTGTGRQGKSNTFDPSCPDLPFLSGANAMAMEDSVRQREKKNQEQEWE KLEQEEKQKQTQKQKEKEKEKEKGKDLSFLTGSNRMVFGDDWALARTKKKKKKAAQTE LVLEYGESPVDVGNAKSATKGDKEFTIPGNYVCNRCNVRGKYEPPGMILLMLTLLGHL IQDCPTNGDWRYAVKAPEDYTCNFCGKQADHYIDDCPRKPSKGSRNGQTERDAPRRVV SDTIRDSVIDSYRPEPRSHKRHRSMDSEFDDDDYLASRPHRRGTRGRKSRRADDDPES NVSFRGRAGTNDSPWGDLKSSIVGYLDPDKPVGDEPPTKVYTNRRPPLVSPDPCEEGR LSYYDVPSEDAQPEHSTLKNKKRKTPPKKTQLVVPKFERSPIRIANAPPPRIRVGPQQ VGQMIEEERDKNDLIPSFFKMFLGKKVYCRTKAKRPVAIDFIDMPSESEGGDDDAMET DQAQDSVKNEQGDMSAQLKSRLSPGPSVDEPQQATNSSVIQHLHDVIDVDDDVVMTEA RPSVIVSGLGDVTDLTGLSDGESQSHIVVVDD QC762_601470 MPPPTSPVLVTKIKVQLKLAIARLRMVQKRDEALAKTQRRAMAQ LLEQNKVDSARIRVENIIRSDIITELHEILELYCELLLARAGLLEASPTCDPGLEEAV KSIIYAAPKTEIKELQTVRTLLAEKFGKEFVLQATENSDGKVSEGVVKKLSVTPPKDE LVQGYLEEIARAYGVDWPKGKNKELGDPPDFMDDDDDDENPSGGQAQRVLEEPLVGVD EEAAAELKAQEDLSKATPPKSFGPAIPLHVNPPSPSTDNIHPKVTLNRQELTTPTKKP AAVTRKPSEQKGDGVPDLDELAKRFAQLKR QC762_601460 MPRKMLPKKTPELYTAHQLQPVPPRQVPEVQPQLEKQPEPERQS EPEPQQLRPIPMLQSEQPRDFAPHRGNEQVQQRNNSQFMKGATPPTRQQQEDDTPMYF QASLHELYPVRLVNPRCAKFKKVFQRPHFFTPSPSYAIGYTSVPPPQPGAATGSTAVD DSAPPVIDVPRSAVKEAIASRNDVFKGNPALFDEVMMNGQPLDLNGYDLEQNEEGTAN LIPLNLDPAAFTLKDFLTRPDPMETGKGKEGGTGREGEMEEVAFEVEIDPSDWIADIV TKMMYVSGETGEPSAETTGIIEDIVRQQVIEILRNCTELAARRGARAITINDLIFQIR DDAPKVSRLRTFLSWKDVRKNVKDSDDKGGEGDLGAGEDPVGGVVPGGPVDDTAKKNK KAKVGLPWEPSSYFAVEVPEREDEEDEEEEEMNHITLQRLRKADERTKAMTREEYVTW SEFRQASFTYRKGKRFREWAGFGIVTDSKPSDDIVDILGFLTFEMVQTLTEEALKIKE NEDQHRERTGGGEQAAGNAAKKRKMGGLGGGLFDPPSEGRTPVEPRHIQEAFRRLQGR SKKSRAMLNGTRIQQRTNLRLF QC762_601450 MASNVTIKAIDSSAVHQIQAGQVIVDLCSVAKELVENSVDAGAT TIEVRFKNQGLDSIEVQDNGAGIAPHNYESVALKHYTSKLSTYDDLSTLQTFGFRGEA LSSLCALSKFSVITCTKEQVPRAAKLEFEMSGKLKSTSVLSGQRGTSVIVEDLFRNLP VRRRELERNIKREWGKVISLLNQYACIQTGVKFTVSQQPTKGKRMVLFSTKGNPTTRE NIINVFGVKTMNALITMDLKLQLTPTAGPLVKGKARADGSNTEVRVLGHVSRPTPGEG RQTPDRQMFYVNGRPCGLPQFVKVFNEVYRSYNASQLPFIFADIQLDTHLYDVNVSPD KRTILLHDQGQMLDNLRESLIELFETQDVTIPTSQAQGLRQTPFKKPTLVRSQTPVSV ASVSNRRTATLSHQSSESDSPITQRRASVDDQDEEKEETSEMAENPEKDETEVAMEDA EDEPPQSSIPAIPPPSQPAAPRSSPIAPARFKRSTQEVATITIGDSTVTSVIRSPLKR SRIEEPSRPSQKLGAAKAGKKTAPVPSFGGRLTQMFSAAASSKGGSAEDLEITTEEVD IEDEEIEVDEKASDAAGSAEGQDDDNEESLFVSQGEDNDVDMEEPEMPRSSHEEGEGE EEEELRADNEGNEEMPSEADSPRASVEQDECCDHDSADDEYIDEEEKKAQEEKKVQAL IDAAQATAAEASEESEKRSQMLLKGRPKRKDMTLNLIHRVRTNEMEISQQIISLSNHL PPQKSKPPPSQDDDGLDAANPEEKLSLKITKTDFAKMKIIGQFNLGFILAVREGSSSP SDDDDELFIIDQHASDEKYNFERLQSTTTVQSQRLVQPKPLTLTALEEEIILENLVSL ERNGFVVSVDTSGDSPVGSRCQLVTLPLSRETTFDLTDLEELIFLLGDNPSSSATTIP RPSKVRKMFAMRACRSSIMIGRALSGRQMERVVRNMGGMEKPWNCPHGRPTMRHLCGL GGAFEGRTWQEGGKVDWRVFLRGGKGKQRAG QC762_601440 MTRHSSGSSEDGDTLHVEVPHVSSSNQSALRPQAAAQPSTSTSP DSTASHHHQRRSSADPRTSSSISRRSTSINWKPTETRNGSLEKPPSPTRPTTPSPLGL IPTANGSHAKKREMEKAQEAMFAVRHQRWRSPWAIGLLALVASITGIALLLTVVHSSV TRQIDPKGCRMSYLRPSYAKLNEFDTEHTRLASKYSLYMYREQGIDHDTRVRGVPVLF IPGNAGSYKQVRPIASEAANYFHDVLQHDPETYNAGIRSLDFFTVDFNEDITAFHGQT LLDQAEYLNEAIRYILSLYLDPRVSDRNPDLPDPTSVIVLGHSMGGIVARTMLIMPNY QTNSINTIITMSAPHARPPVSFDSQIVKAYKDINDYWRHAYSQQWANNNPLWHVTLVS IAGGGLDTVVPSDYASVESLVPDTHGFTVFTSTIPTVWTSMDHQAILWCDQFRKVLVR TLFDLSDVHRASQTKPRAERMRVFKKRLLTGMETVAEKTAPRSDPTTLLTVEDNSDTI IAQGERLVLRQLGTTGRVRAHLMTIPPPGPPEVKRFTLMTDIKLDQPGENGKLEVMFC SVVPSQPGQTGAGFPSQIDLSKGTAGTTRLACRSAAPDVIPLPGSNKTTAFPFYRDLE KQVLPFYYLEYDLDDIAEQQFIAVVEKATNPTQGFVIAEFSEQSQSHQTAQIGLQRLL TSGLKFTMPAARPMVTETKVPSLQSSLLAYNLRLSSHSCGNKEELFAPMVRQYLVEPF ESKYFVNVRDAPISLHGVAPYVPPPLAKRSASEDGLSFQFWTDPTCDSSIEVELEVDF SGSLGKLYMRYRTVFAAFPLLVVALVLRKQFRVYDTTGVFITFTESLDLCLRQSIPLM LASLTMLTVATANPNPAGSASFWHWNKSTSSLVDFHLNDYLIGTQDPMFLFLIPMIGI ICVGVCTVFNYITLALTHLLSSLFNLLAFRPAWVRNDERKKQGGAGFLPSSPRRRMIT TAVLLFLVSTAIPYQFAYLVACLVQLTTTVRAQRIAQELQSAANSSFYNYVHSILILM LWILPINLPTLVVWIHNLAVHWLTPFTSHHNVLSIMPFIVLVETLTTGKMVPPVTGRM KHLTSILLFGIAIYAAVYGISYAYTLHHLVNLVAFWLVILHSTSDSWPISGLKHLYEG DAEDRKGGKEP QC762_601430 MGALLSLPLMAVPSLGTLLSFGASCCGAATCSMVCSACGKCGNS VATRIAYALILLVNSILSWIMLTKWAIEKLQHLMLDYVKIKCGEGDCYGWLAVHRINF ALGMFHLVLAGLMLGVHSSKNPRAAIQNGFWGPKIIAWLGLIVLTFFIPDTFFQFWGN YVALICAMLFLMLGLILLVDLAHNWAEYCLGQIEESESKTWRVVLIGSTLGMYVASLA MTVVQYVFFAGSGCSMNQAAITINLLLWLAISVISVHPTVQEYNPKAGLAQAAMVAVY CTYLTMSAVSMEPDETEDKHCNPLIAGQGTRTTTVVIGAIVTMLTVAYTTTRAATQSL GLGGKGGGQIRLPEEDEVDYEHSLITTQPDNSRRQMRAEALRRAVEEGSLPADALLSD DDDDHVHGHSPNGGTMDDERTSTQYSYAMFHVIFFLATAWVATLLTSDWDDGKVADGG DFATVGRTLWASWVKIVSGWVCYGMYTWTLVAPIVLPGRFEFE QC762_0095120 MTSVSVMRMMTSDTSRGFDVFVLRLMPIRCCPPTGGGLLVTMHF TIPALYFQSGYPGSAS QC762_601420 MPHSVRDDKASGDHSQSRHQVTPPPSSQSGSSSQEESPMLKAWE AEHNPSNLTITETLFQSGLTSARTGA QC762_601410 MASPSTPAQAAKKALSRVDLDGHALPPSPAPSSPHPGSRRYALA TELVYTDSKDQYGASSIPIYQSATFKQTSSNGGNEYDYTRSGNPTRTHLERHLAKIMN ATRCLAVGSGMGALDVITRLLKPGDEVITGDDLYGGTNRLLTYLKNNQGVVVHHVDTT NVDAVKEVITEKTAMVLLETPTNPLIKIVDVASIARASHEANSKALVVVDNTMLSPML CNPLDLGADISYESGTKYLSGHHDIMAGVIAVNDAEIGDKMYFTINATGCGLSPNDSF LLMRGVKTLAIRMEKQQTSAQRIAEFLESHGFKVRYPGLRSHPQYDLHWSMARGAGAV LSFETGDVALSERIVEAARLWGISVSFGCVNSLISMPCRMSHASIDAKTRAERQMPED IIRLCVGIEDVDDLIDDLTRALVQAGAVTLTIDGFHANEANGGSSTPSA QC762_601400 MSDNKRLRDLGAGLTSGMLLAAGALTAYLLLKDVVAPYFARVVD PDHEKNEATRKRAQANLQRIRKKIAENSANDAANTDASQKTSVEDLVLNEYENQVALE VVAPEDIPVGFDDIGGLEEIIEEVKEAIIYPLTMPHLYQHGGSLLAAPSGVLLYGPPG CGKTMLAKAVAHESGASFINLHISTLTEKWYGDSNKLVRAVFSLARKLEPAIIFIDEI DAVLGQRHNGEHEASGMVKAEFMTLWDGLTSSNAAGVPARIVVLGATNRINAIDEAIL RRMPKKFPVSLPGTEQRRRILELILGNTKRDPDFDVEYIAKVTAGMSGSDLKEACRDA AMVPMREYIRQHRASGASMSRVAPEGVRGIRTDDFFGRRGGQVLHVPPPAATNGQSES EWRDVQELEEDVVTTLS QC762_601390 MLRTKIRSQLLELVDSDSEDGLGGIARTSSSSSSTSTAAANIGR PRKLNSKKTTTSTIMPPKKRGAAAKATAASKVTKSEPKNTATTRRAAGRVAAAVEKEV LGDAPAENAPAEKQAGRGRGRRAAAATTPVEEEDTEMADTTTTSETTKTTRGRPKKTV AGRKPSASSSPNETVEIPETQPSALEPGTPISDSDTAAPTIAPPQPRSFSVSPQKKRP SVTTTTSSSEADLRRRLGDLTKSHSALESKYNALRDTTVPQAEKTFDSYRKTSESKSK LADQLIASLKAELSTAKQSSAVIPSLQSDLSTAQAQIAKFEAQVAELNKTIAEQKTEI KALNMKLAAARNAEAAANSRSVTAQVPGSAMKKTLGVGGVRGTGMQVQEATLAAQRKE DLYADLTGLIVRGVNVGGEGVEFDCLQTGRNGTLHFKLTMSSGDGDESQCEYNPMLDT NRDRALIDVLPEFLVDEIAFPRAQAGKFYQRIMRALNES QC762_601380 MGGSNQPYMYEPVLKDDERFPVPVFDPKAVTRASYEKKKPKPKP NGPLVSINRHPDAHVVPTGRTNFRTLGASTKSWIKGMRAVQLCLRVLELIAALGFLTL CILLKGFEELTGWVMRVTFGVVIIHCIYSIYHHSKPASGKTPGSSAAYQVFGGISDLA VLPLYTYGIFSCRNQADKWSTLLTNQDLVQKYFVPSLYYGLMGAAGLHLVSLAISLWL GVVFRRISMMPPDMNPLENRFTSRAHKRNKSSIVTTTTTTSYSDSEKRVSTLSDRIRD SLPAYDPDTSRPPSVPFMHTRQSSYDSQDSRKGLPSRQYQIPHSNRSSGTAQDFHRMS APPPVPAHSSGQSSPTKSRASYTELPLGETDLGAPRPRSMFNPPTTFSDDDDDHPQTS AVKSHHPTPVSPSQVQQPRTARFTEAWYTTPSLVSRTAQRNPQYQTLDPDSDSSDNDE NDFNYRTNLGPSPAHPNPLGSHPPRSPSPPPKRHSTPFSRLRDSILGEVSPNDRRVSG DITEMKTPARKQLNRQSSIQADSSFYSKPYGDLRARTPPVIVGSLGLGGVVEEEDTRN NMRQVSSGNDFDGGPVGVGVRMRHVSGREAEEGRAGVTPPPLEIKKKKGNGEVKGGHV AAMRSRYSVLNE QC762_601370 MATIARARPRAFQQWNRQLALAYTNQVRRASTTVEPFRLAIIGS GPAGFYTAYRFMKNNEHAKVDMYESLPVPYGLVRFGVAPDHAEVKNCREKFEEVAASP DFTFIGNVTVGASSDHPDSATVPLSSILRHYHAVVFSYGSSEDRKLGIPGEELKGVFS AREFVGWYNGLPEYADLNPDLSLGAHGDTLIIGNGNVAMDVARILLKSPEELAKTDIA AHALDALSKSKTHNIRIIGRRGPIQAAFTTKELRALYKLPGVEVRPYELEHPTAYDVL PPPIKRRTELLSKGSSLPPNPSNPYPSVTFDFCLSPLQFDHKPEQPSSTPQNWVTSAT FGKTVLQNPNQHHPSTDYETNNHREYYNQKATARLPPQPETVTFNTRYVFKSIGYKST PLPEFSPLGIPFNTSTGVIPNDGIGRVLTKLHRPHQLPSSSHPAHHQPHIELPHHFKG LYCSGWVKRGPQGVIAETMMDAFTTADAITEDLTKREKFLPEGEWGQDGKGWEAVKAE ALKGNSNARVVSWEDWKAIDRAEIERGQKAGTGKEREKFVRREDMLAVLGDGGR QC762_601360 MLSTTTRRAFSALTRPSLQPATLSPLRSTPAALHRLLSVLAVLE QRDGKLNTGSLSAITAAQKLGGTIHAFLAGSSIKPVAEQAAKVPGVEKIIAVDNAAYD KGLPENYAPLLVENIKKGGYTHVIAGHTAFGKNLLPRVAALLDVQQISDITAIENDKT FVRPIYAGNAIATVESSDEVRIITIRGTAFPAAEPADGTAAVEEGVDPKVESATEWVG EDLAKSDRPDLATAPKVVSGGRGLKSKEEFDRIMLPLADTLGAAVGASRAAVDSGYAD NSLQVGQTGKVVAPQLYLAVGISGAIQHLAGMKDSKVIAAINKDGDAPIFQVADVGLV GDLFEKVPELTEKLRA QC762_601350 MDESMVSYGTPTNGASPGANPILSQPPLPDVNDQNEDVQMGDGP EPTIKQDSTTPAPGASSDNPLDAPDRPPAESTAREDEEMGDASKSSADQADGAAGNEG TGEVKTKESVENAAREHLISQTHAIVLPSYSAWFDMNTIHSIERKALPEFFNNRNRSK TPAVYKDYRDFMINAYRLNPVEYLTITACRRNLAGDVCAIMRVHSFLEQWGLINYQVD TEQRPSHVGPPFTGHFKIICDTPRGLQPWQPAADPATVEGRPNKDTEVKASATPAPKS ELNLEVGRNIYEANAKNTKLTKTESKTNGETPATNGVSGTDELTKTPIIRVNCYNCGT DCTRIYYHSSQADPNSKAKYDLCPSCYLEGRLPGNQTSAHYTRMENPTYSSILDRDAP WSDAETLRLLEGLERFDDDWGEIADYVGTRTREECVLKFLQLDIEDKYLESEKVDAPV GLQMLGSHGGQLPFSQTDNPVMSVVGFLASLADPASTAAAAGKSAELLKQNLRSKLDA VPEDAEANGKGKEKEGESMELDIRQEVTTTTTTTTTTTTKTSALANIPLAAIGARAGG LASHEEREMTRLVSACVNITLEKDELKLKYFDEMESILQSERRELERARQQLFLDRLS LKRRVREVEQGLKEAVATGGEQGIRMVQELGLDGERVTFDAPAPAGSVQPLSVDGQLK RYES QC762_601340 MFAAIARTARTEARSPALRNIIPRQTQTPLRLATMASRRNNSSL PAGYVEDKSKGPMLRFQDSLPKLPVPTLEETAARYLKSLKPLLSPAELEKSTKAVQEF IAPNGPGRKLQEKLLARREDPKHKNWIYEWWNDAAYLSYRDPVVPYVSYFYSHRDDKR RRDPAKRAAAITTAALEFKKQVDTGTLEPEYMKKLPICMDSYKWMFNCSRVAAKPADY PVKFDPAQNKHILVIRKNQFFKVAHEVNGQQLNTSELEQAFRRVYELAGQRVPAVGAL TSENRDVWTDARAKLLSADPKNAQSLEAIESASFVVCLDDAAPVTLEERAHAYWHGDG QNRWYDKPLQFIVNDNGTSGFMGEHSMMDGTPTHRLNDFVNDVIFNNKLDFADPTVRS NLPEPQVVKFVVNKEVQSEIDRAITDFNNVIGQHQLAVQAYQGYGKGLIKKFKCSPDA YVQMIIQLAYFKMYGKNRPTYESAATRRFQQGRTETCRTVSEESANWCKSMADPAIPD SEKVTLFRKAIDGHLEYISAASDGKGVDRHLFGLKRLLGKGEEVPALYQDPAYGYSSS WYLSTSQLSSEFFNGYGWSQVIDEGFGIAYMINENSLNFNIVSKGLGSEKMSYYLSEA AGEMRDLLIPTLEAPKAKI QC762_601330 MAQAPMIAVPLKATNEIDWISPLKSYIQNTYGDDPERYAEECAA INRLRQDMRGAGKDSTAGRDLLYRYYGQLELLDLRFPVDEQHIKISFTWFDAFTHKPT SQHSLAFEKASIIFNISAVLSCHAAHQTRTEESGLKTAYHSFQASAGMFTYINENFLH APSADLSRETVKTLINIMLAQAQEVFLEKQITDQKKVGLLAKLASQAATLYGQAAEGV QDNVNRAIFEKVWLQMVQAKYNLMTSMAQYFQALADDDANSHGMAIARLQVAEALARD ANKISHSFPGTLPPNANLNADTPYILQEITKRHWVAVQDKLRELNKDNDFIYHQPVPA EAGVPPVAKLPAAKPIPVSELYAGQDISRITGPDLFAKIVPLAVTESASLYDEEKAKL VRAETERVDQANSEMAASLDYLRLPGALQVLKGGFDQEILPDEDFRTWCVDVADHENP GTIFDSLHGQKQAIMTVLDRSAKQLDMEESVCEKMRSKYDSEWTQQPSSRLTTTLRSD IRGYREALDEAARSDQQLFTKLRQNQNEFDEMRLAAETGEVDALFQRAVNKGRKASNT NSPSTEPNLLDADFDDGGPSVVEQIAKVEDILKKLNLIKRERTQVLKDLKEKAHNDDI SQILILNKKSIVKHEQQLFQQELEKFRPYQTRLVQATHKQAAIMRELTVTFNNLLQDK RVRAEQSKYESIQRTRASVIGRYKRAYQEFLDLEAGLQSAKNWYKEMRETVESMEKNV DTFVNNRRSEGAQLLNQIEQARAASKSSQAALEQERLRGLMERMSMEQPPSPPKQSRP APAPLTFNTGPAYHKSSYSSGQHTLPSSPPPTQTTYGHHQQQSSQSGFNTIYNPSSHG RIPGPASPPATQSTFNLGGHMRGPASPPPNQTSFGHNTYGNPNAPPQGYQQPPPQQQQ QAGGGGYVPPNFVPPPPPPGPPPLGPQQMINYGDGYYNPNAPPRPGSASQHQHQHQHQ HQHQQQQQQQHQLYQGQVGYPNPNQGGGAGQDPWAGLNAWK QC762_601320 MAFERLVRFVPKGDTSRVLVGEPVDSSVDVGLAVYKGEEVQVKV YSGSSVLDAGSPTGETAVIGQILSPVTANEAGTIRCIGLNQYKRHAEEAKMSIPDIPT LFLKPPTCLAGPYPEPTIIPKHTIASDSADYESELAIILGKEAKNVSEADALDYVLGY TACNDISSRASQFAQTQWCYSKGFDGACPIGPVLVSKDVIKDVGKLRLRGLKNGKAVQ DSPLTDLIFSVEQIVSFVSQGTTLPKGTVIITGTPAGVGFAHKPQELLHDGDEFIVEI QPHIGSLVNKLQNEK QC762_601317 MSQPLGPFKLVTVNTAPERAYRLIGRVVENVKDKYTIIHAGNAE SIDKVKETVEAAQPNVLFTASMWTPEQAAEIIAIAKEIVPDLKTFSLPQGLQVDKGPD AVVEYIEENLPGLLE QC762_601310 MAAVEYQELVNNCCSFCCKAIDFGTHDYCPASEHPYKGCPY QC762_601300 MAVGLANGRPAADEEARAEVDVLNSRLEKTTQLTKKIQACLGRL EATGKSVRDVAGPLNGETRRLQILGNNIDSVIAAIERLRQPADSKNDEEQIIRMGPDK AGLSNYLGSIKRLNKALDDMKASNLRSTQQTVAELQRLVKLGNSQLENAFDKLLRSET PRMIEPLHFITKNKAFPVLSQDKFNKLGLMNSFVNQQTAGANPPQESPVAKIYAEIRS QYLSSSLVNMAAASSNTAKKKNPDAIYRAGTNGIGTYAQAMEGLFLSEYENICNIFTR EDWGSVFQATCQPALVELGRTLRELNGHIKQHMNTDCYLAYEIVEIISALSNNLEAKT GELKSSLAASLKPVRETAKSSLAELLEDTKRRVNSLQTLPQDGAPIPIISETMQRLQT MVDFLRPISSIMVSLGDGNWKSVSASRSGAVGDAIPSLASFDVGADGKEIFAHYCIDT IEALMMSLDGRARLILQKKPVMGVFLANSVVIIERMILQSDLGPLLQGRLGVLEAWRK KATSLYMEACKDVSVHLFDMIKTGQGGRSGGGRPTSGQGAVDSASIMKGLGSKDKAEI KEKFQLFNAGFEDMVQKHKSYSMEKEVRGQFAKDMQNMIEPLYCRFWDRYHEIDKGKK GGQGRVKWDKGGIAAVFQGLY QC762_601290 MVLIKLDPQAEMKGTDGAQAVGSSAVLHRHLSEDFLVLSKSEGN YLILEDGRRVFDASGGAAVSCIGYRNKRVADAAYRQILDAPYCSTVFYTTKVQEELCR FLVDSTGGQMARAYIVNSGKFFQAGSEAMEAAIKLARQYFIEVKPSQPQRTRFISRRQ SYHGITLGALAMGGHMYRREKFEPLLMKNVSQVSPCNESRFKAPTKTNEEYVAELAQE LDEEFRKVGPETVCAFVAEPIVGAAQGCVPSVPGYFKAMKAVCDKYGALLIFDEVMCG MGRSGTLHAWQQEGVVPDIQTIGKALGGGYQPIAGLLANHKVIDGIANGSSVFVHGHT YQGHPVCCAAALEVQRIIQEESLVANVASMGRLLSQGLKRRIGNHPNVADIRGRGLFW GIEFVSDKKSSTPFPEEVHVAMVISKLGLDPKYGINVYPGAGSADGRLGDHIIISPAF NIRKEDVEWIVETVGRLVDDYFATLQV QC762_601280 MDYEMLRDNAAQDRVRQAMEFLDPHDQHVKGYRADIITMLQKNQ RRLVVNIDRVRDHNPEMAEGLLYDPFDFTLAFNHALKSIVKALPQARKDQTDDDVLYY CAFAGSFGLNTCNPRSLGSQHLNSMVSIEGIVTRCSLIRPKIVKSVHYNETKNIFQWK EYRDQTMTNGATTTSVYPHEDNEGNPLITEYGLCTYRDHQTISIQEMPERAPAGQLPR GVDVILDDDLVDKVKPGDRVQLVGIFRTLGNRNTNHNSALFKTVLLANNVVLLSTKSG GGIATAAITDTDIRNINKIAKKSKVFELLSQSLAPSIFGHDYIKKAILLMLLGGMEKN LENGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTSDK ETGERRLEAGAMVMADRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSLNA RCSVIAAANPIFGQYDTHKDPHKNINLPDSLLSRFDLLFVVTDDIEDTRDRQVSEHVL RMHRYRQPGTEEGAPVRENAGQALNVALNQQADVQRPTDVYEKYDAMLHVGIKATGRG SNRKHEILSIPFMKKYIQYAKTRIKPVLTQEASDRIAEIYVGLRNDDMEGNQRKTSPM TVRTLETLIRLSTAHAKARLSNRVEERDALAAESILRFALFKEVIEDESRKKRRKTRP LADEKTDSESSDDSDGDETAAAARSRSARVSQRANGGARRVNGRNQRSTPPEVEVEAA EEGEEEEEDVYNATPRRTGRSTRSSQPSFASSIPASQLETQEEDEDMASRAAGLTVEE EEEQEEEQEGISEQRLEVFRRTLGQLLGTDLFEDDSARVDELIGAVNEKAEGPKFGKA EAILALKEMDSRNQIMYTDGDLVYKI QC762_601275 MTPPPPEPDPTDAVAGEEEVLESWNEIPPTQEIDFVTLGMFIID EIHHPPSLSLPPSLNIPGGAGTYSLLGARLFSPPPLLSSTTSMIIDCGSDFPPSLSAH LSSWQTSAVFRHNAHRLTTKGYNSYSNPLDPSHRSFRYLTPKRRLTTDDLASLSPVLL TTKSLHLICSPLRCQELVTTFLSARKRYYSHQRPSEEHIRPVIIWEPVPDLCTPEELL NCTNTLPMVDVCSPNHAELAGFLGGTGVLEDGGVDALAVEKGCEQLLASMPLQSYTLV VRAGEKGAYIARNGGRKRRMKKVVGHRGGLTKETDMEELFRGLVMGVGEEEGVVAREE IEVDEGVERWLPAYWTGEEGREKVKDATGGGNTFLGGLGVALARGKGIEEAVGWGAVA ASFAIEQVGMPELKGEGEEERWNGERVGDRLKSFMERVGLREGEGK QC762_601270 MEIDSTPPAAPAPASNKQTSTSPQQSVSPSAAPSAAGTTSTTNT AGGLSFRRQRASRACEVRCDAASLGVPCTNCVAFQIECRIPTPKRKKVASSIAQSKDS DSERGEVDDRSSSLPPGSSTFPSGTRPPAVYHTHEATPLTAPTEEQQKKEEFDNATLA NYMNLVMKPKFTRAPITEAGRVAYLGESSNLTLLVHDRQSSADVVHYPLPENIKGSRA RLTELDNVEIEILHQRGAFLLPPRSLCDELIDSYFRWIHPVVPVINKTKFMRRYKDPK NPPSLLLLQAMLLAGSRVCTNSQLMDANGSSTPAALTFYKRAKALYDANYEDDRVTIV QSLLLMGWYWEGPEDVTKNVFYWTRVATIVAQGSGMHRSVEGSQLSRSDKRLWKRIWW TLFTRDRSVAVALGRPCHINLDDSDVEMLTEDDFIEDDADHPTGEHPPDPIHVQFFLQ YVKLCEIMGLVLSQQYSVAAKGRRQNAIDLTHSDMALADWLQNCPKIVYWEMPRHHFW SALLHSNYYTTLCLLHRAHMPPSGSHRFPEDSAYPSRNIAFQAAAMITSIIENLTAHG ELRYCPAYVVYSLFSALIMHVYQLRSPVQSIRQVTQDRIRTCMDALKDVSKVWLVGKM VHTLFESILGNKVLEERLQKAAGKRHRKAQQSLSQLEQHQRMQEKRKYDEMAIDFSVN TPQPQHQESYERSRPQTPSFLNKEQNPNAMPPPTTTATATTSPPPHTRNNGDAFMGGT ASRPHTRPATPFNPSFSVPATPPDLYLVTRNSPNLSQSIWENFQPDQLFPEGVQAPFQ GQFSPHQHHQNMDASVMAQMQNQNMQGGPAPVDGQHQFGQALPTNRGLAGSPMQNTNN NSNKNGLLQPGMAGFPGNQGANIWQTNFDSQMVDGNSPSDSWSTSSVQGQPLPSTMNV EDWFQFFGINGEAQHGLGFDNVAAAAGLGNMM QC762_601260 MATHSTMHNFTTLIKRLEAATSRLEDIAQSAIDLESAAAQTPQG PSSTTTPQSSTPAPLIRALAPPPPPPPAPKPVAPVKEELPEQVEDFNAFIANHVEKWV KLSEEIGGPVAEQARLALKGYTEISKFIHLSTKSKRPDLKGADAPVYQKLIEPIADVI KAVNAIKDKAHRSDPFFNHVSAVADSIIVLAWPTIPTKPYKHVQEARDSAQFFGNKVI TANKESGDAKHLEWIKAYYNIFPALIEYVKDRFPDGLTWNPNGQHASEVAKALENTPS AGAPAAPAPPAGGAPPPPPPPPPPGPPPVLRINEQKAEPAPAGGFGAVFSELNQGEAV TKGLRKVDKSEMTHKNPSLRASSVVSSEGSSARGKSPAPGKKPKPESMRVKKPPKKVL EGNKWTIENYDSQDEPIEIEVEMSHSLLISKCTKTTIVLKGKANAVTIENTTRLSLVV DDLVSTIDAVKSQNLALQVMGKIPTVLLDQVDGAQIYFSKESKSTRVFTSKSTGVNVN VIGEDDDYKELPLPNQICSYYDEEKGEMVNEIVSHAG QC762_601250 MASFPRFRFLAIAVIFHLAYIYSIFDIYFVSPIVSGMRLFEVER SPSQRAPADRLVLFVGDGLRADKAFQSHPEPYPKSDNDLVPRPLAPFLRSKILEKGTF GVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKMNPVNFDSVFNRSRHTWSWGSPDI LPMFEHGAVPGRVDAYMYGHEMEDFSSDALVLDLWVFDHVKELFAEAKTNKTLGDALR QDRIVFFLHLLGLDTTGHFHRPYSKEYLNNIKVVDQGVKEITELIEDFYRDGRTAFVF TADHGMSDWGSHGDGHPDNTRTPLISWGSGVAKPELYPGGVAPGHDEYSSDWNLDHVR RHDVAQADIAALMAYLIGVEFPANSVGELPLPFLAADLKEKAKASLVNAQGVLEQYRV KEEQKMATELRYRPYKPLSENGLTAERRVADVKKLIRSGNYEEAIEESAALMKIGLGG LKYLQRYDWLFLRALITIGYFGWMAYALTTVIDLHVLHGKVKPSRTLGGTVVASSILV ALYASFVISKSPPTYYLYAFFPVFFWEGVYARRESIAAGGKQLFGHVKSGASVVSLLF NTAIYIAIIVSLALGYIHREILTGLYAIGAFWPIAYGFSFLREHAALSATWFLSCLAM STFTLLPAMKTEDVNQIVGGGCIMVLIGLVYLMFEDYILADFSRPTEQASPTQNHFSR TLVGVQIGLTALAMVVTRSSALSLQAKQGLPRGNQIVGWVVLVLSLLMPLAYKLVPSN ESNTHYLHRLLIIFLTCAPTFVILTISYEGLFYVAFSAVLVSWVRLEHAIYRASPPPP PSPEGIDHRPLTLPDLRTSLFFLVLIQSAFFSTGNVASVSSFSLESVLRLIPIFDPFS QGALLILKLMIPFALISANLGILNKRLGVAPSALFMTVMAVSDVLTLYFFWVVKDEGS WLEIGSTISHFVIASLLCVFVAALEPVSGVFISGVEVEREENVVGNGEKKNGVVGDGK ADGRI QC762_601245 MDTYLKYLSETVLAEEKVVDYVLLSQTLQVPVNIAKQMLYEFHR TQNAKRPGSVHATYLIYGVKYNTNSQNGGDEDMPDADSVTDVVPVYHLHLVTEEKLRD VLAEYEEVATIHVYSVSPQPVKDMALLADLAQEVRKSDKQVPVSITNPNVRTRERKGL GPKAATAATAAVKQQPKATSAVKEEPKVAVETKPAEQTKPAVKTEKPAKAATSAPAKK PAPARSSGIMAAFSKAAALPKKEKSSKPASPAVTETNTPALSDEDDDEEEMPQPKARP KPGSKTKKQREEDLRRMMEEDDSEETPASERAESPEEPEPEPMEAEEEAPEPVEEEKE VVETTSNGRRRGKRRVMRKKQIMDEQGYLVTIQEPGWESFSEDEAPPPPKVVKTTSSA SSTQGSKAKRPAAKGQGSIMSFFSKKA QC762_601240 MAQLRWSSLLLPLLALDYAPASATVGDYTNQEPLTHKFDKSACP DYAHYATYPHGPYSKGPLELPFQRPDPRCRTFQSDAIEKVIKDVTSRMKDPDLARLFE NAFPSTTDTTVRFHTDGKDEKIKKKLQEREKGNSFREDGEWEGPQSFIITGDIIAEWL RDSTNQLKPYQPLALKDPAIYTLILGAINTQSEYVIQSPYCNAFQPPPISGLPVSMNG QDDTVHPVYEPNVVFECKYELDSVAHFLALGNEFHKQTNSKEFINPRWRKAVRNVLRV LESQSQPTFDSATGGYRLNEYTFQRRTNTGTETLNLQGVGNPLNNGTGLVRSAFRPSD DATIFGFFIPANAQMSVELGRAAAVLRKTGDEGDRLLAEEMEGYSKRMREGIWEHGVV THRKYGRVFAYEVDGFGSALMMDDANYPSLLALPLMGFVGVEDEVYQNTRRMLLEKEG NPYYLKGRDFRGIGGPHIGLQNAWPMSLLVQARTSGDDEEIRECLGLVLRGSRLGLVH ESVDVNWVTSYTRSWFAWANGVFAETVLDLARRKPELIFEDGRPYEIS QC762_601230 MPYNTTAIPPRKEVTGQTQLPLTRVKKIIAQDPDIQVCSNNAAF VITLATEMFVQYLAEQAQEKTKLERKPRRNIQYKDIANAVAHQDNLEFLEDVVPKTTS YKDVKGKAAAARTRVKGGDKPSGDQPEGGMPNGKKHKVAANGAGSSPDGGVQRSRILS TSDAGDPNAQLEAEAEAVVARRGGNDDGDVDMTG QC762_601210 MNPQSRSTSYSSTLAPRAVRRPSVSSRLSFAVSNAERGENSNGQ GMAVQHQIEEEIAKIKRYEDFTTIDWVQDAAREQLRRKSRRKRTAGLYDTGRFDWKQR ILESYEAAQGWIVVTIIGAAIGLNAALLNIITEWLSDIKLGYCKTGFYLNENFCCWGE DNGCADWHRWTGFEPVNYVIYIIFAICFSFTAATLVKSYAPYAAGSGISEIKCIIAGF VMKGFLGFWTLLIKSIALPLAIGSGLSVGKEGPSVHYAVCTGNVISRMFTKYRRNASK TREILSACAAAGVAVAFGSPIGGVLFSLEEMSSYFPLKTMWRSYFCALVATAVLAAMN PFRTGQLVMFQVKYDRTWHFFEVVFYIIIGIFGGLYGAFVMKWNLRVQAFRKKYLSNY AILEATLLAAATAIVCYPNAFLRIEMTESMEILFLECEGAEDYHGLCEPDHRLRNVVS LLVATVVRVFFVIISYGCKVPAGIFVPSMAIGASFGRTVGIIVQALHEANPGSVFFST CQPDVPCITPGTYAFLGAAAALSGIMHITVSVVVIMFELTGALTYILPTMIVVGVTKA VSELFGKGGIADRMIWFSGFPYLDNKEDHNFGVPVSHAMIADVVSIPSTGLTLKAVER LLSKDSYQGFPIVDDENNKILLGYIGRTELCYAAERARKERTLSPLAKCTFAPRTNID PIPTIYAPATPSSSSAPYGEQHEPDYSTSTQTTIDFSPYIDLTPLSVHPRLPLETVME LFRKIGPRVVLIEHRGRLMGLVTVKDCLKYQFKAEAAEHGEREREMLGIEEEGQERVW EVMRGIAGWVSDRVSGWSGGRVRLRDSWEGSRGQEAGGILEGTEMELDEGGGGLEQDV EDDDGGGGTRRRGSTRR QC762_601200 MLKRTKWRWKGLPTGRQSPRGWLNHSGAILPVGTDLGGSSRGVA RLTRPCDPFLYVIPSRRAQISSDTAASVRKRKPQPKPCSCWISGGFAMVSRVAWEVEA SWRTNFKNVGVVTLSIGWAGVGVFEGGTDLLSNTR QC762_601190 MAVGIVQTVRPGTLTAFECSLNVDMNRFDRLVLALKEALGPSSG LTSDDVDVEFLTKLMKDYKSDEREWAKFAMGDASRGYTRNLVDEGNGKSNLLVLVWSP GKGSPIHDHGNAHCLMKILRGDLTETRYAFPEAGEEEEKPMKVISEKVYKENQVAYMA DELGVHRVWNRGSDFAVSLHLYTPPNVAKGGCHIFNEETGKKSHIKNCGYYSAYGKKL QC762_601180 MAAENPHAPENEIDSLTPLPLDHRRGLWGVSVLAGLSFVSSTVL LVYLTVKLVRWHLKQWRLERQQSTNAQAPSSIDLTLGLAERHFFPPCRRNNSRGRENA ESTPRKKAYPNQFLVLVYNLLLADIHQASAFLLNAVWLGRDGIIVHTPACWAQGWLVS TGDLSSSCFITAIAVHTYLAVVRNYTPPQRAVYATVIGLWVFNYLAAGLGVIITRNGA DGGGLYVRAAAWCWINIHYETHRLALHYLWIFVSLFLTSALYISVFLSLRAKSHTESR LTRSQPKPLPSNQQQKAFLLYPLIYIICTLPLALGRIATMAGAHVPISYFCTAGALIA SNGWLDVLLWGVTRHRLIFTEGGIDSEESGIGSFGVPELGMGGWRGSGIIRTPVGRRF GNLVWVHAAGKGEEGRGRGKRRGRNKSDSQETLTGMMGGGDGGRGERGGIKMDTVTSV TVVDVGERGKGL QC762_601170 MGFPRRIRLAKPKLKQLSSVEHLHTGTYGGPAPALLVCRSYRNH GQLCLEDLASDDMPRLGVVAKVLVTTQPQRRQLGIQTRSWRETKKLW QC762_601160 MLRTEQPRQRDLKRRTGAVGRTSDTMPMMWDRLKLPQKQDDEGL RLGLGYSNHNAPKSRGYSYSIKGGPPPPRPPREFLESHLETSAPRAAIQEPPPRNPAR IKVRTSTYRPPSSVYSQDAQAPPPVSNYTETVATKYGYRYGGGGGGGGGAEEISPPSS PEPDSEGVKRFLPGDVSPIEEDDHAAALLQQHPAFRNNGAQNDHSRRQQTPTPETGRQ SPRRAPNSRGGGATSIPMMRRERRKQSGTVMRESNSTNRDHPPRQEPPRWDRLTGEPT AADRARPSQGPPAECSQGLGITATAWASPQTSPTQAPPSFADRVRRIAKKAAAGRERE PQHDTDPAAGAFTSNRPGWRGASGRTAIVEPVHDTPEVAPLRIPEKSSRRTLTPVQAD KPRPGISLGGVPRRGQTPPISPPATETSTVRAGLREASHNVVHTPSQLTPPTAYLHSE NAQSYPSPPLSSTPLSGGDAPAMAARQLSRDAVPSMAALTSPEFNSPHESNVIRRKPP PVHTHHQHQDSVSSVYSQPSRGPQHTSPLTIPDIAPPATLAANNDSYVQPPSRFSITT YATSHTGTTRDDGDELVDEDQPPVPSLPVGLHHGGKIDPSSDNSPVTSPIDQFMTSPF TTHTEQLRMVNPAVARAQAIERPSSRASDINKSLPPAPPEGEAQDRVGLLNAQLRALA NRRININRSITQMTEMMPTDKLMNSEEVIRKREIEKKKVEALKQELSEVQREEYELGL KLHRAYKRLDRDNEFEPTGLWVRRVTN QC762_601140 MPESPYRPPPGSTIVPTSHNKCGPVPFPLTAQQLNASIHHAVPS ITQRAVRDFGAKMVADSIVDIMNHFTTADIDKVADMIIDKASDNFLDKCLEKRLLTIE AAPLTNALAKAERLGYELGDVIPEQQQGQAHPAAAAPNGHQAHPTPPAAGYPPAPSAP PSSQHPMLQCARCFRTFAQTSAFEYHTAYSICTILPPTSAGFKHSCPYCGQGFTELVD LNGHLNGRVCGHFDTVKLPRGPGRPPRVAPVQHASPVPIASSAPNGTPNASLSTPARS QLVNRALAGTPTASPIPGDPYAHLTPEQFQAMNEELHEAEIKYRPRFAEAEAIPDENE RRQRVEGLRNSFGTKQSMIRKKYGVRLRERRTKAEIQAERERLGIKQAEKDQARASMG PAGKTEDRPVVISDVPVPVVPPMPVGPPAAGWVAANTPRPNPGAADEEEHDAKRRRTD TNGGYQTPYKTGVEDTPTRKVSASFDGAGGSGVQNTGNPYPALGKPPNHADLAAQAVA ATAALNGQNGNSSKQPIAIDDGDDDSDSSGDDEDIPSTLPANVRNSLGASAAGKTGSR AGSTASMTPG QC762_601130 MSNHSPVPVLPSTCIHLENLLLLGCSSAANHLTATLYESISCLP EPTKISRICKQGQMSYSGYPPYGQPPPQQGGYYQQPPPGQQYGAPPPQGQYYQQPPPQ GYGQPPPPQPYGAPSPQPYGASSPQPYGAPPPAQPYGAPPPAQPYGAPPPGQPYGAPP PQPYGAPSPAHQYPAQGAYGAPPPQQGYGQPPPPQGAYGQPPAQYTPYGHQYPPTPAS PGYGPPQIIAWSPDADAQGLRAAMKGFGTDERALIRILANKDPHQIRTLRDAYSRIHR RDLEKDIKSEVSGWFETGLISIVRGPLLHDVHLLRDAMAGIGTKEAVLNDVLLGRSNA DMNAIKSEYHRVFRRRLEDDVKGDLSMKTERHFMIVLGATRAEDSAPVVKMEIDRDVN DLYNATEGKVGTDEMKVCSILSTRNDNQIRAIAYEYQQKYARSLEDVIRREFSGHMED ALLFQLRQGLDKYMHHAALLEDAMAGAGTKDHLLTSRIIRYHWDRTHMQNVRGAYEKR YHRSLVSRVRGETSGDYERLLLACLGEAV QC762_601120 MHSNRATLFLSASYALFLPCFSLPLHESPLQQPAALAPRATYAV VNIDGGGSGTTPGGSPGGSPGGGIGAGSPGTSGPGGSGGSGGSGTGNQPAPNPVTITV VQTPPTKTAVQTVFMTSPAVTNRITDTVVVTKTVQIVNIEPTSTPATSTAHPFPTSSA QPVLPIESSHLTSTLVSISSFLSSSTATPTSVLPSTTDVIVVTSIAAVPEPSLSSSTT YDDGKWHTTYPAWNGTLDRRSNRSRFRQRAAP QC762_0095450 MAPMLHERAFLTDTAMLYVLMSHDVMIRTGVDLRLSWTKSWNTY GRQARGNSAFFWSSAGVSEPGWRVWP QC762_601110 MKISVRTTGLPLCRAALPGRAVRTMATAAVAAATHVSSGSSSPM SMPPRLFNVRAAQNARLPVQPASPPRQPTTTTTTPQSQTHPLMPPGYIATTATLPTFT IPSVILPTPSHHLLAKSIISAFRRDGIIQIAQSPLQQRLCKEAMAASKQFFALPPEKK AACVDERSYGGYIASGEEVTDGIADYSEIFTITRDFAKTDSRVKAGWPCHGPVPWPED GGMRETMMRYKTELGEEGKRLVRLVEMGLGLEEGELGRWIGDDGKQGEGGWHHARVLR FPPRNKTNGKGKEGRGIGSHTDYGLLVISAQDEVGGLFIRRPSREERFANWEESAAGL REEEEGWVFVPPVGGTHTVILGDMMQYLTRNYLTATPHKVGLNTRERFAFAYFHEPSF QAVLKPLNGGVIPTDLDDSRKNDKGVHYGTHFTNMFIRNYPERITTKRLLAEGRYGLL ERPELRTIGAVPTTTTVEKSV QC762_601100 MKSILALTTALLATTGVQAHYIFQQFTSGGTKHAAWKYVRKNSN PAWLQNGPVTDLSSTDLRCNVGGQVSNGTETVTMKAGDEFTFTLDTAVYHAGPVSLYM SKAPGLVKDYDGSGQWFKIYDWGPSGNSWPMRISYTSNIPRCIPDGEYLLRIQQLGLH NPGAPPQFYISCAQVKVTNGGSTNPSPTALIPGAFKSTDPGYNVNVSLYC QC762_601090 MLGLRKTFAGKRLRKRSSASSFVSDTIDEEPAETSNRSAMDTIR AGLQESVSGLTVKSKPLDAPMTVKVTPISDGEHSDSERTASLADETFSATSTVAQSQL STVTVQLTRVLETSKEIRKRIYKFGDYTETYFKHVDIESYLAYISDERLIHMPRRGSD WDRVLKSAQFFGLQLWGLGANVGDFCFGAHQASITALGSTQILLEIGHQQAQALVPTF EALYELAILITNVSHIQDIFYASQEVKESLAHLYCDLVDLVGRISILYREKISSLGQN THKSVVINFETEFGQHVAGIWRRRDSIIAKMWSLKLGNRTHSLGVDAVRRRLQNDRSA TGAFYDQVSENVKRAEDTCEWVKTPLVNFLRGKEKALTITGEAGSGKTVLAGWMKERL QRPLDHTQYTTLTYSFPYDYPSRCTVLAFLKSILFQLLEKNVGNVGLYERLTSAFESY DQHRSSSKLETSLWAALESGLRSLDDRRTNVVVVVDGFQDVTGSISPLEFHGKLRTCV AKYRNVRIITLSRAISHLSEGCAHFTITSQHLHGDIKRYLRQSFSKFPGFTQLSPEVK ERTVHDLTQKAKASFLWAYIAVRLLAKDAPTQSPDAFVKAAQGINGTLDELVKKIVSK ISLKHETTQTLLSFVLAADRPLGVNELGELLRIETKTCQLGGPIDVVARIRSTCGDIV VIQNGSVHFKSKSIRSYMQTLLDGKVLPSVRDAHRNLTLALLLYSKLSLTDSSEPSFE GLDDGFVDGYFESHSLLYYAVRHWQAHFRASSLYADGELVLGKDFVEYFPTSCHFGLL ERSAYLYGEPVSVHVKHHEFSLRVQEACFGERHISVLQTFIILGNIHVEASDALAGAK FFYRAASLGKVILSTSSSVVVTCTHYFLKYTETITITERTEIVTWREEMIILMIQICK GKHGATSDTVIRWLEVLAKLYIDIKDTTRTRVIQKEIWEIYIGRFGKNHPKTRELAEY IGGLEIEFKGQVKEKEISSYVGWYLETADGFRVDDHRCISILIQLAFFYESQEEWFWA ERTYITLWRRITQLCRVHTTIELHISKLEIAIKYVKFLRRIGRYEEASNILICLWVEY EHHKFEELAIIVLLKEIGVLFKACGLLQIAISVFGKVWGWFKGKGKCDHKDAHETTIL ITEVVEEIAETTTTTKTTTTTTTEVTETIIREVFVAHYERCKGGKVDEAFFKSCLALI NLYIKIGHWSKAEVIITQSLEITWKAILTAEVTIKLSERFVTECILVARRLAVCYHRQ YLFEKAEAIYLRIFRACLISLQMEDVRTEESLAVLICFYEEHHRHEKIIELFIEILAK YRKHLGHTHRLTIKIMYSLAAHYMLLGRKEGYDIYIEIVAILNKGRKHCHHDAIKAAV ILIHFYKERQRWAELQQICCVLWETIIHCHKEIRFEQETLILVYEAYTHVLEFHAKVE WSILYEISVKFREVVTVCFGTEAYIIITAMIALAKVCEKNHKHHHEAVTIYEEVITRI KTTKTTTVTETETTVTTVKKRLSKVYVTIITSGGATTTTTLDRAIELCYEAYLHLKLT LGCWHQETLLKLKDVIVLYLRLGGEKSHVRIVELLQIAFVEIISEKECKSADLYYAAV TLSSIFVTAEIVQHGFKLVRQLRHLAIFGRYEFEGSVEIVVKYNKTISKTALVFLLAF QHSLTDNNALTFTELMAMALCEITLYEAYKRETESKTSRTTFVLDYGAKLRAFWIEQK QEHMCAVLDQRLFHIFKTKFGGFITTHDDFTRIYYLAVLAYLQQDLKKIDFNAVACKA GNDKVASLLKGGEWKKALEVARCTFYFAHRQGYYSDLTRVHYAYKLAEYMAGIDVPRP NDAKLWEEYLRLSREMTKEAFAILKDKNIELIRLKYEDISGIVRLLGSQQNFVELESL LLKLWKSREVQKNWNAERVLAVGKMLVHAHVAAKHVPAAIDLCETMCYNLRRSRGVLD PVTVEMQRMLAELYTTNQRVDRCMTIHEQILREIEAALRDYDQDESRPVRLYSQPTCG QPYLEGKKAQVGGATCGQPYVEKKQQPQLCGQPSLQPETLAKTATWQLELLRRAYYRQ GGFIKDEHEQEFAALCELLQQRLLKAAGDSNKDVCVLKAPKPETWARERKEGKQDDLI GVYVGPREKDWRLDGDSFAKYFGGGEQEGGSVEMKGGKRWSGVDHVNVARRSWWLF QC762_601080 MHILSTNRILSLLVVVATTNLVYGVPIEAEQAYEQALPRNLEGE FGISKEFDYVIVGGGTAGLTLANRLSEDPSISVAVVEAGSFYQITNPLLGKTPVGDVL FVGSDPSDTNPLVDWNFVTEPQRGANGRKIHYARGKCLGGSSARNFMIYQRGTVQSYQ KWAEAVGDESYSWEALQPHFKRSVSFTPPREDLRFKNASAEFNINAFSTTGGGPLQVS YANYANSFSTWMEPALNEIGIGPTQDFNSGSLMGAQYCASTIRPETQTRDSSQTSFLR EASGRRNLKVYMTTLAKKIVFDEKKRATGVVVESRPFGLFEYTLKAKREVIVSAGAFQ SPQLLMVSGVGPRVELAKHKIPLIADRPGVGQGMQDHVFFGPSWRVKVETLTRIANDP LFVLGEFAGPYTFKKQGPLTNPVCDFLGWEKVPRGLIPKDTSTILDGQFPPDWPEVEY LTAPGYVGDFSNLFTTQPKDGYMYATILGGLVAPMSRGTVTLKSADTKDLPLIDPKWL TDPTDQEVAVALYKRLRQAFASKAMMGVLADTKEYFPGPDVKTDAQILAVIRNTVQTI WHASCTCRMGKRDDRWAVVDKEAKVIGVDGLRVVDASSFALLPPGHPQSTVYVLAEKI AAEILRKNHDKTIA QC762_601070 MATPAVDHDKISPHQQSAAASASSARERIQARSEGGDSELSDEL TDTTNVAQEIPHDDDEPPKSDLPFSKARCIALVATVTGASFLNTLSGQAVVIILPTIG RDLSIPDTRLQWIVSAYSLTFGCFLLLWGRIADIYGKRRMFIFGSAWFAMTMLVNPFL PNEIAFDLFRGLSGLGAAANVPTAIGILGTTFRPGKAKNYAFSCYSAGAPVGAVFGNL LAGFVSEYTSWKWVFVIMGLLAVIVTVAAFFILPAPNHTLHDEGVTVKNSVDWLGAGL VTVGLLALLFALTQGNVVGWRTPWIPVLIVVSVILVTLFVFWQRHLEKTKKRAPIMKV SVFHSTKFSAAMAIMALFFSSFNGLLVYATYFYQDFQGLSTLQTTLRFLPTGIMGVIT ALVVSQLLARVPTYLLLAVGTFCVSISSILFAAPIPPETTYWAYGFPAMVLSVFGADT TWPSLILFTSHSLPQSDQALGGALVNAMGQVGRAIGLAVATAIQTAVMARDRRVDVEK AGPVIPWDSASLAGLRAAEWWNFALGCAAFVVVLVAFRGSGVIGKAGVVKAQRRPTER DDIVVDSTGDGGIKV QC762_601060 MSSSENNHVSRTPDTEGDFSSPNSRVYVRTPMATISSTTGLKST VHTTSVDEKDCSHQEGQQRQYQEQRQHQYRQQYQPRYRQSSYASSRSSLANEVIFDHE DSPPPLKDNNGPFHPASPYAKDDQSHGLGINAEESCLHHEQRGTRTVSSPVGTSGKYG KTTEQPLAGVNWRDRSVSYGDSYGGRWSSNLRGSAASESYGPNRFYPPGYYFSPANRW NPSFRSYKSSASTDLLASLTSNTRKAPFAAQPSSTNRFHVFQTLNNNNNNNQTDENDK KMSHCDKSGTFGVVGDGRFGARPTPAAPAPPVLTSIGASGFASGRMMSHPGGNDSGIS GFAQPQPSGPAYHPDALSSQLRSLSFHSGAATAVQAPGPFSAAQQHPFPGQQHPFFPQ PAAAPLFPAGFRPLASVPETVVVRAGPSSSGALVPAPGQPFFFRPMECRTHRRLTDLE SYDLMIKGFSPNYKGNPDLDRNRSATIPEDMNCSLFLVGLPADVTTHELLAGVRNVGR VYATHINPPEPEKGHEQSAAKIVFFERSAAERFFRQTTTQGFRIPTRAPPHNPARVTW NRIRSAEVDINGSKSRVLLISGPPHIVNEQHLREYFDNKLIYQVDEIIVHHPGAVPAA LPALPGSSAANPSTGNLSSSGGPRAPAGLLAYNDVPSGAPVASHSNISSSSGGVPTGY NNNSSSSSSGLAPNINVAGHSNIPLAAGPLILGNNDNNDNNVNNDNNDNNDNNDNNNN NNSSSSGDDNNNNNNNNNNSNDNNDNSGASGFSPGHSKSASSISSGSHRPSSLLGQLK GDRALIEFRFGSYRCQSEAARMALVREFREFGVLCEFGRDPCDRVEEKEEGKGKGREE EQQVEVYLGGGGDGCEDHHPFWRGGEAGMGGGAFAGGAGVGGDAFAGGEGGFGSVFTP GAGAHLSATTPAGGYFQSRAQSDSVRALWGDARERA QC762_601050 MRIAKALLSLALVADAAIASSWFSNAAYNKWHETELERWLSDHD VPYPTPADRKDLEKLVQKNWESHVVTPYNSWDAAQLNSYLKQKGVETKDSAQASRDSL VSQVKGYWYETEDKAQTAWTNVKDWILDSWTDSQLKAFCDRHGIPVPQPRTRDTLLQK ARVAYETAAQKAGETAAYPGNWLYETWSESDLKEWLDTHGIPAPQPTTRDKLIASVRR NSRLASLRMQEQKAAAQKKAQEAYATLTDKVIDAWSESQLKEFCDKNSIPVPQGTKLN QLRSLVRKHRAEIMGDTVASTAASAYGAATSNVGENVAKATDATSQAALDAFNAAVNT WSESRLKGYLDARGVPVPQGSKTDELRALVRKHAHKAATGWSAWTWDDLTLDNLKAYL ASSGDAAAKKAGEKAGATREELVQAANAAYASASSAGGNSFASATSYLSHATDNAKAA TFDTWSESDLKAYLDSYGIPVPQGSTLNEIRALARRQWTYYKYGTSSPSETIFAKIKE NVLSGWDWVTGQVMAGSDAAKKKAEEGRAKAHKEL QC762_601045 MTGASMAKAPEEMNKRFRARCEAKVWKMETRASSSDGREPRIMS RVPGVGWVGSRARSRKAATSLPYVPGS QC762_601038 MPSAHFIYSATIASGCEGVRMPEVVQPMVAGLHQAHPPSASIST PTQTTPTQTATTSFDRISTVSEHSTASSCLLPSALFRYVKQSKYVCNVFRYFQFSTCV RQRDRRRCAKSGNMVFALGGFLIACIALWSTIRAMEDGRKAVSLAEWTARKDFFEYCH STGYKEDSCDKIKTTSLGPPPLSGMRLKARMLQQTVTKRFDTFPGSLVILAGFPLMMS VWVSSRKALGKPFRNRPCSNPVILQYELGLLATTDRAQFIQTNRKVLVPRSRKPLALT TGKQVTPALTVSPLDGISGSFNFSLPAGVAVGKSFDFEHPPDPLQNNLGASSALQKGI HNPTHRRKTRNQSSLATVPVALTPKSQLLLSLAPCQICSSKLDPIVSDELLTYYPEHK KSHFAAWCWPCSAKVVRSQLALDSYLTCGHMTCGKPPPKGLHEDIGSFLMESEQESET LDRGGGKCESAGASIVKRNWNLYGHTSYDAQLIRTGTYMGRKGKGASWFNVGGGVICR WDYY QC762_601035 MQNTPSFKTLRSLWESTEAQLTKQVSFLLPQLDLLFACVALWSA IGAMMDGRKAVRIAEWTARKDYWEFCETIEDQEDGYDRVTKAPLGPPPHTFSGDNQQN GLLAGEAGELLPAQSPGTGALHAERFLAVGWKLVLTSSPLQPLSFTPNGHRNDLGPHK RRLPSPVQSRLLPSIPAAIWPLDLPLIQASTPMPGFSCRICKATLKLGFDEPPEHPWD HNNCYFVSWCWSCIDEALYGLYYQRVDSPPRGMVLHINDILRINAETTDDGGEVDNVL TQISWQRNFNQVVEALLYRVYFVYNGDEKHGGAPYPDDGSKSAWLSLG QC762_601030 MSGNPTSPGPEATAAAAASTAPDDNSNKRGRRRRLIGVSTKMYF SASRTEAFTRSVVELLSSPTDTLTLGDDDVDIFIIPDFVTLTSVISIIRSAPEGSVAR RIKVGAQDCYSEDFGAYTGEVSPAVLAEVGVEFVELGHAERKRLFAETDGRVGEKVRG VVRNGMVPVICVGEMRKDGGVEGAVGEVVRQVEVVLKGVGEGEEVVLAYEPVWAIGGR EPAGEEYVEGVVRGLREWEGVKGRGGRVRVIYGGAAGRGLWERLGGEVDGLFLGRFGH DAGEFVKLIGEVAGGGGEGGE QC762_601020 MSSPQWKIAVGCDDAGVSYKNKIKEDFAADPRVISVVDVGATGK EDKTAYPHIAAAAAKLVASGEVDRALLICGTGLGVAIAANKIKGIRAVTAHDSFSVER AVLSNNAQVLCMGERVVGLELARRLAKEWLGYVFDEKSASAAKVAAIHEYEEGEHGLS GAAEEVKGC QC762_601010 MSKRHLFSSLEGLVPKALRGIVASNPRLNLDETNRVVFDPESPK SIVSIISGGGSGHEPAWSGYVGTNMLAAAVGGDVFASPSTKQILAAVEAVPSDKGTLL VITNYTGDCLHFGLAAEKTKAKGNPCRMLICGDDVSIGKQGSLVGRRGLAAQIGVLKV LGAAAAEGLSLGELFDLGTAVNSQIVSIAATLDHCHVPGRTEHGALDPDVVEIGTGPH NEPGYKKLSPAPSAEGLVKEMLRYCLDETDPVRGYVKFNPGDETVLLVSNFGGMSNLE MGGLVDELLQQLLADWNIEPVRVYAGSIETSLNAPAFSVSVINLSGVAATSPYSLDQI KGFFDLKTDTAWEAVAGSQRYRRPRADQLVQPPVEERKVIDEARDIKIDPVLLERMLR RACNDLIKSEPDLTRWDTIMGDGDCGLTLETGAKALLEAIDGPHKIAAKGSVIEVLTE LEEILEGKMGGTLGGILGIFFVSMRTALQENLELAKTEGLVSLWSKALSHATHHLEQY TPAKVGDRTVMDTLIPFVEAMAATKSLEEGVAAAVAGSENTKKLKARLGRATYVGTGT DGKELPPDPGAWGAMVVIQGLLAAISE QC762_601000 MHRRITARPVSRPWQVNHRPSCIEEPEGAANLSGYLGTTEKEVP MISRRMIDEQRKNRSMSILNKALAGGYAVPAMCCYNIESIIATVRAAEAARSPAMVLL FPWAIQYAGDALVKAAAEAAHSASVPVSLHLDHCQTPELVRRAADIPDAFDSIMCDMS HYEKEENLKLTAELVQYCHERGIAAEAEPGRIEGGEDGVAETADLEGLLTTPEEAEEF VATGIDMLAPAFGNVHGEYGPRGIQLEYDRLDAINKKVGDRVRLVLHGADPFDEEIFR KCMAGGVTKVNINKGMNNHYAWTQEKMRGKPLTSVIEKGTEAMQVAIERYMHWLGSAG KA QC762_600995 MADQKPPVAFIGLGAMGFGMATHLIKQGYPVTGFDVWAPTLKRF EEAGGSTATTPAEAVLNKEHVVVMVATAQQAQSVLLDGPNAAVPKLPQGAVVLLCSTV PCDYVQALQVQLNSIGRSDILLIDSPVSGGAARAADGTLSIMAGMSAAALEKGRPLLA ELSDPAKLYIVEGGIGAGSNMKMVHQVLAANQILGASEVMGFAERLGLDLAKAQKAVL ESDAWNFMFEHRTPRIFTEFQPVASAVQIIVKDTSIITSEGRRSSFATPMTSAAEQIY FTAVGRGWAMDDDSSLVRLYTEGNRKVGPVYGTAESEEDKTALVLALMRGILLCAAAE SLAFAHTVGLDLDQVLDLCVNAAGGSKVLEKLGPAIIKELGGAGDASSGESSLEDVFS GLSAAVEEAQRIKTPLYLGTQALSILQRVTQSKGTGSAGVVVKAWV QC762_600990 MSSDLLDTIPPEGYSPHQQNKPACPTLYRSIPIPAPSRVFLSQH ASHDRISPRASSIPVEQPHELPKMEEAMKQHFFHHGKPGTDPSEAEKCHWCQIRSFKT HKKLPITIVNEAKGDERKEVLNPDFKFIDRSIPSDDVPIAGASFRTGCNCADDEQCMY STCECLDEMAPDSDEDMSDAPPARGRRMQKFQYYHSGTKAGLLKSRILDSREPIYECH DGCSCSKNCPNRVVERGRTVPLQIFRTKNRGWGVKCPVDIKKGQFVDKYLGEIITSEE ANRRRAESTISDKKDVYLFALDKFSDPDSPDPLLRAPPFEVDGEWMSGPTRFINHSCD PNMRIFARVGDAVDKHVHDLALFAIRDIPAGEELTFDYVDGGLAEEDAGGLVPDDKKK DMTKCLCGTKKCRGFLW QC762_600980 MGCCLSREGESGSPYTTGGGASSSARAINEQPQTTRAHVHGGHD GHASGSRRRHRHSQQPLDQHINKPLRLHEWTSYNRTWTSRELRQERIEFFDTRVTGRQ EIWQTLHAVLEVLWASAEAVRNGQVGRRSEDDGPSEEDPAIALATAQSILDAADITLP TGDLYNGAYDAFGNYYQLPHHIVADPTNLEWRPGWEHEDLDDTKADLTAGEETTEERD DLEDEAERRREEKGKGVVDVKDLIAIRARLSDGSKDVNVSVGKGDSVRSLARQIAEDA NLPPSKKIRIAYMGRVLKESTPLLEQGYKQGHVVNALVFNR QC762_600970 MSFLENAYTLVKDNSIDGTPTLQELKTQLEKGTDESKLDTMRRI LTIMLNGDPMPQLLMHIIRFVMPSKSKPLKKLLYFYYEICPKLDAQGKLKQEYILVCN GIRNDLQHPNEYIRGNTLRFLCKLREPELLEPLLSSARSCLEHRHAYVRKNAVFAVAS IFQHSPSLIPDAADLIATFLEGESDPTCKRNGFAALASIDHGKALAYLSSVFDGIPNA EELLQLVELEFIRKDAIQNSQNKTKYLHLIFDLLESNTSTVVYEAASSLTALTNNPVA VKAAASKFIELAIKEADNNVKLIVLDRVDQLRQRNEGILDDLIMEILRVLSSPDIDVR KKALEIALEMVSSKNVEEVVLLLKKELSKTVDQEYEKNSEYRQLLIHSIHQCAVKFSE VAASVVELLMEFIADFNNASAVDVINFVKEVVEKFPALRPTIVSRLVDTLKEVRAGKV YRGILWIIGEYSLEEKDIRDAWKGIRASLGEIPILASEQRLLDNMHSEEENKEQEQTN GHPKPAATSRKVNADGTYATETALTSQSAAAAKLEAVKASQKPPLRQLILDGDYYLAS VLASTLTKLVMRYSEVGSAESRTNALKAEAMLIMISVIRVGQSQFVKAPIDEDSVDRI MSCVRSLAEFKQHKELETVYLEDTRKAFRAMVQVEEKKREAKAAHEKAKLAIQVDDVV SIRQLSKKNTGGGEDTVELDLERAAGGDSGAGEDLSGKLSRVVQLTGFSDPVYAEAYV KVHQFDIILDVLLVNQTTDTLQNLSVEFATLGDLKVVERPTSQSLGPHDFHNVQCTIK VSSTDTGVIFGNVVYEGAHSTDTNVVILNDLHVDIMDYIQPATCSETQFRTMWTEFEW ENKVNINSKAKTLRDFLDQLMACTNMNCLTPEASLKGDCQFLSANLYARSVFGEDALA NLSIEKEGEDGPITGFLRIRSRSQGLALSLGSLKGLNKIGSAA QC762_600960 MSSTNEPFYIRYYSGHQGRFGHEFLEFDFRVVGDGRSATARYAN NSNYRNDSLIRKEMFVSSLVVDEIKRIVKSSEIMKEDDGKWPTKNKDGRQELEIRLGN DHISFETAKIGSINDVTDSADPEGLRVFYYLVQDLKALVFSLIALHFKIKPI QC762_600950 MPTPSSSAPEPQSPRSFSFSSCPDPEELLSNMPSTSHSQLPPAL SPQSPESPQSPSSWHPRPPVAYETDVNMTNEPIAAQSPRSATMSPQPLSERPLPEPEF EVEGTVPVPDQPDESQKQPDQDEEMVSPENDASGGGNTGEGAVARPPNTTMGESSSRR RSRCEKQSPVVGELYEVDIWGPVGNGPRWVEDRGLVGLGHEFSLMRSIPTTPSSFLRP GSKFEGSQESERQRYDVEVEIKYVDMRESFLCGYLKIQGLTDDHPTLTTYFEGEIIGP KYGFITQHPTWGATDKIDLSHWGKFAPFRPYAKQARKGGQILVKDMAQRENIFMRWKE HFLVPDHRVRTINGASFEGFYYICFNQVKGEVSGIYFHSKSEKFQRLELRHVPNKGCY GAVEFR QC762_600940 MEYLIRFSQSHETFRLPELQALAVLEGIDMEVVSYSLDSPFCII RLPLSSPPITTTTLARRLIRRSILAMSIHELWGHGPDLASVHAAVKSTTSPLWPSYLS CSFKFTLDSYQGSRTSDQKVSIINSFSYLGFMGPIKMRHPDQEFTLHELWPFNSTPLG IPEPNHLYFTRYLGSSLRDLPKKLDLKKRRYISTTSMDAELALITANIALAGPGKLFY DPFCGTGSFPIAVAEFGAVALGSDIDGRSIRGDGGEKTLRGNFEQYGLLGRLGGTFTA DLTNSPIRKQELGSDGDGVRGRVFDGIVCDPPYGVREGLMVLGVRDPEKTPWVERKGR EMYKQADFIPPRKPYGFLAMLDDILQFAAQTLVDNGRVAFWMPTANDEEQEMPVPSHP YLETLSVSTQVFNKWSRRLICYRRIPDKDVDSAAVKAREERKLVGKTADELNPFRKAY FEGFQTSPATTGTSTPAAPATETPPQIK QC762_600930 MLSFQQPAFYQSSKCFVTHGVMGHVDPKQPPSKGKPWTAFAAQD FVYTADLILPQEVFEVVQEELVKHDAAPQYKRIVMSLHDILSGDFYSSYIKQGNILML SEGRRGIDNVFALKSGHLTMFLDKEAYERAGLVGKPHGVKGNRGIKPRWIVEIDLNSS SMVKGKKGYDRLIYASKNAFSGAMTWLFYNMTSTVPDPDPLATLSPTSCTSNPSITQD IDALVPILIPSTGTSEQIARDELEDFSSELYEWLALVRLQSPRILQGDSIDPYLSLYR IPNGSNPAKICKLSWRGFFSPSWTRQTLIGLFTVLPSKTWFSFSTTTFSKGLAGDNTE CTFLRPPNRPGEYLMWEVRSHE QC762_600920 MSPNPYLLAADNPQALLELLRENPSIAVSQDEHGYSLVHAATSY NHFDLLRSLINEFKVPVDIKDEDGETALFVVETVEAARILVEELKLDTKITNDEGQTA REKIEAEEEFPEVAEYLAGLEGANGVANGTELPPAPQGLRVTVGTMDEAEAGEQQPDP EFRRRIEELAARDDIHTPEGQAALRQLVEEAIGGEGLAEERSVRPRQD QC762_600900 MTDMVDNPLSLKYKASTDYAKDAVDMKVPYISQDPQQIAGLLRG LDDGAKKGKGRSGFHVKKTKYAVAASPTKATVDSWRFQEWDYKRRDLPTYARGLFTTK RQDGTPEIVIRGYDKFFNCGEVRETDWDNILSRTKGPYELTLKENGCIIFISGLEDDT LLVCSKHSTGDRQDAEHSHASVGEKHIERQLQRIGKTKEDLARELRRRNATAVAELCD DNFEEHILAYGPDKAGLYLHGINLNLPEFFTYPSQLVQKFAEDWGFVKTGLIMIDDIK EVKAFLEEVAETGAHDGRDVEGFVIRCKMSHDSTKQPFMDWFFKYKFEEPYLMYRQWR ECTKSLISGKQPRIKKHVKITEEYLLYARKRLAADRNLGKLYQQNHGIIKLRNDFLEF KQMKGSDAANFEELHGNGGLTEVTRDVIVVPIATIGCGKTTIGVALTKLFGWGHIQND NITGPKRPPRFTKALLDELDEVTAVFADRNNAQKHERKQLITDVKLQHTNARLVALHF VHNDVENIRKITQDRVLSRGDNHQTIQAATDMGKVVGIMEGFLHRFEPCDPEKEPDAG FDAVIDLDPTVGSRANLEIVIKELHRLYPKLVTEVPSPEAMDEAIKAALEGHTPNLRH NIPDRSNNKGKKPHQQINPVTGRQIKKKPLEYMSVDVQTKDVLDALEKAFASVGNEQA KFYKMLQGQRRIQAKFHVTLMHRAGAKENKELWDRYVAVHEADGQIHDDGRLGEMEVQ LERVVFDERVMAIVVRLVPGEGDVITVMGKDGKEETKPKWECVNRVAHITVGTRSDGI KPKESNDLLMRWLEDAGEAVSRGHANGDDGGGGGGGGGGGGRNRNRWWRYGRGQRDAP RGRNGKVRGGGLDYGGGGAKL QC762_600890 MPSQTATAPAELPPVDIKPLLSRLWPVGHPDTVSPDEIANAISY FFTNQVSDVQAGSLLMCLHFTGLDRQAEVLSKTAQAMLKSAAKIDVDELRKVVDSRRR PEGDYQGGLVDIVGTGGDSHNTFNISTTSSILASSLLLIAKHGNKASTSKSGSADLLN CMTPLPPKISLITPSTISLLYSRSNYGFLFAPVFHPGMKYVAPIRRQLPWRTVFNLVG PLANPTDISSPPLLEARMIGVARKDIGPVFASALVMAGAKKAMVVCGDEELDEISCAG ETLCWRIVDGKTENFRLHPRDFGLPTHPLSEVSPGKEPHENAAILTRILNGEVPDDDP ILHFVLINTAALFVVAGVTEAETSDMGYGDDGKVITERGPGGGRWKEGVRRARWAVKS GEAARQWARFVEVTNSFPEE QC762_600880 MPPRLRCTASARGLTAAVKPSRPSAALLRPSTPAVTSAARQYAT VSRGLSLPDDYVPPTKPPTARPGETRKAQLLRTYTSLLRTTPVVLLFQHNNLTAEEWI AVRRELKAALDAVPATGDASADIASKAKIQVVRTSIFDVAMKLVEFFDPSKVEPTSAP TATGKRVKVTYNHDLSKAAWKAVKSVTMGETKIPETSTYAQLSALMVGPVAAFTLPAV SPQHLAAALSILAPSPPQFPAPTRKKNPGYHEPITQSALQKLLLIGARIEDKAFDMEG VKWVGGIENGLDGLRAQLVHMLQSAGMGLTSTLEGAGKALWLTMESRRTVLEEEQNAQ VPTPVGLTGCFPCARHPPQLNAAQQRNTSFLWCEGDFP QC762_600870 MDFAPYQSSPPEHTRSPSNSTTAGSPRTSLDTTRRGAFSPSNYQ HRPPANSPPPLQHPQPQRAWSGDNVGRYQSPLAANVWTSGDSYQNETGNGVASSAMGD YFSSLGAREGMVSEFDTSLGLRLDYEACLAYLAFPPLGGILLLILERKSDYVRFHAWQ SSLLFTGLFVLHLLFSWSSFLSWVMFLGDLVLIGWLVLNAYRDADTLDRYEVPIVGRI ASRILDDE QC762_600860 MSTLEDLAGLTSRRDDDDKKKDEKKDDKSKRQGDGDAEMKDAEP EEDVLDEEILALATEDINTRRRLLDNDARIMRSEYQRLTHEKQTMLEKIKENKEKIDN NRQLPYLVGNVVELLDLDPTAESSEEGANIDLDAIRVGKSAVIKTSTRQTIFLPLIGL VDPDKLQPADLIGVNKDSYLILDTLPAEYDSRVKAMEVDEKPTEKYSDVGGLDKQIDE IIEAIVWPMKEAERFKKIGIKAPKGCLMYGPPGTGKTLLARACAAQTDATFLKLAGPQ LVQMFIGDGAKLVRDCFALAKEKAPAIIFIDELDAIGTKRFDSEKSGDREVQRTMLEL LNQLDGFASDDRIKVIAATNRVDVLDPALLRSGRLDRKIEFPYPNEEARAQILKIHSR KMKVDENVNWGELARSTDEFGGAMLKAVCVEAGMIALRMGKNKIGHEHYVDAIAEVQS KKKDTVNFYA QC762_600850 MSGYYHMPLQGQHDQQHAEPSAFRPSRAEDWEPYRDIIAHLYNT MKLKDVMTEMQMTYNFKATEKQYKTQLKKWNLDTKYIKASEYMAMLQIMREREAQDPS KQTRFILRGRPVDPKDIARFEKRHQKKGTLKEGELAELQEPVEDLIYHTPSPEPTGYA YTATSDYGSTSSYATTSAYDTSSQYAYSYGM QC762_600840 MAGFAVTCVALWTAICAMEDSRKALRLAEWTAKKEFLEFCQTSN FKQTSCQTVKTASLDPPPTPWIISRMYRREIGVSVGYSQDFGAVLAGFPFTILWWAIF SRKVRRIIQHRYLSHHPRKSRELGLLAESMKMERPRQESHSILPPEERSPVPPPISFG TGMAADFDFSSPLSAPHLDLGLSTELERYSRAEAARRKRLRTAQSPAEYQFDEAIHSV YPVDVTGFIEQELKSDLDTHNLAFCWKCEPQHIDQDGKLIKPDLAEDFLWLSIFAKSC RNALTDWMKLRCIAGSVRSMDQIRLGNLQPLVLKGYFQNRCGLSCYGCGDELPAMVKE DGRVEFPGGINLRKRRPYLLSKRHLEEVAE QC762_600786 MEDWDLVIPNYQTPGRHNRGLLCQRGCHQPVVWEAASWTVCFLR LRTKATRRTPVSWKLA QC762_600788 MTISILAMTRQWSKSSVKTGASLENKEKAELGTDCFQRLTYLSE IGVTGNRVRNTLQLMGYARFPAQELFLHNFLFQHLGDTDYNVARRATDRLNTKLEYLH GPVFEHAQGGQLLETVKKRFENRDSIKGFDSGHPVEALAVENWHTIIRFMFEIGTALQ NLSGAHRAVTLSNVFLRHRLYPPDVSEKVVKTKPFLDRYQAVFGNPCLPEEVYDTTFW KSMDYPRPVGDPDCDKGEGRHEVRIFRLPAHRGSTARAGRHQEIPCNHDGP QC762_600790 MTTDPLSPASVLGSMVEALPTHSKDDTTSDLSSSLDAITLFIHS CFTNLSFRLLGLNEDQKIESECAKLAPRLPPPWNASASSHSFVYAHPQSSMQFVIRID RLGSKIEVRGLATGDERIARFEITPKDYISNASLPVRITMTPEGNEDRSDLYSKLSNV FISEARITDLVSLLKISIIQRLIPSLQKEGYQEDPAASATRLDPNPRRPQDPPHLPPP AQPNPYPAPDPLANPPPRPIPAGDFPPPDFEDEYEVNRPPRGPLNMPGGNFGGLGHND LYPPGLGPDDPIRGSFVGPGGLRRPSGRGGFAGGGMHPTFDDPLFQGPRGEGDGSFNG QVPPGARWDPLGPGGQPRFGGGRPGGGRGGSGFGGGFGGFGGDII QC762_600800 MDAHLVRVLVDTQKPQEAPRKQAELELQHAQRNPDFPLALTRIG LSQQLAVGIRQASLSALRRFVEKNWQPEGNDPDHVPISDETKEYLKTTILNLAIAPED EQDERKVKVSASLLISKIAVADFPHNWPNLLPTVLGIMPTGNDAQLHGALRILQDLVE EAITDEQFFSLARDIITACYEVALNVNRKSQHRALAVAVLRACFDLMEVVKDGHKKEV KAFADEVLSGWLPFMEQVVSSPLPDVANADNQPEEWYGPVALKIQVLKTLIKIKTVFG SLLLPQSPKFFTVTWQELKRLGPVYEALYVTSDSQSRLEDTDGLPYSVDVLVLDELDF LNQCMRASPVAKSLEAEIASHGSVHNTPWVLELMEILVRYGQVSQEEEGLWELDVSLY LAEETSVSSNYTARTACGDLLIKMGEWMGEDAFVGLYAFTKTLFVGEHPSWQKQEAAL FLFISLFNDFYDCEKNIPLDMSHAWLELVNYAIRRQDLPMLRARGYLVAGALARTFEP ALGLLDAVMTAMNQDESELVQVACVKAVEGFIIGGAPIEAQVPIIVAIQQFLESKDMS DLDDAEDLLVTLLDTLRSAFKMDYRIVLSPESKALDLVFLVVKHGAANLQVDGLVNDI FYELAERIQQIEDPALYTALCSKALPSITSTFDVANLTQDEPLVTIAAEILAVLLNFG VEPLPAGLVATVLPKVNRLLMSSDEAEVLRPAAEAVKYMLQHDHQQMFNYQDENGRSG LEVCLHIIDRLLGQNLEDNAAAEVGGVAAELVEKAGQERLGPFLQQLLQAVAQRLDSA QNVGLIQSLILVFARLSEVGAHDVVEFLSSININGQNGLQVVLTKWLENSVNFVGYEE TARNIIALSKVYALNDPRVAQVQVRGQLIIPEGNRIRTRSQTKLQPDQYTIIPAPLKI LKLLVDDLVTASGAQPASKVAAAAASQFADLDSDDGSEGWEDETNDLVNLGAAVSAGN FDFGDKVRNDETGEYLRVFFVKAAQENTANFQHWFELLSDEEKGKLRELAQ QC762_600810 MVTMADESSFLPIDQSHILLTSFNTFLTVAIHNILFYRRLYPPE TFLSARAYNLPVHQNRHPKVCTWITDAVSSVAAQLSSGKVYLIAVVIHSPLDPFPLSF PPSPSPIPPGSVLERYTFDTSHFPTWTTPSMATHARILQKDFRSEVTREPLLNHPSLN LTNLNEQFRACLLKMAQAMERLSPIPEGCTFTLAVELKDDAAAPIGRHQEWIPSEPTD PPPEPQDRKGKGGCVTSVASRAETGVAAKTTPVRVVEAGPLWFECWVEESRAKVGLME FTAAQERV QC762_600820 MVRVTEELALSPEHVTLYYASDPLMGHLPVLIFHGPSTTANYTL NSSRIQIHIYSPAGFVSFPRITVSPNSPFYSVVAHLPREFQGDEVCRGLAFGLYKYFS ELPEAVKTYLKNAYSTRARRPGSAPAMFGEQHAADLAKAAVQAENTTEVVDILGDALQ TQHIGCVDMDLVLPPGSIVPLGNADLEEVPEDEDDILDPTLRQYGGYNQIVKLFGEPV FLPTSKLRRAPSRPTSLNRSKSFSKNQKVELRMKMGELVDTEERYVLKLNELVNNIAV DFYKKAKERSAGSISPSEEEVERLFPKSAQAILEVNSAFMEELRRVMDESEEEAMKDM ETPFPLTRLNSPNKAKDPSGALAMARLFLDWFPKFTECYQDYIRASQNFPKLLNNFLD QQSSFRQRVVQTGEQTIRSLLIEPVQRLPRYNLFIDQIVACLPITHPALQVLLRARDI ITNICSMDDPLPDKPHVTHRLRTMVECWPADLEPQGRLILAVDFVELAAPYIDDDIPD RPGILLLFSDCVVILQKKGDSERTARDFLKEIDKPSPAGLLAAMTNAAGGQGSWEFAF TGWHSLADVRFTESIDGRLIWMTSTQEMKGAHAGEYFTSKAITTRCFLLREHYEGKAK KWTEDIVKARIEGRFSEKEREDPAWTLRSVKMQDAQFGLHAAVFQEGAHQLIEGRREP APIRVVLDIENGTKGAPVGHYGVEIVTEVRCGDMKRISMNTIGLNGKRFTDDIALEDF LPTLSRRIVQLLSSQFSVANPRLVPALVSYYTKVIRAINMAPKVEKASSRSFLSSSPV KMLSSFLSGNSSASSNGTDSTPVNGSKHRRTNSARMRSEREKERERERERERDREPTV LNSSVSSREQGIPRITMEEERPENPLVRLEQTFTGYVANMQARKGYFIGRTLLNRSMA DELAINDLYNRLIEFPFDLEAAQELGTEVIFCAFEKFVRIAWREQIGPIMTMQALEAL QIRASKKVVGDFADFVRFIFSDMAPQNRRAFTALIKLLADLLDGCSNDGDRGALTLAF AELLVDDDTAPNYINLLDRMVEDVDRIFEENHLSVTMQMLMNNRSFESLHATGRSHKS QSGSVTSNTSSLRRKFGFDTLLRQNSKNDERPSVWRTLSKHASKAPVPGESASLGKNS RSKSIDMSLNFPTQGRLRRPASRDRPPIAGAFDDIEHRPGSSNRYLETIGEPHDEPTE TKLVKKKRRSSLSDLKTLLAAANIDDPEEQLMPLRVSRQQTAEKFNSTPRPQSMVFSR VSTSPTKASPSRIPISPQPPSSSTSTFRSSRQKENDPILHHDIKTPSEKEGDGSEEDS GKTSYDRARARTIAISQIPTLKPNSRIPGATIFPPPLESPANVRPGTGTSSIPRTPST PGQRLRLQSPQKLRERLQTEKKAIDEVDASLKSELSRIEEDMARLGGSSLPRSSTLDF RKLTLAVKTLEEKVPLALIELEQRKQQLEKDLEDTLRGMEMKVKAIDQLYKEATAENE LLYEKFNGELGKIVKAIKGKGQEGKEELVGRLREQGEEMGRVKRENARLKREVVSLRG VLKGSVREGHQGGDT QC762_600830 MRSHERVIPHLKIGVDPGPILEAKNKLVKFWEHVNPVTVTGQFL LEFLSRLRQHGNKCLHPPHATTRLYRSIAAWFFASGKLKHFGQYYHSRARTTPQEADD LFDFFQVLDREFPSLVSPCSSRNSPRQTLIRVPAPKPTASLGFPSSITYYLPFAAAKM ASSKQNPSLEHRLWPAIYKTRISAYVADFLGERPSRRSLKRKGLNRVCKLCAPVRRFL EGEKKQVSSVRVLSKDMRAHLHNKIDDVALGEDLQHVTKKPERLVVVTKSFKLGDGHL KRWVGKVEQVREVMRGFDARLLEEVVGDVDVWGEVITGGGREGATERVAKRRRLY QC762_600784 MKPQEKRLPQYELSRWENKMKAKGQAVDNSLVVEVALLSFLRWS WASDTLRAVFKYLIAMLSQPSISQDRHMEILVRSLGCRGDGGLMPYDVRQDRTKDFMV DPDNQSFWDVMRRTPEVLRNAVRSLGCFVSYSNFVLHPDSTVLMKSELVTGAPSLG QC762_600780 MGLKTSKRPFNIAIIGGGIAGLTTALFLHHFCPPGSIEINIYEQ AEQYREIGAGINLGVNATKLLHQIGLGDKINAIAGSKDGVFFTLRRWDNSEEITTIYS NDSGKIRQAAVSRAELLQVLLDAIKERKAATLHTKKKCRSVTKTKSAIKITFTDTTTT TSSLLIAADGIHSPIRNQYLPHSPPLYSGKIVYRGLLPFSALPTPWPIQSHHVMWIGP NKHLLVYPISQGETQTLNFVGCITTPEESLGDLKESWSATCPRAELERDFGDCDVIVQ KLIRLLPEEVSKWKINDREAAEGWVFEQGRVVLVGDAGHPMVPHQSAGAGQAVEDGFV VGRAMGEFLRRGDGKLEEWMGVYERVRMPRANKVQETSRGAGYLYQMQAESMKGMSYD ESVPILRDTVQERMKWIWEEELEGVFEEERGRLVGKVEVEGGRGGGGCFCM QC762_600770 MPTLDVSELNTVLAVLGAFISLYGIISVLIKSRWFLGEALPAVV IGIILGPIAAKFLDSSRWGSAAEGQTSDITLGMARVVIGVQLVIAGFQLPAKYNLHRW KEMALCLLPIMTIMWLCTTLCLLASVPNITLLAALVIGSCVTCTDPILSQAIAKGPFA DKFVPRHLREIISSEAGANDGFGFPFLMLAVYLIRHADVPGAGVHNTGTVGERAAQLV TRAGDVGRLGGGVGVALKNWFVETWLYIVLMSVVIGAIVGYSSCLALKFALRRKWVDS ESYLLYPTAIGLWLVGICGMLGTDDLLACFVAGNAMNWDGEYLGETLERHDEVNSCMD VLLNFGGFMYIGTIIPWSEFHQPETTGLTYGRLIGLGVLVLLFRRIPAIFMSYKFMPK VVKDWKEAMFMGYFGPIGIGAVFYVEHTRHLFPELGEGDAEETNLVRVMIPVVYWLVL FSIVVHGLSIPSLNMIYSYYGVKPIVEDAVELPRKSMRAPTPVNAAVGDRDTFIAYNR FSRPVFDNADLPVANDKQMYASDSDENFQKTRVGKRYSRAMV QC762_600767 MERFLRGKRRSPKARHSRRVLPVIWAMIRYPLLYKPLGVPLRLL GAGSAFAEMKFLYCTTRKIHGNTRNTMTRYSGNLGQGPHAASVVVHKEIRMAWSTRLI GAPLNYGKSFLRTAIVDTTSPIVRSACVPARNLSDTTTYSDAFDDFWVPGVPGGEEGF ESGPDGTVPLDASLLDLAEIVHGRDFWAPRAD QC762_600765 MPTIPDTPLAASTLEERMDSVGRTQERLLGLMEQLRGDEEAEIV IGGATTIMTVLPEMARMTLGNLQLTDGIYFTMTPNAFTKPEEKLEWCLYFAIRCLNGT PRLGPDPGGLPLVQKEHHHLRHYLLPRREEQPPAPLLAPSRVCGRGPILLPLDNADRL PVRQAGNLRKVLPRRHHPPPPQDPTRLCHAKNRYGNTVFLYTRDESNPVVINCVLEDA GQNKDLTKWL QC762_600760 MGGQMYNLFGKQVASQYLAMGVLASLFGGVAVATSGGSAAKPTT PGATPPINASSSDEADFIKKFLEQEGSAEKKH QC762_600750 MVWPFSSSSTPSKPDQQTVHNATAETVKKVANTTKDFDPSTNLP EPKRLPAELQKIVDKADKDENFFDELYEGYVPPSTDSNVRYAAYASRFRTILLSAHRY VAYTSDIGESFRPVAHPNWVRAAYGISWAYILGDVSYEGYKAYWHNQRILNPAIELSS HQKKLLGVEATHDGTSPAKLTPGVVPPLEDYRTVMLQRGIFQSLASMGLPAFTIHSVV RYSGRAMKDVKNKTVRTWGPIGLGLAVVPFLPRIFDKPVENAVEWVFHKGFEAYGGEK MVGDAPLIGRERQLSEKPLPSGKEKRE QC762_600740 MSSPNNPNETTYISMSPAALAARGTDASLVGAHCQYPPCNQLDF LPFKCQSCSQTYCSDHRTEDGHDCSQKGAWATRRRLAEQSKASLGGHKPVRDRDVLYA KPCAEGTCKTTIGTSLVPGVHCNACRRDYCLKHRLEEDHDCKSKPPVGARASALAAQQ AAITTKATSALERLKLWGASKKEQYKDSRAAKAAGPSGLNAKGQTKLVAVNTLKKTAK GDAKIPPEKRVYLYVEAENETAKAKIPKGEFFFSQDWVVGRMLDSAAASLQVQNINNR SDKEEDKLRVFHVEGGRILDFGEKLGAALVSGNTVVLLRGVGAPEDLIKV QC762_600730 MPPHIPLKRLRSPSPEPPPKKRQSSTTSKKKAIAPPRKPTLFDD LDAGSTPRSSTKTSLLEIEASDDDTSSLTSLSDADFEDVPLDGPGTKRRKLSQPSSTE DEDIEFEDVPTPTAPAPDVAIPSQDLDLTLVRDTRINLAAAMGKKGPSKLERKIRIAA HGVHVQLLLWHNALRNAWCSDEEVMAIMISHLPPRLWEEVDRWRRSSGLEVQVEEPPP KGKTAGKGKGEGKATEKGREWGAAAKHLEKGAVDMSHGDPLFRLMKVLTSWWKQRFKI TAPGLRKQGYMSLERLDRITKAFKQTNGDDQDRFGEKIDGLEGFRKRAQSCAGSRDVG AQLFTALLRGLGIEARLVASLQPLGFGWNKLEEADPEKEEHYLSESNPEKPPVPATKL PPPRAKKTPAKPPPKTARSTRHSQKPPPEPSSDLESLSDSSNDLIIPPSPSPPPTSPT KTPKKVYDQDLEYPHYWLEALSPATHKYLPLDPLKPLLATNPDLLSHFEPRGSKADRA RQVMAYIIAHSPDGTAKDVTIRYLKGQQLPGRTKGSRLPPEKIPVYDKNGKVKRYEEY DWFKRVMSSYIRGRDPSHPLTEADTLENETDLKPAERVDKVVKEGEETLQYYKQSKEF VLERHLKREEALLPTAKPVKMFVQNKNKKPGAQGEAVYSRRDVVQVKSAETWHKQGRA PKQGEAPLKKVPYRAATTNRRREIAEAELATGAKVLQGLYSHAQTDWIIPPPIQDGKI PKNEYGNIDLFVPTMCPEGAVHVPFRGAGRVARRLGIDYAEAVVDFEFGHRMAVPVIQ GVVVAEEFYEKMVEELERDEAERRRKEDEKRRKRALGMWRRMLMGLRIVERLEGHYGN VKEGEQEGGAGQIGGDVHMAGEGAGGFEAEEDQMAGGFLPEGHEADDLGGGGFFAEGQ EEDEQHGRTTSGYFPTVHSDNDDDDGEDVLEIDHGDVSGVLSEAVSPEPASLSPSPRP EIEETKAPPRRSARRKAPVKPKAAAAGGKRGRRRRNVASTSSEEEEIEEGYEVFGSGE D QC762_600710 MGSAHSKQESSPDAREPPLKRDRLHRLRKSLRSHSHRRNLNEQN NEQTQPSDNINRPENDNNAVREMPPVRRSQGNVDPELSTEACMEAALNFFPDICPDYL RKTVESQKWTAQGLVGHILDQQESGKKYPKRVKSLKRKWEDTGEDGEEVLSKKMEAEP RFQGKTTEYMKKYKGAGSLLVAEFPDFKVKNIEQQFKLHDHRIYPAYLALWAELAQET SAWRKKSTTRPKMTLGDTVKMFSESADEGEKGAVEDFVAARQVCDIRAAKARAKKAEE DAEAANYAQAEADGTILECGCCFGDFPQNRMVHCNAETIHFFCRECAKRMAETQVGIS KYQLDCMSTDGCEGTFSKSQKDLFLDGKLTVALDRIEQEAVLRLAGIESLETCPFCPY AAEYPPVEVDKEFRCISEECGRVSCRLCRLETHTPKTCQEVARESGYSARREIEEAMS AAMIRTCNKCKTPFIKENGCNKMTCTRKGCGNIQCYVCSKSCDYSHFDEPARGGKPGN CRLFDETEERHAREVREAEEAARKKVAEANPEVDSSLLEIKFSDKVKQDEAKRAAAQI PQRGGVFGPRNLPVYVPPRMPAIAVPPNQPNAPVPAAPMALRPDQANELQRRMQGLIG LDLEIGIEPLPVEAHRNVQMAVILPPDPNREARMQQQQALLRAQIQAQQIQMEQARNN FRIQREQAAAQLNHARNVQQEAMQNMQRQELQLQQHIADHMHRFPQGVAFPAVPRPAP GPVLMNPEHLFAPAGAAGRLFNIGNHAYHEPNAHHPAAAVGNPQQAVAVPNRPQALAA PNLPPHRVANAANNNNVNDGGPAQQHGDGPRPLANDVINLTASPPAPRVNGNGYRAEL YSPRWGHGPPPVR QC762_600700 MSTQQTHPTVPLYHPPAPSPFSLPDPDSVAGYKLIELPPDLVAL LEGENPPVLTISPSPTSALLSVPSTSKSYSLRQKNTSNALIILEPTDNSGLKAIATLH ETVELVPVPTTTAAPEVKKRGKWHEKFASGRK QC762_600690 MGLLLDLPSAVLYPAAALLGVTSHLALFIRGEWHMQAPALFWLY SSLTTFIYLISFYHDQPNPFQATFLLTTSYILGLFSSISIYRLYFHRLRSFPGPKLAA ITKFWHVWQCRYGKNHLVIEGLRAQHGSVIRTGPEELTIIDPSVPNTIDGPKSTCTKA VWYDFLLPEIALNTTRDVHEHDQRRKVWDKGFTSAAMRDYETRVYHHAEVLAERIEGL SRQGKPINASDWFYFFTFDVMGEFAFGQGFEMLQSQKWHWAVRLLRRAMGLLGPFSAV PWLAQVAFYVTPWMWIVRDWLGMMEWCKERMGERIARHGKVWARRDVSHWLIEESLSR GTLKKDREWLNGDAVTVVIAGSDTIAPTLVFAFYELVRNRELQERLRGKLDGVDIYDK GELAKVGLLTGVIKETMRLWPAVPTGGYRQTPEGGLEIAGSWVPGGVTIVSPRWSMGR SEEAYERAHEWVPERWTTRPEMVRDGRGFQPFSQGRFNCVGKALAMAEMRFVIALLVT RFDVGYWGKERGERLVGELRDQFTASPGALELEFKLRGRA QC762_0095960 MPPIIASHLTTVWLFPPENDKGESMATSKAPEAKEQKPKALITF RLIQLLSARFRSLYPYPSTINARHWRVPPSRRLVKRDTGYNRSMSILAWASVILCEGI VTAGEEPDRLDMENATTDQLLHSMYGLGHLVHARSP QC762_0095970 MDSELLNSQVRVVTILKGLAAGQILTMDQMAEAIHRMQKLVGCG VFHIQSIWLFTRSDLKTIVIPQSAFGIINAIASSNDWPEVLSRVPMVFFWVWINLLPF AIDNQRQAEAILEDRHNKPWRTMPSQRMTEAQARILMLLLYPVSLFTSLRLGGTRQCL ALMVLGYGYNDLNLADRSWISRNVINALGFCSFASGALEVAMDSPLSFSGGNNQTVVK WLAMIGGIVFSTVQTQDMADQVGDSSRGRKSMPLALGDGPARWMIAIPMVGWSIVCPW FWGAGAVVHVVAAFLGLLIACKTLTWRSIEADKRTFQLWNLWMAGLYTLPLLSAGGR QC762_600670 MAKPVFVLLHGAWHGPSCWHRVIAELEQAGYKAVAPALPSSGST PPTPDWSKDVEIIHQTVSDLVKRQDVVVVTHSFSGMTGGTALEGLDKDTCMSRGLKGG VIRLIYITAFLVPEGFQHSPEGTRDNMIPEMITSLDSGIVTVKPEDVKGMFYQDLDDD TVAELAKELRPQSFGAFWSTTTHAAWRHVPTTYILTTGDRPTTVVAAQYLVDSAKASG PHKIDNVIKVDTGHSPFISRPEWTAKTLIEEANRSLQLE QC762_0095990 MQAKCMSFTPYPFLCRIWLVDLARYLPASARIDGFDIDLTQCPP KEWLPPNVSVHNLDCLAPLPDRLVGRYDIVHIQLFHLAVHSNDPAPIIKNLVKLLKPG GWISWGEIDYSRWKIVRTKEGKNITDNLTPLLEMIGTLGGTKPNWTTDDWPVRLPEFF EQNGLVNITTDNRPFPLELLPFQLDTALMASEEVSYKALDHIAGDLGSCCRELITRVF RDRQKLAYNVGRLTVIGQRPDN QC762_0096000 MKPYPDSGVSVHTALYNIHHHQAAQLLAVNNPTGKATCITETSI TKQYMQNIGKRTASMAAAYAPTGCLTNDPKTTHIAQLVAFGQTVYREERQVAESGSD QC762_600655 MAPYNVRMVFPTAVLAVNSTGLLLSFTAVALRFYSQSLRGTKMG LSEYSIIASWLFTFGLVVSENFTVTHGGVGQVSSTVTAEELLFSTKQFITIGVCGSLS VTLVKISLLSYFLTVFSAYHWFVICDYILLALTTGYGIAFVIVSLAGCRPFSANWDKV SNPDYVCIETSNFYVAQTGVGAILDCLILLLPGGVILGLRSMRRRRKWGLWGVFSFGI VICGVSITRLVYNNMEEWMATNFTEYAGIAALLGALEANLSIVCACMPAMPALYHKVR GRWKGGDRQEVGKLGGEESDGGVKGEGVESEKTVDVERRRLREEGDKLYPLSVTQKTV VSRTEGERDDVEAGGMELWAGPGVVDLDMLDLPRMNRVESGSEGGQGLPESPCWGVNQ ARKWRR QC762_600650 MATPTSEPSTKEIIIGQVIDALGEHALDTVSPSDKIPSLPRDDS SPDDDFFPHCITQQPIPRPFRGPGAPHNIMVGLEKECPRWAPGSVIRWVVLTSGFKTP SDASYAATHLNLACQKWNDLSIGVTFEWVTDPKDATFALCHGGDSGGTLASAFFPNAN DLNMMLVYNPVFSMPRWKANLWKVFTHELGHVLGLRHEFAVDVNPETGTVFEAAASVQ LGPRNEKSVMNYSRAPPEIQVSDVESTKAFYALREGEGGVPAMVGLTEVVDYVPM QC762_600640 MTTEFEELATSTVETLGHEPIGWDYDHENDPNAPPDIEAALEKL QPDHGHNDYSQLVAIPVSEEEIEASSKIDYVKWKQERAAKPHKDGGFEYAEHSYLGDS LTLAWNDGQSYVAKNKPFTLPNGLEVTYGQINGLAGDFYGTVNPISDGRDLQDQRQRF LRAWYWLAVDRTRNPAEAQKILTTLSTEVDMVQAALDKGQDPSTVYPKIPDVNVQLQL YTIARPDECPSYLGLAKINWDHFGADARTAYNACHSVALQVAASGNLELAYAMNAFGD HFLQDSFAAGHMRTPRRKLHDSVGAADLCAKYMHDEDNAIGLSVKSPIGRAWHTFGDK KLLDKEDIANKNEAWNAVRASADEIYTAWKTKTVPEYRKYAAWNYAPILSEVSSIVAP LFTPDGQRRVDIRKRCQAKYTYKYWYWSTAADCALSGLWKYPIKPTADCKI QC762_600635 MDRKMTTILAVKPTAKPFQDWWGYQSISLKTTAGQKVDNADGEG VVIYVLENEFLLKQQSDCQPGFDGIELLHGPESRLDRPSSDFHGNIVLSIIKNTAPKA KIYVLRRGTTAEELDWTLQVIMKHRQRHHQGQPALINCSFGVGVRAVASSQVNALFSC YKTIDKVLEAGILIVAAAGNEKGIEITPEMRSRYLGHVESLSLQVAQMKEANEESKWN ENIKKATNNKAGDALNESLRKIDGLGLPAMHPGGLMVGGYDKGFNCRHFYYGAGIDVY APGCDVPVPRLKDVQGGGKEFDQGTSFAAPLVTGSIASFLMTRSNEGGRKLPIPFNSA ALKQKVLDMAVPIVEPTVNKEGLYPSTGYRAFRIQLHKIDYEKGTQHVPERTEEQKET EELERREQVRNARRAKGDTGPRSNSLGGNSRLSKPNLARL QC762_0096050 MAVGTTGPTSQRLTSSRSTDISEHWHLHLNINHKFETQERHKAF TAPLVVNRFLAAGSESASTVWERLLDREDGFLHKSQTQERQVNR QC762_0096060 MGVAMTDTCRLESVVPLVLVVLGPEIRARSKFAKIEYSSPSLAG STVGQTCHQRTPTPDAALICNTSHDRRILWLFSSTARHNRQKSWRFPQHPATTGEQRG LLNDLCSRNSQSEQRQ QC762_0096070 MYQPNQTSSRETTLRYLTWDTPLSDQHEKAVQNIRDPTKWPAQE KHFVAGLSILTTFMAAYSISAYVSGVSSIAAEYGTSRTVVLVGMPTFQTAFAAAPMVL APFSEFVGRKPVFLSTYGLYILCTLLIPVVNNLAGLLITRFFQGVGASTFSTMVGGII ADIYQPHERGMPMSLFATASFSGGIGQLASNFVVEPLGWRWIYWHQLIANALLIALIF FFFQECRGPLLLSRRAKILNSADLAPCNEKLSTPRITWKVKEEEDRATLKQIIRISLT RPFYLLFTEPVVFWFSMWISFSWALLFMFYVSVPLTFETTYSFTPRQAGLVMLATVVG AGLGNLCYPLQERLYRKYVTEQPRFSLLRQFRKRPDSSGLCSDWNPEARLYTACLLSI AMSIGMFMYGSLMMPDIHWIFAVLSVTVVTFGSYSIYLAVFTYFADVYTTYASSAMAA QSFCRNAVAGALPLGVTPMFTNLGFMEASLLLGGFGLLMR QC762_600620 MNHCHGQLPMRDFMGPSDAPQISFEDDLFSYLLSDVQDEGVAFD SNHASYEYDFPPIHATSDATNVPISGLAFPINIASPPSPLPEHPVPNQGWRDSLRSHN FLIPTVNYSGAVSNPFAISLGMNDANTYISVPGQRRESEPRYLVPNETHTHDNFIPCM GLQLDIPGSGTVFLPEEDPDRYDLEEVFSMFYQGNRNLQRMSPQLIEATLGIPPDSND SQHLICSQNNERQSDQDSATLDALSAQPQIPSSPSTLAQSPDALSEPATSLSAPQRDR GIPFTSRPRLLVAPPGFSHSHILVITSNPESLPASLWDGARLAELEKDIRLKALDIES QIPNSQDSSSRDFNQKRQRVDNQSQSNSTQKVSKASGVPTISSYPALFPCPASVPTSD PLPSAMPHSRVPKRKANAERQATSQVKKESTCLLCRVMREKCSDGTPCLRCVRVLQNE RSILRVPCQPATLDDIELYRRRTQIGLFVYYYEQSSESDLPRRSASIVDTNPLESQLS QNCPLTVADTFAVHEFGTFQRFAPNLLNDANRERELATQHAPTSEDLSKSGVLARYLE LHLASIIETLGTNNSFIATTLKVAERYSRPTGSKTRTMLRHALYIFAARFLRRTYWVQ VDTVTENYRVAWMDAPWRALPVSTPRSLAEAETLNDILKDHLRFLEKSVIHAFTKKIY ARKKEDWFEIFLVTFIFQVILSENLEMSFYSHFPGLEKPIECPWSTFGGLRSYSSKRI ASYFSAINGRDPFLKPGARAWEGFGDTERTYLDQCGILLKEGYTKRDDLGFRRSASMG DADSPGSWWKWAVETILGNG QC762_600618 METAIATVFYLDKPPYKNEKPYFCCLPPEFLQGNSSTNHVHIPA DITVTNIRSEVATFSLDENGFEVAEQTLDDQFNYESVKSGSEIEQRYIQEMEAFLTER FEAKKVVVFDVETRKRNREFPGNIGEKSTLEQPVRGVHVGE QC762_0096100 MGFIATEEQKQPWKNFAQTGGSNASYEKHQLYLQTSGGRPWNEW LKEYKGIVAAPRTPAPPPVSDD QC762_0096130 MPLLQAEVPAALAFFHCQRYLTRMMEEQDRLEREEQELEDQLAQ LTAKLIRVKRTRRSLRAREERLFARGIQEEDAQVAQSVAAAPPDSSPGAPARPDAAQE TVP QC762_0096140 MIQGRRIVRTFFAVVFFLLVVALFRPFDSPIRPPPQPSRPDAKI RFKPSNFDWTTVRQRYPAKLIHSLPTSSPKQFPPVQHHFRDYTHDATTVKRQEAVRNA FEKSWKSYRKHAWLRDELAPVSGEGKTTFGGWAATLVDALDTLWIMGLHDEFLSAATA AAQLDWADTTVTSANLFETTIRHLGGLLSAYDLSGERALLEKATELGNMLYMAFDTPN RMPGFWLNFKDAKRGTQIAGTNDPSACPASLSLEFTRLSQLTGDPKFYDAVSRVTDLL ERTQSSSKLPGMWPKLISFREGTLNHESGFTLGALADSLYEYLPKMAILLGGREPRYE KMHRAAMEVVVEHLVFRPMIPAEDNPHDILFVGDAFVRSDKIDHVPESQHLSCFVGGM FGLGGKVFNLPEHVDIGERIARGCGWAYDAFPTGLMPEIFGLVRCGSVKEPCVWDEEK WEKEGARGLKKGFSNARDPRYILRPEAIESVFLLYRMTGKEELREVAWRMFESVMKST ETKLAYSAIADVTVKGETRRLDSMESFFLAETLKYFYLMFSPPDVISLDEFVFNTEAH PFRRPK QC762_600600 MKESGINGGLETLKPPHQILAVTTSPLTTTTTAIMDAKTTTTTT SAVPPSEQTTKWNTKNLPFRLGADLISAASAAVLVAPIISVIDRSIMENASGRSPLLT SLRTSLTTFLTSPKTMFLSKPFGLIFALYGGTYLTANTLDTYLSTTQSLAPTYVSSGP EKFIASSTANIGICIYKDQVFVRLFGPPGITRPVTLPSYALFAMRDCMTIFASFNVPG LLGPRIQERLSEGWRRSGPTGATMAQFAAPAAVQVFSTPVHLWGLDIYNRPGVGVGER VRLVVRNWGVSTAARVCRIVPAFGVGGVVNFKVRGG QC762_0096160 MSTSTSVQNPNIGANLPIPTENTGTHNPDSGGLIDTATAMSALV DILDGQPITPPSLYIDLEGVNLSRHGTISILQIYVLPRRRAYLIDIHILGEKAFSTLS STMGRTFKDILESETIPKVFFDVRNDSDALFSHFQIRLAGVQDLQLMELATRTFSRRV VCGLARCIEHDASLSASERSSWMATKERGTRLFAPERGGSYQVFNERPLNEEIRRYCV QDVHLLPRLWAHYYGKLTKAWERRVCEASRDRVALSQTPGFNGKGRHMALAPAGWSWL QC762_600590 MFLKHIDLTTALRPSYLPDEDLLFVQDNVGLYEGKFKLPNQQNG QVYLTSHRICYVDKAEPRKYSVALDLKDVERYEFYAGFFKSSPKITLIPKATKRAPLQ QRSPAHVSIPSRSGNSSPAHRQEGVLRVSPVEPTPVSAATWVCTICSFSNPVPSNFDP TAANAHTPLPPCLACGIKPTLTHVLKAAISNASTRGPSSPALQTPLPVRPKQISDLTS PQSPGLTAPPRSSDPDASFHCPRCTFANHPSLLSCEICGASLISHDIPASLTQSLRTN TESPGPFLNSTAPAPVGLESPENVKLSFRHGGEKIFYERLKGCMTQRKWLLQNAPPIP KSSRSDGTNGGSGTAGSSEPERQRPKIGGIAGLERQTQAMRKNNELVIGNAFEDLEAL MASAKEIVALAESFAKQVRGAGGSSASENALLAESASQLGLITTKDIVGSNGGDSLYL SELARTLAEFLTDDRRGVLRKAGGVISLVDLWAMFNRARGGVELVSPADFEKAANLWE KLELPVRLRVFKSGVKVVQSKDRTDESTIKALLAWMKDLHEFPPEKEVSWDWHEFGRG VTARDVAERFGWSIGVAEEELEMAEEKGVLCREEGIEGLKFWENFIDTGEGKGYKDEA TLKAELTLKMLRESGFI QC762_600580 MMPTWPTASPPQGVPPSTAYAATYSAPAFTPVQVRQTFGQSYSA PQASYPTTHPYGPAQSPPPAPANHQPTAASPPTADEQPRKKVQWPDSVRSYVQRSFFP ANMDPTVSKEEMEAKLKETIMRASEDNLLYSIVWETMPLPQQIIKQEREQRAKAMAYP AQVQTPYSNAPMPISKKRKSADLEDVANLSITPPWRTGQGARLEDRVTFSKDKRQATE ESSDNTSKLNKYEKRQKRFNGGYVSTYRSPSPPPGDGPVVGTCEKLEKSYLRLTAPPK PENVRPPRVLKNTLELLKKKWKKDSNYAYICDQFKSMRQDLTVQRVRDDFTVEVYEIH ARIALEKGDLGEYNQCQTQLKALYKLGLKGKANEFKAYRILYFIHTANRTDLNSVLAD LTPAEKKDKAIKHALDVRSSLALGNYHRFFQLYNETPNMGAYLMDMFVGRERLAALCN ICKAYKPDVPLRFVTEELYFESDMEAAQFIIDNGGQDLLQEKEDGTIVILTGKAGQTF ESHKAKAFARVDIKGQI QC762_600570 MKALRRSIKGEKENKPHISIAPKSAVAIQPPKKVIRALYDYEAS NPQELSFSRGDFFHVIGRENDQDWYEACNPALPDARGLVPVAFFQALGRTERDSGQSQ PDTARSTNSTKGPDNDSGYGDASTVGTATPAASQRSSKISGKSGAMVYGVVMYDFQAE RGDELDAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFVEIRDMASNTPVANPQ ESVRRAGIPKVEEWKKMAADYKNSSITLGKFDVGGAPIEQGMERMSLQQQPNGRTSQV PAGNYQQPQSPPQQQAQVQPQQAYNAQQPVQQPGYGARQSSEVAAPVSAQIPRYCFAE EKYWFVIEAELEDGRTWELSRYYEDFYDFQIALLTEFPVEAGTTGKKQRTLPYMPGPV NYVTDAITEGRRHNLDAYVKSLLAQPPYISKCDLVKQFFAPREGDYEIDPTAQAEEYR LSGGSQPSSTDSPADGASRQSSRQNLNSNGNGYAGLSAPARQMGGGQPAVSRQVSSLS QPSQSSLSPGIQQPGAQMKVKLSYNGDIIAIKVPQDISFRSLYDRITERLKIPGGEMV QLSYKDEATGDKPPLMSDNDLDIALSRNEKLLLYVE QC762_600560 MSAMKPDVPLESMDQIGSTPGSATRERHSLTLDQRRALRRWANS QPVRPSHKACIDWFASQYQQTISQSTVSHSLSPKYARLDGDPQLSGSRLRFGNWPDVE KLVLLWHQQMLANGRQPSNEELADKAKTIYHQLPRYKDEPAPEFSPGWIHRFKKRYGL LVRRQRRPTSSEGGNPAPGTTTLQNLGDDIPYLAENLPRYLNVNADLPPVTVMEYLQR FLGVMTTLEVCERVKEEVLRRQHNPSPDGGPGTPNNNPMGGNAENTGIFHSEEDPEVV LQNALRVYQQQEENNVSGAANLSGVTPDHQQPQSGGDRTVDNGLPALGAIANQAYAQH AMNAAGLTTPNPGTRNASAGPRAQPQQAPPAQPQFAPNTPQQVQPQQVQPQQVQPQQQ TPQQPQTANGGGNAGSGAQQGEELTLTPIPSGAPVSVVENPVRCPFCLNKRMLRTIKE AVEHMSSHVVV QC762_0096210 MPTSDLRLDPRGYKTHKWLDLDTIYLIVKPKFSLRFRHVDELRI ESAMSDSYRFGDYNNGSQVGTNRGTIYNTFPQAPERSETPPRPFATIPFSRDPDFVNR GDILEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVHAGMYER VEDGFRTIANTVKLAGRNEPKANIPQLVYSWLSNERNGRWIMILDSADDRDVFDNANI AHGTTSGNERKRRPFATYLPQSQNGSIIVTTRNRDIQTDRAPSKYDRSRTDGADRCPL ALLEKKLGSPADLDVAADLVQALDLVPLAISQAAAYIQARAPRSSPEKYLAEFRKSEH RKSSLLQYDAGDLRRDGGASNAVLTTWQISFDYIRSKRPSAADLLSLMSFFDRQGIPG WVLKPRRVTKEDIPGRRIDEDGDTDFDNGRSATDGAVDGDMDGDTDSDLTDDSADTTD DGFEDDVAILRDYCLIATTEMDEFEMHGLVQFSTRKWLEQWGQQETFKQKFIERMAAS FPTGNYKNWATCRNLFAHVQVAVAYQPSDDRNDIWATLLYNGGWFAWSQGRYEVAQRM VGKARRARENRLGKEDTASLDSMSLFALILLHRGQWEEAEKLFVQVMETRTTKLGADH PSMLTSMANLASTFWNQGRWEEAEKLEVQVMETRKTKLGADHPDTLSSMANLASTFWN QGRWEEAEKLFVQVMETRTTKLGADHPDTLTSMANLASTYRKQGRWEEAEKLFVQVME TSKTKLGADHPDTLSSMANLAFTWKSQGRHSTALALMKDCAQARQRRLGAEHPDTLSS LATVTKWGS QC762_600554 MSTYKSLHHMYKRFRKAKRQVDSDISTFNIQNRAAVMDMQKENA ALKREGEKLRVEGEGLKARIESLESQVKELNGAMSYVLGVKSEELKGDRLWYQPLEGQ WKKWKGME QC762_600550 MSFLPLSNRILSFSSQTTVAIRTTAKHAQATREIHQSITMSRKR RASPDATHGRIGRPVPVEFDPWNRPLTPQASNAAEEWRRIRRQIDNVEDADLDSQHVI RLLTLAAEHRREYTRLAAGWKMLDHVLQPKSRTYEPLPLVQHDFLPEPDLYLDLFVDP SRNQAIKDCVSKYQQLKQSLDGFDPRSGAQWPIYELVEAERRRREYNSVVGSHVSVPP HQVPDEVSPQQLSSNSQEPQEPTPGPEPVLEERPPLCPEQEAVVKLAEQGRNIFYTGS AGCGKSTVLHEIKRRLKAKGKVVKVIAPTGLVALAINGSTTWTFMGWAPDFNKMPLDK LCGVTKANERVRKALRRVHTLIIDEISMVESNFFERMDSALRFVRKRDPEEDRHTGPD PASLPFGGIQLIVTGDFCQLPPIKPFKHCVTCGFELSTTKTCANRACRQSQFRLEDQF AFSSAAWQKCNFNYVHLKTIHRQRDEEFRALLEKCRTGIAFSQADIEILMNHESSTED AVRLMPTREEVYETNERAFKKLPDPEFSYKCSDGRHIQENHQYLEYKYALEQNGDDRN HRVINFGDDNHRFEKVLKLKKDMSVLLLVNLNLREGLCNGSQGIIVDFESHSVGSLMR TLEGRPGLTYTQKEAIGTFASRNLNSEEPRMIALPVVRFQNGIERTILPECLVNEVGD PAPYSSVWRAQIPLMAGYALTIHKAQGMTLERVVINLENVFEDKQVYVALSRAKTLDG LKIEGDKESVKEVLERALQGDLQVQRFMEETQWIEFE QC762_600540 MNPSGLGSSGKVPQNSHTGAKATGGKVGSVTTGATAGLGEDKPK VFDSEGAIGKQFTEDGVIGSIGQNIGGPLHSEGMIGKQFTDKGAIGGTVQDVLGGTKK RSN QC762_0096250 MCHGHPHIHGCGHQSMTWHCCPSALIDLETGCETACSNVTFVAP RPSNAVCVLINCDYRSGGTGWTCCNCRGRNTSGWCKNMSPNPKWEKSTITNEWEWIEA CDHGFCRNCAKNPSTSYGEPSR QC762_0096260 MDKNKNSGITGAGKVVTSTIGNTVGGLTNTVGGVVGAASRGIRE TVTGATGGLGKPLGDGVTNIGTGVEGGAASVAKGVKDAGE QC762_607300 MASVDTNVNEPDRADTRRGPGLHFWLAFWAIALTNLAAALDATS LSVALPVRSDLSPTSRNDVNTGLTQHGQAISAAIGGDGNTQTEAFWAGTSYLLACTVV LLLWVSLSDVFGRRPVLMLALVIFAAGSVVCAVSQNFTVMIAGRTVQGLGGGGVLGLT TVLVTDLAPLRERARVYALISSIWAVGSTTGPIIGGACAEAGQWRWIFWLNLPVVGLG LIGIGFFLKLTRPSGDTTARLRNLDYFGSTLFIASVTAFLVSVTLGGSQFPWSSWHTL VPLCLGAAGMAGVAVFECYGTSTPFIPVYIFRNYSTTAVYAGSFVHGLILYSLVYYMP EYFQAVLGYSPLIAGVAALAQTATVVPCAIFVGVVVSKTGRYRWAVWTGWVLATLGCG LLILLGADTSIPAWIFLTAVSGLGMGILFPSITLALQASVPPADVATAATLVLFFRSF GQAVGVAIGGSILENHMQAELQQPEIASLLPPAIANIGAVPLASMMKNMPSGSPVVMA LRGALVRTFQVIWATMCGLAGVTMLSQFTIKEYSMDQEHITDHRFQQEKQKSGQEILR LGSNRKDGE QC762_607310 MNRNDIEMPYPTYYYVAILSIAALFTRSLYVRSSLFQRKRRFAR KHGCAPVTRARAWDPLLGLDHFVRLGKAAAQRRYLEYWRKNMFGRYGNTFEINLMGQR LLFTNEPRNIQAVLVTQFPDFDIGQRRRDNSAQLLGVGVFNADGPTWEHARATLRPNL TRAQVADLQLFEKHVGVWMAALPKDDQAVDMQEWAFRFTLDVGTEFLLGTSSGVLDPQ ATALGRRFAWAFNLGVDGVAQKIRLGSLAPLYYNADYGKACKMVHEYVDPIVLAAIEA TQKTGSEQHSNVGDEKRYTFLAALASEGISPKKIRDHVLNILIAARDTSACLMSAAFF ELARQPAIQAKLRAEVDRQLEGRLPRYDDLKDMTYLNWFIKEALRLYPPIPMNIRVAN KDTILPVGGGPDGSAPIFVPAGQEVVYQIFSTHRRRDLWGEDADQFRPERWETIRPHF QYLPFNGGPRICPGQQFALLETSFVLVRFLQEYSRLEAPATSQQPWTENYTLTCSVGQ GSWVKLTKRGGEKGSEVSA QC762_0096290 MASQTLPFVFHLLIETAAAASFIFRPEQQLPDCSAAAKLILRQY GGLLLSTNLVCLVAIFHHQPPSCGTLLAAALGTYHAWPIHRALARLQYKVEGDSEGQG AALGGPAVHLVLHSLGLAAFLAVAVFGDNKGA QC762_0096300 MTLPHTEGEDGSPEGPLPTPPTPLVPLGNNIFLFEPSVPSAAHD KISGSGSASGCPPPSLIILCTWLGGATTPRVAKYVEGYRKAFPDATLVLVRTVLTDIS ARSFAAVRSRLRPARDAIIKALQPPPTTTRTTESSTTNPVIPQALLHMFSHGGCNTAI QLALSISEVAGTLLCDHLRQIVFDCCPGDTSFAKAFNAAALSLPATSSAPIRALGTAA VFAAVATITALQKAGFMSSVNDMRRELNKPTLFGTAARRLYLFSRADRMVGPADVQSH AQLAREAGCEVGLVLFREAPHCALVTEDATKYWHAIQGCWMGESLPQLNGESKL QC762_607330 MPPQMGEKSETNGANGTHATPPMPGLWITGIASQYPPYLLGPEK LDEFAKRFFDVEKPGLKKLLQINKTSGIDTRASIGDYQTGFASRPEAPTLADLDKFYR RAGVDLAAQACRKALKEWGGRPCDITHTIAVTCTNQGNPGYDLLVARRLGLPHTVDRM LLHGVGCAGGLAIMRAAAQVASGAASRGKPARVLAFACELCTPNVRHDLAEAAACADP ADVSIAGVLFADGAAAFVLCNDAGLLLPQDDAGDDDGGRDSGWAEPLFQLLEWDNATI PDTMQHMAFYAEGTGFRTVLTRDVPSFTKTAIGPMFRDLLPGFREKTGLKSLDVADFD WALHPGGEAIIQGAQEMLDLTSEQLRATREIYRTRGNSSSPTVLAVLDLLRKMGRGKD HVVATSFGPGLAIEMSLLKRCRAGDGV QC762_0096330 MAPVESTEKRSLIVASVVSGCLLWSVPLIRLPNPARPDVTLARG IFILVHPAILRRLRQQTDKSDHRYGTDFVRLLPVRLFHSAPKQPVCRA QC762_607340 MADLRFEDQPVSQEGRNGRTNGTGIVNGDHHPTSERTTNGDSVN GHPNGNGLSNGSGLPNGSKTQTQPPVEPIAICGMGMRLPGGITDAAGFWDMLYNGRSG RCEVPEDRYNAEGWYGPGKIGHTASKFGYFLDNVNLANMDSSFWSMTKKEIEAMDPQQ RLTLEVVYECLQNAGQKPDQLRGKKVGVYLGTFEGDWLELDGRDPQHYHMYRLTGYGD YMSANRIHYEFGFMGPSVTIRTACSSSLTALHDACHAIFSGECESAIVACANVICSPR TTITMQEQGVMSPSALCKTFDADADGYARGEAVSAIYVKKLSDAIRDGDPIRSVVRST AVNAGGKSSTLTAPNTAAHEALIRRGHQLAGISDFSKTAMIECHGTGTAVGDPIETLA VANIFGDYGIYIGSVKTNLGHSEGASGLSSLIKMTLALENETIPPNLNFTTPNPKIPF KECKLTVPTEPRPWPKDRDHVVGVNSFGIGGSNAHVLLSSASSFGAANATAHKGDVEA FDADPGLRLLLFSAKHPKALQSMVAQHQAYHLSHPSQLGDMSFSLALKRDALSYRAFC VTDGLDDWTPVVSPRPASREPSRLVFVFSGQGAQWAQMGMALIKNVPQFRQSLRDMDR FLQTLPDGPQWNEIQAPKSRSRISSAELSQPCCTAIQMALVDLLTSYNVTPGAVVGHS SGEIAAAYASGAITANEGIAIAYYRGKVMLSVDSTKKPGGMAAVGLGRKEVEPYLSSG VLIGCENSPESTTLTGDKDALEGVMQNIKEANPDILVRALQVDRAYHSHHMRQVAPLY EELLSNMINANDPKIPFYSTVSCKTVKSGRELGPEYWVNNLVSPVRFSTAVSEILREP GRKTFVEIGPHSALAGPLRQILKSAKSTDEYMNILTRGNNSHSDLLHAVGYLWSANQP LHLAPVVGEGKFLVNLPLYPWHYEEPMWYESRLAREWRHRKFPHHDVLGSRILESTDS SPAWRNLLRLESVPWIKEHEVAGDIVFPGVGYVTMAGEAVRQLTGATDFTVRRVHIKA AMILVQETATETITQLQRVPLTNSADSVWYNFTISSYQNGSWLKHAFGQVSAGSEFPH EAPDLAPLPRVVSRKAWYRKLRSLGLEYGPRFLGLRDITANPVEPTLLTHMTNDIRDG ESLYAIHPVTLDLVPQAIAPALTNGLTRRFDRVAIPTYIDEMYIGPPATPDMAMEVRI TEERKNARIADAVAVSDGKVVISVKGMQVSVISDAEGDGGQDPHAAVELEWKEDVNLM DTTTLIRPAKDRHDVHQLLDRFSALCMLDAAERLRVVEPSRPHLAHFRQWLDGLCVDI LGGRYGCLSSQQSDVDMSPARRKETVDTLYAQLLDTEAHAAATAVYRIASNCDSIFSG TTDELALLLEDNVLHQLYDFMQNSEYSAFLDLVAHRKPNLRVLEIGAGTGGTTATVLP ALRSAYGERMYLSYTYTDVSPGFFPAAKERFKEYEALQYAVLDISKDPLEQGFDPESF DLIIACNVMHATPNIHGTLSNVRKLIHPRGRLFLQELSPETKWINFVMGVLPGWWLGC ADSRFPEPYMDSARWDTELRAAGFAGAEAVAYDGYLNNNIITTPAAPQPPPPKRVTLL HSGETATTTIAVIASLRAQLQLSGYQIDLHTLDTPSLPENQDILVALDLAEPFFHNLT PRRLASFQELVRQARDRGCGVLWLTGASQVGCVDPRFAPVVGVARVLRTETGLDFATL ELDCLGQVDVEFGAVPAVLAEFQRRGPEEEDVRSEAEWACVGGRVLIGRYHFVDVAKG ITTAGDGEGGPAEKTVLKLEQHRPGLVNTLFWERRAETALGENDVRVEVQAVGLNFKD VLVSLGIVAEPYSIGRGMGYECSGTVTAVGSSVTEHRVGDRVIASSSGSFTTSLQVSE TLCVKMPDTLTFEEGASMLAVYCTAIYCLLDVGRLARGMSVLIHSATGGVGIAAIEVA KMIGAEIYCTVGNESKAEYIVDRFHIPRHHIFNSRDASFLPALLQETGGRGVDVVLNS LAGELLHASWKCVAKFGTFVEIGRRDLVGQGLLAMDVFEANRAFVGFDLLRFSTERPL MIKSLMERALAFYTQGHLRPISPLTTLPATGISDAIRFMQKAQHMGKIVVTMPENRNE LVSEATRNPIVLRNDGAYLLVGGLGGLGRAITTWLAEKGARHFVFLSRSAASVSDHDP FVLELEALGCTTVRVSGDVSNYEDVLLAIKAAGRPIAGVLQASMVLRDNSLVDMSWDD WVTASRPKIQGTWNLHNALAREQAEPLDLFFLFSSAGAMSGQWGQANYNAGNTFLDAF VQYRHSLGLPASVLNIGVIGDVGYVSENTDVLDSLRATSQYIMEEPALLDCVELMLKR SSARATTPAAPGGDDAMYRYAQPSQMGIGMRSLLPITAPANRTVWRKDPRMLVYRNLE DTGGAGSGGGASSSDEELTRLLREISYNMTLLRSADTAALIAREIKNTLLGFMMRSED ELDLDGPLASVGIDSLISIELRNWIRRRLGAEVTVLEIVRAPSLRELGVTVQKKLVEK YEARVG QC762_607345 MPQADRPGPGAHDASNRVRSALIGEITGNPSPIFNSGPIRCYEQ ANNIPSFSNNTWAMDDDSDGHTRWPACHRCHSSKLRCRRAPNQRACNRCIRAQAECSP RPSRRGQQVASTMANLNNAVYPTQGTGSTLPVMDRSMGGTTSSTTPPDNIKALDSSSL GTLDTLDSRMLQTMDSWPRLSGSDISSPINPLLYQDFFHLTEVPTALGGKPQLGGLQN ASASEAEGSQASQMDRTMNDSEQALGHRQSRSTGLDARVHELARLNILLVSQQEATAE SIHALRASPEAQAYGGRQSDYLNLEETLRIALQLLSILRQSVPAHDPATALLFLSCYS RLAAIFRNVFECLQRILDEGSTISQRPLSRLFPRVQLGSVCLGDGSERLQAQMVLDVS EHVFTDISMKIELRFTENDPTSSGGQGAESQWPSFGMLDEGIRIVPAERSVVTGLVDK LRSALKQY QC762_0096350 MTTTEACRGLRRIIPVDDAPHDATIDIIAIHGLGTESPRTWEFK KRNGDGVVNWLSDADMLPAALPKARIYTYDWNANYFANAPVQTLLGHADTLLGLIAEG RGSQTRPIIFVASCFGGLILAEAIIRAAQEGSAYKHILLSTVGIVFLATPFQGSDAAK QARWQVLVKGIMGEQASDQLIKDLEQSHDFVHQRVQKFAEIANAKAVQLPLSCFFETR KTEMLRRILSPGWAKRLSRSVTRKILVTESSACLHGFPRQGLDATHSGMNKFQGPECP NFKLVKDAVRKLAGDASVVLKLRKNSTMKGHWIVRFGRNKEFVGRESILEDLLKRVLP SGDEDDCQRTAIEGLGGVGKTQIALETAYRIRDVQPECSVFWVPAVDATAFENAYRAI GQQLKVPGIDEEKADVKALIKSALGRENIGNWLLIIDNADDEKLLFGDTALADYLPFS RKGSILFTTRNHKLGLRLVESENHIIAVEEMSQDEALKLLGKNLKGSQMSDIRSNNAL LEFLTNLPLAIRQASAYMAKEQISTARYLKLCNSSDEDMVKLLSSHFDDRHRYKNIQN AVATTWLISFQQISDHDALAADYLRFLCFLAGKDIPHSLLPPAGTLETVEAIGTLKAY AFISQQNESDSYDIHRLVQISMLSWLDGKGERQEWTAKVLERLDDIFPWPKHENREEW IRYLPHTQHALQLRKRTDDGEATTGLLSKVGESFRNLGKYEEAEQMHRQALQLKEKVL GKEHPATLTSMNNLAVVLRSQGKYEEAEQIHRQALQLREKVLGKEHPDTLSSMNNLAF VLHSQGKYEEAEQMHRQALQLSQKVLGKEHPHTLTSMNNLAGVLDSQGKYEEAEQMHR QALQLREKVLGKEHPDTLSSMSNLARVLGSQGKYEEAEQIHRQALQLREKVLGKEHPD TLGSMNNLASVLHSQGKYEEAEEMHRQALQLSQKVLGKEHPDTLSSMNNLAFVLDSQG KYEEAEQMHRQALQLSQKVLGKEHPHTLTSMNNLAGVLDSQGRYEEAGQMHRQELQLS QKVLGKEHPHTLISMNNLALVLYSQGKYEEAEEMHRQALQLREKVLEIR QC762_0096360 MRLLERDDAGEIRPTKDLPSDKIPPYAILSHTWGPDEEEVSYKD LKDGRAVSKLGYNKIRFCADQAWRDGLKFFWVDTCCIDKSNSTELQEAINSMFRWYRD AAKCYVYLTDVSTYRRDADGDPSWKWAFQKCKWFTRGWTLQELLAPTSVEFFSREKAR IGDRNSLERMIHNVTGIPLEALRGSPLSDFSVHDRMAWMKQRTTTREEDMAYSLFGIF DVHLPLIYGEGKEKALERLREKIGKDDGCLADLRVTDSRHDKKRIEAAKGGLLKDSYC WVLSNVQFQQWHGGDDQRLLWIKGDPGKGKTMLLCGIIDELKKSTPAGLLSFFFCQAT DSRINNATAVLRGLIYLLVSQQPALISHVRRPYDHAGKKMFEDPNVWVVLCEIFTSIL QDPGLRMTYLIIDALDECVTDLPQLLELITRTSCTSSPIKWIVSSRNRPDIEEQLETA TQKARLSLELNAESISTAVNAFIQNRVDQLAPKTKYDANMIGKIQDYLHSHANGTFLW VALVCQALADPKVKKRHILAKLQTFPRGLDSLYARMLEQIGHSEDADLCKQILAVAAA VRRPISLDELASLIEMPDDVSDDPESLEEIVKLCGSFLIIRERTVYFVHQSAKDFLIG TASDKASNKASQEAFKLVFPTGMEDVSYIIFWRSLNVMSQKLRRDIYCLNAPGFLIDN VRVPDPDPLATVRYSCIHWINHLRDLVSSTSSKWVHLLQDDGDIHRFLTTKYLYWLEA LSLLRALPEGINAIRQLESLLGHTIRGRLIAIVRDAYRFALSYRVIIEKAPLQAYTSA LIFAPTDSMIKKFFKKEEPGWISTISVVEAEWNACTQTLEGHGGRVQSVAFSPDGQRV ASGSSDNTIKIWDAASGTCIQTLEGHGDWVLSVAFSPDGERVASGSSDNTIKIWDAVS RTCTQTLEGHSHWVLSVAFSPDGQRVVSGSSDNTIKIWDAVSGTCTQTLKGNGGWVLS VAFSPDGQRVASGSSDYTIKIWDAASGTCTQTLEGHGDWVRSVAFSPDGQRVASGSRD NTIKIWDAASRTCTQTLEGHGGWVESVAFSPDGQRVASGSSDNTIKIWDAASRTCTQT LKGHGGRVESVAFSPDGQRVASGSSDNTIKIWDAVSGTCTQTLKGHGGRVQSVAFSPD GQRVVSGSSDYTIKIWDAASGTCTQTLEGNGGWVLSVAFSPDGQRVASGSSDNTIKIW DAASGTCTQTLEGHGDWVLSVAFSPDGERVASGSSDNTIKIWDAASRTCTQTLESHGD WVLSVAFSPDGERVASGSSDNTIKIWDAASGTYTQTLEGHGDWVLSVAFSPDGQRVAS GSSDYTIKIWDAASGACTQTINVNSAATHLSFDHTNAYINTNIGRVQIATTTIESPNQ LSSPVCYSYGLGQDYRWITCNNQNVLWLPPEYHASASAMQGRKIVLGCYSGRVIMFLF SRDV QC762_0096370 MTAIKSSLPAVPGPYLAPFTPTARVNIKFLENLGHAKDKDSFVW KVEIEDRGTFALKVTLRDSWAEYLARPLQTSQLYYDYLSPFNAEWRAYGRLKQDNRED LAVKAFGYLDLTPEQEYDRDQPIKAIVKELVVDEDGGSAVGFTPNDISQMWEDLQGLQ RLGILVRDIHLRNYVAGKLFDFGRAWTMFHPCLDQIHPYYLKREWEGDLFAFQELLID YWYNKEVTTELEWPKGPRP QC762_0096380 MTSALQPTLVSEWTGWTSTELQAYTTTYLPYGCDPEDTAELTSC YTTFIADRPYKVKHTNIPSGISPRSTTTVTNRDWDVEMVTIILPADSIPRSELESWSR FHIMSTRTESTTDNWIIHHTLTAPASCPTSFEYTTSTPLSDWGDYLPSEFLTEYLLPK ATILPVKSHTSTYDESLTITKMTRTIHVKAADLPPTRYGGPPLNGNYPFYRLDLDMLN TSYVQHCYLPGEPRPPTQAELCPYTYAGKCSRLEPWMIMVAAVILSIILLGFVENFFW FRRLMLGKTCFRMGTVCWASIFIFVVGFTMIEKRRSPEDRAEFTQQWKTMQLKTKIKL WCQFGLRHRYPVAWLGERKAVRHEERIEMRRGGGTGGSDGGPAGGQREEDDTPLLAYP GPLSSVTSGTTAASSGPVLGNPNAVLASTGSGAAVVGPTLPPVQPQSPSSPQQPDASL GSACDGFRAV QC762_600510 MRLSASHNSSYPLIVILSALILPVWSQSTVRILPLGDSITGGPE SCWQALLWRRLQQASITNTKFVGSRSGQQCDFEYDGANEGHVMIQATGIVSEGKLVPW LESSKPDVVMMHLGTNDVLNNKPTAEILQAFGTMVDWMRENKKVMRIIVAQIIPLDSV FCEECGERVVRLNEAIPEWAKGLNTTESVIEVVDCWTGFDTDSMTSDGVHPNNAGNEK VAECWFKPLSRAIESVGGGEEVSAAASPGMGAGSKLGIAAVAVTVLCRWWW QC762_600500 MTEGPFKHYMANIGPGAPVMNNVPKNPLPSDGGYNPRCMRRDIS VDAALGATAERSYNLIMKSKDTNTFYNTLLTPTRNASDPYNFGIHTGDHYISGGDPGG DAMVSPNDPIFYFHHAMLDRLWSIWQMQDPDKQVNAQVTLGGRDAATRKLDSKWLIAD VIPVLEAHDGLGRAGGAFYHVYV QC762_0096410 MKNTPHRVYLGLPLDFDDSIRLLTLLPGHPVEPVRTRLLNSRIG LLLPTKRSPTPGGIKH QC762_600495 MGRFLDLIRRETEQGNNGNFSYRALAHDVRSLDVCVQQPEIAKV AYWELDPSKPVSLCDKIIRLITALPQVRSLSLDLRELDNAQVGHMVTLLHESTNILPR VRQVKFISAEDAYGVSDSALNPSLCGHLTSAFCTAMGTKADELTFIRGSDAANNQALP DTMTAFADIQPGGSPRRQLRRLLIARLGSASGLNILPAREIPETVVAPHSETIEAIFI ADDVDFAPPGGHQDFNTKQKAIDHLVNALAGMPALRRVAFPVVGFRVEGVERQDDKNL EKLALGATMRAIAGGV QC762_600490 MKGLFAFGLGLLSLVNALPQAQGGGAAASARVSGTRFVIDGKTG YFAGTNSYWIGFLTNNRDVDTTLDHIASSGLKILRVWGFNDVNNQPSGNTVWFQRLAS SGSQINTGPNGLQRLDYLVRSAETRGIKLIIALVNYWDDFGGMKAYVNAFGGTKESWY TNARAQEQYKRYIQAVVSRYVNSPAIFAWELANEPRCKGCNTNVIFNWATQISDYIRS LDKDHLITLGDEGFGLPGQTTYPYQYGEGTDFVKNLQIKNLDFGTFHMYPGHWGVPTS FGPGWIKDHAAACRSAGKPCLLEEYGFESDRCNVQKGWQQASRDLSRDGMSGDLFWQW GDQLSTGQTHNDGFTIYYGSSLATCLVTDHVRAINALPA QC762_600480 MPIRVKSRPQGVQRTESLRTPSPRHKFGFVAELPDISEEDSFRD VVKKLSVYIADTVVLPSTFEQLRTTAAGDGLRALVDHLGRTCTHPAIVNALLALKWHY GTSVEDKGLMDARANACEIVAWRFLTHLSEREAVDYCLYEIPDPKDVESHSPTDEEEG EVDEHSALLAQALHSSVGSSRRTPHSASTKRNLLLSSISRLTMSMTGDDEDGEGEEED PTANFTNLNALEIAAIADAKRFLSQAVVQKIITGIWEGSIIFWKDLSVHSEKKPQFYN PNTADPFSRLRVPKYLKSFEVLFFLTFLGLYYGVLVERDPTRITPLEIFLYIWFAAFA LDELSEWIDAGSIFYATDIWNVFDMAMIAIGATFVGLRIVGLETHNEEMVNLSFNVLA LEALFMVPRVFSILSLSPYWGTLIPCLKEMGKDFIKFMVLVVVIYCGFLTTFSLLGRE HLSLHDMVMSLTKIFFGSSFVGFDLIPKMDTLLGPPLMIIFITLSSILLTGSLTGMLS NSFSRVITHAREEYLYVYSVYVLEASTSNRLTHFYPPFNLLAVLIFRPLRLFLPSDNK FRAARILLLKATHLPIVAVIEFYEWLTIGSSKGTQYSGFRGPRQAVIGSPHPAAAKRF AQARLSNNNLRADNNNHLSQLRPPAITAISEGAIAGRRAQQQAADEAVEGRAFGQHEG DVEARIVDLTAKIDRLTELVLTLQTQSSTTLGNVGVA QC762_600470 MESEAHAVYSHLDEPVRIRLFSAQLSAAPSYEALSYTWGDPTLT SVIEALSDKGEDEHKPGVEFRSTANCYAALKRLRNLDANRVLWVDSVCINQSHIPERN HQVNLMADIYRAASRVVVYLGESDENSVVVLSWIRELDQPSDFGNGSGALPPSKEAVE GFFRRPWFHRIWVIQEISLAQKAVVVCGKDEVDWGSFTVLYQHNENATRAARLELPYP VTFASRYNKPYRDGTMTYARRLVRMLGRSRHCEATDPRDKLYAIIPLVNIRDGSRTEG SWEEVLGISVEYSLSVNRVFTDMAVALLNQQVPLDDVLRHHVPGHEARGLSSWVPDWR IQRENGWRHAKYERIFKGFPGFRGHPLMFGVPGIILDKNGTRPAVVGYTDSSTDRCPI RVHAINVGNIIKTGPVCSVADDFFPVSNWKELVEEARRQGSVVPKLERLMEIMITLKL GYPNFVPRGVGLIERYNEQMEKGTCPRKPLRQVIGETASRNGARARHEMDAILSVCDG KRLAITDGGFVAVVPGNAEVDDAVWVLDRVRMPFVCRRTGSGKANGVRLIGASFFFGI MELETMKEHVSDVRAKAEVMRRVEELVVE QC762_600460 MADTQVEADNDSWSFKKKELLTEQPGLEVVPQELLESKDHLAQA RPPETAKYPVSPTVSTRDAKFKIESGLKSGDSSPEPVSTDFPEVADPRQVEAANQARH SSRQRRRRLIIIGSVVLVIICVGAVLGGVLGSRAASQRGSIGEKAATTTGPASPSSAD KTALGYQAITDRSSLAVTARRRRDGSTEGWLFYEDQGGEPQMLRWDTKRGGMLKATNE FTIKEPASYLTSRATGMAATTILQGPEDNPRILLLVSKIFERGFQADSDPNLPGRGSV VFGYELDRDGNLGNASRIGDHALIVNKQDYMEARLLSASIVTAYWPWIIHWSGGPPAS GLSAVDNPVVKVIEARNQMGDNFAQGPDWAFRNLSIGGKVNTKVSIVPLSADFKKSSD PNYDKEPRNGYGMFYHDPDDRLKFVHRSWGTERSPAFYLPELPDKRLPTDDQLGRSAI SAFAVAKRPPEKISTRIQQATFTMMSPTETINLEVVAWAGGMGTPQPTALVDAELPPN SVDVGVAYINQNRQFELLFMSTNTGYSNWYTIDTAEVNKLAPPDLFTDVACLTLASGA VGEDGEEWLLPRHQDEEGTIATCFYQSGSKVVKVRWIGEMWDTKWDVEWLPIPTGDSG QC762_600450 MMESNDPPTTATYTQFACIGAGFSGIGLGATLKLKHNITDIRIF EREAELGGTWHLNQYPGAACDIPSSLYTFSFAPCPQWTSTSLPTAPQIKSYLVSVAEK YSLLPGRITFCSSVQKCEWLPSPISRWRLTVLSHNAISHHECQFLFSGTGILFHPKTS SFFSLPGAGSFSGTVMHSARWDHSVDLGNKKVMLFGNGCSASQIVPALLGRSNNAEVC SSGRDYNVDKITQFVHSRHYVIPSLAELFPLALLERLPRGLQRLLCILIGEMDFIAMR ENKVGRWIRRKKTEEVKRYMRETVPERYSDLVVPEGVEFGYKRRVYDPGYLRALHDER VELVGERVVEVVPEGVKTEGGRLVEGDVIVLATGFETNRFLEGVEVVGRGGERLGGHW GEDVSDGDSLDGKEGVKGVGAYETVAVGGFPNFFMLAGPNSVTGHTSVIIAIENAITL AMNVIKPILSKGSKVREVEVTPEAERQWVSNVQDELNNKTIWGGGGNMTAAESWYVKL DEKTGKRWNAMLYPGYQLGAWYRARKPRKEDWGTMDKYQHLHSNFLFYINIYFGMPCR EKDTLQLRKAGQPF QC762_600435 MSKGKRRHTKRCRYHHRRPKANPNYIIKTDDATPVLALPMELFV KIGKYLTQAEAMAFGAACKQVGYMLRPAIWQSLALKTDSHARFGEELGRIVARAKAEL DRFQRCELPCRPFVEDVKNLNLYLQHHDPLDREEPHHPELANKILDLLSLLPNVQKLN LDLRDLQQPQVAHLITLLGINPTPILPQARQVRFTTGEHDHNAAQQRRSFDPITCGRV IAAVCRSLGPHVTDFEFIRGTEQENNEALLEVAEAFTNGERKMKKLLVASTDSMHGFD MFGAREILEQIVAPHRDSIEEIFIGDDAEWEPEGGREEWREHYGAVDHIVGALEQMPN LKRVAFPVVDFRCEGVRDMAGDENFAREEVEKEMKRVVGAVMDRLPNLDHVAFWSQYQ EMGVEAWREGDGEAGLGWSFHEVRDDWIRPRSGRGAWPMGIWGRWW QC762_0096490 MFLGWILVLIVDECIALSLGELASRYPTSAGPYYWSFQLAPPRF RTVLSFVTGWTWLIGNWTITLSVNFGFASLIAACVALYHPDFIIEPWQLLLIFYAICG ITFLICAYGNRLLPAVDTACAAFTAVAILVTLICLSAKAEVGRNEVGETLGGYDTSLS GWGGFSFFIGVLPAAYTFSAIGMVSAMAEECDDPAVKLPRAIALCVPVGGVAGLFFII PICATMPALEYILDAPVAQALPYIFAAVMGSPAGGLGLSILVLIITFFCSISITVAAS RCTWAFARDKAIPVSRLWSRVDARRGVPIWALALTTVVQMLLGLINLGSSSAFLAFVS VGVISLAVSYAIPISISMWHRRREVNAARWTMGAKVGWVVNVIAVLWIVFETVLFSMP QVLPVDEVTMNYAIVVFMGFMVLSAVWYGVYARKVYAGPPESDGIKVER QC762_0096500 MADMEKKPPVGNGAVEAQLGNATPETDIINLLGYKPELKRNRSM FTLLFQSLAIAAIPYGFGGPLISAIYGGGESFPCLQNK QC762_0096510 MASSVVAAWEDCDKIDTLFILVCTVICWTIVPTVGIAYSGYTWR RNSLTAALPAVLVISICSIQWFVLGYSLAYGPGNGWFFGSWTAHLFHRDVLSSPVGTI PAILFSEFQLVFEATVCAIAVGGFVERGTIKSCAVFIASWSTFIYCPLAHMVWGGGVL GEELGVLDFAGGTPVHVCSGATATAISLYLSYPLFRSKKSPLRTPTHIRLHRPGNSFF QLVSMIIIWGSWLAFDAGTALALNFQSVMALCVTNLCAASGALTWSVMTFLESGKWSL DATFMGAISGLVMITPSAGFIDMPTAFFFGVFGAVVCRQALRIKFTKLAHKWRWVDNG DTFATHCVGGVAATVMTGLFARREVAAYGGLDVPGGVVFDGNVRQLWVQIVEVLVGFS WSFFGSWLIIAGIDCIPGLEVLAVDKHIHEGLDFHETEESLGILVHPEEEDYTPTDKG TIALD QC762_0096520 MQMTRRGANLVESNRPLVGRGIIFLLGVHQNAERLLGLVKVKPL MDMPNHDAPEKKTYLSTASTSNPGMQSIPAMINQLPKKLQLKPTNTSTICTHNCLTFP SNTTPPGTSSPPYAATSLRANKPVMTVAATPPTQ QC762_600400 MKNRQKSRTGCRTCKVRRLRCDEAKPACENCLKKGFQCPGYQQR LQWSTKHERPTVINTTGPDNFAQLVTAASASIVKTASPAGNPSGSDANVSAASPTTPS PAAAVSRESSSAPRSATLSASPPPSSFTLSPPPQSEAPVEDGTGGDGGTLVPVARKSG GLTPTNRQQEPMMFQQVVDIPTFLIEHWFKSVCCSWSAFDSQTNPYRRLTSTLWNTST PVFYALQAISAASLVERLPHVIKDTARAAPRKAAEAIHKELVAFSTGYRPRFPVELLL SLFCMSSSMCWMESRQLGQQYVRQAHNVLKILDRQTLDSESQELLDFFKGCLLYEEAL RSVVSEEEIDFANMLSWAEPTDQGPLVAATPHAWTGVSADVFRLFGKAVALCRRSRTR WQHNDGTSYRVLQGAMKDIQEATVIEESLLSIDITPVETSLTPDTASTISTDLYNATQ AYRLSSLLQLYETFPDLVAKRMPDLADQDGTILWSTWVSPLALHVTDVLRALPVGSMR CIQPLLCLCAGSGLRFDRKVPLGRGHQSFLLSTESTAAAAIPTPTSCIVADTDSSLAA TPGMSENAIKTSQARSFIMARLDQLENSLPPKPIGVAKQLLRAVWTAYDEEIGLARRT HWLDVMSQTGLHSLFG QC762_600390 MSDSADWRAVLTASERYDNIQRLTKVLAASRGSAFSFEDEAYKT SASREEYDSACNPPPSSPPYSPPEGVTTPASPGIKIGSYANCHCIDDGATSQVYRSDT YALKVIVETNIAPHNPRLEARLLSSLSHENIISLIETFYDQSTRFVLVFPYMPLTLNR VLEQHTESNTTLSSRQTNHIFVALFSALDYLHTQGIIHRDIKPSSLLLSSPFSASSPV PSITLIDFGTAWSPEHSPPTEPADNKILDIGTSQYRAPEVLFGNKSYTTAVDIWAAGV MLAECIMKPCPRPLFESRGVHEDGNQLGLILSIFKTIGSPTEETWPEAGRGGKEGLRT VPWESWRAFERRGWEEVLPEVVGDRWRELVGRCVRYQSGWRVRAGEAVEILRGGSERL D QC762_600380 MAATDDLSRAEYPAMLANLQPTQAVQTLSDRVKRITKVNNEIAD WLQERKRVEEQYVAGLRKLLVFKVPNASSELGLVAQSVFQAPWDKILQSTDAIAASHH LLAQRIEKDVEHSLRTFQNKKEMQNIQNIGANLQTMARELDDAAEKSEKLSKKGGKAN AQKVDQAASRLEAANQQWESQAPFIFETLQALDEQRINHLRDVLTQFETHEVDQATRT QAAAEEVLNMMLEVNTAKEIENFASKVTAGKPKIERRSTNTRASNVTTPTAAAPPSVH GGHDDDVTSEHSFQHQGHPESKLRSRIGTMLGRRRQSVHAGFGQISPQKGLGPFSRNL GSSHGHALSPRSSSHNLNNDSQNRLSSVVETPKSPGAADHRDSTAEKENARASHEGPN GTNGITGGDGNKDVQSSSLLNGTAEDIFDVQPPPGPPPAQQNEEPSKDAEGFTIPGAM NDPISRAQREAAAEEGDHMFKLNIQNEPIAEEDQDAKQAALSNVASVLTTMGAPARKT GTVRGRRDVRNTVYMPAPTHEVPGSAFPPSPSLPPTTLARPTPPFSTEGSHTSRASDT QSIRSGTSLGGTSSYSGFARLRHPDMHGSEHATGLNSSVIESVSAIFEEGEVTSVKVT GEIALSYNPDPDAAQPDHETIKLNKFSLLESIGPNRTFVGNTISPDEFTVDVSHLGST TTAFTYRVHSDSDTALASQCPIVIHPVWKPQGDKLGLLLQYRLNPASNLPRPVTLSNL SFVATYEGAKASGVQTKPSGTHLKDKHLVYWRLGDVTLTQDWGKIICRVIGEQNAEPQ PGHVEVRWEYTSNESEGPGTGSGISVARLGESKGKERELDEEDPFADAGSLVSPKDTR RWVDLPVVRKMVAGKYMSRSESK QC762_600370 MPEPPEPNTPKPRPVNNSKTSQLMRSFTPKGEPPGSARRPSVSS NGAPSFRATVAGGASRPTLSSQSRIAAFRNSTTSQNLLTGEPTNAPNPRASTMSRLSG PSPATSRESRESSKENHEPCESDEQRKLIEDLKAEVGTLKYQISNYEQEKELARLQME NEIRDTKRRAEDDFKAKQAADAEKGRAQRQVEVLQAELDELRAEQERQKRELEAKARS AVDEARVLREELEDLSAEKDEAARVAEREVNDLRAKLAACQRGKSELEEGNKGREEML ERVQAALGEKDEIIGELEAQVLMLKAQTGDAETIAVIRRELSDQVTHIRALEAKNREQ ITELRHLRQVHKAVEVVEEEKRSLQRKVEAAVGVERELAEERTQRQRLEDERMAWAAY LEGEGQAEFDSPEEVARALVAERLSSASLLEKIGSLQPEVADRDNIIRSLEEEKAGLL EQIEKLKAPGGSSGGNDKARARLDRQRALAVKEVEYLRAQLRTFDMEDITMQPETVDE QKAQRIQELEDLVDRYKTEVATLHADLTSLESAAVSPVQPVIGSKRPRSDSDDAESEH LGHLARKNRKLQAELADVQQTLHLLRKEHAVTVEQLAKAKERASTRVLSLRSNPTSDY EAIKTATLAALKAENAELVAHIQRQPTLFSTIPTSQLAAAQREVAEAKAETASAHKSS RRLKEVWAAKSAEFKEAVFSTLGWTVSFIPGGKMRVESVYYPSKTDEHENSIVFDGEK GTMKVGGGPRSEFAVRIGDLIKFWVRERGCVPGFLAALTLEFWEERHGGQEDHSS QC762_600360 MAAPTSNRLPFLLRGRLPLRPRPTTTRPLPFGTARRYGTNVEDK NELDKETREGAQHDAAADQATDTSRSDTKSPQAIADEASSRSDSESSVVSDLKDWETN PNFKIESFSDLPHANFGVNQHIPFDAEFKEVLKAIPWAFRAPIRYAFAYGSGVFPQSK SNGKTATPEEVKAIHPKCPPAVARHQDGTPKMIDFIFGVSHTQHWHSLNMKQHRDHYS GLATLGSGAVSYVQDRMGAGVYFNPYVVVNGILIKYGVVLLKTLEEDLTNWDTLYLAG RLHKPVKILRDDPKIRLANQINLLSALRTALLLLPPKFTEEELYATIAGISYLGDPRM ALPTENPSKVKNIVGNNMTNFRRLYLPLIETLPNVEFNDPGTSAKDWVWEASNLNLVQ DMDPVKRGNMVRRLPKSFRSRLYFQYQRKFAIPQLEFNKMMEESKNEDSISFKRREGG GFERRIVQDDPTELRSYIREVIKQTISWPATTQSLKGPLTSGWTRTWRYLMEKMAKYR EGKAKEAEEKEESEEKKA QC762_600350 MEKSPAYNYNYNNNNNNNGGMGMDTGITGGASSSSANPMQQPAY TDNTKPHTNDYYAAPPASSSAGVGAGATPTTHPVGMDHTNRDSMASTAVPPATTRTSS SSFTGSDVILLIMAIFLPPVAVFLKRGCDAHFFINILLTILAWLPGMIHAFYVVVRYP GDLGDRRARKGEGKRGI QC762_600340 MTAQMPRKFQDLAANGSIESWIFGGRDKSASTGMTTPAKENPTL PPPADLGSEPPPIISDILPPRTATHSPAVQFAPTAQRDAGLKGTKYTTDAERKKAISE ALKRRRTLGANDQSHGQHNKLQTGNFQPTVISPVPLQTYVSAQDLSRPTVAVPTPASS SSTSETSDVEMMDQLDPVFPPENIPDDSNDGDWEEGSQVGEVSVVTNPVNSQVQAEAA EVAKVEPHALNLTPSGRPYLRWNNESMYGTTIPDGYEWSSARPGFPWICPVRSCRKLF PAIKQLGAHFVRQHRGSLLHDNMDGTLSVRGHYAKLRDGKGQASGAKPKPGIVVSVGP LDPSEPLMVAPSLPEQGHGRYDVDNSQPPSGAGSEYSGAQSPMTSLAKPSGKPSSLYL RHFKGREPPSKGHVRTLLSLPQLPDFDWNHMRIRTNPFSDTNPRDITALILQVTEEPA PKSCGRGASGNGLFQSCVMISPRAPDDITYCTLKDRGRERAGRILRSGQGAQQDYQDP GWDDADISTSNVSEQAIGSLTANTTQTSPTVSSSDGFEFASPGRRYTEWDDDNGDPRS LCGVMIPAGYTERSGPRPFPCPIRSCETKCIKVKDLGFHFSRSHYASYLKDNGDGSFD LVGVYAPKRGNIGPSGKILHPRPSIVVAKTRPDGSVEWAANWWVLRHHMLPATAAADV PVGDEALANRSWPPKSIWDHMEAEEKTSQGAVTEENASRMAAEQQRAAEQQSPAEPMA NVMAGRSLRRIPGTNRVHMNVWLPRVKTPGVMRKERQQQQYRMHTLRWPDVHQRPVPP RPKSPQAAQATAQAQQVVPQAPQVSQAEQPADIARNAAVEGVEEEHEGVSTRYKLRTR TSESGHFYPQAPPPAPAEPDESIAEEPAPPPPIVKHQRRQPKSRILEGIPSSNGVQTT GFVIAEQVLEMEDWEVAPGRIREMDPKSESIAFSKSFLSSTHAVEVCEDVAFRVDVIR SGQTFKIEGEENQIRLVSLASGKLRVKIGEEPEFVMGPHGMFKVKAGAGCTVRNRMYV EAIIHTTVLNGFS QC762_600330 MTQLSSLFLTAVLALASGVRAQCGAGSPHATVSGSGNSFRTTRG STQLYSGSDYRAAIQAGLDGISSGQRVAVLASGSIGAGTITLASGKIFEGCGTINVGN RAGRGAIEIQNVNDVQIPFLTMTGNPYFGLRVSGTRNLKLGKLNFNLSGGLAIRFDRD AAMNYNVEMDTITVTGAGSHAVETWNIDGLKINSVIARDVGESGLLLQNTRNAWVGLV DGNNVATGTGYATFRMANENGKNANGNYNTNVYIDKVISRGGGRGIFCVSRSGGVVIQ NVDLSNNGNNAILIENCYNVSIRSGTVNGGGEVRLSARSEFPNNKDIWITLQVNNNSV RESPCGENTNFSISGNARQQIC QC762_600325 MVESRPRRVTAVTLKRFPDPHWFRKSLSLIQLHILFFCPGVSLF SISLFHATAVIPALANDMAPHAIDSMPSGQCVRLMSEKFIHKSDINQITPRMDQGGFG HPCILLKRSHDGSMALIALISSFGCSSRGTAQAPWGRPDLRKIHPDKTVFRAAANCNS ERPDPSRPFIQLQHGIHFNFPTWIDENKQLTRESLSDLLAHMRQAAPQRWRDVNNAMV TTATTTASGPSLAGLQTPPSTPLAPSFAGNTDKRKRRTSSPNGEKDCKVQKVEEWRLS AGPVGQCCGGVVKEEGDGGQWCTVVQKRKRGKK QC762_600320 MHRQLLPSLSSRLNLARRPVSRSVRVHSPAYTWFPLHTPTTINC HNSAPLTTTSHSHSRTFFKPRLKTAQFATMAATDATLRTFFQSPKYAVVGASANQEKY GYKVFKWYLNHNLPVTPINPSGKPIPVDGEDHPVVTSLKEIEKPEETSVSFITPPAVT LASLKEAKELGFPSVFLQPGTFNNEVLAFAKNNFRAVVAGDGGWGGEGWCVLVDGERG LKAVGKL QC762_600310 MASTNYKEAFSLFDKRGNGRVTLDSLGDLLRACGQNPTLAEIQE LEKNVGGDFDFETFQRILNRPGGFRDPGEPDEYCRGFQVFDKDMTGFIGVGQLKYILT NLGEKMTEEEVDELLKAVDTSNGQVNYTELVRTILAN QC762_600300 MAKYANPPQDPPLFTGTKDSIVADSKALCDNTRSLLDKLVAEIK PNDAATFESVLRPQIEDENQSSLSARILGFYQYVSADAALRDASTEAEKIMDEFGIEV SMREDVFKLVDAVYKNSGLAESKEQHKDRLITEELAKSTGLESVESARLLEKEHKSYI KNGLGLPEGEKRDRFKEIKKKLSQISIAFQKNLNEENGGLWFTLEELDGVPQDVLDGL EKGTGENEGKLRLSFKYPDLFPTLKFAKNPETRRKVFIANENKCNDNVELFREAIELR DEAARLLGYPDHATFRIEDKMAKTTKTVLDFLNDLKERLGPGGLKEIEHLKELKKKDH EERGLPYDGNYYLWDHRYYDRLMIEKEYSIDENAIAEYFPITSTIEGMLKIFETLFGL VFNQLTPEDRARISPTGKAEDIAWHEDVIIFSVWDDKSEGEGFVGYLYLDLHPRQGKY GHAANFNLQPGFIQANGSRRYPATALVCNFSKPTKEKPSLLKHDEVVTLFHELGHGIH DLVGRTQYARYHGTSTVRDFVEAPSQMLENWCWTPSQLKSLSKHYKTGESIPDDLIEK LISTKHVNDALFNLRQLHFGLFDMTVHTPKSHEELKKLDISKLYNDLRADISKIKGPE EQGEASNWGNGQATFGHLIGGYDAGYYGYLSSQVYSTDMFYTKFKKDPMNGVEGRRYR HTVLAKGGSRDEMVSLEEFLGRKPSSEAFYKELGLEG QC762_600290 MAEITPSAGVSLTEKEKAGIERTGSGHASSMEGDVRANRGAADY DFERQKLAEGEAAFHQLGWKRLTVVLIVTAVALGSLSLPAAFASLGMILGVIISVSMG LLAMYCSYVVGQVKVKYPHIAHYTDAGRMMFGKWGYIIMTFMFVLQLTFTTASHVLTG AIMFGNLTNNGACTVVFAVVSGILLFLLAIPPTFSEMAILGYVDFVSIIGAIGLVCLP QGGLDAARGLHCGHQHCVRIQLLALPVQLHGRDAHSSRLSPRHLLSRHLRDLPLHHHR RPRVRLCWSRCPGPCSPLGWPHHVQGCLWCRPPRHLHLWQHQHRCLRPLHPWQVVQGL GYSLRQHPHGLDHLDWCRCRHHNRRLCRCRGHSLLLAPVGHLRCHLPVWLHILLPGHH VVHVHQGGQVDAMEHHAGCAQRGGFHCGHGHSRARHLLGCQGHHNPLRDRCRWYFFRL RSTLLS QC762_600280 MSMMDSEDVFFCLENRLASFQATQPASKGRASNANSRGPKQLQW PHKTLSPVAFAKAGFFFEPYPQNPDNVVCFLCDKALDGWEEHDNPLEEHLKHSPTCGW AIMAAIEAGMGNYGKVHPLDPFMVEARKATFAGRWPYETKKGFKCKTKKLVEAGLKYT PSRGAEDMATCAYCQLGLDGWESDDNPWDEHYNRAPECPFFTLISSQPAPKKSGRAKA ARPSKASRLSVQSIATIATGASDLTSVGDLTLDQDDSVMTTASTMTQGAKKTTKGRKA TTAKGRKTKAKKEEVVEIHEDEPQVEAPPPKPARGRKRSSDTMEEPSMINAEAPAPKK RATRTKKNAAVEPPAATQDVDMVDVIEQPPPPAKKKGRGPITKSRKVLQASVQSEPLD VPDDDEIERQLEADLDRYEEPALEEPVVEEPIIEEPVVEEPAAAEPVVAEPEVEAPWP KTKGRPKKSTTARKTSQAEEESRIHPMFDATPAEPDEAEIDAEYKALLAEVDPEPEEP EEQEIMVPKKGRKAGTRKTSKTKKPKEPVAEPEPVDEIAEAPVPSVGDVQYPPLKMED AVPEPEAKSEPQPEEVDPDASSGTVVIQPVKRGRGRPSKKSLAERASLEARASLEVRA SLDARASVEDVEPAPGPRRSSARIIKAHESVLAKTTTPEPVQVEKKPAKPPTPPPAPV VEAAPVPPTPMRTNKSLPPPPPSSASQHPSTPRTQPSRRAKQATMSPSPSPQSSDAEN TRRSLTKTTAVSVVSNRVALAPVVATPSRSSPSKRATLGGLQSATPWQPTDLEMVFSP SNNPNKENGVSRLLRKGKDLTSPEKGMTVEEWIYHNAELAEQMLKMECENMVSAFERE GTRAMRVLEGLIVE QC762_0096680 MSHITLSLLLSLTELTLSHLFLPPLPITPSYLLPLFLAHYLPLK IYSLFLHPFYFSPLRTLPTPGGNLPLLGQTLTLLRATSPVQTYVDWANRYPRAPFIRF LGLFHSEMVLVASPEAHKEVLMTHCYEFKKPNIMYRFIGDFVGRGLLFAEGGSIRLRG GG QC762_0096690 MGVLWGMAGGLGGVVEGMVGEEGEGVVDVNELYVKATIDVTGAT ILGVELGNLRGEEEGGDMDFLTSFRRVFQQPPLSALISFINLFLPIRRFLPIEANLGY LRASAQLRRMTLDIVKNRVKELAQPDYRNPVSNGADLLTMMLEGEMSLSKAGDKMTED QITNELLTFIAAGHETSANALLWASYVLAVHPDIQTRLRREITSHFEAGKTPTYEQLE SVVYLHNFCREILRRYCPALMTFREALKDLTIRGTFIPRGTVLLLLPAVASRTAWVWG EDVDEFKPERWENLAGTEADSPYAFGAFMHGPRICIGKQFAMVEFKVLVVELVTRFEF GMTEELEGLGGREPRTTNPGMGYVPAGGMRVKFRKI QC762_600260 MQTSSQDIAATATEFGISTRGAYNQLYMRGWSFFEQCLATPWSP ATPDGVINLGVAENSLMHNQIVKFIKQNTQVDPISQLTYGNGPRGSPRLQRALASFLN RKFSPLEPAKSDDIIVMAGVTPVIDALTWALCNEGEGIIIPQPYYTAFATDIPGRARG VIIPATFQDIEGYKGFDDVFDAEMNVQALETALSNAESKGVKAKGLMLVNPHNPLGRC YPPETIRAIAGFCQAHNLHLISDEIYAQSIYNNPDATDVVPFTSALALDLPIDTQKLH VAYGASKDFCANGLRLGMLHTRNRGLMGAMASNAMLGWPPYLVQDIWAAMLEDTDYTD EFLGKNRELLGESYKVVTDFLREQGVGYYGNSNAGMFLWIDLRRHLVGKKEGGQPPEL RVGKLSPGDLERYLEREQHIWKVLGENKILLAMGSVFASEELGWFRMTFSASRPALEI GLERLKRVFDALKRSQL QC762_600250 MAYIAPIHRPSSVDHALLANVYSEEEQSLVLSRTNRVEVWRPSP DGLLSQAHTTNVNGTIAMLQKLRPKDAETDLLFVGTDRFEYFTLYWNRETSQMETTNA TRDPGEHFMRNSQSLDRAIVDPSGRFIAMHLWEGVMTIARLGTRKTNAAQLDWMGQIR LAELFIKASTFLHNETGHPTVAFLYQTSANAQDSKLATYRLTSDDRNTVASEFNAQKH RIIDITIADAGANMLIPVRKVEEEVKRHNFRNTGSAKPHLGGVVVVGETRLLYIDDVT KATVESKLDKASIFVKWAEYNVQTYFLADDYGSLHLLTINTDGAEVKGMVLTKIGVTS RASELVYLGNEMLFVASHHGDSRLFQLDLSADKPADKPFLTLIQTISNIGPIMDFAVM DMGNRGGEDSQLGNEYSSGQARIVCGSGVYKDGSLRSVRSGVGLEDVGLLLEDLGQHV RGVFSLRGAVGEGKMDTLAVSFLTETRVFRFDSEGGVEEVGDFMGFRLDCQTLLARNL GGGMVLQVTTRGVVLVDAESGVTVATWVPRDENTIINASADGEWLLLSVEGTGLVSIS TAGNELRLVKEKDISQQDQVACIHVAPQLQGIGVVGFWTSGTVSIIDLNTLEPMHGES LRQSQDDASIPREVVLVQVASPKVSGPTLFVAMEDGHVVTFNISADFELSGKKQVILG TRQARLHLLPQDNDSIYSILATTEHPSLIYGEENRIVYSAVTAEEAMFICPFDTEAFP DSIIVATDTQIKISKIDRTRRTHVRELPMGEMVRRIAYSPKEKVFGLGCIKRSLVDGD EVVQSSFRLVDEVIFQPVGKTFQLERTSYVELVEAVVRAELPDSYGNPAERFIVGTSF LPDPDYAMTGEHRGRILVFGIDDNKDPYLILSHLTKGVCRCLEVLDGNKIVAGLAKTV AIARYDETSTTTATLTRLASYKPSTHPIQIAAQGNIIGVADVMKSMTLVEYMPGDKDR LVEVARHWQSAAGTALCHVDGDDWLEADDQGNLMMLRRNADAVVMEDRKIMSVTAEMN LGEMVNRIRAVRVETSRGAMVVPRAFLGTVNGGIYMFGTVAPEAQDLLLRFQEKLARV VHTAGEIEFNCYRAFRNAEREGSEPVRFLDGELLEQFLDQDEATQREICEGLGPSLEH MRNVVEELRRMH QC762_600240 MAPLSTVTRALARPSVLRVAARTISTTPAVRGDSSYSSPFKGES NSTKVPDFSKYLSDKKPSSNALISYFMVGTLGAITAGGAKSTIQEFLVNMSASADVLA LAKVEVDLNAIPEGKNVIVKWRGKPVFIRHRTAAEIEEANNINVASLRDPEADSDRVQ KPEWLVMLGVCTHLGCVPIGEAGEYGGWFCPCHGSHYDISGRIRKGPAPLNLEIPAYE FPEDDKLVIG QC762_600230 MASSSSPRRALLNHVHQLRTYLSFSPEQKARRPVPFVRCDICRS ETGEIITPATPGSALTTFPLSPTDCVRAGLVLPCGHMFHTECWDPYPATFRGKGAITC PRCRLRLNFSGMERNRCVDLPWRYHMPEPDNPSWKWEIEKIPKTAQEYILEGREAEFE LGAMCNVDRLIGASLLGENIEVERDLVEGRGERVELSKLVDKVRADGWFFEEEIDLAF PGWLPRMEVGDIRREPPRGEEEAWVEGRLKRLMRREGYTWRGEPPPGSRRSGRNLWNI SGDDDEDDDEDDEA QC762_600220 MKYGTALVAIAVGLASARDVPTYSLRATKREVPQEHSHEAVLRA CNVALKLNNPNNILDCVFPLLGNAAAANGAGDISADRLDCLQQIVADQALTNAKAAND LDLAINAILFRALERNTLTVGEASPLCNETPVNAELVNINQHQDPASAEAANNAEVEL EVAKALFSIGADPLLALQSGTFAPGEIGDPTAAGNTCNDENDAIGCIISQNLLVPAVS EADILAAVGDQEVCEPVVDDEPAVEEPPAVEEPPVDEEEVCEPVVEDEEPPVVEEPPV EEVPTGTVNVQTFTGALGGPAPAVISDPASNRPFSVNGNTFLQAGAAIQRSCAIQKNA CANAANSGQIQGGAGQCDQQEAACLAAARRRKARRSVVGRRQNVLDFGSCGSPAIQFA AGLDGRQEESFQPVNAADFSHGSALNIDIISFFVCQRLDSACKASPETIEACEEGAAA ASQAEGAQAASVFNAALGL QC762_600210 MAITQHPPSAPSEDGEHSDSHPQQQHPPSAPLHQHTLSSASTAT VDIEAWTVSALESLNITPQVARGTSNSLAIPIDSHDLPAAALKLRFDPAAGAARQTIT PPRRPPSRRDSMKKREALIKGNPGSRQRRRWENDHLLGVPNAQPPLPSDYQIQPTYPV LPTVPYQLAGYWDRGLREVVEGRKHPRQETKPGPGGLGYIPQNFRATAKRTPAVGRWL RVLEEPVRRFVVERGLAVTVEEQERRKEEEAMESEETDPEDEEIVFVGRGGDKVREGK PPVETQIKSATRRVGGEQERGMVLDTAGDDEVGGAFKRWLTHSISDYYGLDSKSVLVQ GQGSKGKKVIFVGVKKQQQRKVAVKEQHLPVLPPPLWEMF QC762_600200 MIDHTHITMSPSHSLQRTKILDRARMNRRQNNPCTRASGNGTTI GSVQDFTLFCNTNLDGDVVERLDAFDLTACMDLCSSFHPRCDGASYDGTRCFLKTRLA PVDPRQFVRGIDSGIASFPEASSNCPALPGTQVALGTNFQVMCGFIIAGSDMSQNFAP TFQDCLGQCAATSGCAAVSYDPTLNLGFKNCYLKTGVADPGDLAADRRTDSARVLAAA DPNQPPPGPGVSTIPVPPGGAANGNGVVFFTPPANPSITPSSIELPPAATTTALPGEA TTAVSDISSTTETLAPPPAFPFPAPSASPDQFPDTFSGGANDPSIDPPSSNAWIAAPV VGSVAAIALIVISFIMLKRRRQSSPSSSPTEPRRDISRPSPISGLFTAWLPSRWSSSP VPRVPPLPVGIGNSNVSRSSTVGSSGRRMGNFSEVNTGERRNSVRNSVLGMVGDRDRR GMERLGDIEEGEMGRGEKEGERGGVKVYEVRNGRAELRELRSSLNGLGQNRWS QC762_600190 MESLFSADRCEKLRDLNVVNLIVSSVIVVGMLISYLPQHFRIIS RGTSEGISPYFILLGTTSATSAFANILLLPQSRQDVACCKELETLHCVAGLLGIAQLG VQWICFTFIFVLFLVFFRYNPAHDPDNEELGEEEDQPRWQTALLVASLTLLHGLAVIL VTGILSTLAKDHLEIWANVLGVMAALLAAVQYVPQIWTTYHLKHVGSLSIPMMCIQTP GGFLFAASLFARLGLAGWSSWGIFVLTATMQGLLLYLAIYYEIQSRNGLVNSTIDSLP PSHLHANGFDDDTPGRYTSHPEHYANSPDHLQTILDRQDSDAAAETTPLLKPGGIGDP HRNFDTNRG QC762_600180 MSTEPNKNSPTHKLPTHPPREPTPEIQPPTTNKPSLNLLTSATC PTTSPPKYPDPRNRDTPFFKSAQWTADGTTLLTLTSHPSIQTYVLPSTLLTPPHPPLT PTSTLPLPEPTSTFSPSPYFSLSHPSTQYLLTATTDHPIHLTPIFSPASPPLASFFLI KPETESYLPITSLVWPSPGTHFITGTTNLLALFDISRPDSLCSTPLLKIPTIPSTRHI SKGNGIGMRGTVSALGLQPTGAGEGILAAGTWTRWVGLYDIYRSGSVIANFSVKPSAD HEAGIKGKGVVQTIWSPCGRYLVVNERGSEGLLVYDVRGMHKLLGWLSGRDGTTNQRL GVDVFPDQNGNGFEVWAGTKNGTVVMYEGVGMNEGETKPTWEWGVNDGESAVGATAMH SSGSVLATCSGSWKVADDGSSDEGSSDDSDSDSEDDSSSGKKPAFVVEETSLKVWSID ASNGAAAQEFEPLEGDVEEKE QC762_600170 MDFTSESNLFSLDGSSWSPVPSSPPTEVSPAHMEADEYALHHGL TFPGIDPWSDILDETGTIAATIVDVQPSHLIENGTLQECAFRPIIPALEQWQVPAESM QLLQQTFQRCTTIEVADLMEELCLEETPSLKELKLEPPIIRSDHNSDCHRLRRRVTSF RKDTLPDHGLPLDDADVAEGEGLEFAENANAMEKELLKKAATEPLEVTKETVGYLVQI LKTEWIDKDQRDLLASNSTYHGLPSFGATEPLSPPLSPMLEPFDDLFVPDEETCRVPQ PSSPFSDISEEVRVAENKLLRQDEIFWDEASAAQISPDRSDDMDIPDMIRGGLFESSV LPPTHYPLPEHPYLDVPIFPPLPHSQPDDALWLEDLTHAGVLTEPRSMSPEIYEEERH LSRFFDDKAATLVRYAEQERLEPLDAIARVSVPLMDFSLPTPAWEQQLQSARAQFGFI RGTTDVDWQGTKWGHNRAAEQRIVWTPMAHMKTKTLAPEAIKVDQEHLDHFLGHGEDE HVVTSEDLVRKKPGLLILQMHLDDDEELLPLSSTGDPTLTTSRANREDGSSSEPLSRT TVTHRARPVEDGVVEPPVPGPVAVLAGQKRLRADPIEIRPIPRPSAHPPTRRMLGLAE GDNLPPVPTTDLFGGLVQEYPDFRTLLDSFVAVNFRAVTDRSNSGHPSYRVNKLFGAT QPAESLPALPEIPAAAPDIAPPEQRPRIVASFTAVGPMAQFLAKLLPGIEIVRRDYKL HRPATWFPGLRSPNLDDADLTISPATGIMLLTMVKLRQRPMPGQPDKTVNYHGVVQNA ALRYERLIVFVSEGNKFNEAMTPLSQSDAKALAEFQGFVGGLDTEVRVLYVGGGTETL AKWVAKTICDHASEQADVKSLVTPPETHHEAFLFRAGMNVFAAQVILKRLPVPADDLA VGGKRGQSYGLPKFLMMSLEDRIAMLEESLGGRKILERASRVLDEPWDQHAVGEYDDD DVFEGSDM QC762_600168 MATMRLNNSLDLAARLWLMFGFGMEREGGFAWDGDKTLYEAVMA IISPLTPQDRYFIKLGNAHSLDNPQQDPSIAIKRMAKYLGILEKPSSESQPGQPNARV FADTFSPQHMMRLTSFHIFRKKTSHQATFFITQLAETNVAIRTGGQHPYRELAEETLK TLGLLMPVENLDTASWFDEQRDGGGVSRQVDSFRIWQARLLTLEDTYNRASPQTILGF WRDRRNLREWWTFWIALLGLVLVLIGFLVATGSLAVGIVSVLEAKEANRYVSIESVEN EKAASSESSAGCCCLATTLDTSVSFDVLGTSDEGLAVVQPTDISNLLRRAWPTKQLAQ HPLQ QC762_600160 MEEWQVQPQTMNPTGPLGRGSRGKLDLAKCDHCRKDRKKCTPQP RQWPQRCDRCEDKGFKCSPSTQARKRRREKPRGLLLSASFLPQDRPSTHPCDDWTHAD LSDAISFLKILYRVRTKISLWEKDLGTIYVREDIETLCNIGCEFVTVIETLHENLLEH IASKIAGLSTGQNDSENTALEASRLHLAACSILQAKNTEWNEKDYESLSVTESTLINS MIKSNLLNDEIGAVLILEDELLAKRAHSRKDSLHTVETLASRFEMFRPVMEKLWNWCT VPSKATNLLYGGMSVIERFRNASVIDVNATWVRTLVMEKATWSLQDCLGSPILHGILR GLGRGLWIPSREEEKYLYSNIGPYCDQHNPADILGRSAIHIAVQYNVPGAVTALLESN INPDQEADNGKLALHLAAASGHLEACKVLLNHTENVWRPDHLEKTAIDYALCNGNRDI VRLLLESGIGDYDVASISWMVLIAAMEQCKTFGVCTEVLNWYEMAFSPASLDTFQDSN GATVLHLAVVMGNLEVVQELAKRSAKWRRGSINLQDEEGQTALCLAVCQKAEKDWLAI IRTLLGIDGIDIDVRDHKGKAPMDYAKEGELSLVVRMLENKQNASTRGEATNTSKHCL QTTHSVPEGVALPIPSQQPLHLLPPPASEPGYWSQGYSPHFSQDNNINQPF QC762_0096820 MFDGRIIATSTVSLAYRISIVVNGTEDPSWDGAEVAVTAYVEIF GTVIVASAPALYTFWTRIFTETRLYATLRSGFWFKQRTEPDIRLGQWKTWPAAHGVAA VPVRAEVVGTESSQDLIQEHGGNGGILKTVTVTREVVGDQGGRGGLG QC762_0096830 MRLWELVYSKPDGHYLRALCLFLKYETKIQSLPISNSDALSELP FENGINHQSWNMTTLQHATTGNLNGNRPPSPSGQVENLESVILGTGAALMPITVAIAM VRIATGRAVSKLHVDDFARLGVARHAWDIPLTSINPQVYQVWAAHTVLGIISFFNTKA LILTFYLRLFGTVRWVRWMCYSLLSLSVVIYGMIGLWYVAGCVPKNSKLPVCDETGPL ILAGGVFTVVADVMLFGMPFPVIRGLRLGRDKKRGLVVLFGFAIL QC762_600150 MAHSSPSMQPSVQLPSPQSLDDQPGLEVVPHDNLPEVRPEEEPK FYLSPAQNQDQKIVLGEDYPQVVDGNGEIEVGSPASTEKGTLPPVSKSYQRRKWWIIG GTACAVVAIIVGVTLGVVLSLKARNNDGNAAINGTSGPVTIRQGSKLSAAGWRKENGY VERYLFYLDPQGQIRRSRSITGKGNSTSTWEVLPVLDLEATNGTSLAATIALHGTDYN PQTALFYEADRKVFGTMFNQARQPNILIDNVSGGYSRGFADLAMGNEAKLAAYWPYVV AQHETGDIIQVDHMLGDGLVPTEDWYVQNLNITAYEGSSLCIVPTSSNFTEIKTSKAY GVVYQKPNQGLAIHYPAFEPGTPDAGQLERVPETFPELYTFPPQTPMAAFAVPRGSND NDSLVDIYLVIKSYTGKFAVWFSENSSSWREEFPAVFQEVDEDSDIACSTMAVTNMDW ERKEVPLERGEVRCYFQRNGTIVEVAFENLIWTEVGVVPIP QC762_600140 MKPRAPSLPSSRLLRTLLSRSALKKVLLAFFLWTLLESHIIYYR LIRTEREARAHHSLQTRRVFIASLHWNNEKILRSSWNAAVLDLVNTLGPNNVFVSVYE SGSWDDSKGALRELDEQLEKTGVGRKIVLDKETHKDLLHSTPGQEGGWIAVGKEKQLM PRRIPYLSKLRNKSLEPLIELAENGTSFDHVLFLGDVVFNTQDIMMLLDTNKGSYAAA CSMDFSKPPQFYDTFALRDSAGHEHVTQTWPYFRSGNSRSALINGLPAPVSSCWNGIV AMPATAFMGIQGLKFRGVQDSLAEYHVEGSECCLIHADNPQSRTKGVFLNPNVRVGYK PEAYKAVHPVGSSWVSLSQIWFGLWKNRLGRWLTTPWFKESTIRRRVAQWAGEGGKGV VREEKGGFCLINEMQVVVHNGWKHL QC762_600145 MDPFSIGIGCITLLEVAQKTIKQLYQLSKRYNDARSDLFKTMNQ LRSLAYVLELIRYDEGTQHTDSPNRKNDLIMDQVNLCMDIIEELQVVITSINDSRVKW AISGKAAVENIHKQLQTATEQLELTLGVHTLAVAKDIKKDATELLLGQEQIGAQVQRL SEHMGLRDNTGPYHRSSPTTIPSWSRTSQGVCVEASGQCDSSVGAMAGTHESVNDGNY TNDTPSPTNSSQWSGSTAYSPPASISSPISVIDVTATLVTPNVKPIYHHTAETGSWSQ YLDPATVAPGTPDITFWPSEMQYSPITMAHKTAKLSKEITVEHVETPTAPSKEEVIRN QFKEAAWSLAPKKKQKSVRETFKEMVRLKAAASVAA QC762_600130 MRPDFFDRLPPPTALLLLSSLLDLSNAHILQPLPRRVPVVPPTT TVLYHPLSVVSWPLRPTPPPSRDLFALRRRQDNTVCGYLGGDQNLAATCSAGSHCVLD TENNVVGCCPNGEASCTAGVFTGCVDANSGPQTEVNPYVFTCGGSDVCYKNVFQGGAS QYGCGSASDLATIVLTSASGLTTQVTFPTVSLSLTQAVSTLSEPTTLGTVTNTASSST GTESASSTETESTSSSVSSSSSTSPSTSTSSPSSTQSSTQSSSTSTPTTTETTSPATD APETGRAQTTNRTGVIVGATIGGLAVLIALVALLAFCIRRRQNANARSGPGKGSIRGQ NISTPRPGPGTGFAAIPQDSDAFETGPSPNPMFAGQNQPSPQQQMKSIPLMTAVPPRM PFQNDVSPIGQDDISPYAYSGAGGVVSAITPHSHTSYPPSDELSMQYQHMQQQGQYPA IYSGAAAIPVVHNGRGDENRLESDQVPLTREIDDFSHGFSAALDRIGEEDEEDHLRRE EGGDDLGEMNMSGGRRGDVGEGPPGDYSRVASSVYSRGSNGGGRPLWQQNRRPSKTGM WM QC762_600120 MSPSILVVLTSHADLADTGKKTGWYLSEFSHPHHVFASSSPPPK ITVASPRGGAAPLDQSSIEAAKDDEISVEFLHKQSALWEATTPLSQILQQGIDSYDAL FFPGGHGPMFDLAGDKESQEIVKRFWEAGKIVSAVCHGPAALVNVKLSNGYYLLKGKK VTAFSNSEEDGVGLSEKMPFMLETRIKEVGAEYEKAGQDWGEKLVVDGKLITGQNPAS AKAVGEAILKVI QC762_600110 MKDIYSGGTQVVVYLGDGKNHRPKRLSEHHIISKAPTQQHFYND ERDGVHLEEFWAALSASAMPSLPMNDRSKRPRIRSRHLSSTFHMFCLLRVLGDEVLTS DLVDRMRKLTAMDPSCDLLGTMVETLRCMLLNPWWQRIWVVQEMIVSRVAVVRCGTVT CPWDMFIAAASSLSSSSVEMSTIFRPDSIKVLQYFCRQVLSFRDLRNQWKKNLGAPML TLLQDFSARRATDERDKVFALLGLASHSQRFLALASYEDSVADVYRATAVELIRRSHS LEIWHGDLARKNRRDLASWVPDWSAVYDEGDRQRAQRGGREKGEPSSAWKLTVVQSEG GYWKFVVEGMTLLLHWINENPQERKLPKRMEEEFDSYHRLLNITCLDFYRRRDANALV ATVERIQELCINLKAHCSWGSAYEDLAKHPSISAFGRSAAFYREVKSKSEVGQVTPNM DSESRDDFWFGKDTAAWLDRMVIRYETVTFRTPKSETAIQHHFRWELDESRWYPDGRR RKSFLSMESMPLGTVRHVGERLFSWDDRDSAFSTISKWVSYYEKMSGYHRVKLAKTCW AKPISPKHYRVQLKSMELEMRAIVILWKRCFWSGLIMCLNVTISQTMQT QC762_600100 MHWYQALISTLFILEQPKKQGTQTSASIHIFTGFSWSLRKSRAL ARLTVTGHVRPSSMMFSVTRGDPHAYDKVEYSVISSTSSEWHVSSQLIGSVHFPGLIV TSLTSSASLSLW QC762_600090 MDSKSQVSAAQNNNTKRFSLESESSVGQFTLKEGQSSGETKPKK SSRLSKFLSKMQSPAVRNGAQLQEKDKEEEKRTGVKKKDDSENPYVTELMSDYRYVL QC762_0096920 MLYQLFSSVVDYATYYQAVKSLTAHGHEAVGVVSVPSPSPGLDK GVCDPLTLDSFLQVVGIHVNCLRPKDVGQVFMCTAIEEILLSPTYAQSSTGWNVYTRY DAASEGEMTNDIMVYDAQTNALAVAIMGATFKSVSLKSLERILSRLNDTPHSVVHKPR PIQPVQPMQATLPPTTPTPQQEDKHMVKITPSQPSTEPHDVSQMLSSIIEMPADEITS KSTLVELGIDSLLASDVLVEIKSLFGVKFSQAEPLACANVDDLVRLVQADSTASASPQ SASKVLRYDSFNTVNSEPAISSGLSDYGTDSHDSSCPADFTDDDGTSSSESDHADMDK LTDVGNTVTYTQHARDTRFSGFCNDVYPIQSRLVTQYVVAAFADLGCDLSVLVSGSTV PFITRFEPRHKMVGEQLYRILEDSKLIVRDGQNNYRRTSVPLDKSSAFDLHAVMLSQY PQHASETKLLHATSSRLSACLTSAANPIDILFGSAAARALLEDVYLNAPMFRTGTLVL VDVISSLVQTSTRKTIRILEIGARTGGTTRPLLQALSQMERPDMKIKYTFTDLSPSLV AAAKRKFTSLAASNARPERLKMEMRFTTLDIESADTKHNEHYDVILSTNCIHVTKDLV ISTGNIRKLLDPVEGGLLCLVELTRNLFWFDLVFGLLEGWSRFNDGRKHALADKLIWE RCLKQAGFASVNWSDDGTKEGEILRVITARALPQSQPSPEETKMETMCFKSIDGVDLM ADIHYPPSDTVSSTTPRPIAIALMIHGGGHIMLSRADIRPKQTDLLLSKGFIPISIDY RLCLETTLEQGPMSDAAAALAWVRKTLPSLPLARKDIHLDGEKVVAVGWNTGGLLAMS LAWKSADFDIRPPEVVLAFYSPSDYGDPFWTRPNIPEGSEKVFPVEADLDSMVFDRRP ITAYNRIGSGGG QC762_0096930 MYEALSEKGVDAELRIIDGGAKHLFDIGKCWEKRCPQGREAVQE GFEFLEKRVCC QC762_600075 MDSLKDVKLSLDDSTGVAIIRLDRPAKRNAFSQNTIHELVSALS HLDALDSVRAVILAGNPDGPFCAGMDINELSQLNTLAAHERSFLKDLTDAFDQFTKPI IAAVIGLALGGGFELALAADIIYAADDALFGLPEVKIGTIPGAGGTQRLARALGKHKA MELILTGDSISASELAQYGLVNKVFPRQEVESESIKLAQRLATKSAPVLKFGKKAVLT AENTHLEAGMSLEKSLYYSTFDLGDFREGQAAFLEKRSPRFNLG QC762_600070 MGSQVEPDSFYSLESLSTEDQLLFNRFGRGETIPLPFTRVHHAF ESIASQHPGATAVRHFDGTSMTYGELDRHANILANELLTRFCFKRGARAVLVYSRTIE MVIFILAVLKAGGQYVPIDGGIIPIEALSHVVSDSSADIVLCLPKYSDKATEACRQGP AILSLNLSSDIWTSGVASRPNVDVQPEDGAYVIYTSGTTGRPKGVDVTHHGVCNSLLV EPAKLEITVGTKVAQQLSVAFDMSAWEILATVMNGGTLYIRGSGNDLWNECLKQVDVV ISTPSVAIKRFPNYEDFPNLKTIVVGGEPCPKTLADHWAEHTGTRFLNVCGPTEISVL NTVHVHKLGGPLTIGKPNPNTTLYILDVDENPVKIGEAGVMWVGGVGVSRGYLNLPEL TATRYKLDKFTRDGRMMFNTGDLVRWNADGCLETLGRRDDQVKINGFRVELDGVSRAI ESCPDVIKGCALKIDTSLWGFYSAIHPLDQAELHKAVSAQQPFYGVPKVWHYLGPTIP LTANGKIDKRVLRELATAVNSGAQQTTPPAEVGLLAPSITDVEKASVPSSSSSATDEK PDPVAPIPPKKGFHGWRWLRHRGLSAYRKLFGIIFVANAIAFVVMLWKSRESNFELPL DNLATVVSANLLASVLLRQDYVVNVVFWLATRMPTSAPLAIRRHLARVYHYGGVHSGA SVAASLWWLVFTVAATRRLAPTGSTQPSQRIAVLVLTYLIFALLVAILAMAWPSIRAK MHDQFEWTHRFAGWTALALVWAHLMVVTAALPSTQPFSASLARTPTLYLLSLTTLSIA APWMRLRRVKVVAEPLSSHAVRLHFDFKTPRECSSLGIRITDRPLVEWHAFAAIPEPD NKPGLSILVSRAGDWTGRLIDNPPTYLWTRGEPASGVLAIAPLFKKIVLVATGSGIGP CLPVIMECKVPCRILWSTKNPLRTYGQGIIDEVKRCDDRAVIWDTDSMGRPDMVHLAW ELYHESGAECVCIVSNARTTKRVVYQLEARGIPAFGPIWDS QC762_600060 MASTNQQDGFLRFERWIRQQGDDRPSRIQSDVTELTRWGGYDFD MIFAPLDGRYRGPPSGEYDQLIQLYDIPDDFVAERERSVTHSFGHQLGENGVETLWMH FLVKIPTTASQPNQHEPWLKWGFVMTWKPKPMTPTERDTVEPEKSEYCVTFLAFQPPL ESIQALVTFILSSTWNHVNNDPYVIVDVALSSWYQRVDSIAWDVTHLVRTDEKKLFER AKILETTDPSSHSRHLSSLDLHGIHTSAKNAIYLTEALDAILRAVDRVLSAHKELLHD PKNKWRTEDRTWENTHRLLRYRSELFNSTRLRIVSSHERIKNAVDLAFHLNNAQDSRI GMMNSRSVRIISIVGLIFIPFSTASSIFGTQFFSFPDNNEHHMQVNQDIWYLFATAIP VTIGILLLWKASENDQLRLPGGLASLFGCSSQPRPRDSPSGERGILLNDMEQQRA QC762_600050 MSHYQSNKALNINTLTLPLFMKICCLCSFLLVTLPRLTQLMAST GRQVDYHGADIQPDRDRINGFLTLMNEVVPPAQVHSSSQSVSRPPQYPPAPRPVANTP GSGALPANNRQYCSTSGFHQQLQAQVATKTLLLLDTPGTAMSEKPLSTGSGASSHPNP YQDVSSWWAAFFKGGFSWRWFGGPHLYFRPNLEYADSTPFFVTDGLGREKVYNQPFEC YIDPFTGKIEKLYVQAPSSICLLGSVDPNCTWKRTPIRNVTPFLLRVGNLPFRFIPAE RRGHRSKFTFEDWCIVIGMWIPSVAVFLMSWTVYDPSGPVEGKDPTKYLPLMYRGLKY PRLARNMLENRHQVAQLLYKYTSWNTTAALSSYRTFRPRFLNYLVQTELPDGRKLFSF ETRPVPDNDITPFVMIAWSSAHYELKSRENESGLPRNNEGDLDALLSMGVTASVKYFG LEPEKQDLRQHPKAFWCSANCMPPTKRVDHLGRVVNVDGEEKELLANQDTYSISDIIR TAQHVAVVIGNLQRPWDPNALRVWGERVWTLPEIVLSKGETVTVWHCGTRPSMKPYEF TEIPKALFPTYAWADALNSRQLIEHYGNLHLSHLELVKIALECLMSRHFRAMHPGDRV YVLMGLLRIRPPIDSTDTSFQAFARLSLPQDSDRLMERLICLLPDFPGQNWELMTDQY KASLWDVYPNTQICAIGENDTVVIDDAKGAQIQWSEFTRVRTLRKLTAKREFFIYFLI WSPLVFFIGVILAALFQPPPPMPNSMYYYPVPTNPAYQAGLAITVITLLFFILPAPYF LWHIYSGKLWEVEPCFFGLEGYVPIEAIEEKLFGTRGSHARLQWSTWGSPLSRHTQGR VTRERSVKFKYQDAIDPGTGIQNAVPVLSLDGNIDTYHVESTDPTSPCSHCSSNASGG RYCSYHPTVASCQDMSRSKMGQMKVFTLVDTYNMTVTLFYAVRPPTVLVLGGSEGGMK RAIACSFDITTGTLYRETVLRIPSQSADRMEGLGRLRLGLVRPFLDNDVRKTLSSQPV QQQQSSTIFQEQVTPTSVHVPIVHEPKI QC762_0096980 MSPRNANGLYLIMGGHSHTPLGDFAGAVGKYPTIVENSEGEEVV IVQAYRWGEYLGYIDDEDLQSQIDGWRKPFEEFAAQVVGESKVVLDQSLCLQQECLLG NFMADAMLQYRVNNTTPETAPAFALINAGGVRATIDEGPITRGEVLTSFPFGNSIFEI SMSGERLWSALEGIMSKVNQVNGCPVTSLLQVSRGIVIEYNPDASATTKLVAVTIGSK PLDKAAEYRIVTLDFLAGGGDNFFDPPFKNPVVLDTQDTVLVDYIGYTTPVDIKFEGR IKPISRCRQKFLAKKAKRAADQGPMKA QC762_0096990 MSATEASPPFVEPYPGYAAENKGPTILGVTSAMTLLGIVFVAAR VYSRVISMGKIYLDDYITLFSITLCVIYVGLAGAAISHGGGRHLDTLSPEDVKKALYY TVISFVPGVSSFTIPKFAVVVLLRKILNPGRAHRMVMWVVSVIYGLLAIGMLVINFAQ CTPARAQWLDAPGKCWDRQITVDYAMALGIYSVLFDFYLAIYPTVVLFQLQLNWRKKL ALSSSLGFGYCAGVITCYKCYTLSGLLEVVDFTYTVDDVVLWTNIEANCVIIGACIPC LYPLIRKLFGNSALGGTSGPTGGNSKSGGGYRGNTGRTNTVVTIGSYAKNKGRSRNKS ISQLDTINDMDADSKYIILEERSFHCSTAELTEPDAVAANVQNEHRKPERVAKPDGW QC762_600030 MLPSLALLPAIAGLLPSVSAAALSSNAQKIEDRAITPLACLFFG DIVSPWWLNRCKQHLDMVVIDLRSAEEYAVSHIPGSISAPFEPISAWSQMGPGDLLLE LPEYNDLAAFLGSIGVGNSPSTATKIVLVNGVGVPAFPQAAGPRVALTLKFAGLSTGR VAILDGGFPAWTSESLPSTTEVPVPVPKTFISSPDRSFLVDINYVASKINKNRQGIFI LDGRDQAVYNGSVLEEWAQRPGHIPSAKNLPTQKVWNPDGSFKSTFELLALLRQQIGY GASRSYGEIIVSCGVGGYASGLYWVLTRMLGFDNVKFFDGSAQQWSNEGYPMEL QC762_600020 MGKVGFSHEFRSIEAGKEHKMLHLLESMFGEIGQMGELTWLLSI AQNLKLSKTAAEFDQLTMLMADRRAAAEDNNKGDILQHFLDDMRSEKPIAFTNKNILY SDAALVLTGATDTIGAVLAHLFYQLANHPHYQDLLHVQLQKAYGKTIPDEFTNQNLSK IPLLDALINETMRVDNPIASNDPRRTPPEGITVDGVHIPGGVAVQVPAYALHRSEQFY AEPAQFGPERRLDDNKEYVKNAEAFIPFIVGPNNCVGKRMTMSVLQLVMGLFHVEF QC762_600010 MDFFDDLINLNFDLDPTTALTLAAGSFAVWYITTAFISWYRYRH LPGPFVAKFSYIWQVYTIATGRVKENYINLREYGPLVLTAPGTVVTSDPEIFRRANGA RSKYDRSPWYAAGKFKHDTTNMGTLIDTPEHDAIKSKTAGPYAGREAEGGLESVVDAQ LVRLVDLIKRKYVSRNGELVQTDFSKISRFFTLDVISKLLFGTPWGHLDEGIDVLGWC VAMDDRLVLMFLMMELPALRYLFGGSYGLLRWFGPQPGDKFGLGVVMGYVNKLIGEHF TDKDLKQKDMMSGFIRNGLSEMECQGEALLVILAGSDTSAGTIRSTILYLMSNPQAYG RFKREIKEALQQGKVSSPITNEEALKLPYLQAIITEASRIGTPLTFGHYKVVPKGGDT VNGMYLPAGTEIGHNALSLTHNKEIFGEDADIFRPERFLEADPERKARMLKALDMLFG SGRWTCAGKNLALMELNKIFFELLRHFDFQIVNVQNPVKERAYLTKLHEDMFVRITET DWSTI QC762_0097040 MAEGQRDVYLYRRPITSLAKVPFVNKSTHASISHWAVCVGETCY EVTHTLNHPTGKPRDIRTITKSEWIQHAQEKRLVYQYTHYGKCNAEWTDDDIKKCADD IWKRVFDGTYENFESNCQEFAHLLMRCIVNDLRQDTVPNRWESYNPDALVPAAMITGG PGFTAGVVKMTTATAATGAVGGVTILDVATTGGILTGIFGMIAFASHKYNKYRRYKKS KALVAEWHSIRNTTTKKGWRRVFSRSSWRRMWDTI QC762_606200 MVLPKSILLALAAIPCVLAAVASPRAPDVDLDDGMTWTGRIFKT DTEVTELHGTATEILAQILAINPEYNAAEIAPEDALEALEKRAEVLTCGTMATGDHER SITAANDLKKLGQNCGAPSKRCRRMTCQSTTASYICSENSSDVSIPCSTAGRQVNHIM LNCCRGYRAGRSGHIYQDGRYSIWLGYGNCNHATNVFPHTYPYPGGYVNGGCYDN QC762_606210 MKFFSVSTLLPLALLAGKTLCWLRPTHLRHNIVHWYDPDDGQIC DPHDCGGGRAPPRKDVPGCAFYTGTETLRTEASYMPCFTSGKLVLTTEEPTPTGSSSV PLVTTLTTSTSAVITDRPSVGDEEEEITTPTTTTATGNGGSVVGAGGSLVRVFAGAVF GVIAFV QC762_606220 MEPRGRSPVLSSRVAQKGGSMNICIPLRPRHHDRKTAAADIDLK AQGQDPVDRYSRPAADALSLSGSTPPQSPTMANFGVGGGPKVIISDMMTKPHSRPQLK SMDSRSSLKKAKDQDTAEYQPADKDFRKTHRVVGSIDSILTSTTCVNTASSCSRAESR LSREIRIEVEDADDGEAPILAYHPNLANMFYSESRNHLGVPSGPSSSMTCKKLEEEGE LDEDRLIDEISSWVLRNTCGKDVDDCAAPLMIWDCTYRYVQELSSVAQDGTMGIVQAT SGQGTPTSQGGGTPGEGGYDQQSSGGYFSKGKRKAEGGGSDDGSGLGGRDHMGGGDDD GDTTMAAQGYTSKNTTNFSCPYRKRNPLRFNVRDHYVCATHSFSDMSQLKKHIRAHHP PVQRNAGPFLCPRCCKGFPSKNDLDSHLRQPEPCRLSVDHGGANPEDGITQKIISSLE ARSLKAKIDNWKSLWKLLFPHDREIPEPAFIPVMEAFDFISESEKYKDQLKELLELQY RHVLDGATHIGDIDMKIHQGLKRSIKSIYNWIETVVQDWEKKISGAVSFFNVNPVENT VVDVSDTASWAPSGQRLTPSPASTPTMLSGSTGMVSTMAGTTLVGTESPGRGAPSAAA RRRPNPVKRIKRAEVLPKTQPTTQIPVPIQRARTPQGQARAINTSFRPPSVLPSQSAL MPASTSGQMEQPIVAFQHPNWDSPPVSMPANYAIPYTTAGDMFQSPDLITAPAHYSPV PIGPSHLEVQNYLANQEHQGAVHVPTGEGLQQHDMVPRPQSTATIRASRLITPRSSVA STWMRDENRDSAQTLVEDHPPGRCNNMYCPSCSKPLPDDMGVTMHVQSPIGMHHGVVG PGHPHQQQHRHQLHQQQQPHEIYGQGGHTTMPGFTPTTGPMEMHGLPGNEEVEWGFHG GANPNMFGGHGGPQEGY QC762_0097080 MWTGRAFMTPSFSHHVQLKLPEKSPLRPILSHKSFAVEGQGPSS YEIMATQPNCPSGLNPHEFLALKSLVSGAARRWLSILTELASTNLNWSSEGTMSLLLH LALQCGPSSDKDDPLRLVHSVFRDAHFVQKLLEQVNTRLTTLSALASWRETHLMRLTI VLTLRIQELASSAGLAQLHFQALESLIQARRTCISWLRMLREEVQSCADISTAQQLQE RALGAALLCRRTFIINLEQTTPLDPLSLEAYIESTVAIQENMTSDVDSLSQTTLHDLV FATKLSYQLQNLLIQSINQHPEGLYNALKQFWPDAERLDPITTTVEVEGRGWIRCELP ETDVERHQAVHLNILLGTLLVNGKPVGRLPQDTRNEVVLKELFGDQPLMVYQSSLPSM TYTLKYTPKRVTVHIGSEKGQTVVLAKYEHMLVRLIPRERFCRKDLYDLPSPLIWDHF HWLNLKTGRLYITPSHNKWNFGHYSNWEVDIHRGYAQRRQHDGEVVSMVNPMSPLFQR IANIVQGLAQGHRILVTQKKSYGSLEVRVHSLELLFFVNHNSLLFSPQLGLEIDPLQD AGTWYGLEHKLVCRKVHNPFRRTILVPLPSDRFRFRRSGCHVELAVGPSNRYGKFDIN DTLGRIDCAAEPVLVYAKALIHAFTSFPLPDPLTGRTGTEESLHWLESGICRPWTVLG GEILLLQHIASLTPIREYYPSGLKGMKTDFWDEQLTTHIQHPLYRLVVQKILSISNDL RMFNPTRDDEDNLLDLLPAGGEVWLNKRSLARRQLYERDAESNDTALSSGRTDRVYIA RDKSVNSDKLYRRVMETTHLLRTRPVKFPTPTNLASTFALGNVVGGFGQAYDKVSLND RMYTDIQENWGALVEYCRNPSQSCYSLMFLFANLAFREATDDKLLKGLVAFAILRNLR MLPLPRPWPLYFNFRPAAVPQLEDVIKLLLPFRIPPPEDEKEKLGAFLTMKLRRKIQA AKDAHEIRSQSDCHKLAKIMLAQWPSEKPDLSELPTSDLLLDVDVAVQAVIPEWQRLY RNLELWNHLKEVQLILDEHFQDVEYSPAIVTAAEEVLSCRVRGGEVPTLSVNLLCKDF IPRLSSQPTTTTRSAAEWSPLASLPNDGRRASRQVNDRAIVGNAQSQMSAYEVKRYID ELRGIVDTLGNSKSLVRKKYAQDLSGSLQALRSLKTPDQLAKPFLAIRPNSGTKGDVS HLFEVLKASLVAETSNVSSRRIEWLRIGGLWPAITTTALLEQLRSTSSTCFGAGMRQG LIQFGLAITKLQREMRLNDCVMAGDVSRYQDEEANTGHQNWDPAQHPDWLLLEIEANL LIRPGQIDVTRATISPESGSNSVLQMNMGEGKTSCIIPMCAAFMADTKNLVRVIVPKA LLLQTALLLQSRLGVMLNRHVRHVPFSRRTSTTGGNIKLYFDIHKQSRDTAGVMLCLP EHNLSFMLSGQQRLLDGNINEAKPMMKVHAWIKSHSRDILDESDYTLATRTQLIYPSG SQMSVDGRPHRWLTVQALLSLVDQHLYGLQVSFPKSLEVVRRPGGGFPLIYFLRQDVE DELLRRLTVDITNGLGHILPMHYLNAGERRAVVDFLSPTKSRLGPITLEKVRKLCPER PEVGKTVYLLRGLLVNRILIMTLKKRWNVQYGLHPNRDPVAVPFHAKGVPSDQSEWGH PDVAILFTCLVFYYDGILEPQLRQALARVLKSDDPSTEYDKWVQSCDIFPESLKAWNG INVEDNVQIHEIWRAVRYRTVVIDYYLNNFVFPRHAKQFKVKLQSSGWDIPLFSSETK DAKLSKALTTGFSGTNDNRTMLPLTIQQADLPTLSHTNAEVLTYLLYERSRRCQVITN QYGKRATERDLLYLLRERNIQVLIDAGAQILEMDNETLAKTWLSIDGRCNAALYFDSS NKPWVIDKQRRKTPLLASPYADDLSRCLVYLDEAHTRGTDLKLPLGACGALTVGQGQS KDHTVQAAMRLRQLGKSQSVMFFVPPEVNQVIKDLRKKSVFDKIDSHDVICWLLDNTC DGIEQLQPLYFSQGMDFCRRTQAAIDNPDCLTHPGQQADYVAAIKQNELQTLQEMYEP KTKVKAAKLSIEAGSSHPKVAGFLKELNNRRKGFQDTGRAVHGSALQEVEQEREVAFE VETVRQVKRPVMYDALTFPGISKEIDTFARTGRIPVGSLSVCHVFELLRKTALGRKHK IRAQDQTSRLFVSVEFGRTVKLHTDLAKDTFLRPVNWVLWSGFTQTAIVLIPEEAEIL IQMIRDKVTHPCVHLICYASPVTRSMLPFNKLNFFSMPPLPEGWVAPQWLRTELGILA GRLYFEWEEYEALCTFLGVDANDGTAKGLGLVDGEDEGYGGDGAADEDHTLRNTQTNS FAPRPLTFLQEWLAVRRHGQDFVHTPMGFLTQSKPLQQDHPFFGGTSLPLPTPDAPLG LPTAAGLGGNGGGREEENHDFFDGGLDDMGANVGMPVEEWEEEEDGKEVVVYEECEIS SGMTSGSGSFEMTDSGSSERGEYYT QC762_0097090 MEIALYNHLALPLRVPPSEDGNLSDLEIQLTDHLISNVRIMCQA FRQPYQPGAHPSAISKVWEYIRLCLESSKTVNRNGRVNRTTLLSEFRHLAQGNAVILH ISSQNAALLVHRLGVRDEVVFEVFETSPRNDDVLAAENALQWDFPGSAVAIPLATFED GAFQGSLATFLEQASLESTKMFAAHTFKAGADIHEYRDTSSPTMISSMLMAVLEENGR RISTPLLRKRVRDDISWHQARKPWRRLPYWLVLRVSIARYLSLVLGAEMGRINKIIDD ATRRVATEWEAFKKAHARPIPELPKRASPADLNLPLELSGSMLRDLQTNWGKTTRGHC RRWVAPEHFDLGSVTNKHLSEFAQPLFHVTQQEMLLQDCSPNESLITYLQTALPLFHG NPEQLSILILNAMEMWMRLDQTTCFQFPLLTDYHPVFTPESLNVLHLATYKDMVRLQA VQKHISQRIHASSLPRCTIFDDPSSSCFAARYFDGMHPDSVAMRNSYADITHADVLRK ELKRKEWEKKTEEFQSLTRQVDGSSCILIVDEDDPLGRSFHDDHYCPRCRAMHKLEKI RIQIYEESLPSDIHLAKAAVFELRCPPAFANYRDTTLMLISKLASPEVAVGVAPKCLL NGYSQLHTVANIYPKFTLASLTKSYFPSSGKVDETGCASPTG QC762_606250 MEHSDHPHDLDGSSYDYGCLYSHETSMMERPPSSTIPTAFSVSD WPAPDLSISSLSSYEVVEDIHYTELSPEAGYYLPYSQLTGDLPFGQYYDFGDPIPGNS TRQPINDDAVDLAASQLGHLPISPVSQDASSYQNLPAPTTKQTYECLAPGCSQKSFSR SADLERHYKQVHIPEDEKRKYRCDYKKCPRHEAPFGRLDHFKEHLRDFHKEDLISRPK RLDTKWWESRAPRAVFNGWWRCNRCLVVRVDIETDGYTCPACGDPCESDRVRVREAAA GMSQPRKKLTATG QC762_606260 MYFFSLLPLLITTTTSSPSPGGQVLPRQSSPPPTNPSFISTILA TANAYRSAHAARPLTWDANLAAFALQKANGCRLNHAGPYGENAYWSWYYPPTHQPDFT AEIGWAFEAWNSQEEIDAYVAGDLLGGAHFTQTVWKATERVGCAFSGERCVGNPDQEW WFYCDFWPRGNVRGWYGGNVTV QC762_606270 MYHASTNNVPGLRDLLDSGKASVSDRTSAGWTPLHLSAAAGHVD CCKYLLAHGADVTSAGHVGVTPLHRAAVYGHLDVIKALVENEGDPKARNQHGFNTIFG VLHSPFIRDPAFKAAIITWILQQEQFLSMLTARTIRETRFWGGLLSITQMV QC762_606280 MARQQTVVLACAKCGCANTMTSTLFLTKPRRRRKKIVPLRNIRR IRSEDIIHHSMASSSYSQPQDPDQQKTLTTKASNESLAAASTVVPDSEAPTTGKQPQA PTRYLYYEKVGEPDPNYVPKPPSKLAKFMAKFQSPMVKATEAKRQAEIDEEKRTGIKV YTPAGAPMGSGQHIGNALS QC762_606285 MTFSRVPPQKPQRSRFLKREHKSNYLMESPISKPAPPCFPPACH PLISDPSDLNLPSQSFGSLNLTRKALPTSRTCFQQGGLPGPPHIGSGSRFSFQQGGPP GLVQRVSSSGTGLRFFQQGAPLHGWYRGSTSGTTGSCF QC762_606290 MQTKTLLLATLASVASARFGQEGLVQSVIQALGAFGPPGAAGTL AGQTPSVLLAGASACAKLELADEIVATLGNDPQVIAGAAALVAAEKNFNPFAVDIPTI CSNAALPATPELRGIIPLVDPAVEGADIQNANSAASLQAPLADAGLSVADISRAAGFE NFEEQA QC762_606310 MIGSGAWGPRQGVGARNHLAADWRGLDLSLALSLSLLPDFVILL LVYPPLLSSTFSFVSRPHLLLVSFCLVTFAFLLERYIPVGTGVRRCRPTALFQTTAHR LVSQLTTPSTVVWPRSLFSHVVPPGNHDSFTELTSSFTFARTNIGSPSMMSKLGSPLG SPTLAATTAQFRNRLPTQFRRCLPIYVAAIILIFFTFNLNLFHSRVREVTSNAAALAR PPVPVVAAPTVPITPVGPAQTPKAVRTEFPRKIWQTWKVNPLRFEERDINTARSWVGK NPDYRYEVLTDDNDMKYVEWHFGPEGFNRPDIVNFYRDVKAAIVKADLLRYIVMYAEG GLYADIDVEALKPLSKFIPDRYNVKDIDMVIGVEIDEPDWKDHPILGPKSRSFCQWTF MCKPQLPVMMRLIEQIMTWLNGVAKEQNVPLADVKLDFDQIISGTGPSAFTTAILAEM DLHKEDPNIKVDWDLFHDLQESKLVSRTLVLTVEAFAAGQGHSDSGNHDARAALVRHH YHASNWPSRHPRYSHPAYGEVEKCNWNDECVKTWDKNVEAFAKMTEEEQRKIVAQKEQ ERKEAAEKAKAEEEKRKKDREEAEKRKKEEEEKKRKEAEAKKAEAQEAKRKEEEEKRK EEEKKGWLHFS QC762_606320 MHSLREGILDGTGNDSSSAIKSTAPSFSLKAILRGLRHILWPKA ASADSSPRQLRPTAYLDGLRGFAAFLVYIHHHQLWAHGAESLQSAVYFENAYGFDGEF RLSTFYGIRNFFTGGHMAVAVFYVISGYVLSVKPLALMQSGEHLKLADNLASAFFRRW FRLYLPIIATTLVYITSWHLFGYWNFACPPKETFGDELWNWYVEFKNFSFLFKEGGWI WVKANTHTWSIPLEMRGSVITYMACMALSRATTKARLVCLVVLVGYYLYVVDGYYGAL FVAGMLQADLDLLARREGGYFPGWLRRLERHKTFIYYHLFVVSMYLAGVPSATNKVED LRANPGWYWLSYLKPQAVFDPKWFYLFWAANMLVAAVPRMGWLRRWFEGRFCQFLGRI SFAFYLVHGPILATVGDRLYHVVGWGRPVEAGEVDMLAAWRDLLPLPKVGPIGLEFSF LVPHLILLPLTFWVADIVTRMVDEPSVKFAAWLYKRVQGGGKPEMKPESNEMMLRLA QC762_606330 MSDSKLFQPLKLTSSITLKHRIAMAPLTRFRSTDEHVPIVPLMK EYYSQRASAPGGTLLVTEGTFISPTAGGMNNVPGIWSKEQIAAWKEITDGVHAQGSFV YLQLWALGRAALGDVAKAEGFTVKAPSAIAIPDTDGVVTPEEMTIDDIKQKIAEYAQA AKNAIEAGFDGVEIHGANGYLVDQFIQDNSNQRTDEYGGSIENRSRFAVEVVDAVTAA VGEEKVGIRLSPWSVFQGMKMKNPVPQFSDVIRKISERHPNMSYLHLVETRIAGNRDE ANDNDEERLDFALDIWKGPVLIAGGLTPETARKLVDEELKERDNVVTVFGRYFISTPD IVFRIREGIDLNQYDRSSFYIPKSPKGYIDQPFSKEFEKVYGQGIKACI QC762_606340 METLIMAKVDNDIHPKVTFLAIISPLSGHMNLPALSIISMDLHM SISLTNLTITVYTFVSGLTPSLIAPFSDSYGQRPVYLFYLALCALSNIGLAPQSSFPA LISLLCVQAAGSGVTISLGSAAVADMITIAERGKYIGYAALGLTLCPALAPTGRNVVG DGSVRPERRWSRTGWDLLKHIKRHEMTEEEEGRHTMEEAAKKKVSIHTSVRILGEKEA FVTVMAGVLFSGGYFMVLITLGSQLSERFSFRPIIVGVLPGAGNRNARITMDRWLLDW NFGRWARNLGLKLDLDRQQRLEEVPIEKIRLEIALGALYDCCGVRVDRENEVVVGIEI ALFFLGVFFAGAINGLNVLIVNTHPDSPATAVAASKLARCVVAAGASAVAMPIIERAG MGWASASIAGAWLGFSPLLWMAMYCGANWRQDVKLRSNETGRDRA QC762_606350 MASPSPVSEWLTERPYTATFIAIAMLLLPTISLLRSSGDKTAVP KLPSTIPYVTNTYHYMTNMKTFYERSKAQLRKTSKNILAWNLTPWMKVYLVTSPGHIQ ALFRPNPAISSDKFFHLIYENLWGASLADREKFLNDNSGRGKVPLPGYENVLPEQRYF AGMHAVFHDHLAATAKSNMLAAIYQRFFAEELEGKFPLGEGVVEGVQQLLNVHMATAA TATLAGKGILARWPKLIDWLWDFDKVAASLVWGLPRWMNRSALETRDSLRHACKQYIE EELAKGFDLEADHGNWEPIMGSTFQRETIRWMKQGGFSTEAMGASTMVAMLFGTNANS IPVTVWCLMEVIEDKSLLEAVREEVNTVLETDPDTGARTINMQKLLALPLLQSIYVEC IRLHVSMNVTREAIAPVKLGDFTLDKGSLIQACTEISHLDEEVWGDENHPATEFWAAR HLKYVDETDENGNVKKVPQFSMAGRQNDWFPYGGGISICPGRHFAKQEIMLTTAIIVA RFDLELVGWVNKDGTPSDRPAQNDVKYAGAASVPPDREMRVRFTRRW QC762_606380 MFQEPSRRSWRTGDAPVVLTQPSPLSQAGSWRDYERDFMPEVRA KPANMAPRRMASLRRISPPDYKPTPLRRSFLVILIVLLLVCIVLLECACQFLPTEQDR RIIPEPKPSPTSNVNSTPTGIDNPPLLKPRLQLSRRLLQNATLDDERDNAPANSPANT VVPITISTDLPDSTSQVVPGDFAQIGTVAVGSSPTTAAATDGRPNPLRSESTTTEPPL TQPSSNFADIGTQTLISTKTDPDNPLIGDSGNFGQDGTQTITEAAQDANPAGFIGIVL PSTTLDEVVSTVTKETTIIGVPATTTVIGVTTESGLVLSFTETRTVDQVVTLVPSPTT IFNVVTAVSPSFVTLSVEILSDDDGTPTVTVINTPPPVFSPEVITVTDSRGVPTLTVT TDVVVPPRTKVVTNFQGVPTATITEFPTVPTDTPKKPQEEVSVYFISRAQYFVGFFLP TILAVMLTIPIRMIDMAAKQYQPWHALTQRMGVPAEESLCLRTGGFHGIVSSFKAMAT GQMLMVLTTLLTIASVFLVPLSSEAVALKLHGSCTPTNFNGCAMTLGVFLGPARATTA LLSFMVVLLILIMIVLRKWRTGVAANPWTIAGMGSLATNRETRAAFCSLPPDKGKGLS HDKLVNGFGDRTFRLGHFFNHYGIPEYGVMASRANVTIVRPDRPDSVSTGTTSPQHEL LEGQNSNAKAERHLPFLMLSYSGRIAFLLPLTGIMAVVLYYNNTGGDTGFERFMSTQN FGVRSLFTLMGVGITLFWSAFFTSLAILSPYQLMSQSPRPAHQSITLSPPMNAFSGIW SAIKRRHIFLIVVAFVAILSEFLPILLNNVPFRVTQTWIASRVCTWLAVAIMAIMWIV VAASFFIKWPHMPVDPSTIAGAMYYVCDSWMLWSLEGLSQVPKKERDRKVREMGMQYA FGNIVGQSGKKRVGVDGVKEFA QC762_606390 MAEKNKIHPQGESLFFRRLTQEMRDHIWTQLFCSMRFTFGLWEG PDSRDCKRIKPTPSGLAMLRTSRRAQLEIGDSWLRHVLFCFQDTKSMMDRLSVLPLDT LSKLWHLRVSDNALLELGDPDDGRYYLLTSYFKLLPGLQLDQLTVLGARFFPLSYDTL DDLIKDGNGWKTLRYISHRSKMLGFASGYGPYGPGLGGNPQYCRKSQPKHWQGVLEDR DGVASGPSATIYRAKEPAQYGSILDPNKRVIFEQKPHCGQDLQPNEFHEDPELMSGDE KKKELMVVVKRGTGVKYEERDSPLIEWDLRRDFPNMTWEEIFDHYLQEPGYPPYAEEE ERKKKAFILPIQEDFYKDVDEYVWSGYHLDERY QC762_606400 MRFSLLLTGLAATLAAAIPLDEQLFGRSLDLGSKLHSSDRRTAR GDPEGNGFHSGYFYSYWSDGRGTVDYRNQPNGTYTATWTNVGNWVGGKGWNPGGPKVV QYNGTWSGRNVNSYLALYGWTKNALIEYYIVESYGSYNPSSGTSRLGTVNSDGSDYDI YRTQRVNQPSIVGRATFYQFWSVRRNHRVGGTITVANHFAAWERSNLKLGTHDYMILA TEGYGSSGSSAITVREAGVEGVPYPNEPDSRRDNN QC762_0097250 MSHDEALKLLGKNLKSSQMSDTGSNNALLEFLTNLPLAIRQASA YMAKEQISTARYLKLCKSSDEDMVKLLSSHFDDRHRYKNIQNAVATTWLISFQQISDH DALAADYLRFLCFLAGKDIPHSLLPPAGTLETVEAIGTLKAYAFISQQNESDSYDIHR LVQISMLSWLDGKGERQEWTAKVLERLNDIFPWPKHENREEWIRYLPHTQHALQLLKR TDDEEATTGLLSKVGESFRNLGKYKEAEQMHRQALQLSEKVLGKEHPSTLTSMNNLAG VLHSQGKYEEAEQIHRQALQLWEKVLGKEHPDTLTSMSNLAGVLRSQGKYEEAKQIGR QALQLWEKVLGKDHPSTLGSMNNLASVLDSQGKYEEAEQIHRQALQLWEKVLGKEHPD TLTSMSNLAGVLRSQGKYEGAEQIHRQALQLREEVLGKEHPSTLRSMNNLASVLGSQG KYEGAEQIHRQALQLWEKVLGKEHPHTLTSMNNLASVLGSQGKYEEAEQIHRQALQLR EKVLGKEHPSTLTSMSNLAVVLGSQGKYEEAEQMHRQVLQLREKVSEIR QC762_0097260 MLPAALPKARIYTYDWNANYFANAPVQTLLGHADTLLGLIAEGR GSQTRPIIFVASCFGGLILAEAIIRAAQEGSAYRHILISTVGIVFLATPFHGSDAAKQ AQWQVLVAGIMGKQASDQLIKDLEQKHDFVRQRVQKFAEIANAEAVRLPLNCFFETRK TKILKRILLSEWANRLSIGITRKILVTESSACLHGFPRRGLDATHSGMNKFKGPECPN FKLVKDAVQQFAGNASDVLKRRENSTVKGHWIVRFGRNKEFVGRESILEDLFKRVLPS GDEDDCQRTAIEGLGGVGKTQIALETAYRIRDVQPECSVFWVPAVDATAFENAYRAIG QQLKVPGIDEEKADVKALIKSALGRESMGNWLLIIDNADDEKLLFGDTALADYLPFSR KGSILFTTRNHKLGLTYIISESYI QC762_606410 MASYSPQFHVPGTFHFDTSPKSGQTLSAGMFRPPATSPTASTYG SLYSDVSMTNTHGNGALGTGTAKRKRASTRSSTPMGWNMDMDGAHDIREEEKGCQFRY TLAGQINATPMGAPIGVENGLLEDSVYSDVDYRRALGPTKVAPDFEVPSAHHDETPNA QPSTSAAWRIFTLGTLGEVVGKVWEFCTKGAFRGFQAGGGTAYTANGTTIPETTGKPW ANQHDEPTPASEETMTDQRIQDGYPEPENDQEKAGSYEPFSPYQDAVSYIESPDSTPQ PPAAKRRQVSYNNDELKNWVVVDGPANTNQRRFGSDARAVPVRTPASIVRHSPRPGYY SSTAVSSGRRISVPSSRFTGTPTRTAAVRPSLRTSHAGSPLVPPREPASFASPRQAPV APSTPSRIPMPVQPATKNPFAALASPSQLPIPTSASRPSSRQSPRLSVGSGVSSRPIS PTKTTASTIHRRNQSGASATARRHSLLAASVDPEEIKASQRLDAEAKALAARKLAAER DADMKVDAFNARLMAMIRQGKEALGTKVEVEMMDEDDLGGGGWEDEDVS QC762_606420 MSPSAKENGSNGVSANGHDAVPDVAEVQLPQVEGEWTVGKVLDA LPGDKPTEGTTSPLAFFHILEKLKTNKREGWRRFGINRGESISDHMYRMSLISMLAPP ALASKLDMAKCMKMCLIHDMAESIVGDITPVDGVPKQEKSRREATTMDYITKGLLGNV DGGKVGEEIRAIWQEYEDSKTLESHYVHDIDKMELLLQMVEYEKRGDHKLDLGEFAYV KTRIVLPEIQAWADDLLKEWNAYWVGHEHVRGDKAVESSGVSAQKKAMQDDYYNKE QC762_606430 MATSTDAQEFSSIKFDYLIIGGGTAGLAVASRLAEIPSLTIGVL EAGKSGYGDDNIDIPAYSGRALGGPYDWHFQTTPQPGLGGRTLPWNRGKVLGGSSALN YMTWNRGSKEDYNAWEELGNDGWGWDSLLPYFKRSERFHPPPPNFKDNHQASYNEPNS FLGEDGPINVSYTRDFSPSHALWHATFNEVGVESNPAHLDGSNVGVWTTIVAVNPETA TRSYATHYCLMPPVNLHILTEALVEQVVLDKKDGEWAATGVRFSHYGKQYVASAAREV ILSAGSVQSSQLLELSGVGRADVLGAAGIPLKVESPNVGENLQEHIMLPMVFEVDPKL PHPDDLFIEEIAATAYEQYQREKSGRLTVLPCSMAYLPVSKLAPEEDVASLSSRSQQL ERYGAEQTSILSSRFDTDKQLGQVEFVFDLGNWNPSFAPKEEGKRYCSMLLVLQYPYS RGSIHIDPKDGPTADGVPATAHQQPVIDPQYYVGPHGELDLEIMLHGAKFAQKICSTK PLKNVILGPASPSSAVVSDEDLRGWIVENTITDWHPIGTCAMGGRAGQAGGVVDERLR VYGVKGLRVIDASVMPLHISAHLQATVYAIGEKGADMILEDAGLRS QC762_606440 MTTPDLEKQQAAATTATTPVDPTTKSISSTDTPQQQQQPQNASP FKVPHFLIKINNTLESLSGFEARGITRVLPSERQPPSHLADAQVFLLWFGANISVNNL AVALLGPLVFQLGFTDSAWCAIVGAFLGSCSTAYMSIWGPASGNRTMVIARYFMGYWP SKIPTALNIVLMVGYITLSYIIAGQMLSAVSGGSLTIVVGIVVSALVCWVVAVFGMRV FHFYERFALIPQILVLFALIGCAGPYFDTTIESQGDGTAIAANRLSFLSLCLYVPNSW AAAASDYYVYYPESTRKRKIFCLTLFGLWTSFSLVYMIGIGLATGVTHHTAWAEASAI SAGALIVAGFEPLKGFGLFCSVIVALGIIANSIPGCYSAALGFQVLGRHFKVVPRWVW TCTVVVLQTVLALAGREHLFVLFQNFLALMGYWVEFMILIFVLEHVLFRRTRGFDWAR WEDKSYLPVGWAALVAFLLGWVGAVLGMYQIWYTGPLAVLAGASAGGCDVGVWVGCGF ALVSFPPLRWLELRIIGR QC762_606450 MTASTPNLKPAGYGTASSSSSGSSTPQPSRSPKQNIAPVSIINN TDVESPLLSPKSNNPLLSNPQSLSQEHQIESRSLQKGLSQRHLSMLGIAGSIGTGLFL GLGGAVSTGGPLGALLGYAVIGLVVCSVQFALGEVTALMPVTGSFVRHAEVLVDPAMG FAIGWNLVYGNLLSIPSEIVAVCVLVKFWTGDGLNPAAVILPFIALTGGIGMAFVRVF GEVEFVFALLKILLVVFLIVLGLVINLGGVPGTGVIGFRYWRDPGPFVEYIARGDWGR FLGFWAVMTGAVFSFAGVESLAMAAAETRNPREAIPRAVKRVFARIVIFYGLAVFVVG LLVPSNDERLKGSGDTVAQSPFVLAAAAAGIKGIPSLVNAIVITSAWSAANQSLLAGT RVLYGLALKGQAPKIFLRTTSWGTPYMCVLLFTVFMFLSFLSLSENAISVFWWLVMLT AAGVLVSWSSILLNHIRLLKAMKKQGISTDRLPWHNWWTEYTSPVGLVMCLVILFTSG FSVFTTGRWDAAKFVSSYLDIPIVLAAYLGWKFFRKTSITPLDQIPLEEAFEQAEENL SVQTQGFQPTKKTRGWTRFVSWIWD QC762_606460 MTTPTPSRDDLISLHGFTPLPVDQDAIFQGKPFLHQPTPVPLSS ITYPSSTDPLVAKVQEYAKEKLPIQTYNHSMRVFYWSTIIMQQQFPSLPPISPSTIAL TCLLHDIGTTPSNQSSTLLSFEFQGGVIALDLLKELNGNKSQAEAVAEAIIRHQDLGT VGTITALGQILQLATVYDNMSLRPYLIHPDTKAEVNKAYPRKGWSGCFSAAIANEKKL KPWGHTSHLGWEVFENGVRENEFMREVDSWE QC762_606470 MKQPTTHLLTLFLLPFALALPTPEDSVNQVEQGTNTPATVGNVN AGIPRCKTQGLSDFCLSNSNKPYCDATGFHNNMMAQCEKNCWCE QC762_606480 MPDTAFSSPLPSPNISIPSPNLSPATKSSDEHPPTPRPVRVLCL HGYSSNGILLEKHLQPIRSRLPQSWEWHYIDGDHPVPLSTSPPGEHSCRSYYPTPDAH HIDEAHSQVHLYTLLNGLGYDIILGFGQGAALAASILLHEQRDWQIGESEFKLGVFFS STIPYAKCLQAGYNARHVFGIEGETPEVVRDRPTDVPGEMLPTEEQNRFRCQEHETVW EEDFNVVKRVDKDGVVWRAETRLCMSLEGHEGCRGARKTDKIVVVDKGERTFYQMFHP DVEEARIQIPTVHIHGRNDPWRVQGRALMRMCDEDKVQYAIHEGGHEIPGWGEDLDDV VEAIREGLKEAGIPLEEDE QC762_606490 MPQPGHGRSVTIGRKEKTSALNPSSRHRDSIRPATGRKRYRHES HDDVTSTHTVESTDLEDAFESDCGSVSPDETASLLQPHLPKNLQHLACQLAGQTFPVV SQWMKSARYIPPPTIRIPPPKRSKTSSPTPRSILMETSDPSDPSTVLIFRIDGYYPLP CPFAISNPSHHGFCNLQHHLRSISDVVQHLIKHHPNPFYCPICSQTFANEPTCDSHIR ERTCQPRSLDIIKGVSQSTLREIIRRDKPHLPEEDRWRNIYRILLPGNKLPGRGTAYI NQGLPLAVAMTRDYWEQHGRRIVGEYLAKVGSDGSVPTTGDEEVSMLCMIAEKELVGL VIAEHAQKNCQISEVGEGVGEDKWVTVKAEQD QC762_0097380 MSAPLLMHPAESATADNTKPRLACPFFRYDPCRHYACASYELKG FEAVKKHLERKHILKNHCARCFRSFESEDARNNHIVSERCSIALGRDEITYDEWTTAR RCPRTKSCEVKWKWLWTTFFKLPALPRELIYFQDAVVEAKNVLIDPVTIQSVLKARLH LDQQEISSVADEVREALLRKNSGARPYRVCDSEGGGDNGIPANLKASGYGSMGVGAAE MEAEAVAFALPPARHALLPEEPCLPIIGESSPHPAAVVSPVTPLPTSFSLGPISVPQQ PASTSGEGPETNTFGAWRTVCLVPWATADGILARLMEDPISWFKPNGPKWSDVYDHID RDALRKFWALGNTPAVQVSIPIRSTHVQSLAAIESELFDFEVAGIRPSSSTGYIPGL QC762_606500 MAMIANKATSDLSYEQTVRPKDRKRKRRCEIKNYDTDGDNDIPY LEQEDKAPGTGSSDISWSCPFWKRDPFHHMDCMSYKLRRIRDVKQHLMRKHYELPFYC PICQHKFSDIKERDHHIRQRTCTEDLKVRTDLPNTSIPPEKQELLRARLGGSDKEIWY QIWDILFEARTPPATPHQKTVIEEVVDVLQGFWSEHRLEIILDVTHGQDSYDEDADSI RAQLPGLMSTALSSLVRRVKEAVHKIDHCEPTSPATENYGTPVTSTPSNFTSTFPSKR HESFMKKKGQNDAHTRKLGRVCKEYMSMRKEIWQPLAARCEEKWNVVEMQCMSNGLKG IQSHARAYTKLVTPATSMMETSVCQPPATPPPQSFDNPQFEGFENFSPSQEVDGGLIQ PFGAGHDPGFDMGSDGTSDFLQDWDSSIIFSLFPRGHGARLWNFGASSASAHSEGMQY PPLSNTTTSEGSFLKWDGGDG QC762_606510 MFHIRRRRNVLQDNLGSTPPPNRKPTLTSSGPNSTSGPAADCEL PHHDDSGAQPKRGFSQLPPEIHLIITQHLIYPDALSLKHTSRYFYRLVDTGVKLKVDW LMERRKLHLECPSNQRCDLGSDLRFCRGSVKLLMQRRREHIECESRPGLGCLIYGTET CPHARKLKTKIKRWLRGPVTMEMRWVLLVIGVALLPLIIMGWVWLMESCVWN QC762_606520 MSSLRSYSDNDDDSSEYGYNLSPEEERLLCALADHISPVVPTSA PPEPAPTKVPAPAPRIPAPRTSRPPPSPPKRSKPSQPTIDSKAPSSSWDPPVPEVVSV FRPPTVPQNKPRPSQLVKPRWDISGGIKPDASQAVDEALDALSENDLKFDIIQLTPET NPRTYRHGSANSKHVGRESGDSQDSGIWNAPRSSGSRVSFDVKHKTARTSTVDTIPHV NYPDLSQALAGVSDTSLSVSDENPQHMLEIEVKRRNGVVPSPLSQFRSFPKKPLSVTD LTAGLWCELQHYYTLSRLPFGRRTQTPAMKRGSKVHEKLEREVFQPVTVTIAKKVDNL GLQMWNVILGLRTLRDTGSTRELQVWGMVDGNLVNGVIDYLSYENPDSELEEETLSSR GSQTTASQRLADTMMQVYITDIKTRLTPKPPSKPQVHMSLIQLFLYHRFLSEMASDKL DYFQIFGRYNLNPEEPFSDSFMAQMGALHEEVFEDGDSESETEGASEWGYESESARTT TTSTTTTTTESSAYFSAPASPGVGKRPKGLKYGNLQSLLGLLKFELQVTFPHGASDIG QIVAVEYRYRGKGKAPAAAEEEDEDEIDKDEGRVISTTTYFVEPGTLDTYLAQTMPWW KGEREPRGVEMEDAFKCGYCEFVGECEWRAKMNDEVVRKAMANRARRERKRMKEEGAV VVGEGLEGEQGEKPVLEEYSGDVEESASQRKKKGKKRGGEEKKSRSRKRQSASQEGIA W QC762_606530 MEHAAQAIDHVQQLYIRAGGGAGPPASERPPVFKAIGIGLAIGS GAFIGTSFVLKKVGLLRANEKYNEVAGEGYGYLKNFYWWAGMILMILGEGLNFAAYAF TDAILVTPLGALSVVITTILSAIFLKERLSMVGKVACFLCIVGSVVIVMNAPQTSAVK DIQDMQGFVVHPLFLSYAGVIIVGSAIVAFWLGPKYGAKNMMVYISICSWIGGLSVVA TQGLGAAIIAQAGGKPQFNQWFLYVLLVFVIATLLTEIIYLNKALNLFNAALVTPTYY VYFTSTTIITSAILFRGFNGTPTSIITVVMGFLVICSGVVLLQLSKSAKDVPDTAVFA GDLDQIQTIAEQPQPETEPKADAIRGTAAIVRRLSSARQKMELEELKRLHEEKIQESL APVSENGAPLYEWDGLRRRRTGTFSSHRTRPGTGVGASPAPSGAPFLAPPTPHPPLGW SHFPTEEELAEASRPVSPALSSIMGTIRSRARSALLPGHPDYKPSNNPSTTKVQSPMH PVQLTSIAVPGQDNPASSNNPSDDFLHPLGATRQTRAGTTASASSSKRRVQFPEYNEG EHPLPSPPTPPPHSAKRQFSFQNIFKRNQAQSIDGALESGGSPQRSGLNSRGYSSPQV RITGATEEERLGLVKPHPLAAKSMPVLQIQRFSEEEEEEEDSEDEQQHVQEKRPFVGG DGGDRKAREYGHSITQGYTNTSPPRKKTEKDVEKDELKAYEERRQRFKERRSMEGEKE RPRERSGSGSGSGSGGSSSGSGSGKKGRRRGRADSKPPPQPPNHKRTGTGGGSGEFI QC762_606540 MADHSSNTVYLVTGANRGIGLAIVKLLSARPKTTIIATTRSFST PSPFDATTPHHATSCVIPILLDDAKDEISSSTLPSRLQSLGITHINTLIANAGSATGF KSVFDTTEEEYLADLNVNTLGPIRLFKALWPLLEKEGGKFVVIGSSVGSIGGLVTPEG EVEGGMLVCGGYGLSKCGVGWWVMKLRAELKMQGKGVVVGVVHPG QC762_606550 MGVIRKKIAARGGEGGVKYVCDVCSADITSTVRIRCAHSACNEY DLCVQCFANGSSSGSHQPATHPFRVIEQNSFPIFDREWGADEELLLLEGAEIYGLGSW ADIADHIGGYRSKDEVREHYYKVYIESENFPLPKRCSPHDMELANEISREEFQSRKKR RIEERREAAKNAPALQPKTKPTASVPSCHEIQGYMPGRLEFETEYANEAEEAVQLMQF DPGDGINPRTGELEPEMELKLTVMEIYNCRLTQRAERKKVIFEHNLLDYRENSKIEKK RSKEERDLINKAKPFARMMNREDFENFCQGLIDELNLRQAIAQLQEWRSMRIGDLKSG EKYEQEKALRIQKSIPMGSMDRDRLAANQRGKNQPPPEPPSGAALLVAPELPIRSAAS VGGTNGDAVNGGIKIEGKENQVNGSHINGGSMVVANGTPAKQKFVAQPIPGIQPLPLS QDNAPDLHLLTPEEAKLCETLRLQPKPYLMIKEQILKEAVKSNGSLKKKQAKEICRLD TQKGGRIFDFMVNAGWVIKA QC762_606560 MPDEVLNDISHRRYNPLTGSWLLVSPHRTKRPWQGAQETPSKNT LPSYDPKCYLCPGNKRAQGDSNPPYKSTFAFVNDYSAVKETQQDYHPETNKDDVASLL LQARPVTGRCYVLTFSAKHDATLADMTPEEIVPVINTWTRIYASHLSPSHPLNSQAAY VLSTIPENPDGEVTPPKHQLKNMQIFENKGAAMGCSNPHPHCQIWTTSTLPEEPGKEL VNMRKYKSDTGRHLLGDYVKLELEKKERLVWENDSFVVVCPWWALWPFEVLIISKRHV RALVDLDDKERLEFAQAVQEVARRYDNLFETNFPYSSGIHQAPLDCTEEEAETSWFHM HFYPPLLRSATVKKFLVGYELMAEPQRDITPEQAAAKLRDCGGELYRKSLQ QC762_606570 MDQLKAFLPSGEKGILPYYLWFISIVSMGNALQNYVTLHYTRRI YNGRFVPNHALPPATGKHSPEDSTNILKPASGKDAEKAKDQVTPLAARVFGTYTFVAG IIRLYASYQPENYALYQMGILTHVIAAVHFTSEMLIFKTIRFSGPQIFPFLAAYGGTT WMLLQYSNYVV QC762_606580 MASQITQLLEKALHFWNAVPQPLQYTFAALGALYVLRGALSFVR LLLNSFILSGPNLRKYGKKGTWAVVTGASDGLGKEFASQLASKGFNLVLVSRTQSKLD ALAKELRLKWSGLETKVLAMDFSQDNDEDYERLAKLIAGLDVGILINNVGQSHSIPVS FLDTEKTELQNIVTINCLGTLKTTKVVAPILAARKKGLILTMGSFAGTMPTPYLATYS GSKAFLQHWSSSLASELAPHGVDVQFVISYLVTTAMSKVRRTSLLIPGPKQFVKAALG KIGLDSNENFPNTYTPWWSHNVFKWIIDSTVGNTSAFTIWQNRKMHVDIRNRALRKAA REAKKQ QC762_606590 MEPSTPMRPIPGAYINTPAPNTTRRQLFTNNPGNGVPPPMALPP ANPVGPGTQALVTGQLPAPPVARADVPLIETAGHVVNSNLLNDESYPDLDSYCRPGAS SEYDIHPPDRPWAPFQKTHMYQIPDQIFDFLNGGEVFTKLGLFPELGYAWASIDSSLF LWDYTHPTPELIGYEELTTTITAVTLVAPKPGVFVKTITHILVISTTTDVVLVGVAAE TNASGAKKVTLYQTKMAVHRGGSDVSYIVGTANGRIFVGGETDTDIHEIVYQQEERWF SSRCSKVNHTHPGWSSVVPLTNLPFGPRPHEHLVGLYVDDTRNLVYSLSDRSTIRTYH MEGPEKLTKVIEKDKTSILRDFAHLAAPSPLFTDRTTIVSLSPITATETSKLHLMALT DTGCRLLLSATSAASYTIGGGSSTLPPQSMQLQFIKFPPREEYQRTRDHRLQPIETVL DKTSQLLEISAMGVRFPPGYFFDVVRDRTAASDRLFISAPDTGRIKLTQPTSALKYFE QGTWIDLGAGNRTIEIGLTTKPFSANSQPLGFGNELAVQFDQAPGEFAILTNTGVHII RRRRVVDMLATALRSVQGQGDDVLELEVRHFLAQYGRVETCSAALAVACGQGNDSRTG IGRSSDTGLANLARLVFVEYGGQARVAESDGRMAPQDSVRLSSRHDALVLYFTRLVRT LWKTKVVTIAKDGKEVTSTVDVKKLVQVQEQVDRLENFLETNKGTIQGLSGPPGTLTN RNDEIAAQKEHQAFHGMRQLMKSVTEGISFVTMLFSERVADIYGRLEPADQQRLSQLT YEHLFSQKAGKDLAKVLVKAIVNRNIANGANVDTVADGLRRRCGSFCSPDDVVIFKAQ EQLQRASDQVANASAARLLLAESLRLFQEVAGSLSADNLERAVNQYIELKYYAGAIQL CLVVAKEKDRGNTALTWVMDNRPASDVRERAYNARKSCYILIQQVLDALEGYLSTEPE TIDGRQTVAATKRKEAYDVVNNSDDQVFHIDLYEWYISKGWIDRLLAIDSPHVEAYLQ QLSEQNYTHADLLCRWYTHRNFFFQAAQVQANIAKSDMAIPIKHRIKLLGLAKANASV NTAGVSRQQQQMLNHEVSEMLEMAHIQDDLLQRLLADGRIDNSRKAEVNDHLNGPILS VNELYNDYIDQAGYYDLSLLIFHLADFQNHRVIEETWSNLIHQVHNETEIKTELWNKR DGNGVPAELRDQIPEAEPPRPWECVAQNVQIIAHRASLDSLVFPVDKVIPMVCGYAIE YNQDLESPNWPMALFQQLHVPHALIVQVLEGVLDAQEVPFVGRKRKNVAGWVVAVVED WVTSIQMRGGRNSADAGGVGVWVSELLGRVDALLRQFQSAGARTEADKKLRDEAAQLR GELATVKRRVDGLVAATAQGGMGGSVMGGFGFRGGLA QC762_606600 MAVAPEKIFAVLIGINNYRGQYNNVKNLYGCVKDVDIIDTLLTT TLRVPAGNVHTLTSPHGSTLETLPTKTNVLALIEEVAGRAVASGPGALFFLHYSGHGK RTKTIYHKPENGGLKSAGAYDEGLCTLGEPLMDVELSNVLDGPNELGLTVFVSLDCCH SGGADRDSLHSGSGGGHDALDGQDAAGLESVSIRCPFPDSDSNDEIDSGTEVGRGDGD GRNVKVQESWLYRNRRHNLLAACQPSEFAYETGGRGTMTYHLDKTVTALKDSIIPITY DMLITHLVSKSGIRNNIFPQQPMLLGNRNRLAFGTNTKSALRGVMQGNVTKTEKEVGF TLVTISRGASHGVEVGDRFALYRPDQFTFGLLNPDEERAAEAIILEVTNMTAAARVPT TSNLANIPKVELPEDLLRPTADLRLHSDPDIVPEVTCPDTFYVKPSEDGTRLVVHNNN TEIMENVPSLDHNDDSNARTLSLIVQHLSPYQRLTNLAAREDSTNPWNPRYEFEIKRI HDVNRSNSPTLPAKVQYGVVFKNTEPRPIRILNQEEEALTQFITIFNLDPTYGITQIF PDEGADSFEVYPREAIDPKFKLNITVPPQLQAASQQPGFQMHDKIIVLISSKATNFRH YSQPDLHAWLHPEDAREDVFFKKITPKGQSNMPSRQATRAEEERGTELAISG QC762_606620 MAPKAKPAAPKPAPSQEKREFVHPSAKHARKQAIKDAFAIQPIS AFYFFLAANAVAALFSPIQDCDETFNYWEPTHYLSHGYGLQTWEYSPEFSIRSWFYIA IHAVVANIRRLLPHSNKVAEFYFLRYAFAVGCAFCQTLMWRSICLALSPRVGIFFIIA TIFSPGNFHASTAYLPSSFAMYMSCLGAAAFMNWRGGIKTAMGMFWFAVGGVLGWPFA AALCAPFVAEEIFFAAVSDQDRMFESALRVFRGVMAGVLLVGLDASINTFFYRRFELV SWNIIKYNIFSETGGPDLYGTEPWTFYFKNLLLNFNIWFILALVSLPLFFLQKLFMRS TGETFQSGLRTFVFLTPFYMWLGIFTLQPHKEERFMYPVYPFLALNAALAFHSFLTFF GNASPRTLVGKIPAKLKLAVVSLGLVTSAIVGLARIGGMYTAYHAPLSLYDPLFEVGG RGDTVCFGKDWYRFPTSYFLPKDMHAKFVRSEFRGLLPGEFSEATTGFGFFGGTWLPT IGLNNKNEEDMGKYVDLRMCVFMVDTQFPERGDVELPPNEPDYAKNVDRWEEVKCLPF LDAESTPFLARAVWVPDWEIIPEGFRRKWGRHCLLKQRR QC762_606630 MEGSSMQIPGLNLGQGQAEEKPTSEVTQNTPVVPEKQQENLSPV VEASARPDEGMDLDVPGSPDVTDALEAALAAENDVKDMVAKAQESVTAPATVTTTESN VNVKDTVMTTTQETDITETIRNTTNETPVQDGEQEEGEHPEWEIDSSPYESSSSDSSD DDSDDEDYPILGVEETARMLMALEHDGDVNGSGGVREPIRSKNEKPEEVIPKPDVQIL PEDKIELLGQIQFIVETNLVIQSCKSAAEQVLDTGTVLCKEDRTVIGALADVLGNVRD PKYTVGFANEEEIKELGLEVGMPIFFSTRHANSVFTKPLMQAKYTDASNVHDEELAPE EMEFSDDEKEQEYKRNNKLQKRTNREKKLGIEPGTGRGGGRGGKCGGRGGGSGWGAQH APSSSVATTATLDYDDDDGPYRPLARPPGFGLPPRPPSPARDQLNFNQHGDFDNRGRD DNRGHDGFRDRGNSRGRGGFRGNFRGRDNEGGPRGFGHGRHSSVSTDVSTATSATLPA FSAGGSPSPYSLHVRPSFAPVPAPTQGAWPGMPAIPFPPPPHGYSAAQQAPRPPIPGQ PQPPTGGFTFNYPAWPQAQTQAQGQGYGYAPAAPSPTPPQQQTGYTQPPNWAGAAAIL HNLAQGAYGQQAQQQAQQQAPQQAQQQAQQSYQGQATKVKLVTRPSRMEVSSRLSSKL RNSNRISTGSIRKDRRTTDPSLDVTPN QC762_606640 MAARERMRNDVVEVEAVIAAAPATRTAEEKPLKTPHIELRGLER RLEAILPDQIDPVTNAPNDRIIIDNSPVTSTLVAPSVTPEVSTSRGTPSSRPTQDMNT NTGNADEGVSVAVKAGIAMGVLAGVLVLFVVVWLIMSMRKKKQARRRQQIEDDEKIHG PFSDSAAISRSPPAAAAPSAAPRLSLRPVSQLWQNLAPSGHPERRASRGIQLTVNPAG PSHSPTNSLSPLNRPNQGGSAWERHRMQSTTPTGDNRPGTQGSVYSLNPFHDSHSTRN YNNEPVSPVSTLAPEFPAVPSKNRSPTPEPVSPIDQDTDLPDFGAGSKPLTRKTSINH HNKGLPKPLDLTKPPSPLYAPGPASPAGTEYSMHSMSPSTMVPSSTSAAEIAAAGGPQ NSTVHRVQLDFKPTLEDELGLKAGQLVRLLHEYDDGWALCIRLDRSQQGVVPRTCLST RPVKPRPAQNGPRVVPQGGYNRGGNGNNTGGFPSPPGQQQNGGWQSAERSESPGSFYS TNQGVSPSPGGGGSGGIGTMMGQGGGEYEMQMGG QC762_606650 MRRQETPEQTQDTMVATTLAQDDSPFFSLLPPEIRELIYQDIWS ESGSRQHIYKDNDKWSHVPCVADYSTGDTRFEKFTQSARGSQEEYYWVKRLKSEWCYH WCCEQSTAKWHRAQNPNDSWREENVGHAGPSGFMNPMLVCKRMYREALPSLVANTTFV FTDLLEAHGWLSLYGGNPEKLPIRSLEICILTTQLMTELYFPTSEENEGPNPTFGHGN SSNGRSEDSHPGITMHSNPWQRVCDQLALLPNLHSLHIWFHTRDLRDWHKRMSETRFF AKLFNVKVKDRNQFVLALPDLPLKPKRGLPSHHFFENETLEGAPFVVERGPRPNNWRV HLMASGLRGP QC762_606660 MSKSQLLREEGNRHFQKGEYSRADALYSQALNLDPTNPTLYTNR AMARLRLSQWDLVISDCESCLGLSPDNLKAHYYLSQAHLALRAYSDALEHAYKAHKLC VAANDKSLGNITAQVLKCKKEKWDYEEKRRRRETADLEEEVLMLLRKEKKEAAVLSGE EAEELTREWEEKLRRVREVFEKAREKEERRRGCRIGRWMILLLGLWLILLLPKQASRT NELLFWST QC762_606670 MGDSTEQSKSALKKAEKAAKMAAQKAEKAAKQAALPIQSKKDDI IGITAKKGENFSAWYQEVVQKAEMVEYYTEISGFFVMRPNTMYIWNTIRKWFNERIEA AGVEECNFPMFLSSTSLQKEKDHVEGFAPELAWVTKAGEKDLEVPVAVRPTSEAVMYP YYSKWIRSHRDLPLKLNQWVSVVRWEAKQTVPFLRAREFMWQEGHTAFVSEEQAGKEV LEILELYAGIYEQLLAVPVVRGKKTEAEKFAGGYYTTTVEGYIPSNGRGIQGATSHCL GQNFSKMFDITVEDPANKGQKIHVWQNSWGLSTRVIGVMVMIHGDDKGLVLPPRIAKT QAILIPVGLTAKTTPEDKEKHLDRMYELLAILKKAGVRTEIDTREGYTPAWKFNDWEM KGVPVRLEFGPKDAAKDVVSFARRDTGEKGTIPIGELTTKVPELLETIQQDMYNKAEK TFREHRVKITNWDEVVPALDAKNVVIIPSCLVPACEDRIKELTRGEDLPEGPDGQKVP SMGMKSLCIPFEQPEGLVKGETKCLNPECGRLAESFCMFGRSY QC762_606680 MASMFEQPRNGTLFLGGTKISGTEIRDQNVLATQAIANVVKSSF GPSGLDKMMVDDIGDVTVTNDGATILSLLDVEHPAGKILVDLAHQQDKEVGDGTTSVV LIAAELLKRGNELMKNRIHPTTIITGYRLALREAVKYMNEHISIKVDKLGRESLINIA KTSMSSKIIGADSDFFANMVVDAMQAVKTTSNKGETKYPVKAVNILKAHGKGALESVL VKGYALNCTVASQAMVTRITDAKIAVLDMNLQKERMKLGVQITIDDPDQLEAIRQREA GMVMERVEMILKAGANVILTTKGIDDLCLKLFIERGAMAVRRCKKEDLRRIARATGAT MLSSLSDLNGDEKFEASYLGHAEEVSQERISDDECILVKGTKVHSSASIILRGPNEFT LDEMERSVHDSLCAVKRTLESGSLVPGGGAVETALHIYLEEFAGTVGSREQLAIGEFA QSLLVIPKTLAVNAAKDASELVAQLRSRHALSQRIQEGEANEDEKSVARKKAYKNYGL DLMKGKVVDSIKNGVMEPSMSKIRQLKSAVEACISIMRIDTLIKLDPEAPADDGHDGH DH QC762_606690 MGSNNPDFSPDPSPDSSPDSSSPLITLTNPTPSELPKIFTLSHP KWGPALTLQDYLDREAYLTTVPLSKNGGITHWLLTLSSPPSPSGERPILASCESIKKR AFYTTPSGSVREGVAHGIASVFTDPKYRGRGYASRMMSDLGQRLKTWQDGGEDRPVVF SVLYSDIGKGFYAKHGWAPFRSSHVSWTPSSAAGSPRGKGVKTIGYHELAELCAVDER LLKERLAGLAKEDGGKKRHVALAPELDQLLWHLMREDFMTKHIFGRTPEVRGAVAGEK GRRVWAVWTRGYYGGLGKTEGNTLHVLRVVVEDEENTAEEELVEGFKAIIGIARAEAD EWRSHDVQMWNPTGLVRGLVEKIGVEYEFVERDKESIASLMWYGEEEGEEVDWVANEK YAWC QC762_606700 MLFKSLVLLAGTAAVAHGAPSAPGANDIPRDVLTQISSWGSNPT NLQLHLYAPSNLTGKPAIILALHGCFGSGPWHAEMTSQFQTLSSSRNFVVLYPSSIND NNCWDVASPASLTRDGGGDSTGLATIVRWATTTFNADPKKVFITGSSSGCMMSNVMAS AYPDLFSAVSCYSGVPAGCLAGSPGSSPISADQTCANGGIRKTGEEWAEVVRGMAPLP EGKGKGKGKGKGKGNQGWKYPKVATWHGDNDFFVNYFHNFEEQLEQWGAIHGVEFTRN ETNVPAAGYTKMVYGDGTKLVGYSASGVGHVVPQFEAVDLEWFGL QC762_606705 MTTRLPQLSPSPIRHPSSCCSLSLPLLSLLDTILPPPPSLTLSI GSGPGLLEALLLHHYPARSNSIYGVEVLALKPVNVFLPEQNTLTVTGTWAVVDSGLLK EAGALLFVYPRQPSLVKAYLARWEGEIVVWIGPRADLEEFGPVFDEWAVDKEGEDMRK GAVEAGEGVWVYRRV QC762_606710 MGWWSSLIHGVGSAVDWVKSNSGTIGTAAGIIAKVAGAIADDHS DPVAQIFPNFTKAAQRLKKKAQKGAQAKLDEVSASLPDRIKNDKTRKTEEAASLSDST FMWVDPAPLNPDGQPNKSLVHDIGKMLAQSSFPTVLKAGNGKGKREGSDSGFLDVALS IGQAIFANMSADAEYADDDGIVVSPFSISSADGCCTISGCHAYYPIPLGQTGANSVWH AAIAMNKTTTEAYRFHEFASTRALTISQPFKMDNGSGNPQWLVTMSVPWEDAVSASKL APALLNELQSTDVTQVGWRLYYHSLDGTDQRLKLQCPDGFTPAQAKGLVRGCIKDVVR SSGMLLSPNANPYLTPDILVTMSTLVFGGDN QC762_606720 MQATLDAWTLPRGYSMRIAGAKVTGKRKVRWVCFRGGEARHHRP PVDPSVFVKAKEEGRRKPTTDRTSKKCGCLFKFEVIETAKDSDVWVLHYPNEEHKVHN HGPSTDTSDPRARKLPDFVSAEVDGWLREGRLVSQIQEELRRRGYVNVLNTDLYNRKR LLKKEESQQQQQGNNAQGGGQTGQQVVG QC762_0097620 MPTSDLRLHPRGYKTHKWLDLDATYLIVKPKFSLRFRHADELRI ESAMSDSYRFGDYNNGSQVGTNRGTIYNTFPQAPERSETPPRPFATIPFSRDPDFVNR GDILEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVHAGMYER VEDGFRTIANTVKLAGRNEPKANIPQLVYSWLSNERNGRWIMILDSADDRDVFDNANI AHGTTSGNERERRPFATYLPQSQNGSIIVTTRNRELAFRLTGRRQNMIEVGPMAQTDA LALLEKKLGSPADLNVAADLVQALDLVPLAISQAAAYIQARAPRSSPEKYLAEFRKSE HRKSSLLQYDAGDLRRDGGASNAVLTTWQISFDYIRSKRPSAADLLSLMSFFDRQGIP GWVLKPPRVTKEDILGRRIDEDGDTDFDNGRSATDGTVDDDMDGDTDSDLTDDSADTT DDGFEDDVAMLRDYCLIATTEMDEFEMHGLVQFSTRKWLEQCGQQETFKQKFIERMAA SFPTGNYENWATCRNLFAHVQVAVAYQPSDDRNDIWATLLNNGGWFAWSQGRYEVAQR MVGKARRARENRLGKEDTASLDSMSLFALVLLDRGQWEEAEKLFVQVMETRKSKLGAD HPSTLTSMANLASTYRNQGRWEEAEKLFVQVMETRKTKLGADHPDTLSSMANLAATYR KQGRWEEAEKLDVQVMETRKTKLGVDHPDTLTSMANLASTFWNQGRWEEAEKLEVQVM ETSKTKLGADHPSTLTSIANLASTYSKQGRWEEAEKLDVQVMETRKTKLGADHPDTLT SMANLASTYRNQGRWEEAEKLEVQVMETRKTKLGADHPDTLSSMANLAATYRKQGRWE EAEKLFVQVMETRKSKLGADHPSTLTSMANLASTYRNQGRWEEAEKLFVQVMETRKTK LGADHPDTLSSMANLAATYRKQGRWEEAEKLFVQVMETRKTKLGADHPDTLLSMANLA ATFWNQGRWEEAEKLEVQVMETSKTKLGADHPDTLSSMANLAFTWKSQGRHSTALALM KDCAQARQRRLGAEHPDTLSSLATVTKWGS QC762_606740 MSENIPNRLWLMTPARRSALETSCPNLLAAFDAGFLHIATIHHQ STSELQDLVPYTENGSETIAIFLYNHDAYFSHDTGDASLMLVAAPGFMLHATIRDGAI HPQPRLDPSLSIGAVCRTIDFGDCGAACVIVDRNGLNELPCELVEIAVLDLRPGTRGR TWRRALAARLSLRARGRTRRGGRTRRRIMAARLRTLAARLSRPA QC762_606745 MKGIRLLLDTCVVCSFLFKNVPKYQSSSHHNTEASSIRPLVFST RRLYTSRMAALVKNAAGMVARNPGTAAVAGVVTVGAVALAAPLAIAAPVLAAVGFTAE GVAATSIAAGIQAGIGNVVGGSVFAICQSAAAGGAGAAAVATGTQVVGGVAAAGAALG KFLWGRGRERE QC762_606750 MIHLNHLLSPSTFYSCNSSEENIMSAQAAIHKAAKELSKLFETV DKTASSATASWEDVLLDNARRVSTPLVIQMLTQMGIDLYGTKSVAPFRLFENACGAGV VAPVLQRTTKPDVLAKSSILCGDFSTPCIGLIEKRIKEEGWVNTEVERIDAQKTKLPS ASFDYVTTNIGFHVVPDSEAALDETIRILKPGGVLGFTTWHLPPTWVNDIREAFASFP FEAPYTWALQMTAWGKWSDIYWVRKTLAAKGLEDVNVDIYAHLSKVDNAEYFVGQFAM MLDWIMDSAWSGELRKAHPREEVQGLVKNYLEEKYEGGSWDVSWVSVIASARRPF QC762_606760 MNLDSYKKVNTYMEEEDREQGTGDRLTWIQVLMSDAQQAVL QC762_606770 MPKPTKKNAGAASSIKDARFANFETDPRFQLPSKRNIKTKLDKR FSKVLNDDEFLATAKVDRYGRKLETDSKKKALERLYEDEEESGEDEEVVDGEVERDDI VRRELEKADKKYDPARDGGFSSSEEDSDSESDGEDQPEVDDGEEESRPGIRLRREKED VPEGEVTNRFAVVNIDWDHIKSVDLMALFSSFVPPGGRIERVSIYPSEFGKERMQREE LEGPPREIFKKGKGENSDDSGEDSEGFSDEDEDDDDEDSDEDSDEEVKRELLAEGDDK DFDSDKLRTYQLDRLRYYYAVAVCSDKGTAHKIYEATDGTEYLSSSNFLDLRFIPDDT TFDDEPRDECTAVPPGYKPVDFVTDALQHSKVKLTWDMHPEEVNRKEQIKKAFSGSKN DIAENDLRAYLASDSSDDGEDFEDEEEEPEAAADAAGGEEEEAEKPLDKKELARRKMR AALGLPEEPTTKKSKSPAPAGAMEITFTPALSESNNKKPVEEETTIEKYKRRERERKE AKRQKMLARRNGGDPDRMGESDVEEVAAAGDNAGGGDLGFDDPFFTSEPVEKSKSAIR KEERLKKRAEKEKEEKVSKAAKAQLELLMVDEKGDADHLDHFDMREVIKAEKQKGKKG KKNKGKKATDGGGEKDGLQDGFKMDVEDERFKAVFESHEFAIDPSNPKFKATEGMKKL LEEGRKKRKAGYREGEEVVVREKKKVKKDDGDVDGGELSSLVEAVKRKAKAGRK QC762_0097680 MPHSPMSPIFNHTNWDTVDIGIDNSVRNTSDTMTSNNTLSGYRY VPANLKHAAPNTTENDDAISRHTDLFPLPPTYSQFHPPYTPSPNYHTFPSFPPTNPDN DSSSDSDCYSDHLDLDSYLAPSPTVATAPVFPSFTNSHKSRSHKKYQPRAPPSLTFFP RPSPAPKMMPSTRPVHQSRHLSTLSAKMPLLPVHHRYQPPAEIKTQPNILTSLLGAFT TGDNKKERASWYHEEMEEELEITPSLVQGLDLESEKLQYGDCQSSWWCVFQFLVMVLL GFVFLGVMFLAGKTLWELGWEVVAWMNGNEAAVEGVAGGRLCSDAHPRFCHLVVGAER QC762_606790 MDNAFEERWRDDCDWLKDPLKKIDRLQNKHSAFLLPETHRYIKA FEEKTEAINSLEEKAVRRTEDRAKVACGSLEVVVHSLLLIERGDKTLSRQSSTIVETL DSTAAKFSNLSQVSEENMWEFGIEAGDCMELKDSVGCFLDNLKAKISELNSFVPHVFD QKARQDRLVDERRSREKALADHYRNAQNALGNVGNVIANFFDRAVMRNARHRLKLAHT ALADNRREQERAQSLSRAYYQLAMTVRNLSAAIYSLQIALEKLKQDIGEKYSYVTDKQ SAETRLCRGLLDLRNQIVSGDWTTTRDHSLQVVLKLLTAGDAVFIPRRHHEQVQARIR DSITAKLGNGAVQKLIDNVPMHVDGSEAALDY QC762_606800 MAQSALLEVRQIQNDKLTSEYRGKLSNQFVDELKCSTLFQADWS ELISATPTALSLMGSLWVAAADPMAEKISMAKCMPTDGFRYMTKRAEPTLRSCLVDVC NNGGRAAFTKAGANMDALEINSRRICEERIPMVFKRLGPCTKGEEELEDFRDALDAFN KDAKRCAALATETREAFTKWGLMVGELNACTEAESGRASIQKDAIKIDEDVARVRAQF ERIQEKTAVEEVKAAEVALKRAEKRLDTAIDKIPGPLENFVTGIVNGYVSAIPTIVSA AIPAIMASVSPVGAMTSAISSVKQGVGAVFPGGHAGAVPESAVTAPAVLRDPSYAAAI AIRDLVNHYYEYLGGETGEFDQSKFVEHEEAGAQGIPQGVSYFLGTLEGQQAQLESTN TAANKKMQAVFSTLIKVTKDIRTHLRECENGMSDARLPADSLRKWKKSVKKAQQDVLR LSVAGNTASSTNVPNPFANIKVNPVDTLAQTAQLNSAMQAVQLAQSAADAKQDAYDSA LIKQARTAAMMVEIQQKLTRLQAQGRTLEEIKSVLRSCISILVDLTVQIAKIEQFFTM LSTVIDEIILVRAAEFTTEMGKAGRRAKVNGRLKVDDLSKQTIYAATLQLKGYFSVLQ DISSMYNRVDKPHVRDGLDLCSELSKGAALGNGTMEMQDRLTRYMEGSGAAVARIVKD KQEELTRGLRRRIDQAAKTALEIETAISSHGLVVDQEAKHAIQAGAETAKEDAKKQIE AAMWAGERDSSEEIDGNDW QC762_606805 MSEPEQDYLLPDEWNGRVVYLFSESTGNVLDLHGGQSHNGNKVQ GWQYLGSKAQQWRLQKVDSGPFGAWVLHNVASGTVLDLDGGSPDDCTKIMGWKYYAGN GNQEWLIITKKYNDGSQCILQNRNSFTVADMSEGKRDNGNPVIGYQREAWNKNQLWRM KIA QC762_606810 MDLGVDPSLVQLALHQAQSTLRVNKEALALIHELAAAKAQGTRP LKIYAMSNIAKEHMDIVQALPSFPWPVFDRIFTSFDAGMRKPDLSFYHHVIQETGCNP STTLYLDDKSENICAGRLLGLRGEIVDPDQRTRAFNIVRNLLLEDASLRAERFLHMHA GKLDSVISMPGKDDIVLKDNFAQLMIWGLTGMEDIVYLTWPDGIITRGQEEADMMDTT SPTPISDASCSPSPAPSPSSSSTSPPSSPSPSLHHLEVKPTLWNYFTHSSPILTTTTF PPDIETTSIAYLTITPSHPNYHLLSPPSLIAEAMFAIRNKDGHFETYFSPDRHGRVSP EVCVSVLRCLNKFFSSGQIPGLPSLDVTDERIEPSKKLVADCLRYRANIYGNRFYPHP ESFLYYLAMLCDECRDSSPKLCGELKGELEAALRERLNVPMNALALAMRVRAWQLLGL GRGFVQRDLESLLGMQEGDGGWPAGDFCSYGRVQKQRIGSRGWTTALVCRILRDWGM QC762_606820 MKLSSIFSLVGLVSQTTAITWNVSVGKNGLTFEPNEIRAGAGDI IQFIFWSRNHSVVAGEFTRPCIPRLTGGFWSGFFPTAVDTINSQLFRVQINSSEPFVF YCSQNNGQHCKNGMFGIINPGVTGITTLSSYRNLAAGAGNATSPRVPSFGGQIGENPN TSVPPSSSTSTSSAATSTVLTSTSTITSGTVTSATTITSTSTGSSTSTRTGNAAARTG APVAALVVAGAAAMFFV QC762_606830 MASPDSSPHDLDHDSQTPEQEEISTSPWAITSPLIPDPSVPPTL AIKNNSRINRPLATHSSLSPSSYTAYLRHVQYGTYHSLPSCLLALDFTFRFPTTSTSR FSSAEITLTFTHTTSPSKPSLPSASPSYDPIISNFAPVSIIGPPQTRTNSNTFEIAAP LTLFDTPFGLGPSVGVTPRWAKETTKMEEGQAELHGYLAQDDDHDEGANSVAWDMAEN PVSKGGIFRSFRGVVLLTLPRPGEPFWMKVEVKPVVKFSLDPKRWLAQRLIGKRDDPI LLDGKTGLGDSVCHGFEAFDAEDFPWGAVLKGPGQLGET QC762_0097750 MEQHIEETKATIRGHRSRGTFAEGHEFIRALPEEIRSLPSVTIE IAQLYLVQGRYTLAAKTCEDQPSASGEERAVLDLLQAFIGIGRYSKLRTALRIAQEIG EAWHLTGRDEESKLSQQLQAVTIKDSEGEASPLTENRVLMVHWYWKIQVVAGEQGLLH DKQTKAAAVAGLAPVLRQVLSEGRFREARFLIYSKAHLLEDTDQAIEELCGFLEYLTD PAFIIERAFTLVDLAGLQLKSDSEAVLAQAAENFKLAKDIFTQRGHTFGNIDIDLLQI SADKTISAGERFLAKTKIADRYFEVHQYQNGIRCLAVAISPDMIVDTYYEDVVRSLEL LDRMINECGSEILKQLSLLHSVCQASLKAPEYGFALQSLESYFCNVPEEISPKFHSYM GVILGSVYSKFGETEKAVRVTRQALEISMSCASYVDQSDAATQVGHHMLNLAREHPEG SEEFMEMTSSAVDFLKEWANKDAEHGHADGEALKCLFIAEWDSDGQRWMERVKKHIPD SADALARIPVVDLELRLLMRQGRFSDGLALSTQLVEQLQRLTDVPPFKKAQTLLNACI QAFVCVQSTFRQDKALAPEESQSAVKLLWAALQHSYDALQLYRQANGVELVVDCTLFV WEIINLAVLTMSDDGRHGLLTAFMGDMTQTERLCDSMRQSVLSVAGLQSLMHKRFLVS KKASLKLYSVAVELALKLHDPAGAWLWLQKGKARAFADALGADCILPQRLVDQISKDH TAYELLKAEQSILELLQESNVNHVVASRRLAALKKKMEEHPLLVEAAKLRGQLLDLDL GTDELKQALQATGLAADRVKFVDWHVPTLANTSNQNIRLFVRQLDGTTYTQQLPLNIH QVKDWIAKTLAYPEMATPPLARKTGNQLLKKMNGLVEGLLEFTSEGDLLILSPSGPLN SIPLHALEVGKQPLVERNLVVNASSVATLGQCLLRISPAPPTDGQPRQSYGAKYFAVY EEPDRLLEREQIFHHIESLPGAFPGTVAVGSQVTKPRFLQECATANWIHYHGHARYSN QDILKSSLVLSDGTDILSHDSNTGNGDGDLTVDAEADFLETVEAEYNDDNNDEDAVDN SEGIDELLVSELFEATLPRGGVHFTIIACDSGTQDIAPGDEPLGIIPALLYAGATSVL GCQWPIDSRAGRAFSEAFYQEVASRQTGGAVNLASALRSTVMRMRRGELGAEFKQAYY WAPLVLNTSPAFQNIPRMTTTEACRGLHRIVPVDDAPDDATIDIIAIHGLGTESPRTW EFKKRNGDGVVNLLSDADMLPAALPKARIYTYDWNANYFANAPVQTLLGHADTLLGLI AEGRGSQTRPIIFVASCFGGLILAEAQWQVLVAGIMGKQASDQLIKDLEQKHDFVRQR VQKFAEIANAEAVRLPLNCFFETRKTKILKRILPSEWANRLSIGITRKILVTESSACL HGFPRRGLDATHSGMNKFKGPECPNFKLVKDAVQQFSGNASDVLKRRENSTVKGHWIV RFGRNKEFVGRESILEELFKRVLPSGDEDDCQRTAIEGLGGVGKTQIALETAYRIRDV QPECSVFWVPAVDATAFENAYRAIGQQLKVPGIDEEKADVKALIKSALGRENIGNWLL IIDNADDEKLLFGDTALADYLPFSRKGSILFTTRNHKLGLRLVESENHIIAVEEMSRD EALKLLGKNLKGSQMSDIRSNNALLEFLTNLPLAIRQASAYIAKEQISTARYLKLCNS SDEDMVKLLSSHFDDRHRYKNIHNAVATTWLISFQQISDHDALAADYLRFLCFLAGKD IPHSLLPPAGTLETVEAIGTLKAYAFISQQNESDSYDIHRLVQISMLSWLDGKGERQE WTAKVLERLDDIFRWPKHENREEWIRYLPHTQHALQLRKGTDDEEATTGPLSKVGESF RNLGKYKEAEQMHRQALQLREKVLGKEHPHTLTSMNHLAVVLHSQGKYEEAEQIHRQV LQLREKVLGKEHPDTLGSMNNLASVLHSQGKYEEAEQIHRQALQLREKVLGKEYPDTL GSMNNLAIVLGSQGKYEEAEQIHRQALQLSEKVLGKEHPGTLGSMNNLASVLNSQGKY EEAGQIHRQALQLSQKVSEIR QC762_606845 MASMMGDPSYNLVDMAATTVNVKEGLIETSVMMVNPKHEPIDVS TDDFLLNFAITTFTSATDQPHDDHMQALGHLFLEKAGAARLEAHPPLDFATHEERHST SKLLLSGGANFPSGSADLSTGSAGLKGTGHDSPIDSTASMTSDSSPSSTTSPRSSPSS TSTDDAVFFALADGHEEEKKEHTLEEPEKGTLA QC762_606850 MRAPLVAGVPCKRALTTTSLARPVVVRTGGATSRRTLSTNARTF RPANKPTELSCLRPGGRVAYPAVFSAGQRRRKASAVATAATTFEDGGVPVEEGISLKE HGEVKEVRNEKDVGPAEEYDRRVEEGLLRNDEHQRGIIQSLQHLHEELRHYTAPPVVR PTLESLKPQKSLFSWFGKDTKPTIGKIPGNLPRGLYLYGDVGCGKTMMMDLFYDTLPQ SVKSKTRIHFHNFMQDVHKRLHKMKMQYGSDVDCVPFVAAEIAEQGNVLCFDEFQCTD VADAMILRRLLEALMSHGVVLVTTSNRHPDELYMNGIQRESFIPAIHLLKNRLHVINL DSTTDYRKIPRPPSGVYHTPLDAHAASHAEKWFRFLGDPESPEPHPEVQKVWGREIIV PRVSGRCAWFTFDELIGKPTSAADYIELMRSYDAFIVTEVPGMTYRQRDLARRFITFI DAVYESHAKLVLTTAAPLRELFVSKAEIRESLKAAGRSSEVLDDSSVEDVMSHMMDDL EHNAEQLSKSNLFTGDEEAFAFARALSRLTEMGSKMWVERGMGLESQGGKKERDDWAK TRSRQMEDSM QC762_606860 MDDTASVYETAIMGGSSDYDEEEEPVVVPTPSNSFYTPLPSQPL PSELLSKVVPEESSQPEGEPREDLGLDNPSPMSLASPRDMIPRGNYTPNPAGTLTFIG LRAIEPLLQSYLLSPKSPLLKLLPKLGVAVINPGLLGQTPTGEILSWMGRLSRLKQAY WAGFIAREPMTPRMAVFVALLETAANAVNSLLYLLPKTSTALLKGVRVPLLGEAELPL PVLVGSLMFAVGLAVETISERQRSFFKEERIAVGGKQIRVNEGKICDRGLWSLSRHPN YGGYVLWRTGFGIAAGGWWAGLGMGLAHAGHFAGESVGLMDEYMSNRYGGAWTQHKQR VGWVLIPGIY QC762_606870 MKASLLALLLPAVSARNVNLAGDTQRPMTHEEAAVATYHIEISP GNTRWVTEDEKWALRRKGINFFDITDHPDLGATINTLVGPPKKKAVFPKKPTYQDAVK PLFTNLSKSHLEENLEKFTSFHTRYYKSDWGRQSSEWLLGKVQETIKEAGAEKYVTAK HFKHPWGQNSIIATIPGKTNQTVVIGAHQDSINLFLPSILAAPGADDDGSGTVTILEA LRVILQSKDIVKGKHPNTLEFHWYSAEEGGLLGSQAIFSAYEKERRDVKAMLQQDMTG FITRTIQAGLPESVGVIVDFVDPKLTEFIKKIITEYCDIPFVETKCGYACSDHASASK AGYPSAFVIESAFEYSDNHIHTTDDLIKYLSFDHMIQHAKLTLAFAYELAFADFPALE KGK QC762_606880 MKKHQISLENNHINDRLLDLCTSTQSVSSLISQDPTISPADAWE KLYGRTALASAENEKEHANGAIDGDLLELKKAEMCGRWGDTKPSELFLKIYHDALCTL NEDPSRGMVSPSLMGSHGTIPLTIISTIPDICRHIANVIVRAQTEVFLATNYWQNGAA SAYITEAIRELDRRGAARGGPRVKVKIIYDRGSPKQVFKPRYFVSEKDFAGPNVNLPR RSEIPNLEMDVVNYHQPVMGTFHAKYVVVDRKIALLQSNNVQDNDNLEMMIHLEGPVV DSFVDVALLSWGKPWDGFSEVQEEIEQADGSMAAVANGRAVLPDANTLPLDSSLHTPS RPHHDPDVASEVARFNSFLTPTTNKTHLDAVNALLNHTTNPSLRPDPTLNPDPPEPDR FTPYIPHSTSPFPIALVTRSPYGPPTHHSLLNPQNAAWLSALKHATKTVFIQSPTLNA EPLIPAIIEACERGVEVTCWICLGYNDAGELLPHQGGHNEKIAKELYGSLSEGGRERL RYGWYVGRDQTVPIVQSRRGRSCHVKIMVVDGEVGVMGNGNQDTQSWFHSCEVNVLVD SREVCGEWVRGLGRNQNTGVYGRLDGEEGVWRDREGKEAEGAIGPGAGGGGMGWVRGV VGAVRRVKGTGGF QC762_0097810 MDTKDQDSAQQQSSPLLPMSNHPPPSRPRTPILLKLETNPPLVT PAQPPETTPQETWDYPTSLRQLTALLLFTLQLLILITYHPGFLSLLPIPGPLSNHHCL LLADTIITCLAIIISSYVHFCIASLDCELLEQGWKPVYFYIMAADETVILLAAASSGL ENVCSWGLFVVTVGSWSVGWRLGAVEVLSRRLFRAEGWEFGEEGRGLRVV QC762_606890 MAPSAANPTAPAANGQSAAPADDVPIGPVTGLSEMATQYISEQT LQQRLKAIAYEEAKDDHYRIRGVQLIDNVREALQLPIKTFDTAAIYYHRFRIRFPSSE YNYEDVALAALFVACKSEDTIKKSRDILCAAHNLRSPHDKKTPDDKHFDAPSKFTIGL ERHILETIGFDFRAPYPQKLLIKMAKKLVPEGERNMKFPRGEWSTLTSSEKLLHTAYD MSIDIYKTFVPIKQTALTMVLSIVRLTAMLMEQSLEPPRFKTKVASRTQEACVYETML DLMDLYTTHPRSTKVGLNYELQHLMDVKIEINKRMTAEGFQRYNAMCKKCTDQPDNRS VTPGSVTSPATNISGTGGTSVKRKRANSEGTLRFVFDVGAARQERNLAATYFNDEYEE YEVEVEEEIKVPPTDPRHNAGGGRGSHHGHHGGHHNNRHDYGYHNRGGRHPYHDNRHR GNRRGGAGMN QC762_606900 MEVRYGFLATPRKPKLKQPVPMPMPMRPAAGDASQHAQPEPEPE LQEDDVEEIIPSHHHEQHAVPYVQRRRGLLLVNGKWIDLSLMTDDERLRQHKSRKQTA SSPPSTSTALSLSRSPSPRNLQAPDTLQSTENMYRAVRDYFTASFTSNRWSFLEDTTS PDQARNDKAVVATHTGVRRGFEIWRRLMTAVRLFHDTSHPDNRAQSIKVIRITFAELT STLSSGRESPLLFFWVMHALTLFRSIPDPNFQRLETYLLKHLFELTETVRLQNGAIPH PTAQLWKVLYSNGKSLLFSPPSSPSPDNDDKSPLINHTHLSSLISLAVSLFSSHYSPL HKRTIELSNLSIFTSLPPHHPSSSTLLTPKFHSLFTRVTSSLPDVFDGREMDVRAWLA SHYFTLGDLTSASSLLEPILLDPIKLKEVNKVQEASESFNLLYGSIKMAMGDVRAAEG IFRQVIKRGRISWKEHGEDVHLSDGLLALDQCLRVQGRTKEADEVIKEHRQLLREALM RRGEEDT QC762_606905 MSPTKPQHISGEFVITDDDNGANASRFNFEPGSEPALEHLEALM DRLQEHEKALGRVHEGQEAVLRVHLFVPSSLRLLSFQHTSPSPETMFSVRSHSGPSFR PISNNTLLL QC762_606910 MGSTTTTTPDIRLSTDLISHLQKAIPQDGTRDSLLSSVIPSLLK SVAEIARDLQSSHHVSAAGTSNIFGDDQLNVDVQAEAHIRQAITSCPTIVTASSEEDP VERPVVHTSSSSSSSSSSCHEKYTLAFDPLDGSSIIPSNWTVGAIIGLWDGPSALNTP PSKSQFLSILGVFGPRTTAIIAIRLLSSLPSICLEAGLDNLTQTWELTRPALSLSAPN PKTKYFSPANLRSASDIPQYAGLVSQYIAQAYTLRYSGGLVPDIVHGLVKGHGVYLSP VSGRHGAKLRRLYELCPVALVVECAGGEAVEFERGGRRILEREVRETDERGGIVCGSG EAVAEAVGRLFA QC762_606915 MPPKTLLLQTLPPILLNLATLILCCLVIFSGFNNSLTSIHWLKI DNTAISLPTPLPSSVPLKDLSTLAGTDYLSPSSSPLPDHATLHLLTECSLTPASTTCS PPDINFWFRPERDLKLSEPSRTHNSILFKDSLRSYTRSARFIEWSFIVGVICALSAPV ESYFSPLLASITCGFGMLFLGAGTITGAVIYKRLSDAINDVFGGFGIAAKNGGWPVAL GLVAAGLFGGACGGYVIAYRRQKGKGWKMGGGSERGVEGGEVDVPLVGGYEKQNNGGQ RQPQQQQQQQQQGVVMGHRDVERRMEDDWAAPDEYSGRRGDGNNTKGSSNVPLVSMGA GGNNRQTRDLNTAYEPYSRGQF QC762_606920 MDQTQPEDGKGHKRTRSAVKATRPRSSTKGPLDAADPLAPPPAT SQPSTTIPPPSSSSTTTPTPAPIPPSPNPQLIPQQTPRMHPSHTPRATSPLPRSPALS PTPGTPRRPPPGSTAPLRPKDFSFLLRPEIFHPLTPLNIPPAFRNSTKQPPPETPLPE LLEKGHFRAAAISAVQTLTGTGRTPQQPDPSDHVRIFDLLYTRWACLTLINSTDLAAQ EVKALGDLNSAFYLSEDPTSGKTHHLVPWGLRVLNVRLQAIGFGDLRRAVMSYYELAR EARVKLGEAMGAHDNSSRELWRERLEDLGVRVAGALVEMGDVKGAGEHLRGLKVGDGG KMEMMRALLWLRLGDVDAARGCVMNGEGRGVTRGEKVVLALCDMADGEYEEAVAKWRE LEEEEEGDEMVMVNLAVCLLYVGRMQEGRALLEGMVDAGRSSHTLLFNLTTMYELCTE RARSLKVRLSEKVAAMEETNDGWEKTNADFKL QC762_606930 MEGPVSGMIETLERRIGADKTHHVEMKRNLHSAFAIHSSIHLAD QRGGFDERCRDPGLLDKIMTPPPMGACDLFPGCHVSKYAYEEWDVDGKDTITMELCFE QPNHLGLPPEAITANLAVELSPPAPPNREGAKWKRHRYGQVWFRFSLSMETNIFNMVT KSLALPGELRAIRKLLATADLNAPDASGSRADDVSANTAAATTALVNLQGAGDQEVAK LTAERDKFRSDWAMLSTGIRNLKNNLAVEKNSAGTAEEKLEKAEKGVVEERDRLKSNS ERQAKQITALNYAQVKLRNENAKLKEERTEVQNTKKKLEREAKDVRGKNAVLEEDRKA EKDRQQEAREEGDMRQKMLDAREQSFCEWERLLEQRERSLAERNTMNPGSDTQMSSEH IQQQPQPYPVGPNSHQFYAALETLRYCFHQNMNAVSWQEQQLRQSHQELEQRTQEMQR TWGAQFKDRTALEVELEKREKGLQKKS QC762_606935 MGERINIPMVDRARDAMIAISFLWVVFGFVVAGRVVARHRGIGL GLDDVLAVAAFCLTGTTIGFNAAVFSSGVGHDMVPDSPLFPTLMNNLEFMMKITFIFT IVYVWALFALKMSQLWFYLRAFSVHLKVWIWIVSGICIAWAIIFTFVLTFLCDPIEQQ WTLMRIGKCMDQILVLKCVIMTNILTDLMIIVLPMRTVWSLQMRTTEKVAVASCFAIG LACVVIGLVRFGEIFVIDMIGNFTGTSFTTFMLCSIELMLAGICINIPMLRPFYTRWR KKYKSSADNSGYADPSTGQGARSGKATPLPSVKGNYNAWIELEDDKDRDSDSNNDGCS ERKLTTSTAARPDPEVGSPTEPSAIHVSTKWTITRD QC762_0097900 MPHHQQSSRSAVEAAPLDGYGNGHFFSYQSSRALRCRFAILVPL IRTGQLIVRVQTSETLSKLPNLKDAETSSNLVIENHLSVTIALASNTIMVVLVYLPAT MAEYAIHVEAAFT QC762_606940 MDDGIPTTSTMESKHMSGILSADGVKSAITLTSVLSVVGVWLGY RILVCLYNISPFHPLSRFPGPKIAAASYAYEAYHDWIRGGRYGPKIQEMHKRYGPIVR INPDELHCSDPYFTDEIYAGPGRIRDKWQHQLNTGGAGPVSVTGFSTVPHELHRSRKG ALSKFFSRQQMFKLEGEVLDFCHLTIDKMLRWSGKEAFDIKEAFNCFTADVISQYAFG ESMGFIAQEQWEPNLATWTGSFMKSAYLMRHNALVRKMTNMMPLVADYLGEDIKNVMH QMGTVIPGYIKASLDDPNGGRVFAELVKSKALPAEEMTMYRLSGEGFNFLLAGTETTA ATLSVITFWLLQQPETYRKLMDDLQGLTPTNIKWAELEQRPYLWAIVHESLRMMPGVS HRSARIARTEDLVYRTRDGKTEWVIPRGTPIGMTSMINHFDEELFPNPHSFSPERWLI DGKPNYKLQKFLIAFGKGSRSCIGESLAYCEVYLMTALIAMRIIPRAKLVNTTYEDNV KYDHDCIVPHSRNGPVTVHVRIQ QC762_606950 MGTLVPPWYKPDPPSEHSLDLASFLWGASTAIACFSFAKAVRQT RRSWLHTHKVNAYIVMVWLEWTACVIMSVVSWLFLIGIIPVSFWIFFGLLVLWVVQIQ CLSQILCNRLSLLFFNPDDARRLKLGVGLAVGVINISVFCIWLPARLQISETFINLNN IWDRVEKALFLIIDCCLNIYFMYMVRTKLIANGLKKYELVYTFNLFMMVVSLLLDVGI IALMSWEDDAVYMQAHPLVYLVKLCVEMNLAELLGKVIRKSRERRTTPFLRTHIDNIT SPLTRTTTAATATTTANTMPTSILPDGNIFGGCPHFRHLPGCNCDNNNNNNNERLFHR NWNLNMIGSAGHREGSYTGGELYNYFAHGGPGERRRATGDILDDAAGLWSSGEDTPVV SPRVERDIEAEGGLGGSGRNNQERRDDRGNETNRARGGKRGVERPTGSRKPSAGSSTL VHQESGSGSGSLGSSIRKEGRDKREGEDPWEE QC762_606955 MTRILITLLAAAAAIQQAIALPVADADVDLVATRPPRPTGRPTG FVPPVKPTKSVVLPPKPTRSVVLPPKPTKSVKPPPPKPTKSAAPPKPTKPVLPPKPTK PVLPPKPTKPVLPPKPTKSKAARDEPKPTRSVRPPPPKPTKSAVVPPKPTKPVTPPKP TKPVLPPKPTKPVTPPKPTKPVTPPKPTKPVTPPKPTKSVVLPPKPTKSVVLPPKPTL SVVLPPKPTRSVVLPPKPTKPPVLTISRTARPPRPTLTRTKAAREEPPKPTRPVTPPK PTKPVTPPKPTKPVTPPKPTKPVTPPKPTKPVTPPKPTKSVTPPKPTKPVTPPKPTKP VTPPKPTKPVTPPKPTKPVTPPKPTATRSARPLPPLPTKSFTRVPRPTPA QC762_606960 MARQLVFVASFHRTTDAPAPSESPLDEIKNGLCDALDRIKAAGS FAAFAKLTESSLYPISVRDVGHVALPLGEDSAQQLIEKARQAPYGKGTETFVDTSVRN TWELDAAQLELHPQWESTISAACKLVAQQLGITAPVRAELYKITEKIPGMFGALVICL PSEHQGGDLVVKQRDVTKTFKTSEVRPSMACWFSDGTHEVLPVTSGIRWGLTYNLGIS PQVNRPSAAMRCTGTGWCSRCTACLAGLPGPEQR QC762_606990 MLRSRNLLALCGIILSLSNKILAGFDPIASNNIAVYWGQNSISL TLGGQQRLSYYCANTPINIIPLAFLYTIKTPSTTINFANAGDNCTLFSGSQLLSCPQL EEDIQTCQTAHNKSILLSIGGATYTEGGFSSPAEAVQMAGAVWEMFGPKKEGSKVERP FGDAVVDGFDIDLEAVAVNMVDFVGELRRLMDADGGKKKFYMSGAPQCPFPDAAMGGL MDGVGFDFVMVQFYNNWCGVDSWKASGGGDGKGESQFNFERWDRWAREESKNKGVKVL LGVPGSQAAGRGYVNGEVLKGVVEYVKGFESFGGVMMW QC762_607000 MSGLSEPQRGPSDDPSHLEPPLPPQTKRSSPKPPQPSTPGPIPE DEAFSQKTGDGRRQTEQFPDSIEAEEGPEEEDEFLAEGWDGSSKASTSVTSSIYAHTY ENGRRYHSYRYGRYPIPNDDQEQNREDMKHAMMMELTDGKLYLSPIGPNPQKIIDIGT GTGIWAIEMGDLFPGAEILGLDLSPIQPQWVPPNVRFMIDDVEDEWANGSDWDFVHLR GMALVLRDLQKAVDQIYQHLKPGGWVEFQESHGEPRCDDGTMDPETDVMKKFWALCVE AMAKFGMNLNMPAVVGNFLERAGFVNITCVKKKTPVGTWPKDKTMRLIGLYVKEAALQ SLSALGKAFANLGMDAVEREVFSAKVREAVMDGKVHRYYYFYFWFAQKPYDNKEGDGG GGDS QC762_607010 MASTQQQSRLLSTSARVHPPYLPHLYTAATKPSPVARGIKWVPP LAGALAAGYVAVSTYRTSAAAAQQRQAEAEQADLERRRQNAALADAYGDRSSLEELER AMRVYEAQRGNN QC762_0097980 MLQTGKAEAVQSWQREASVYKMRHPDLDQLSTRGAVSTPAQGYH DSILAISIITTCIGSATAASHVSDSLAPNSTPSQPRVGSR QC762_607020 MIVNLYWITLFVLLPVTVLLMSRSLLAKAARSFLAKAKRHTSDT PPSSPTDSVTGEDDHERIKDKEARAFQIKFLKVYLFAMAADWLQGPYTYPLFKTEFEF PEKTVASLYMTTFIAAAISSLFVGFLADKFGRRNACLAFCLIHSLSALSVLSKDLKVL YAGQALGGIGLAMLWTVFESWMVTEWNTRKLGDERLGTMFGTMTRANCSAAVLGGLIG DLAVEVSGTRKGPFVVGVAIEAIAAAMLICCWNENYGQPKDSKEKRMTPKETLIQLGD IKIWALSFISCCWEGTNFLVLFFWPGILQDAHRRIHGPDAEDVPYGPIFAAFMLAMIL GALLFSAIMKRKKSRLALPVDPSQSPSKPSWLKKAFTNPHNLMGIVIFIGGGCLLQSA YVPIEILAFFGYLLFEFCNGIYVPCVAYHRGIVVNEGSRAGLYGLMKLPHFLFVIIAL ATAVEDPRHRQTVFLACSSTLVAASLASIIGLRGSAPEEKKPENDLEQLMEMSDGDSF REKMLTPHTLASASTPTLSTTRPTTAHEIIMDKQS QC762_607030 MAKKRSKRRTHLGAHNPAAPTAVTGHINTKDPKSMVIRMGAGEV GTSISQLAADVRRVMEPGTASRLKERKANRLRDYVTMCGPLGVSHLLLFSRSESGNTN MRLAITPRGPTFHFRVEKYSLTKDVRRAQRHPKGGGKEYITPPLLVMNNFTNPNSDHT SKVPRHLESLTTTAFQSLFPPINPQRTPLKSIRRVLLLNREQSPEDDGTFIVNFRHYA ITTKPVGLSKPLRRLNAAEKLLKSSKSKKGQLPNLGKLKDISEFMIGGENGAGYETDN TSGSEYETDAEVEVLETSARKVHSSNKPRQAQNEDGSDDEDGDTGRKDNVERRAVKLV ELGPRMKLRMTKVEEGLCSGKVMWHEYVHKTREEIRELEKKWEQRRKDKEARKKEQKA NVERKKAAKEANKQQQQQGKKGQQEEEEDDDEDMEDYDSDLYEYGYDGDDKGFDSEGL AGDAEEQVNSKMEEDGEWEDEEEEIADGNKQGKRKAFKK QC762_607040 MSSVKRRKISDNPSAVKKKKTEAPKPKIIPGPEPRIEDAVSEAS DAEESTTLDNENGEAAPKTFKDLGIVDSLCEACDRLGYKQPTAIQQEAIPLALQDRDI IGIAETGSGKTAAFALPILQALLDKPQPLFALVLAPTRELAAQIAQSFEALGSLINLR CALLLGGLDMVQQAIALGKKPHVVVATPGRLLDHLEKTKGFSLRNLRYCVMDEADRLL DMDFGPILEKILKFLPRERRTFLFSATMSSKVESLQRASLRDPLKVNVSTSKYQTVST LVSNYLFIPHIHKDTYFIYLCNEFSGKTMIVFTRTVLETQRIAILLRTLGMGAIPLHG GLSQSARLGALSKFRAGTRNILVATDVAARGLDIPNVDCVINYDLPQDSKTYIHRVGR TARAGKSGHALSIVTQYDLEIWTRIEAALGTKLTEYAYEKDEVMVFKPRVEEAQRHAR NEMKNLIDDRGKKGSVLKGHRGKKRGAPGSGRDNMDAEEG QC762_607050 MDSLEDFEKSLAAERAERERQKEKEERRDRKHRHHHRESRRDRS TERDRDSDRRRRRDDQDDESRRHKRYRRDDEDDESRRHRHRHRDDDRDRDRDRHRRSG KDTKDLKASDPKEDLPLPDEELAPGESKSSLVRDSWMTAPSALDIDYVQRNKRKSPSP MREEPKRVLHHRELNKGLEEASNRPAEEPETGKPREVRYTFGDDGSQWRMTKLKAVYT IAEETGRPVDEVAIERFGSLREFDDAREEKIEVDRRKVYGSGYVGKEKPTGELYAERI ASQKKAPPPPGFPALSKPPAELEQGVSIEDKIAPAPPMDQSALNRLRAQLMKAKLRNS PDVPKLEAEFNSAMAAFQSGQTTSNAIVLDASHSRLLAGGSRGEVKPVTNKRGLERGT VVENDEMTLDDMVREERRTKGEAGGEGMRLAERIAKDGKFDNDLEYLDENAEKLAKRV HKTDSSLKNVAVTEYKKLNRILDSCPLCYHEEKNPPGNLPVAPVVSLGTRTYLTLAPA PELTGAEGGAVIVPLSHRTNLLECDDDEWEEMRNFMKSLTRMYHDQGREVIFYENAAN PQRRQHAAMVAVPIPYELGDTAPAFFREAMMSAGEEWSQHKKVIDTLKKSKEPGFGRM AFRKSIAKEMPYFHAWFGLDGGLGHVVEDSASWPRGDGFAREVIGGMLDADVAVIKKQ GRWTRGDERVEGFKKRWRKWDWTRVLEDGQ QC762_607060 MLRKKDTFTVITPIPGFIPRQLAIDILHSHSEVITLNPLVIDHK PIAAPQNAETDEYYSTWYEITERLQLVPGIGKMGSSTIKFNGCFHDMPWGLQTHVYAP MNIDMRSTYRIAGNQPGVEPPEVPEIGLKALGVPSDGLYLREDIEIKCNVTMVSYVRS QMKKASAEMVQRMIKKAELLDAGVLSAMIEDGKLKTLNPADRRNTVRSPLPSPSSLHY SPSINGGSTPPQMHSPRMPYQIPRVTSVHNPYGRPGTAGSQGPGGLQQQIQAAQQQQQ QPPQYGQIPHDNGPQELPGVEASQTNNNNNNFAVEMPGDFVFANVDPNRPPTNHGSPH SQQGQWSNAGSRPASYQSSITSPNPDLKGGYPGQQQQLAPHRETNEEHHTGQRKHDSI QKSPYAVYNPADYAKVPSPLQPGGGQQQQQQGQQYTQQRYGY QC762_607070 MHPCRAGSSTVGHTVNLALRWKPSQVLFAPPCSEPRRRFDSVTI PKTTNHTTTMASTSADPAQTPEAKPPVAIVVIGMAGSGKTTFMQRINAYLHEKKQPPY VMNLDPAITHSPFQANIDIRDSVNYKKVMEEYKLGPNGGIMTSLNLFATKVDQVMGIL EKRAKPNPDNPAQKPIDKILVDTPGQIEVFVWSASGTILLESLASSFPTVIAYVIDTP RTSSTSTFMSNMLYACSILYKMKLPMILVFNKADAKDPSFAKEWMTDYDAFQAALAED ENSNAFGGVEGGDGAGSGYMGGLINSMSLMLEEFYSHLSVVGVSSLLGTGIDEFFEAV AEKAEEFKKDYQPELDRRRDEREKNKEKQREKQLAKMMTDMNMGDSSMSKAVADLKAG DEDEKDAPTLSSDEDDDDIDIDEDDREGLQARYSAAMQSDSVETDASFAKYIYSQR QC762_607080 MSGQDYEAPSGAFSQRDDVAASSGTMIYRCGDCAVRVPLEKGAP IRCQKCGARVLYKERTRRMVQFEAR QC762_607090 MSSNDNPPSPPTQPLPSASTFDTTTPPSQETDCPFCHISLTYPP YPHPPPAPESLSPTLTHPHPSTHLILSTPLLIAFLDIMPLSVGHLLLCPRAHRPKLTD VTPAESAELGKYLRILSTAVSRATGIKDWNVVQNNGAAAAQVVPHCHFHVIPRPELRD KRNERFTSTMFGRGQRDELDEEEGEKLAGEIREMVRVVVRDDEEREGRGKL QC762_607100 MLSILRPIPRQVARISLRPFRSSSSIYSYPAISRSLATMASTKK TTKRYPLNVPGLSIPAIGLGTWQSSPGEVGKAVEAALRNGYRHIDTAFGYRNEKEVGQ GIKASGVPREEIWLTTKLDNPWHKRVREGLEKSLENLGVEYVDLFLVHWPASIDPERQ GENEGKGEVYQDWDFVDTWREMQKLVETGKVKAIGVSNFGIKNLERLLNDPSCKMVPA VNQIELHPGNPSPKLIAYNTAKGIHSSGYSPLGSSDSPLYTNDTIKAIAEAKGKTPQQ VLLAWGVQKGWSVLPKSVTESRIKANFELDDWELTEEEVKKIDAIPDRFKVCGDDWLP IKVFFGDDE QC762_607110 MVAPAVPEVTEEVLHEAIEARTESLSSLRELGPPDLVHLVKQPL RQQTKQVGVYHHVTGVDASSSASLAAYINTLAYKEFGPSATTKTLEGTYCCYNAFSRV DMRVHAPFPGSVEAYCIDERGEKRKATDELWLETYLCSVLRAYSYADDGTGDAIRKIM CVRRFNPVTNTETEHRFLSAAEQLFFRGWSLGSDSVVQVPNVVSNHLTTGLIKYFHTT GRYASGINLFEKLRTQNVEVASLLAKVMFMGNEEVQGIRVLHEAIKEMPMDYVMLDTQ AEFLLKKAETATTPEQREERLRLALGCADRSTIAAPSEFGTWARLAQVYVAMEDWENA LTTLNSCPMFTYQDKDAPVMPEPKEYHLPTLPETRLDEIDSEPDFRYSEQIDPSLLGL RAATYRGTFKQAYAILTEMTAKIGWDQLLKIRSNVFVMEDEYREKQESSHYPANRNPS TDVLRGSPDPGVNGETAVGETEVAEKSEEAGQEADGENLAPPAAKGKPEDIERPSSAM DPDVVKKAEEKGSEDHSSRLNNKRLCERWLDSLFMVLYEDLRVYTIWRTQMAQYRAQS MQYKKSPEEWEILGSLAERLQHTDEAVEAYRACLGQRFSPKALAGILKVFMKTKLTRD AVAAVIRLVTWQYRWYSEFSPELLHTIRILIEDEGAVKIRSIIQATNLPQNVLDLTHH YAALCATFRSSGTEG QC762_607120 MEKPHRTNANRFAAQPAFQAINVEPDEIVDEEIDNTRDIQVEDA LKLFQTALKLHSQGPKFFDDAADAYNALFSSEIFKYPEAKTEYERAEAGEDGVLAVEP TFATVLDAAAAETDTLSNTLPQAFYLAYKNHGQFIVDRIRRKIRKAFVAKSAALEDPA VLEDARKALEDFSAALDRDPSDAELWRKTARIAAFLKSSRISRYSLEAAIELDDDPAV DEVEPPSLAEGYAGEDLKDQLQVLGDEMALGHPIMKPFVERGLPPMLKRYQDAIPFLP NPAKSLAVPKKQTTDVPPPRHVISAASSSWTELGAAMARFVEEEGLSGQAVFLETPDA ADDEDIQMEIDMQLLPPDSSPPPDRSSECAVKDEQASGEQSEKPQAAEEESTPMVAEP ASLGEATGKERALPSRKRSQSVAGLPDPPEEEAAEGKRSKRTRRRETGRRETAAEEIV DPARLLATQLQPLQAADQNLFQTTKNLLENLGVTDHVTLERIAEVLDSCASDDRMGKI QNLSTVDLRDSILQFDEENATVLLSKRERPQLSLSAFLEHTKSGSQRANEAPAFDETR GVRAFVEKINNGWYPVQDVVFEFFKIIMPTYTGTKWPDHTKTTLSDVITQFGSSIYER VTYELDLCLAKGDHEAHLELVKLVHMVFELYLDVYERTTNPNSTAEESTKVEIQLRVD KWMDLTTEVTRQRNPNTDDELSSRFLWAGVYATTLAKDTPRDHILNCWHSMYDHLLES SISEITLPNNAVMPEISTAAAEREISKLTTMDFFLGLFQEDMGDPTSVIDSLEPVLNP ENVYITVPGTSEPNGTDGDHSWAKQQKLPLLECASQSLTDLWKFLKRSSTELRLLLWS RLGEAYGKISYATKQFSCFLRSIETIITDFEHADYLETPPEPRRALFMTMIKALDDLI IQSLHLALNDNSAFDIIDEEHLKSTLSALAKLSCLLHVAAMYEDETRIGMRPAPANNS TLRSFLNKLQEMQVRTWSLQYTMLKVGIQQHPDAFPNYENDLAEYLAAVHQVLGLRKS CKSSNKIFLKMMRVELLKQRNIENWEDYLGQVLYDLHGLKLGVGIWEVQEHGCEPENL EKRQALQLVEKITVLANRMSMKDLLKSDLKTTIERMQQAIGTTKSNPQMTHNLRNYTE YLKTPIHPLHLFQALDGSIDLDAVTINTVDSAPAKHGWYFLLGMIGLTKFKGVELARR QTPGATDDLRIGATYLRLQLQFTPDRWDAWFRLAECFDYELDESVLWSADKMNKDRAE LVKFQRSSIHCYTLALSHSYAWSADPHAYGASEDEKEALNDMYREFGMRMYASSREPF AMEPFKHSDQERWFIEPEGIETFRRIRHNEMTDYQVWKFAAHLFRKAMEGKPKEWKNP YMVAKCLWKMYTKAPEELDENTRRHRPTVKMILKALEKTVEVVSALPKPRSGQDPILE PHYKIVSVVDKLVRRGDLPRQEAADLLQRQPYAIDRGKPVTVDTPEEWEAYIIRCLRY LRDKDKSNWQHRIIMRHARVLFPDSVDENDPANVDAAKAAFAVLRENMFTKTMVMNVW KCEAERSGRHYVYTWRYIKYVAKILAALNDRTNLEAVLRRIRKRGADFYYFNELWQYC VQIYLKLIRQTFDVPSAGEDAFKTLNTEEFDVVGEKITEWATTPAAESHPALNAMKEA VELKKLNSNLMKAGPIDDLITDCYSTIYLDVRPELPRPAEHSTETQPGEDSSQQPPPS ASQDGVGVGVPAAELKSKLLQNLVAQEEKTVLGSLRAVSEQPDRSEKASVAGDAAPRS HRLGVRRPNILRKADDAVQAVLRVAEAPKWAVSGASRGRGKNGRTPRESEDEEGEEGG EEEGEDVEMKGSGAAAGGHSRKASKASKASNNAGGVVGVGSERSTPGPGGWNDDDDDE SDLSDVPPDYEDDIPESLLFPSLGKVAKVESEGEDEEEGEEEEGETVMEGEETVMEEG DQTAELGDDNMEEDEEGEEEEDEGEETHAEDGPDEEMVDTEMEDVGPRSDHEDEVVDE VEEGEQADDEDDEDEEDGEEEEDEDDDEDEAEEAEEEEEEDGHERPVEMAEAGDGEDD EEATEDEGPEQDVE QC762_607130 MSSSKRITKELNDCLTSPPPSITITLPSESNISLWHITLTAPPE SIYSGGKFGLIVQFPPEYPFKPPTITFATRIYHPNITNETNGSICLSLLKTDNWKPST KIVTVLEAIRQLLVEPQPDDPLETRIAEQYKNERKEFEKEARAYVGRYAKGPVKFGTE AGAATTGGEGPQQQQQVS QC762_607140 MLGHHREDGGGGGGGGGDSDNDNDNNGGGAAYPAPSSMMHHGLP ASRQQAKPQWPNAGPWSKPDEQTDYSYYQQQYNQRHQHYPPRNFPVQNLHSYQTRSNH QRRPSSSPKPTLDLSHDRRQIPPDLLSPRSDSFFYSSPNARRNPALTPTASHFPNSSA RMRAESNPVSRPPPRSAFPGLSSNPFIIEAYEPEPEPEPEPTPQSEPPSRAHSRPPSD EPPRKFPLLARHHEQIGGAMSPASMMSRFESPPGSRRGTPTPSEGTVVTVENFSRPRK TSIRSQQSDGALSSRRMGLSRPQVEPTLEESGEYQSDYQQVHAQSHAPGNPWPRLRRL SGSSSQSSSTFSSIAPRPSQDHAPRSETPRNMNAPIAALAGPFARSVLGPSSSGTQAY AREEQAAQEQQQQQQQQQQQQQQPVQPREPPPWLSAPDETLRSSFRSQLTSSTAQGTL FTATGTERNSVLTKASSVGDHQSITNIYARASWWHSSIIGDDGLLEDVMGMYERGFND SDIESIRKSMRFADDEDIFAQADLDNPMPRRNSSRPASSHHSDADVVKEKILEAMNDS LPAPTGIAIPMGSPGSRQSVPKFRQSLLPTNSLPKDFGFGQHIAKRNLAEAEGLEKHD SAKLVDGDDVPVQQAVEREEERPVSPLSPVQPELPIPTMPIPASRPTTPSRAPPPMPQ EPPEEPGARDRYGFRKAGPNVSRQAYDAWNAGYTEYLSRRRKKWIAFLKDNSLMTDRP IRFPPRSTKTKRFIRKGIPPDWRGAAWFYYAGGPAILSKHRGVYEDLLRRAALDPTGP GSMPGLVGEVKPLIVDDIEKDLYRTFPDNIRFKPPRPAPPPPSSPVGGEEGDDAVEVE PAPEPEIITSLRRVLHAFALYNPRIGYCQSLNFLAGLLLLFVETEEQAFWLLNVITRV YLPGTHEMSLEGSKVDLGVLMVALKDSLPNVWKQIGGDEDMGLGGGGGGKKKPKRGLP GSGHRANQQSVSDPNRLPAITLCMTAWFMSCFIGTLPIETVLRVWDVFFYEGSRTLFR VALTIFKLGEPEIKAVQDPMEMFGVVQQFPRKLLDANALMDLCYKRRNGIGHLSQDDV EEKRQERRDGIRRWKAEQEAAALGGGSSNNGGTGTRGLDLAGGVDGGMRGVFGRVGGN KSGGNKEQVRAAEVM QC762_607145 MTGRKRKQEEEELVALPSDDEEEEEEYVSDEDDEEAGDSSGDDG SFNEEDAEDDEEGEEEDEEAAPAPPKKKIKTAATAEGDAPQKNGHMNGVAEDDEGDDQ EEEDQEGEEEGDDDDVEDEEGAEEDEPPIASKGVKKAAAPPAAAEGSAAAVAADGDDK D QC762_607150 MEPSATKTSIMIKEASSGADETSVSAVPTPGYGYMGQASYGANV VPWSLPDAPYTASSFFEEPSCDFFSLSRTPFTPFVGGNWHLSNNLSPEVSLPTPFSSV GSRAGVWRGEPGGEYCEHEVFSPVSELATIDMANSVTHTKRSWSEESSTSAKHQPNDK IKATSRSKGRGKHNIQLRTASRKARKGSLAPATPLSPAESVHNPGSASDDDDLTPEER RARRNHNLVEKQYRNRLNAQFERLLAVLPLEQYKGGHLGQGEGCDGFATDEKRMSKAE VLDLATRRIKALEMEKERLNRERKELLRNMDIMAGAVAQAANQGL QC762_607160 MDNTYYGGHYDDENQQNIPSYPLAFDIQEQDHANASVLCPSSTS PLGGSISISPTDRFSNTEAYGDYDSRGITSLMPESSYSAPTEPVSAYDNASLSMSSGP HSFPYGQIHDELDGGVMNQGPPPPTGWGPQFQSFTPYAEDPRVAMGVGERSSGVPYQY PPSTSKRQKSHAANPPFQGLTIDTMTMNPHGDRRPHKSAAAAAKRSKGKKPSAPTTSL SSPTSQSTISSGSAAPNSLPEEDCDSEQEQAVSTGRQGRHNARTRHNMVEQKYRHRLN THFDKLLEVLPSGVGVGGMMMGDGYQNTYQTTYLGGDGGAPSLERERKVSKAEVLDRA RLYIQTLESEHVRLQREREELRGLWEGYYERAAGVGKGLDGGV QC762_607170 MSCVALDPFYFMIKYSTHCSTPSPSFPSSHQTITTNPPRAIMSS KLIPNTTQDADTDNSYVSRPGHKHEPVQVIADEQARDEVKNNNQTNNTSSDQLDKQAI DQSNIIFSTKPVTRGAAPPKGAYKEPTDTEGLPRNDGRSSV QC762_607173 MMADDDSAAAQPKPEEPKAEAGTEQKKQGVVEAVAEAVPEASQP QQRDVSDASQQQQENVPEGSQQQQENVPEASQQQQTEVNDAAPQQQTDVNDANPALSP TPLPPTHQETQKGGQPSGGAVRFDTLPGPHPTAHLQPVFGTYDARREEDEVPYEIPHN PKWHKVKIGLMLFAVAVSALVIGLSVATGYISAGDYGYWYIHESAYISGVSASAAILS LVFIVIEMLTMWFSKDHRGLHPGWLITFNLIVGALAVAGFGIMVHYVTNVGGYNGWSR YFDNQDTADKELALFQALLAFDFVLFFTHLVLFVGACGEAHQRNRARREVQIVEIPYD PSHPLPAGFQHVPHPTLQHPPLPPPPPPPTQQIPGSWAPQQPRRQPQRVSVHGVIPYI TPEQAAQYGGYYSPMPTPAPPQQPQRVRTSQRGYYAPAPYNPFVQNAPAPQQQRSSMR GARAAAGQGNVAAAEKTTKPTSAATAGKKEAPLPEIPLPEVPTEGEEEAVAEKSEPAA QVEVVTEKGVAEK QC762_607190 MLSALGNPRQAAAQLMNFGLILSTAFMMWKGLSVISDSPSPIVV VLSGSMEPAFQRGDLLLLWNRNLFTETSVGEVVVYNVRDKDIPIVHRVISKFGTGPTA KLLTKGDNNDSDDTKLYAPGQNYLVREDIIGCVAPD QC762_607200 MSTEERAPLLSTRQGLPVANSSLTVQDHPIFLRVCHSPWPWVSQ SCLVYLRGLIFCYLTGLAGMLLRYKFHHEYPHNEGKSNWHIFFEFASVAYLFFWLYHL ITFCWSFTHLFFPDVDEDDNSWESVLLCKMSPPLQTPKSRKRLYFSIFYTISHVFVFM NTLIYWCILVPKGYGHLPKGKEGEPVSDSTWKDFWGHGWFEPFCILNLYLVTSLIALF EIFVLNSIKRQVPVPAHVIATVFFLSAYLGWAAFGKWFTGLYPFFWMDPEIMKMTEYI ASYVAGFLCLGPTVFAFMYGLIGMRENMTHKDDGDKKQPAHNRSLLDE QC762_607210 MFKRSFRSRETSNSQREAASTTMDSQHRVDKAARLMRKGSVRDA QKVSKLQRSLNNNEETSRTQSVQTRLNDKSLAPSPIVTLVVGAEARLFAAHEDVLCQS PFFERVIRSNFTDAQNRRISLPDEEPEVFSGILEYLYKGDYYPRLLHNKQRNSWELED SLPRRVTPQTSPTINDSPKFGGGRAGQTPQTPAAVEATVFLSGIGQHILRDTAIYCAA DRFGLEELKRLALRKQGLQAGIDVGTILRSAQYCYANTPDSDSRLRAHYLALIIRCRK TFKRSGTMQAEMEKCGSDNVYGEGSGKLFFDLFVAMCNHLDDVIDASNARTPKTI QC762_607220 MSSSPFTIRAPPATDIWRKPPSKDIFNAPTSTPSHLTPSSPLPL FLSTNLTFRLPYNHQYDQSGLLLTFSSPSSPSLKKWIKAGIEYYNSSPRLSVVSCDNW ADWSVSALTPDPDTSTPWTTISIQKEGDDNGVSLWVYQVLSDGTKVPVREICWVYGLA DLESWTVKVEAMAARPAKGELGELVAEVKEMDVKWKE QC762_607230 MPPKPKNKRSNRVGRIMDNTDRRRQLSVAPSPSPAPAAAQAKGS SGSSEKCRNCGSTDIQEGACADCGLVLREHDIVAEITFGETSNGAATVQGSYLGANQG GVRPTGMGLSFRRVPGAGLKEARERAERETRDLCSQMVHQLSVPLDVADTAMDIYREA VRASYVKGRRKHNVAAVCMYAACRLANQKQIMLLDLADIVKTDVFLLGRNYKELMRRL PTFDTGYDPLTLENLIFRFAAKLEFLHDTNKVANSALRIAHRMVKDNISIGRRPAGIS GAAIIMAARAHNFRRTVREVVYVAKVTMATLQERMSEFAAVPAASLSIKQFMQGDEMH PEASHDPPVVYKQSREWLEKHPKRARKRKASDNSPEDPQEPDQQSAPKRRRTSADADT PDSEAEEASAPHVDSDGFVIPPNPNEQPKKKQLLTDHEGALLIGGLGAPEDGLEEEEV EALHREFYEDENPNSHEYDASSEMAMAQQQGIAIPGMKVTIKPRAGSTPAANGAPISD GNATKGVQEEPAQGKRAKPTLAIDFDSQDDNIEADMEGLIEDPAIARVVEEVTRLEQQ LEKQPAIQVSKAILDEETPAAETSTQEAPAVVNEQPGAAPLNTPSNEESETSTSPAAS ASNSLAADPLLDPIIREDEFEDDPEVMFCRLSEEDSKVKSQIWYNQNKDWLRQLQQKT FEAKVARNKPKKKSQGKKARIGEGQSGPAASASEATSQMLANRALVISTKLNYGNMDT LFSLNQGGPGSAGTQSGMPSATASNNGAGDDEEMPDDPAPAQAAGDEDHDTAAAYEEE GVEEDYQHHEEETYEQEDDEGDYDQGGHGPGFNPWDE QC762_0098220 MVLYWLMKGITNGVMIPTTSLCGLAGPALSYGAPGESPSIPDIS YRELVYFGVPVPSPTLYISFSRIGRSGTGCSTKSYSGPSSEGARKYMKSRIQKAVGLE DIDSIG QC762_607240 MERPTTKPALKPIYPPSHINICSPFTPKEYTCCHCDNTSNFLVF SQDRRVSSCPHAHDPQTCVPSHHHSNAPQGCQMRDHLGRPPLKLRIPAAFTCATCKNQ NSIFKIMAQEQVTCKCGAPYLEAVYDQYGQILLWPGLRGDAAIDELSDPKKVAELRWR LIEMGGMPWVEDETRLRKWVEEQEALEGLQKAEFVRRWMTRQKEEARAALGTLKGLGP GSPGLSPSGSPSPSPSPSPSLENGKGEESWDRLFKVVPKHQIECVETKDSLDDGLAEL SVVE QC762_0098240 MAISVGMDSISDAATNPSLFKLNYAKSNGLMWLAERLARAVTGR DALTQHDSTVAEVSRLTPVSTADAERHILRIRGSKGVGHPTGHPKDQQGIVKLLANNM YRRPSHFLWELIQNIDDNNYVAHEPTLTITYKNRVLRFDSNETGFTMEDVEAICSMGN SSKTRNPSSRIGRKGIGFKSVFKVSESVYIASGFYSFQFDARQSLGMMIPVWSAFPEE RMAGWTSLLFQLSPRCDVNEILQELERLNSRSILFLRRLRRVHVRIVYEGIDGREFNS DFTRPHITCKPGELQTLHLSDTEQSPLVLYRHGISGLPSTDPGVSNEQSDIFLVFPQP RSLSSNATCFTFAFLPIRDYGFRFVCHADFDLVANREDVDLSSARNQALLRDLPKAFL GAVDSLNTGQLRYIWPYYLPFPTTDSFFEPLPREIISLLSRTPVLESGTGHLMAPEKL TWVPHLYTDHHDRPLIPQEYSTLFYLSQSYHADLREQIMQLGVNVLSETQFINELNFL ITNKTHNFRSMPDSWHAKICKVLCDLLENNMGDILKLEIIPLRDGRWVSAQSEDLVLP LNNDDLFIPKGLGLAEIDPQAARNPHRRRLAESLGARRLEKAEVCARILEAHQFLYRA GWIAGRTKPDLWLVAENLRPCRASRIYVRSDDQFSAGQLLAAHNHSFVFLHEEASPQL TNYPNWIDWMQLNLGVSVFPRLVDFSGHDDVSFVLSADFRLLAGQSESNEWLELLCRR WKHYRYYLVDDAEPGSEVMPLAESEEAALKASRQRLRSELATLSVLCIHGNIGRSLER TFLPRKSVLSGLDALCPSSETPGSYVLAGIPDPEDVTLTSHAYDSCNTQTQHEMKYLD STNISIEAFSKLKLLFLPQGNSVSVPVWLSTDDCVWDGPDCLERTPRIIQHYPELESF FRDALRIPNATLKTLVNEARRIVPSDSLVYIRQVLIATSGLIQPKAWKENRDAGVLQL KSLNIFPIWLGESGGRFDQLRSAIPSLVKDDWYIADRPHLLASFEGRAPLLALSLPNL QALSNLIQLLQLEERMLSKLAIKVSNIQTDSAHSVVHTTNLRAKAHHIARLLPNNTTI AERQAILSQLNHIHVSELERFEFGWEIKGTGLQGRTEAGAVALHLHPDMLDVYMSSEE AVIGFSSLELLEELRLWLKIADGERTSLLHHVLTEKNVERIEASLRRRGVPEDVPEWE DIANAMKQAISMCPRCASITLSSATIAGEISGDDCEFQKPYAQQNPSKAARHHKSPGN PKHRGSVRPVAGLYKEYLESREPAANRTFHVIKNGIVPLRSSPVTFGGKRDGDPQFVG EYLVSEYLSRSLGKDYVPTEHWKSPLRVGAGHPPPDKDAKAVATFVIKDVGNKLRGRL FELDDQRLKMLAVEVTFHMCVCVTEGSLNTPFRLQGPHAQLAQDLALTNTKPVDEVFV LLRVYNVTRHCRVAIFIDPWALRTKGLLSIVPYGGWQCTLDTLGAPAPSHLNLDATHT HLEPSNCYKYLSLQPNHIRVLYLHPHKGRREAPLEASIHHVALSSEPNFSAFSYVWGN SETIEVLQTSEGNIAITRSLATALRLIRHEKSHVSIWADAVCIDQHNAVEKSAQIRLM QQIYQQADMVLAWIGEADSHSSRALQFLTQLQHGGGVKAKLPENDDPIWGSLNMLLSR EWFSRIWIVQELVLGSKVEMLCGNESIRWEDFFESAVIAWQHRFDALPNAEAALAMGF ARRQLKLTRHKLGFFQLLESFSHTKATKCEDKMFALLGIACDVAEEEFDPDYGSGVKA VAQRYAVKFVEKGMVLDLLYRSGTGRLPGSATPSPVAFTSSSWIPEFTSSPFPETISN WNTGTARYFAGHRGVPEASVHFTGIVARGTAHQPVLAVRGAIIDSLAGTAELRVATHS FASFTAANEDLERFFAFLREYPTGESLETVRLKLAIGGATGPCSSNLILRRSHWTLAQ VGDAATNVPDGCVWPANLKDEILCARQGGNVAIYTDRPRSSLETVAAYWRTAAAFTKR IPKAKLCHTTKGYAGLVPGNARAGDKICLFRGGAVPFVLRAGRVPGQYWLVGECYIHG IMYGEALGCEEAKEPGTICLV QC762_607250 MFLPIHNLILALATPLANQGVAVNHFNLGSRAVQPIANATPLRI MPLGASITYGQASTDGNGYRNELRNQLVAAGNTLVNFVGSRKAGIMRDNDVEGWPGAR IDEVHSRAVAAVSVPKYKPSVFLVNAGTNDALQNKDVRTAALRMEAMLKDCWTLSPRA VVILSTLLLNKDPVVERRVLDINDQFRRLVKSLRDEGRRIVLVDMHNDQGPMEGVDFA DQTHPNDRGYKKMANIWFAGLVAAGDEGWIQTPEAVAGLPDDGLRS QC762_607260 MVPPDYLLWPLETEVLGKKEKEKPATDILPATYHYHEAAGRRAV GNPAKDIPTFLRRELSLGDLADMMQHLWFAGAKRPAMPLHSHVAIGREITITDRMDLH LLWDNKGKLLIKPVPRFLLDPAFCSTNLQCPDACACHDPPADTCRGIPRRVALGFLYT YACLVSSESDFYIANEKHLFPYREDDKPLEWADWKILARELLRMYERELDVVHPRFLR AELRLSRINIIHRLTSLPLFNPYLRGRHNYGSFFRDNLTWITTATVFIALVLTAMQVG LATERLRENAAFQQVSYGFTVFAILGPLCAFGLVVLDALFHLVKDLPLLLRGRRSRTA PNRTISGAEPEAFA QC762_0098280 MISVNLSQGTSLPGWTTVLCLLVGATAACLWFRFPLTRSTRALS SVKPTSDSTRSARSGVSLRQVNLDKNEANTDIDIIAIHGLDTKSPDTWVWVDPNDPNN TVNWLADRRMLPSRVGAARIFTCDWPADLRQQSSVPTTLHESAQSLRDSIQHLKANTT RPILFIASCLGGIILIKALEIDNQHTKDNADSPSLTRTTRGVVFLATPFRGTAFKNMP GLLLKALAALQDRTVTALIDYTLGATPDLDELTKGFITLTKNHNYQVVVFWEARNTVL LRKFHLAWIVSTWILLAWLVALTSAWLLDLFSPWLLVFFLLWLPVFLSCQPQLLVNKY SATLSDFKTQRLDRPHVMMNKFAHSNCTNECKKDCTESDDFGHVSRKIEVMLKMIREG SPLEQADGWIRKRHYTQEKLKIERLSGDTLSMDRCYINLAIIGEPRENPEKGSETDAA PHKSPFSLTARLKVETPEKNIQVELSSLFDPRKDSEGQTTNPRRILIHGRAGVGKTTL CKKMVHEFTRRSGEFRKWNELFDRILWVPLRRLKAWSSPLYNLEGLFCYEYFDQHLNY SILAKELFRTVDSNGQKTLFILDGLDEISQLLDDNHPKSSLLKHLLNQPSVIITSRPH VSLPRGVHSPDLILETVGFYPAQVVEYLRATFIDAKTVEDIESYFQMHQLVQGLVRIP VQLDALCYTWNSFEDKTIPGTMTAMYKAIEENLWKKDIVRLEKRTQRQIRVVRRPEIS NSAEDEVQLLEILAFTGMHSDVIDFEPRHRDAISEQYNPTGTNFFLDEMLGHLSFVRT SDPSSKDRDRNYHFIHLTFQEYFAARYFIRRWKAKQQLNCLQLSGGNRNYIEPATFLQ EHKYDPRYDIFWRFVAGLLDADGEALSFFQTIEKEPRDLLGPTHQRLVMHCLSEVERK ESNFTGLRARLENQLEQWLLFECDFMGSSILAREMECPEQVLVSTLKQASEGARSIFL DSLSRRTAVPSSIIKIASSWLNDCASKRLCIAVLGLLRHQRHGLPQEMLQGIAARLED QDADVRRAAIEALQGRVDLPEEILQGVAARLEDQDAFVRGAAIRALQGRADLPEEMLQ GIAARLEDQSAFVRPAAIRALQGRADLPEEMLQGIAARLEDQELVVRRAAIEALQGRA DLPEEMLQGFAARLGDQDTYVRRAAIKALQGRADLPEGMLQGIAARLEDQDAYVRGGA IEALQGRADLPEEMLQGIAARLEDQHEYVWLRAIDALLNQAELSLNVLSPYVKSFCKA LLRKSFEKHLYWHASDRGFIGVNLTHIPLSGSQHEGKEAVKLLLKNGATTVAMETDSR YYT QC762_0098290 MASKPGNIAVRSRPSSPLLTPIIFQKWYEDIHIPDVLGTGHVKS ATRYRTSDPRSMPFLAIYQLPDMNWLHEDGCLFWKIPLRSKVLPGDNTSIFDVAEFKT EFFETIDTVQFGKPVDDDSNVASKLLLRSFNLTKDEDSGDSSSMHKGALARLGIAESR SSELVRSTLFKVDEARPHHPSMPTPITAPDEKQYLCMVGNRSLLTSPSGTN QC762_0098300 MAQPNQGQPGAAPMQGVQMGGGQVVPQQAAPAGFQVVDPQKVTQ GPMTGQYTGPLIRDGKKQKYRWSFYKFREEGFNIRVNDVVLPDPYLPPMVPFPKMYKG PSPSTKFPHSRSRTRFAKIFGAAFFPGGTPNKFTKDPLAPAARDTLKDYLDDCVLGWG GNGLACLFQGTDGTGKTRPVVAKTTLRPGHAHGLLQEAGFQEEFEDCEHIVQLEDMSE FQLPIDAPAPGERLPSSNPTEPVATPHVILLEYLANGSLAQVISNLWYSGTPRPPPNW FLWEIWQCMLRAIFEFEFPRNKRAYNDRGLIDTTLMDLRGENNVHFDIDPSNYIVGDR NEGYPDDSHGLVPILKMSDFGLSIKVTPEILRNP QC762_0098310 MCEDYNESADSYGDHDAQDWDRNWWTVPHHAQDWWTHVQLSKWI EDALFTPPTPQPAAPVSPPNIPANAAAQAQAQARANTRPHREFVYKRNMQYNHWLSTR PPEYRQRIQNLSQQEFDEFARRWIDQRALYEAAEANLRTLLDIKTWDEDYNRHLARRP PQDPPGPYLAWAAGLESLTEAHPYQHAPHWPAECAKKAKEFARREFMGMIHDREKEVN MYAMREIDRLHAAGEVVDMADLERFKNTIRDRPIQIPAPDVPIRRRIRALQKQVWGWD WHHGHLKETFTHPPVPVRSSLHISLRLRDHNLVHHHLFLLPGPMEARGKDNRASRDNR DNRDNRDNRVNRVNRVNRVNRDNRVNRGRQGQQGRQGRQGQQPPPLPPFPPPANPLDL LAQAAAQQLQSGVQRFGNNPPHPVNNPIPPPNAPAPAPQPSAQSPRGKKRKTISPLAK PGDKSQPQTQAQTNKRNATLAAKGQLAQVRQTLNQNAAQAAQAVVQQRAEANQQNQVA GGAEGGLAKAQMDGARDSDDDVVMGGMTISAGGGQILRGVGDVVQGPFGMPGPVASGS PMDIGSSGVVGGC QC762_0098320 MRLLTTKPHGFARADDDGRSRGIFRSARDSKERLPIRTAEHIGP PSTIPSYAILSHTWDEPSEVTFKELDLFTHSTGPWPQVITALLPLSVGLVYLGYRWTF HASANPELLEELAPILIAISISCVIHYKITLYPSTNTNDVKSLQQKKPGYDKIRQTIR LAHLNGLKHAWVDTCCINKDSSAELSESINSMYAWYAAAKVCFVYLSDLDPAAADENL EQALPRCRWFKRGWTLQELIAPRHVIFYDRDWNERGTKDSLSSLLSKITTIPEELLRG DKRLDHYAVGRRMSWASMRKTTRREDEAYCLLGIFNVNMPLLYGEGEAAFFRL QC762_607280 MQSKALILLLTGLVAAVSGAAAPANEVEIIEESTGPLNARQADI TWQATGGCKTDWANRCNAACRGEASQRSYSCTSIKSRIWRQSCVFGWSVCDCTCVR QC762_607290 MSVDPAPWTAECGTKNSCNMVIARFASAQCEGLADDEGKKALVM FGDLTFTRDDSLPKSITDCWDKGDMIRPFQSKLHKSTLFLCRPRYAIKNLSLVRNETK IIETADLEEPEAQRTLSTIKPLDFLSTLLKSFETNPLELAYRMHGHVIEVGGSNIIVD KVMGTLLPYRHGLHQSQKALELYDGHVLERAAAEFYRQFGAMLGKLLLLEPASISSFW PLSINDDRLVFGYGPHIG QC762_607360 MGSIVEKTRDGTIYKAATNAVHPELDLLTFLFDSPHTLAEKTSI LHADAADPDNNQITKSQLRTLVKSTASILRNEYGIGANGPNKDVVLAISTGHYLLPSL FYSTIAAGGVFSASNPGSTPKELAAQVSQVGVKVILCNADTEATAVAAAKLTGLDSRN VVVYSSLPGGSLVLTPTSSSTPLTSSGSLSWAKITSRHVLDSSIICLLFSSGTTGPPK ACKLSHTNMVAEAALVLSPNRDFYTRLNLPLVYRTVAHLPAAHIAGIQGYFVNPFYLG GTVYWMRGFDFPLFLTYMKKYQVTHFFSVPPVFLLIAKSPMVTDQLATVEQAVSGAAP MGRELQIAAKKKLGGGRLGKGRLVQTWGLSETTGSVTVLNMGSEFEDDESGSVSALVA GIEARIVDDDGRDVEVGKEGEIWVRGPMITKGYWENEEANREGFADGGVGRERWFRTG DVAVYRGGLFYVVDRKKELIKYKGNQVAPAELEALLISHPKILDAAVIGVDDEKEGTE VPRAYVVVGDQKGITGQEIQEWVAKQVSSHKKLRGGVVFLAAVPKSPSGKILRKDLRA LAKKQQHGGSKL QC762_607370 MASPRELSSAEEDKLLDIQLSSAEEDNLLDLPSPEIFSETPTHQ SNSPLTIADLNPGTPLLSVQLSAPQTTPLKTNFAITLKITYTGLLLNPDKTTAPTTRP ITFRPWTIIGWHQTEPQREGFWLYRHRPINNNNHDNPWEFTEMDDGTICTFAIYDDPD KEVPVSKGNHLTNSFISLRPGETWTWEETLQQEYWSLLPDDAVPTDRFRFCFKGAYVD WWDWGDLEEHKQAGTTVLLPCFEGARSIERETDKKMPVLVVPGAEEGVEFVIVDGSTQ EGSGCLTSEISEGMESPVKRI QC762_607380 MKVAFKLALAALVAAGCLAQENNAGKPTGPAEGIPDDLKDPKGP THGITPDMKAAIVHFEGDTCDDKKKQDIMDEMQHAVDMALRAQEFLTEGNYYDHFFAK GLREKEGFEDDIRETYRRIADCKSTLVPSPLFSMCSMI QC762_607385 MEVPDWLRGVFLILTLLSFEPQIARIYHRRDSTGISLYYVLFNL IIATELFTISFFHLVNNRCEGSDSFIHDPPNLGDLLNLAHFTAVWIAWIIILLLAIVY SPIARDTSNIMLIYISFLTISLIPLFLDALFADTTDPYHKWVLGFFSSIHMMFINPGV FFLCFFAMRAQAREIIRHRHEDSALSLLGLAVQAVLFAVLSVTWSGRLVFQWDKIPDG NLLNWRVFVFWFQAVGFVVYDYAVFAIGQGVLLALALRHLDVALTGGSLWRVIFLETD GEGYEDGVVGAEEERRPLLG QC762_607390 MKSWKVALLPALANTRLTVALDNGVGLKPHMGWSSWNVAQCNAA SARYALATADKFISLGLKDLGYEYINIDDCWSTKSRDSSGRLVPDPAKWPNGIKAVTD RIHSMGLKFGLYGCAGDKTCAGYPGNEGHERGDVDQLVSWGVDFWKYDNCYTPCRQNP RPQTCTSPAGSTKTWYAPMRDAILGVQNTKKLHFNLCNWGRDEVWTWGASYGHSWRMS VDNWGDWASVERIGSAAAGIYQHSAPGGFNDLDMLYLGSSKLNTNQEKLHFGLWAITK SPLVLGLDLEKISNSTLDIIRNKGLIDINQDSLGKAATTFRPPGAPAPVNGKIYPYWA GPLSDGVVVGLCAGTSAGTYSVNFKDVPGLGGSGSYEWREMYTGQTGKGTSASFNIGL HDMRVIKVMKV QC762_607395 MEPLEIVGAVAAVGQLLELCIKAGKTSTQLVQSFINAPTELRNL SAKLASLQMIIQQFQALGQDLLMTGVEDILPATHKDMLLSSLLASERALKNLTTLHNT AGQSSTPKPGCNFSRRLLWSLIDKKRSTVVIEELRKAEMVLDTVILILNTRLNSHIWT SFSAMQLAQQAFRADFLQSARDVKTIVEAQHYTISEFKANADHTLLSILQSQTENDTK VQVTLLFIKSQLITIHKGLHTSFRAWSAPTSN QC762_607400 MLNCSIFLGPLKVIKTSHFIPLLPSSEVVHCFGIFLTEAAILMS SMAVRAYLESFQSRPADLIDAVAHRRYKWQLKLLHSVGFSDWNPTRQSSMSLLHAAAY YNDIPMLLFGMGAIGIDPNTGDNYMTPLHEASMGNSVLAGSILREACADINSGHGVWG GTPLQRCMEFKAYEMGHWLLQCGANTNISDSLLNDVWTGFWERMMTRVVGRNHDVCFD FLREEAMLTHLLLHDSDPHQLFRTVWIQHFDHGIGFFKAWYDYCGHIQTPEVARACSY RIRGLLFYPSGSDENGRALCGFPKEVIIQYEDAEHTRRPARTSLWCSRGHIYLAERDT PNDVGSDRDSDSDDSLESSDDDTDSGSEDGDCDCRKQHRSYNDRDSGSSLCNCDVDGG DNQNVRPGITLFYDTIFTPEGQLRMSRFPFVCLLTNALCMAGYRAEMDEDGDIWYEDE DGDSYHDAREFQPHEDEDDGLARNCPMCQNPEKYGLGHIFEEAERGRNLLLEYRARAK TQKRTYF QC762_0098420 MRPPHVLLSLLPLSAAQLQPVPTFGPPIPSRAKMFVYAPPNLPP NPAILLGVHYCTGTAQGYYNGSPYKRLADEKKFVVVYPESPNEGGCWDVSSRATLKRD GGSDSHAIANMARWAVERYNGDRGRVFVIGESSGGMMASILAAAYPDLFSAVINYSGV AAGCFFTDSVAGWNGNCSGGRMNLTPEEWARWVLDAYPGYNGTRPRMQVYHGSADDVI APANYNYSIAQWTTVFGYPGTPLEEKRDVPERRYTTQVFGEKLTGIWAEGVGHGVPVH GEEDMKFFGL QC762_0098430 MANNNHNPSKTPPLLLILPLLALGICGTMVNGFQTGYFSILTAT SGNLDGVPYVPGGPESFDPRYTGNKALDTRMGILIGFFSGLVNGERNWDVDLAYVWTM GMFFSGWALVSVEAHRRANRGRVVSWTNTFGNIIQSFTYALTVPSYLILHLFTTSPAT DDISVPEGEVRHLPLSMTLAYIVPTVIMSLPTPSIIPAKSHYDTTALWQVFPVLQFLI HRGMNFVCFPPKYNTTTTQYGVGKSLANHYRFVIFTSVVVHLPILLVCLTPSSMPFGP AWLRDMITQTTFSNVFVPYGVWNPPAVDLAKVAALGGENKADLSWLPGLTKHFLHYDI GCPSLAMVVWAGYNYMASVVMRITSVRTRRWLLLSDLHFKHQDLDRVRQTGRWIVAEA ERNHVSRVVICGDLLTSRTMQPTHVLSACYRFISHLSDVVPRVHIVLGNHDLAYRRDY ETTALDALNITRLAPYLSVHSAITRQKWDGRHVLLLPFREEQSELTNAVAALSPKDAK ETVAFAHLAVNKAITQRYVVNADQQDARATNSITYRGLTGPDQFASLARTFTGHFHSH QTITQQKSTSGDKTDLRGSITYLGSPLQLNWADLYDQERGVVLLDPETLEHKLLTNPH AVGYTAVALEEILNDQVDERSVKDRHVMILGKLTPSKYATARDKLLSLGVRGVRNWIP THFSLRTSGLASGGLGSSVPASDVTAQPLEQPTQDEAHEASTTGRVSESIPQTEPESR KIALDLIAEVHEYVKSVDLGEPLLMRQDDLVQVGQQMIQTSYEMAEQYGEAKLDYKEF LAKSCQAISSNITPPNPAVRSASVFVAEPRSLTITNFLGVKNTIHIDFQQDLTPGLTF LIGDNGSGKSTIMEAMVWCQFGRCIRGGLGVNDVVNDNVGKDCCVKLEFNNGYAITRY RKHKVQGNRVVVSSHGKPLPQLEHPDMRTTQSAINELLGTNYETYIRTVVLSEESAAS FLSSTPTQRRNVIETALGLSTLDQCEEVLKLLLRDIGTDIKEAEKGLEGVARTVEYTE RRLQDLTHTHTRLEAEARKEDSALERAIQDHAAKERLLKEHQTRFRYETSQSIADSSR QILGSDKRDLALELNVGFHAHMSGLQTQIRMEEEALQQLNESYARMKDEVQVQHERVL KYKQSQEAKDAQECVHPRRMEWLALWLEMLNEKLKALAAAQPSGLPKPFHGMRTSVVS WLSAAIVTVMSLLRRPTHHNIAPRGSLNQDAQSAPIHDAEKTTTQNHDQEPALKSLLQ AINEKSLRLRSLKHEKNIAANHARKMGELLTGVVQAQEACDALRQQLTIHQRDASTYK RLTEAEASSLDSLRSEHEALTNKLQELATKRELFAFWSAALTKRTARLSSSPSSSKPT AKIRANFREHILIALLSELNTLLAQVLTVLYDDTRHAHLATGMLGSLFDSAESGDSTS SPGSILDQKLALPSSLAYAKRSSGERKRVDLALFFALLQLARARSAHRAHYLLVDEVF DNLDKAGQAAVVRWCGVMSQTQMVGWIIVITHSQFLIELDPGEDTAKVLVVTAKMGRG GGTELSINNGRSIGGGSSVTG QC762_0098440 MTLGALCSAIAHGNVALVTYLFAQLRKRGEVDCDGEVISSYGLD RRDYVAFSVASFLGQCGDYNAVITIAGLIESHRAAHGGDLPRGPDDFIPDVWTALIQG NWLAACALVDALSDPLEYYDASEILQKLLHYENWTAQYWQFWPHYLGSGDEGEDYVRE YWSTERQRWLSKPQDVGFVAHTTNRAVDKLLEVFSARRARVTPHRRSTLLPNDFPSTS QC762_607500 MSRQLGPQEEATRNDPAPKDSQLNQHGTITRYRDRADAPVHGRL EQEYPYASLTKAGNIHLLHLIPSREHDGRIEARLVEYPLLPVTRVLHLYEALSYSWVT GGFPGVYTLMAWSCW QC762_607510 MPSPPGTKVPVTWQPPADPDKPACPYRRNFTVDIKSHTPPPPFG GRDYGRGETRTAVPDQTLRSIKHTELVMRNPPLGTANPPAQKTATLTINGELAVADGR GAQLAICTFMPKIAGQRAFPAVAKIYDALYYSFRNKDIPSVPVDVTFDADKDYSREAA AYEHLNKEREAGALAPRYFGSWTFNVTIRIGGTIQQRPVRMILIENVPGPSISQLCLP NSGVALDRASRLEILGRVLDGNARLLFKGIAQNDLAARNVICAYPPGTMPSAMRQPPQ RIVLIDYNAAIVYEKTRHGIRPWQRPRSALPPNPMELYWQDTLVEFRGWIPSEWEATP RLRQEWLRSRFGGQNAAKYAPLKTTLEIAK QC762_607520 MAQPVRISQSFANVATNALPTYPNPHGNANATISGDSTRDTDPP YFAIASQNNWNNLGTNFLGNHPASTTPNHQLNVETVSLREDAVHHGSEGDVVRSAAMY LLHPINQALSTHPNIAITCQSEASTNRIRSDITYYRHPNTANPNFKAFAVVEFKKRGV ITAAEFAATIRSQTVPTQQVVDNTVAAAMALPHGKQTYFDGDSFVLIKQAASYAVAHR TPYVALFNWDFLVLVHFTQMTPTMDYVGDYCQLQVIPAAQSSAMRGALLGFLAHAYDN APAV QC762_607523 MVSKIFCAIGAVLAQLAHGAVIVPIANYAVNEVEWNLPIDPNVP TGPREVVTGTVQEAIAKMEISHPGWTQNFTAAGTSMSLVTTARRINMATHVRLLIEPQ NAETVRWGLIG QC762_607525 MDSFINSMKIFDWLRAPTRDNDGDDLRQKTDQQTPKTQPQWHDP DERATLYQTFVKERLELQRSLNKKMMPQSKPNSPHIKKMQREMATLRHEKDDLQRRFV DVQDEMHELERAFRNTCKTLALTQVEVTKLRPEKNKYRCPVDMDIDEFLRRGRERLEQ VE QC762_607530 MSTPAPPEDQARLLEDALIAVRQQTAMMRKCLDTPGKLMDALKC CSTLVSELRTSSLGPKQYYELYMSVFDALRYLSVHLRENHPVNHLADLYELVQYAGNI IPRLYLMMTVGTAYMSVEGAPVKELMKDMMDMSRGVQHPIRGLFLRYYLMGQARDYLP TGDSDGPEGNLQDSINFVLTNFVEMNKLWVRLQHQGHSRERDQRTQERKELQLLVGSN IVRLSQLVDLPAYKNGILAPLLEQVVQCRDVLAQEYLLEVITQVFPDEFHLYTLDQFL GAVSRLNPHVDVKAIVIGLMDRLSSYAERESQDETEEDRGKMEEDALTELLEKVKLGK LNAESPSTEPPTDTAEVPRNGDQNPDDASSTAETLNKDDNQPAPSVADTEATAVDNAE AEPAKKRRGIPENVRLYEIFFGQVKNLVQAQHLPIQDTIALCVSLTNLALNIYPERLD YVDQIFDYANSKVKEHANSPDLHSQPAQQSLLALLQSPLRRYVSLFTALSLPTYVPLF QSQTYPTRRAVAGEVARHLLKNHNFISTPAQLENVLEILKVLIKEGSQAPAGYPGVVQ PRARALETDETMEEQGWLARLIHLIHSEDNDTQFRLLQMTRKAYAEGNERIRTTTPPL ITAGLKLARRFKKREHYDDNWSSQSSALFKFLHSAVSTLYTRVNGSGAAELSLRLFCS CGQVADQTGFEEVAYEFFAQAFTVYEEAVSDSKAQFQAVCVIASALHRTRNFGKENYD TLITKCAQHASKLLRKPDQCRAVYLASHLWWATPGAGEEEEEGGGDLYRDGKRVLECL QRALRVADSCMETATSIELFVEILDRYVYYFDQKNESVTTKYLNGLIELIHSNLAGNQ QDSASVDASKKHFLQTLEIIRSKEYEGVVLTPK QC762_607540 MPSEKQRYEPVPPIPTYDEAVASGSNSDWQQRDLAPSPIDDSGA GAVEGQSLLRGSRHAHNPTDPASQRGSRPGGYRAPTVETDDEDSLFSSDDSDSDEETR QVRREMQELEIDDSDVRGGSRPSWGKRIGLSLPQWRWRWKWKLPRLRRRAATAEESNT DPEAANAETETPASRFAFPQFGSAALLLLVARILAIFMLLGFLYLIFASDMFTSMARR MGSTAFPPERIRLYIENSMNPDHLAEYSKRYTSYAHLAGTEGDFALMEYTEAQFRRHG LENVRRDTYHVYLNYPKAGGRAVEILGDDSKVKWTAKLEEDEVGGETTGRQTYAFHGH SKSGDVKGPLIYAHYGSREDFQTLKDRGIDTKGAIALVRYYGTQTDRALKVKAAELAG FAGCLIYTDPKDAGYLKGPTAPLGRWMPADGVQRGSVSLMSWVVGDVLTPGWGSKKDQ PRVKVDQAEGLVKIPSLPLGWRDAQILLQHLKGHGQHIPDGWAGGVPSIDEWWTGNLS SPIVRLKNEQDEVEKQAIWNVYGRIGGIEQDAKSIIIGNHRDAWAFGAADPASGTAVM MEVIRVFGNLLAQGWRPLRTIEFMSWDAEEYNLIGSTEYVEQNDDFLRENALAYINLD TAVTGGEFHAAGNPVFKKLLLEVLARTTDEHYPDPKNQFKSLLDLWNERSADLEGLGA GSDYVAFQDIAGTASIDLHFDGPGYPAHSSYENHDWMAVEGDPSWVYHTILCRVVGLL AFELSNRPIMPFDMPAYADKLSEWVGDLETWARNQTGYDEKIFKVTKLRDAADEVGMA VRRFVKWETEWENKVIATNGYETNGLGDMRAEYNSRMGRFDSDLLDIDGIPGRKQFKH VVFGPQRWSSYDEGYFPAVRDAIEDGQWEEAMRRLEKVAGIMVKAAENLAAR QC762_0098520 MSLSKFCLLASASLVSLTTGLSTPHANIKRQVSQLRESYDFVIV GGGTSGLTVADRLSEAFPQKTVLVVEYGDVEYAPSSFDPPVDWYGPNSFQSASLWVFF SQPNPEYNNLTALSFAGQVVGGSSAINGQFFDRPSRHDLDSWTQLGVSSDWNWRGMFP YFKKSIKFTAPSAQVAQQYNYTWDLSSYGGTTPIHSSFPPFQWADNSVVLNGWRELGV HTRQDCAGGDKEGLCAVPTSQHPITARRSHAGLGHYADVVGTRSNYDLLVKHQAVRVV YPNGVNSGPPLLDVRSLTTNARFNITANAEVVLSAGAYHTPTVLLRSGIGAANILAAS GITQVLELPGVGANLQDHPGSTGVAWNYTKPGNWTPMPEEMADPTFKADATAAFDEVP ARGPYTMALGNQAIYISLPNTTPNYLSIIRKILRQTVDGSAASFLPADYRDNAALARG YRDQLAVLAKLLLNPKAPNLETPWSTGYSAAAILLHPLSRGTVRLNPADHLAQPIVDS RHGSNPVDFDMQLANVKWSRRLLDTPTMKRYGAVETAPGEAVQSDAELLAFIKSAATL SYQHPCCTAALGPKNKGGVVDNKLRVHGAKGLRVVDISVLPLVISAHTSSTAYALGEK AADVIIKEWR QC762_0098530 MVSPKPLVDDGPKTQCDQFLALLLSTHRPRPHRQHHILWEAAIT HATKQDCSLCKILTGALQVWFTPSQLGGVQLQVMLIMQQSSEKQDRLLIQFRTPARVT PWTEGQTVNFFVDDGLCGTGGWNLMKTPAALNKESFAWERKVEKMKAWLGRCRESHEM CRSYGAVMLPEGVTVLPSRVLDLLPGNGGVRLYESRVGERGRYACLSHRWGKCQPLTT TRATLGDWKEGLAWEKIPKLFQDAIDIARELGVRYLWIDSLCIVQDDAEDWYRESRKM CAIYQNAVVTVAGTAGFGCEDSLVPTRERTVAGTLKDGTGYRFEVRLMDKHLSGSPSH VHFGKTLLGRGWVYQERLLSRRIIHCCSDELVWECMESVECECPEGVGWMTTADRSRL EIKAIQTRLPREAPVSEQRRVWHDIVRAYTALDLTKISDRAVAILGLAVEIQHSRKGL YAAGLWEDSFLCDLAWHTGTATRRGKRPTDPRPNRDTTKAPTWSWLSVSTCCEYWSRS DLGNGEPWWEDSGTVVEKIELPPYHTLSAAAQGLGLVHVIPATNLKVDGGTSRLTTHG CLTLKGNLLAGTSSQSVHNDTLEWSGWFQRSFGIDLNLQESRARGFLDIQTTNEKPII RQGQAVFGMPLGTSIDTEGILDPIYRYRYLLLLVLVDADEQLYERVGMIELAHGDYQW KAPAWWDIPFEAGTMTTMKII QC762_607555 MSVVSLQAALKVSGLAWPGLDNVLARRSWDQKVEKILAELRVPA GKKHMVKAITKMVEEATDFARRLRDEPEDHFGFVEMFGAHSRLGVALARASPAVVQVF ENLFFAVVMARHRYLEDCFEYDKEPVKEFQLSALAKAIDQFNGAVRGFWSNTELELYM NEAADDVEIFKEEDGATTGGQQDVEMEDVRAGVEGMILNNTQDVEMGDVNAAGGSFRD CI QC762_607560 MPSGCSGKRRRMPQRYTNPQATLYFNPSLDPCRDMLYSYLFSHQ IPRFFSTIIAPFLLAAAKGTLPKDVLSHWLVNDRLYIHAYIRAAGQLLASLELPKHLP GVEQPGEEDEAFEVRLVDWLIEALGAVRREERMFLEVGGRYELVDFVGVNSREVGRVK GLGVIEGLFRDVGRKEKGKGLGAWLLGAGGGELPVSEKIPWLEGAVTFWGTERVYLEA WSWARGQQEERPNGKEDEDGGALRREFIPNWSSDEFRGFVERLGVLIDQAVEREIGMV GEDGQKQEEVKKEILGRVEGKWRTLLEGEKGFWPDV QC762_0098560 MRLLERNNTGDISLTGDIPDDQVPPYAILSHTWGDEEVSFEDVT DGTCKNKRGYSKIQFCGDQAGRDGLKFFWIDTCCINKSDCDEFQEALNSMFRWYRNAA KCYVYLTDVSTYQQDADSNPGWELAFRKSRWFTRGWTLQELIAPTVVEFFSEDRKRLG DKNSLAQHIHNTTGIPLRALQANKLSDFSFEVRMSWIKHRSTTREEDRAYCLFGIFNV QMRLLYGEGEERAFERLREEISKHDRCLSSLHSTDPRLDKKRIEEAKGGLLDDAYRWV FDTPDFRGWHDQSESRLLWIKGDPGKGKTMLLCGIINELEGAIVADGHRRNLAYFFCQ ATDSRINNAIAVLRGLIYLLAHQQPRLIPHIRKYTDKAKSLSDANAWFVLSDILGGML GDPNLKPTYLVIDALDECIGDLPRLLKFIIGMSSTFPCVKWVVSSRNWPNIEESLEAA EKKIRLSLELNEESISSAVSTYIQHKMDELARLKRYNDRTKNAVQHHLTCNANDTFLW VALVCQELTNVSRSRVLTKLNTFPPGLNSLYERMIDQVRRSDEPDLCKQVLAVLSITY RPITIQELAVFVDIPEGISDELEFMTEIVGLCGSFLTLRETTIYFVHQSAKDFLLREA GHGVFPSGIKDIHHAVFLRSLHVMSGTLRRDIYSLGAPGSSIDDAKLPDPDPLAALCY ACIYWVDHLCNWQASDDSKHLDIFQDGGIVDGFLRQHYLHWLEALSLCKSMPQGVLSM ANLESILQHRSITSQLPSVVADMRRFALYWRWVVENYPLQVYASALVFSPARSIIRGL FRQEERKWITSGPIVEDNWNACRQTLEGHDGSVTSVAISPDSKWVASGSDDNTIKIWD AATGSCTQTLEGHGNTVRSVAISPDSKWVASGSDDKTIKIWDAATGSCTQTLAGHGRS VDSVAISPDLKWVASGSYDNTIKIWDAATGSCMQTLEGHGDTVTSVAISPDLKWVASG SVDKTIKIWDAATGSCTQTLAGHGRSVDSVAISPDLKWVASGSHDNTIKIWDAATGSC TQTLEGHRYSVTSVAISPDSKWVASGSHDYTIKIWDAATGSCTQTREGHRDSVQSVAS SLNSTPIVSGSDNANPPCYGIDSDNRWITRGLENWLWLPPEYLSECLAVAALTVAIGC SSGRVLITTFTTDS QC762_0098580 MPSFISSSRSSKKNPQPPPANSLVPKSHSRGPHPPTSSRRSHSP HPPSATITSSGTTLVKHKPSKQSRSAGSAGAASQQPSPPVPTKSHVNEQVTQIHEEHQ TGTSKWVAGELDRTPHWVQGELDRTNDWVSQLREEQQKEASLWLEQELEKAPKVAMES AKNTYLGWLSGEEGIVTAALAWIPVAFVTGETVRVGLEVGKVVYDLVQGTSGNGQRRR LQGA QC762_607570 METLDLVVIGAGIAGLSAAKTYHQLNNGKTLALLDDKESVGGVW ANSRLYPELRTNNMLGTYQFPDFPMTTEQFGVKPGDHIPGVVVHEYLKAYAEKFGIAD KIRFRHKVVTAEHQDGLDGGWTLTVAHDDETSRIFAKKLIVATGLTSEPFLPHIDGQE EFSAPLFHGADFLQHVDTLETTHKVTVFGGSKSAWDAVYAYGKKGIHVDWVIRESGHG AVWMAPPYVTPLKKWLEKLVNTRMLTWFSPCIWGFADGYNTLRNFYHGTALGRAMTNV FWSVLGNDVITLNKYDSHPELKKIKPWSHPMFTASSFSIYNYPTSFWDLLTKGTVKVH IADITGLSHKTVHLSNGTDLPADALCCVTGWKHVPPVKFLPEGIDLDIGLPHTPSKAK LFTKEAVERADKEILGKFPRLKDQPVQNPNLKPLLGTKGLSTTDKINPSTPLTPWTLY RFMVPPSARFMQTRDIAFAGICMNFSTMIMAHIQGLWISAYFADQLPVRTIPPVDGKR DREGRAKTLEEVQHETVLHARFGKWRYPAGKGALLPDFVFDAVPYLDLMVGDMGLKVH RKAGWLKEATEPYGPEDYEDLMSEWVQSVDGE QC762_0098600 MKVKKTESAVFYLSFEVVAALLGNIARPLSFPRGPKSIYRPYLP SALTDSGARFTMEAFIIEAFTLLGVALVVVGMRTYVRLTTVGIKGFQADDYLMLVAAG AYTVETYLAYSVGALWKGLANNAMTDEQRRLLDPNSEEFRLRYVLTTSRPAGVW QC762_0098610 MSDHCQPAISNIDIFVTLGLNVSTDIYLMSIPIPMLWRATMRPM KKVGLIVLFSGGAFVTVAGVLRCILIVTDPINGAQQAGSWAVRETFVAVVTSNLPMCV PLINRWGRPILGSLKSLKSTTGRMTRSGRSDPKHGAFRLEDKNPRRGMGPRSVNPITE LTISETELAEIQHQEYFWNPNKGRHDPESGLDGEGTSPGGLIWKQTSLQVSESRNYHG DGVDEIDFGDYYLVEQAKKSAEIMATSPTGRSWKTSNSNRQDRQSP QC762_607585 MSSSNAFLATSRAPGRPHTLDWHPGAWWFAAGVNPDHTDLARAK ENAERVQRERVEVMRANPCPQGLLWERVLPARVSPPATPVRAFVSSSPERKTGVAKPK VAVRERQGGSPAEMVLGNVGMKEKVGRVGGDWLRQRRGWSNAVTGTDWIGVFKQLVEW VVGEVGDKLTVAGFMVFFALLWAVVMTVVWMVQAVGQIWHTWYGQEKIVVLEEVDSED RVRHRPAFLDINVEEMAVSLLGKRREKGTGVVGAEAEDIEAFRGRLKSFATKHPSRRG RSSP QC762_607590 MGRSSGYHRNLDGRGRPGPASSSSGTHLHMISSPLCIVRTLSIF GLGMGWNILKVCDAGC QC762_607600 MASPDKSQEQGKGFTLSCECGYITMTTPSRTPSGMAHCHCRTCQ KQSGSAFGTSVYYPTDQVFPLPVDLEAKLSLFEHGTDSGNTMRCYFCPKCGVRIMHQG ILPDGSWREMMSFKAGTFDDWPEEGGLNWEGMGARHIWTRSARMNLCGNWEAWEKYPD DMVDKKTGEGEGEEKKA QC762_607610 MRPLQQLSRSSFNVLRSRFVHTMAPVPDSRPIVISGPSGVGKGT LYGRLFQNHPDTFTLSVSHTTRGPRPGETDGVHYHFVTKEAFEALKAADGFVESAKFG DNYYGTSKQTIEEQKAKGKVTVLDIEVEGVKQIQAQNYPARYVFIAPPNEEALEQRLR GRGTESEESIQKRLKQAKVELEYAKVPGVHEKIIVNDDLEKAYKELEEFVFAESKA QC762_0098660 MDGTSTEDDALSGMVDILSLAMDNTSFSSTTKPTATFELFSQFP AEIQWCVFEQLLDLEGLGRGPAVHFLTGFPRTLAKALFSSDPDERMDTGLRHNFAQGI DFVTHDTMSLLGSFKRTDRRGREYIPNAIPHHIRLPQIAQTCSLATRVVRTHQQNKTP VGLHGGRSILVNEEDDLF QC762_0098670 MVHGADLLKGVRRLAVPGWVSKLTDGGCLGWHFQPKRQRDLVDY IDSSCSESDSEDYDSSDWLKKVPCDRCGRVMGGHFKVTWPEEYVLLAAAWLPALQSIH YVVRNEIPPPGSLGSDYAPWRALAGPSPQEPALVFGDSWVTTATAMYRHRGNRKSSRF STVGAEPAQTFQSIGGSLVELFAPIDFHVLLHAFVTVPFFDLDWAASFPMDSQLLKVW KDKIPHLSLRYLRWVPEGSDLLPWKADLKPHGTPKKRRQ QC762_607630 MAIIGAKEEHADPRLTRIAQADDVPWYKKPNLRFLYLILVPTGL GVEWTSGFDSSMMNSLQAVKSWTDYFDNPTSSRLGLLNAMYSLGALMAIPFIPTISQY LGRRRTILMASLIMCMGAGLQAGARNSDMFLASRWVLGFGIPFAIVNASSMIGELSYA NERAVMTSLFNASWFVGAIIAAGTTYGTFQMESTWAWRLPSLLQLVPSAFQLGFMHWC PESPRWLVSQDRGEEAFAILQKYHSEGKDGDEFVRLEYAQIQSTIAAEKELASRFVWG DVFRDAAMRRRFLLAAVVGFFTQWSGNGLLSFYMKKILALVNITDNRTVQQVILSNTC WGFINAVPIALIAPRFPRRRMFLICTIGTAVVYVVWTIASARATIENSSAAAIPVLVF IFVYSPFYNIGWNALAYTYMVEIFPYQQRAKGIAVEQLTVRFAVFFNTYVNPIALDAI GWKYYIVYCVWILIEIATVYLLFPETHNRTLEELSFMFEGKEMQDKIQKNVDKVLDVE LEGVKRRSSKDGIQATDQRV QC762_607640 MHCHTWTHSVDRGPLCSTMTLSSNCLDVGVFVLKYNIAQPASIN WLPPTNSFTKRRQIRRQDIIPETESHEYPPGWPIPKLQNFVVTVNLDCRIDLNYLAQR ARNVEYRPRRFNAVIMRIRDPRTTALIFATGRMVVTGAKSEALARLAAKKHAYALQKC GFTPKLRDFTVQNIIGSANVGFNIRLEGLANKYVTVGASFVPEIFPGLSFKQYLGYRA DGTPRSCPTLLIFTTGKIVVTGAKTEEDLRAAFARVYPLFFDFRFASDPNSKTQV QC762_607650 MSLLALFRNRDAKTPTESPPANVSKKRLRKKSFARLTERNIEAV QELSSPMGNHVSFESNPRSQRHPRASANIPELPCLPIYETLTLSMGSSKGPCHGGSET SSTKVKEKPRPLSKCMPQNTSRVHFLPQQESPTFKLVPNIHPTPTPQPTPKSPVYDRA SILADSYRSILPDFDAMEQIIESEDNLSLPQSPSEKCPHIPLCRPPTNQSVCRQSTVA KQVIVEVPSSPQHHSFIAQIDSVVEPQSAASSFTVVENSERSSEEEATAPAIPPQAPQ RKVQAINQSRALQQSPSVAASSNPASPRRPRPPSPRFKVTKGDNTKSSLALQICTHML TDELKKALFAKQDGVDEDSQAAKLQVLLLIEAYEGVMESCKEQLAHSEQEGSNVEVKH AREAVEILGHWLDSLYEIYGEAFGRDED QC762_0098710 MEGKRRVHIHVFWWSAGETRSGVCLKAKRKQAPMTLGKFRAVDS RTRPARCYRFMDVHRVSCGLVKYGHGLTTLSCASWVSGISKLACTTYKALKKASRKRT LAANLCYGRMIAEAITPSESGARYRLRLLFKSHLGKGASGGSADDYHACLWCVSAAVT VRESDATVFRSADDLLQHLSRHPQPLPQIPGVNVCYGPEPEQPDFDLHLPDGPVPVPM PDNVTRLATAIAIKVHFQRHGRGKLEKPPRYDGEMLEFMEGARIMGVMFPEKWEGKWC LGRHNGMFGAFPSKVIELRAPQESEVPVGGESGMIVTTRWKGTPPKSGGVAWVAFGKG EVITNVQCLYADYWCWYGTNSKGKTGVFPQSHVDLQTLKAQESTAPRRPSRGLFLFGR QC762_607670 MSPIIFTCPLCGWRIYEPSATSSWMNEFRGLYLCSTEKKITLTG VGLYSDPEGGVFIAPPNTASRYDDDGYNISEQDQFGTWGYAVNNRRGFPMHDACWKLL EEAIHPEPVPLDRLFDVLDSLISAMYGKLDWGYKECCPPDGCAVKQSFPWEGVADSRQ VTAPPFSSDPFSIDVDQILSRRFGTCEVPAPPANISSGPISRNDPFLSLPEELCTAIA FYLPTQDALHARLASRSFWHLFDCQQFWASRFIGRNSDLSWLFEVRRHPYRGARDWRW LYHQITDKDNNWNELIRGALHNRQHIWLSVLPIVEILELRLTPKVAVAEGDEQVVPKP GFNLRVGGTAAEHSDIWSPLKPGCRRRYKQYLPIPQERARISASTIMAGSFTYIAGLS VVSDSQTVKVGYIGPKEKERSIELDASKLRVSNVAVGLRGIHALLFADSTSSAPWLGD PDDAAITTRLGNVADIAALEVWSDGFRLVEIAIVKKEDADCSDVENNIILRNSAVWYP DIPPAHLNLNEEFFFPAQSYTQGFKPLFWTHFGGPGGIYLKDLHRISWSTADEIMAFE FQNEDVPLDCRMFGRAPLPDSDDEDNLDIFDIDGPGGERITAIEISQKYYKEDGERWL MSEGALALFKVCCTPAATAHSTLLGSVFTNRGRYYQFEDEPKPRSWNVKTKLFTSPPG YIITGFYGAQFQGLVALGVITELEP QC762_607680 MSGYMHIRAILKLYEALKPGSKEDRVDALWANILPLFFPILEDF VTETQPHPKPSTDLKEDVRVRYVEHKSDRFKPLFVIENKRTEFETHGAVWDSAAGQLL DYLEIERDTAKRQGFKNITLYGAVTVGRYSKFYIFPAEAKSLQPFESLDHLEFKKDEA KIVAILKKIYGAASRPPSRAGSASGVGSRPGSRGNTTAAPTGRPGSSGSNTARPGSSG TTARPGATTTASRPSTTASRPGSSGSTRPGSSGKISGSR QC762_607690 MKQGALSLAVLSGAVSTAWAALNVDFGSTDSIKAAAKDVAFDAL SYYKGNESGEIPGLLGDEPFLGGKYYWWSGSVQWSTLIDYWYYTGDDSYNSVVSQGLL HQRGPNNDFMPPNATASLSNDDQGFWGIAAMQAAELDFPASSIDWANLSRNVWTTQVR RFQQEEKDETCDGGLRWQILPTNVGFDYKNTISNAAFINLGARLGRWTGNATYVEWAD RAWTWLTDIGFLTEDFSAYDGAHVGANCTDINKAEFSYSAGLLLQSAAFLYNQTTGDD QKRWRDRVTGLTKTILDKFFEEGYHYEIACEGRDDACTPDMLFFKGMVTRNLASTVQL APFTKDAISKVLKTNAEAAVKTCTGGDNNRQCSFSWAKGKFDNETDIPSQLNTLSALT VLLQDEVSQKGIATNATSNPDGGSDGGNGSGSNGNGNGNGNGQGQNGNGNGNGDGGSA GTTTRVTVGVLVAGLFAALL QC762_607700 MADEWKPAGPPLRSQSPRPIMDSKSPPSPPPSDKVSSPSPPHTM TPERRTIVEKSLKRKLDTRCSLFVLIYIMNYLDRNNIAAARLRGLQDDLSLDDQQYAT CLSILYVGYILMQIPSNIVINLISRPSLYIAVVMLVWGLISTLTGIVTNFSGMVGTRF LLGFVEAAFLPGALLILSKWYTRKELTTRNAILFCGNLISNAFSALIAAGVLSNMQGV LGHAAWRWLFWIEGGLTMAVAISAAFILPDLPTNTRGFTEEELYVAQLRMTEDVGEED KDAEGQKIFDGFFMMVRDWKVYVMMLAFTAYTVGLSFNAFFPSLTQTLGFSYVPTLLM SSPPWAFACAVTLVVCWHSDRTQEKFWHITLPMVGGLVGFLICMVTLNTAARYVALFL QASSYAGFVVFYSWISSSFPRPPAKRAVALAAINAFSQLGNVAGMYVWDLKEDGYRKS YGIVTSMFGAAIFGCWVFRTILARLNRQMEREEATAVAEGRVPAGEKGEGVVTGSETE DGGVVGPRVVRPFRYLL QC762_607710 MSLLERREGLAVTAGLTGITLTPLVGVMVLSFRRVIRHSQAIKI ANLLFRIALPVYIIGVILYTSYAAVIAAGTATYRTELLLGLMSSLFLASGVILLTSSI YLTALAALYIGMGRTKWWSWLRLDTLLGAGLLFILLIAYWGMNLSDVVEGSSSTYRTW RMRWLLVVIDLTLSVMSLGVVGIALYALPKLKRLNQIPLGKMPVLLVIAAFLWAFTVV YGLATTIKSITDEWEEDEWVANRVIFPLFGPWVTSAVVCLLYLILHSPVWSDPAAIPA DGRHGPTQPYYGPQQGNPQMGYQQQPYQPGYAPPHNPSGYPQQPQQYQQPQYPAQGYQ HTQSPASSLPVYAHEADGNQNPYVTNVK QC762_607715 MISNALFSTLLAQLCWFATFALAAPVDTVHVEGGNAWQYGTGGG IIGLIVLILDIIVFIEVFQSSRPPSSKLLWSLVVFLFPVVGMIIYYVFSNRSAHNSRN GYETLTQG QC762_607720 MSTTPKRIIIAGGVAGGMSCATRLRRLSEHTPITVLERGPYISY ANCGIPYALSGVIPTDDALHVQTPEKITSWFNVDVKINTELVSIDRQNKTVLVNDRIS GQKDIMPYDKLVLALGAEPITPKGIEGGDGNQVFHLTTLGDLDSIKEHIKTHSVKTVA VIGGAFIGLEAAENLRLLGLEVTVIERLSHIFPPADADMVYPLEKELNAHGVNLITNA TVTKILPQEVELAPSGQQIPAELVIMAAGVRARLSIPQAAGLKTGKTGLTVNEHMQTS DPNIYAVGDMVETPNLLLLGLDHPDKNKMLALAGPANRQGRLAADHICGKETKYRGNI GTWVCKVFGKAVGMTGLSAHQLDEIIGSNHDWVTVHPVNHAGYYPGSCRLTVKIHFDL KNGKLLGGQVTGPENAGVDKQTDVLAVALTAGMTVEDLEHLELAYAPPFGSAKDAVNM AGFVAGNVLRGDVEIVHAADFAFETSKGRRKSLEDYFLLDVRSPKEFTSGHIDGAVNI PLGDLRKRLDEVPKDKPIISYCQVGYRGYLGYRILKQDGYDAVNLDGGYRAVFEGGFD DGLKPVVKRWAPN QC762_607730 MDSNVPVPVDHQLAPPQYNELISNPLEKITLDVGGHKFTATINS LTTKSFLFKLLLQGDWKSSLQEDQSIFIDSDPEAFRHILQYLRRGVFPLIYDQKKGHN YKLYADILAEAKHFGIPKLECWLNDQLYLRCITSSTVWSSAYKNDRIPTGFQTTSIWG SDVTSTQLVQQDVNTVKTPICPHLAEDRSPCPKRSCYLSLGTMQDNVEKYRWAEVGRS IRFIQGWCSDSGKEFMEHWGRVSRVAPPPKPESQRTVQTKQ QC762_0098800 MFERLPEELLRKVARGFRIQDFRNLSLVSKTFHAIWTPRFWRTL CVDTAGSTGRPSSVNIKRIEECAHALQNASSSIQNVSAVVFRRDTRWKLWDYEKEEDW PNVACLHRQPPPEDLNYWRGLQRAAPVAGWSFDQWFYRNQKCIEASIERMGEQLDSDP MDDIALAIQSVIGRIPAGQLQSFTWDLATCIPQAAFDSLFQAQPQLESITLTADACCK VAGESLHLPFRQLKRVILNSLPRSHVVPVRRMLGTNRGHLRDLQIEELVHGCSLEELL YGGEDCEDPRDRESTDERNGELVADPTVSFPSLVTLSLRNIDLTESMDRAFNISGLTS LTLRQCSRSSEFFKGIMARNSPLQLKALEFLADYADRDEDEVTNTLNHFLLSFKGLEK LYIGLIKTIYYPDSRDNFHPLWSTVGYHGSTLKNLVIHPRGPITRTDRACTMGGIQRN VDWIHDVVGKLEIPQVTISNWMKDPATHPLSPLSKLECLGVSSDPFAKATTQDGGSEQ FLITLLRPFTSSSRRLKLLHLRKTGSNREDPFGSKVFMSSVSRRVSGDKTIPVPPSRM MAYLDPDFARFLNWVFGREGIPSLEAVAFGDFANGHMSGKYLHNMFACRSSDVRQGYR LFDCRYKVREHEWRVVADKYADFLESCPVGPRVESWGHGSRYHF QC762_0098810 MKSQSLLASLSLLLPTITACTPSIPASPPTLPNPYNDDTIPLST REYWIHQANTLALSHPCPFAAFGTVIVNHTSANPQGTLICTGSNGNSRIGNPTLHGEI AAINNCSSLFVSSAYNMTPAESLAAFKDLTLYTNAESCPMCAAAVRWAGFREYVYGVP IKELIELGWGQLDIGSEEVIGSGVGMRDKDPEVVLGGVGREQSKVLFGWQFVRGECPR GCERGRVEERCLPGG QC762_0098820 MSIFTDEARKILGHLTTTFTAPEACWTPAHHTVFNVGWLGQRCG PEDVQDNADCWPPTTTDAPRTNSAFYGWGFYSPGLHCPAGYVSACTASATSQGWRVQY RMEPEETFVGCCPEGYACHNENGQTCISNARATTISTYQCESGSQINLAPMTLPNKNW SQAYIYAPMIQLAWKPSDLPSSAISSDPGPTNTSAPEEPSSGGISSGAIAGIAVGAVA VAIAIVVGAFLLWRTKRRGLARSGTDSTAELPVTEAGTPPPGPVVSGPGEKYYYSGQP EQQLHHGMKESQMTTYELGQTQAPAELSSDRWDIEGRAEAPGPEIAPRELESAHGTPP AGQPAALHRGT QC762_607765 MTRSILPTFPLIILGVIEPLMLLEAYRVGIINPGAQHYFTRQLP PDLLYTTKTTQPPEFSPQAETVTLQLVNVFLLLAGLAVVCCFSKDKWTVKGYCVVVGL ADYGHIWSIYKGLGAEAFWEFGKWNDLVWGGVLASALLNLVRWGVVFDAFGRLKDGEK VKTK QC762_607770 MLGQRPTGVSEVRTSDHSVINNFILTSCQPQLPFFLPFCLPSFI IPFILVSTSRMATQTQTVTVAPQPAHTNPSNNNLITLSSPKGPPEDDSHPDFSMSKRD ITLLLTPLCLSVLLSSLDLTILTPSIPSIVADFASPQGYIWIGSSFILAHTASTPIWG AVSDIFGRKPIMLLSLAIFFFASLLCALAENLHSLIIGRAWQGVGASGMGMMVNVIIC DSFSLRDRGLYLAVTSGVWALGSAIGPVIGGVMSTRLSWRWCFWINLPIGFLVFFTIL IFLPLPSPPKTPILTGLKALDWSGSLLIIGSALMILLALDFGNVVLAWSSPTVINLLV FGVLTLFLFFFNEWKLAPNPIFPARLFPNLSTIAAYGVFAFDSFVFIGLAYYLPLYSQ SVLGANALTSGVHLVPLIVSCSLSAAFAGVAIQKTGRYLPVMYAAQVFLMLGVGLFVS LDFAEPDNLGKLFGYEILAGVGVGMNIEGPILAAQAAASELDTAAVIAAMGFARSMAT AVSIVVGGVIFQNGMDWRNRGLVEKLGGDIANWFGGGEAAGSIERIGLLGEQQQQVVR GAYFESLRLVWIMYVAFAGLANVLTLLVRGLRLSKEHKDVVLGVERGRTTQGQLPVQL GPVPEVRSGEGSAAEIRLRRAADI QC762_607775 MKPSTAFALAALCIKSCVADFWIYEAGGFGDIVPVTYFRFYHDP PKCGTTGNNNGQNYLPYDDVSKRKGIACDGNGCEGGNPNEITRFEMNVDWGHYTIYKD RGYRMYDKKNNVVGQCRPDRSKSYLCVGTGLPDGASVFHCTTSVDKF QC762_607777 MDAQAATSLENLRNPSKRSRKDFQSDSVRSTIGKIEPLDNPRKS FPFLLWDGKEDEIPTFLPLPSTPVRFANELPQLLARIEDHDADRDKALAYADHVLSLP RDNRDFLRVVYGVDGSAECHSDMNDVYGAFAVCLDKRTKEGDFCGEAQGFFVKRAPSI QWLELMAITEAVHQTVLRLRLSTSHRRGELYIFSDCANVLSFLKREPNSTLREKLILT PVYDYLVALSQELHQRGIGLIIAWIPGHNHHITCHARSDKKSRNLRRQCQKRLHELRD QAATAPKPIAYFAPSKHNSIYGQSCMQETQQLSRTSRMPTLI QC762_607780 MKVLATLLASVGLVAAHGYVDNATIGGQYYQFYQPYMDPYMGNN KPQRVSRSIPGNGPVENVDSIDVQCNAGSVPAPLHAPAAAGSTVTLHWTLWPDSHMGP VITYMARCPDSGCQNWSPGTSAVWFKIKQGGREGTSNNWAATPLMKSPATYQYTIPSC IRPGYYLVRHEIIALHAAWAYPGAQFYPGCHQLQVTGGGSTNPTNLVSFPGAYKSTDP GVTYDAYKAQAYTIPGPAVFTC QC762_0098880 MTAEQQIPKIKLVRIAHVYYTHADLDKAREFLADFGFTVADDRG DTVYFKGYGTEPFVYCATKGAENEFGGAGFVVESLEDLELASKTLPKATPVHDSDAPG GGKRVTFRDPVDDFPFHLVYGQTPVEKSAHLPELEYNFPENKHRPVNKTQRFKKAPAA VHKLGHVGCCVTNFAKAFEFYTTRFNLKPSDIIHEPNGKDVSTFLHLDRGMEQVDHHT WFFFEGPKYHVHHSSFEVHDFDIQSLGHQWLRDKGYDLVWGVGRHVLGSQIFDYW QC762_607800 MANEIIKTDLLIVGAGPAGAALACFLSSYGRKGIIISAASGTAH TPRAHITNMAALECLRDIGLDRQCIDAGAAGNHMVHTRWCHSMAGDEYARLYSWGNDP KRKGDYDAASPCDHVDLPQTELEPILTRRAIHTGWTLRFDTSFVSFTRPEPDVIISEV KDNLSGKTYKIQSRFLFGCDGARSQVIRELKIPLIKKPGQGLALNVLLKADLSHLVKN RTGNLHWVFQPEKEHPAWGWACIVRMVRPWDEWMFIFLPPPGADVKGDDMIASNEEYI ARVKQFIGDESVDVEIKDVSKWMINETVAEYYSDGNIFCLGDAVHRHPPFNGLGSNTC IQDAFNLAWKLDYVMSRRAGPSLLDTYSTERQPVGVDIITRANQGLRDHALWMKSIGM LEPDLEKRKAILAEFEDKGEVGRKRRQEFQAGIENTGTEFHGLGIEMNQQYRSNAVYH GDEPEAPALPEDAVRERLISSYPGMRLPHAWLNTRKPGKQFSTIDLAGHGRFCLLTGP GGHKWKEAAANAAEAVGVDIVSYSIGWKEDYEDVYFDWAKRREVEEDGCVLVRPDRFV AWRSKSMISNPQEKLEKVLRKVLCL QC762_607810 MGVLLLAGGAALALSILYILLFTGKRDPRLPPGPPTLPLIGNLH QIPTKRTHLQFAKWAKQYGEIYSLKFGPGTSIVISSPRLIKQLVDKKSQLYSRRPPSH VADLIAQGDHLLLMQYSDRWRTCRKLVHQYFMEGMVAKQHVKVVNAEAVQMLHDFVTE PKGHMKHPKRFSNSIIMSLIYGTRTPSIKTEHMVRLYSLMENWSKVMEAGNTPPVDIF PFLKLVPEGLLGNWRSRAKNVGKEMTELYSEWVEKGIQRHRDLGGRDCFLDKVLDQGL EKLDLDKHGLYFLCGTVMEGGSDTTSSLIIAFIHAMTKWPEVLKKAQEQIDAVVGEDR TPTWEDYEKLPYIAACVKEAHRWRPVTPLAFPHSLAEDDWVDGMYLPKGSDIFINAFG MHMDEKRFPNPDVFNPDNYEGYTALASELAGGDYNNRDHYGYGSGRRICPGIHLAERN LFLAVAKLVWAFNIGPGLDASGREIPPDVSHETGYCSGFLVCAEDFPATITLRSEARK ATILREYAAARAEVFSQYELPKE QC762_607820 MSFRVQKAAAASFQRLVRFVNAQGSTKFGDLKTRPTGVSLAGAE VEVLEGDVGNGFRGTGKIDKIQKLLCPLPQVHAIMCIGLNYQKHATEANLRVAPYPVL FTKPADALAGPNEDIPVHPDAQSMLDYEGELTVVVGKDAKNVPEPDALKYVLGYTVGN DISARYFQLPETSGGQFCYAKSFDKFCPIGPCIVSPGLIPDPQKLQLVTKVNGQVRQQ TETSDMIFSVAKIISHLSRGRTLRRGTVIQTGTPSGVGLFMEPKGFLKNGDVVEVSID GLGSISNKMVFE QC762_607830 MPSSLRDQHYPRTMAEPQAHPNPQQETGPSLPDASAAKLACYAC KRRKVKCDRQLPVCSLCQKLSGQCEYPTHAEKPGPKTGGPLQGNKRRRLDQASVSSSV SHGPSLNATGHRHTTSFDLARRSSIAVSSPTYGTSHDDSRTRADDDLRSRREVTSPLS TGESSVRIQPTSAPIFSRIMYPSHEAQTRPQSPSTVDASPGHQDNAIPITIQTVCDAL RISRATYDVLMESYFTNMTSFTLFRPGSIEPKFAMMQFHSDAEALIAAMFSFSTRHCQ DLEDCPSPTYFAKIAYSKLDESVDSYGDNPPPFWLLQAGVLVTFYQLTMSVRSRSWKK LGDCIRYSYDLHLHMVDANHDPVKDKNPVNIQRWSLMEERRRAWWAVWEMDVFASTIR RLPTAIDPEMNLTMLPVPDSCWFNDVYQESCFLAQDCSLRWKQLAQSGNQSAKAWFIV MNSLMRNTQRIVYPVGSALQSMNESHAETNQDELNIMANTLYCTVTSFPTSLVYQGET LDFRPKASAQSSPDGINPRQEHADKYSLHLMTQLCRFMIYHHKICARTPWLAHQKGFN DGGQGEGNNDPRDAQQANSEWSNYINASDEIVTVVRNSSRDHYKFVNPFLVNTLWFGA AAQCACKVFGPASFNKRLTISNLDLLKLTIDRYISFWGGMENLKGKLARIETALQSLM AGHGRPNEHPQDRRQQQQPLSHIQGSNGASINDLATVAMQRLPGVTGDAAVSSSPLLV NIPGIGPPQPPPNPWSTFGPTDVCGDFIHPGNFTTGLTPGGPNFYGHGDPMDFSPFGL EELLMASMMMDT QC762_607840 MSPPASTKVDLLIVGAGPAGLALANWFRGANIKVLIVDKKPGPT PRGQAEGLKSTTNEIFDSYGIGPQVTAESWRLEEIACWGTRKDGGEGIVREQVIPDKV AELGKPRETMLQQSRVEHHMLHNILSHDNIEIRYSTAPISVDVDTSCVHETDTFPVSV SLEKVTTNTNTTNGDTTNGTNCHATNGHHINGQNGHQPDEHDAPSDKISAKYIVGCDG ARSWLRKQLDVSLEGDLTDSVFGVVDMVPKSNFPDIRRVCYLRAASGTILLVPRSNKE VRMYIPVESGTALPDPKDLTFDRVMDAARKIIAPYTMEVGSVSWWSAYRVRQRVGNHF SRLNERAFLVGDAVHTHSPKAGQGMNTSIQDAYNLGWKLRLTLKGKVRSSGARQDLLR TYESERRPVALDLIAFDKGFLKLFAAPSAQFDTEILQALKFTTGLSIRYPPSCAVQLP KGIEQLGPSLLKADLVPGKRLPDFRVVYQADGVPTWMHKRLSATGQFRVMIFAGDISD STTSKRLHEVGKYLGESKSLKHVVMPQSEQEPLVEVLVVHCAERDLVDFLALPEVYRP WSDESGYDFWRVFADVESVHDGHGRAYERLEIDRQVGCTVVVRPDGYIGAVLEVDDVE CVERYFEGMNV QC762_607850 MATSVTSTMTRPLDKHILRHSHDLPLPKGPDVLDIRSSQESLLH NLDSKILEGLSQPQGSKSFPSLLLWGEKGQVLYDDVLEAPEYYPYRIEDELLQQRVDD IARTVASTGTDMLIELGAGNMTKTAQFLSAIDNYISYPLVYYALDVDQALLERSIVAL KRRVTFRHIEVRALFGTYDDGANWLASPEIAAYRRTLMFLGSSIGNDEQDAAVRFLSS FTQAPETGVPQNVAGFLLAVDGCQDAAKIEAAYDVPGGYSRRWVKQALEYARELLGEG IDRVEVDRIFDDNNWKFEGRWLPERQRYQTYLTTTCSLTATIRGQTITLEEGERLPII SSGKWTRETVDGVSLKAGLSIEKSWKNPEFDYHIYWLQPSLKRVDSGIVIIDELEAEG RDQVHHPNE QC762_607860 MVDRIEDEEIRDDVGERTSLLRQPTLNLSPETLNAAANEEQDGL AASDGDLSPTSTVGSQQSWDNGQWKKNLVLLLGVFLVNSDSAILMAMFRDIASEFEQL SSASWIINAYIIGIIAAQPLYGKLSDIYGRKPLLLFAYICYCVGATIAGAGFSFWGLL LGRSLCGIGNAGITVLISTLIVDLVPMREVAVWRGYVYAINQIGRALGPSLGGIISDS TNWRWALLYHVPLNLTGLIFIWAKMSFPKPTAPDTKAVGRNPTTTKETAYAKFKRIDL SGSTSLAIANVSLLLFLDQIEKGPENLVHNAMAMVPMSTWLGFLVVFLLVEAFWSREP IFPLRLLRKRNVVSAYAIQFVFTAAQVALYTSIPLYFRVTMSDTNTTSSLRLLVVTLG TVAGSLISGYVIKRTGLYRLITNIAAILSNLSFLAIFLRWRGVTHWGETFYGFPIGVS FGVSLSAAFIALTSGLESSQVAVATSGFYLSMNIGSLLGVSTASLLIASFVESTLRDK LPDLPDKEQIIRDVLSNFDAIDGLPEKIADIVLKAYERSFVNVWLFCVVFGVMGLIAS FVMREGQLHQSPGASKPKRPERSRSHQGYGAVSESDSEGDNA QC762_607870 MGATPVSVEHTTYNPDAVYLSWEVDGKFFGDGREVALLEYIYAR PDLENLRGNPQKVLQAIDDYGRSVRGLINIGSMKGSIVCDIIARHRPAVVLELGGYIG YSAIMFGHAMRQAGGKQYYSVEKSPLFAAVATSLIDLAGLRDNVRVVVGTGAEGTRRL YEEGKISRVDMAFFDHFKPAYTDDLKLCERLGVVGPGTLIVADNMVLPGNPRYLEWVH ASVERKREMDKGAAEKGNPNLQYRNQSISSWEPSGQEDAMEITECYGIEE QC762_607880 MMSTGVAPEMTNILINGTGQFGWGPKPEKYTIWLDEGQAHMLIL VNTAVDTTFVFSIDNHTLEVIEADFVPIKPYNTTHIKIGIGQRYHVLVHGHENGYKTE RYGNYWMRATPARKCSKFAFGPDEQMGIVRYNRTMPPRGWQDPLSEPSLYDTMCADEP YDKLVPWRPWTVGDPVNIDPKVEDPYTLPNNSQYIFNVGMISSGGPNSSMPYIPNDKA YTRWMMHEAPFRINFSDPTLLALDRINELIDKPYLDVVTLPNATDDQWVWMVITAPDK IPQEGGRIFFPAAHPMHLHGHDFALLRQSKKNWYDDLEIGHEGEGRWFTPDKLNCRND KLKCDNPPRRDVVLLPATGYVIIAFKADNPGIWILHCHIAFHASSGLAIQIIENKERI PEILGRHGGREAIEESCESWRAWQSNPINHWDWHHPDHFQDDSGV QC762_607885 MVSWERGDVVLIDNYAVQHAREPWTGDRKLLASLWDDAEVPPAA QC762_607890 MATVDILRPAHYELSVDLPAPYPPSSQFPYSLSRTDQTSSIDDV ISEIKKLTASGEIRSLLNKHGAICFQNLNLKSADEFSQFAHAFGFAPHEDIGNPVRRT VLAPNVATANEGPNTMPIFPHNEFGLSPHFPSYVFFYCAEAPETGGETPLNLSSPLLA HLTTHRPEFISSLRRLGLKYQLFHPSHQPTNSPGTTPLQAYGRTVLDIDPIHIIRSKV EAEIRRLPTAKWEWENISPDNQLGDLRVWQVLPGIRTHPHTGEEMFFNNCVSRFLNAI REGTLEHPYRNGRGEYIPPCFVSFGRR QC762_607900 MSTAEQDQKVINDGAVIESQGEQTKPVSWFTRWYRSPLFNVIIV GLISFTQPGIWSALNNTGAGGQQEPYLVNASNSLTFGIMVFGCPLFGILANKIGVKKV LIIGTLGYAPYSASLYVNNRYGTEWFVLFGGVTCGIAASALWASEGAIALGYGDIKDR GKFTGIWLGLRELGQLIGSSIQMSLNAGKDSSTRGKVGYTTYLVLIALQCLGLPLSFL LSPPQKVIRSDGTSPRDPTIGKTFREETRKIWALMKRKQMYLLIPILVGFQWNTTYLG IYMTKYFSVRARALGSLTAGIAATFANIFWGWFYDLKCFSRPTLAKICWASFVVLMLG SFGWQVSNEKLYGDSNPRITLDWDLPGFGRGFASMVMLRFLNESHYMFVYWIVGAFFD DIETLTLAVSIVRTFESVGSCISFGIGAAKVPPMVNLVISFAMFGFTIPATSAVVFMV PERPIDLRKVEAGGISEGDTGSVGASEDFDEKRAVKA QC762_607910 MAVCAAVRTAFRRQIQNLEKFRQRRPHSSKVNGAKPIQSAQQQA PITPPAKIQPPPLWLRLGPLTRAAQAYGRTHQKRPYTTQILTSLFIFLCGDISAQSIG GDEHDFGRTARALFIGGTSSVPSYLWVVYLSNSFTFASRALSIAARVVVNQIVFAPLF NTYFFGTQAVLSGASPSEIWERLVKTVPPSIANSVKLWPAVMAINFAFVPLPFRSMFS GTVAVGWQTYLSWLNKKAEESIAAEAEAAAVATVGKVEEVAASAMAKAAA QC762_607920 MASPFLRTRHTLSSALRPLARNPTPRINHAVPRRPASTASSSSG GSSAKTVAISTALGTAAVLGYYYGTDTRASFHKYLVPPVIRVLFPDAEDAHHAGTAAL KALYSVGLHPRERVQDGDATGAKPLAVNVFGVELSNPIGISAGLDKDAEIPDPLFALG AGVVEVGGITPLPQEGNPKPRVFRVVSTDGLINRYGLNSKGADAVAAHLRERLRTFAR SIGFTEKEMLDGAAGVPVGSLKDGRLLCVQIAKNKKTDEKDVEAVKKDYVTCVNRLAP YADVLVVNVSSPNTPGLRDLQATGPLTALLSAVVEEAQKTKRKVKPRVMVKVSPDEDD DSQMEGVVQAVWMSGVDGVIVGNTTKKRTGLVPKGVRLTSQEQKNIMEDGGYSGPALF NQTLNLVGRYRKMLDSYSLKTEGLGDAFNKNQKVIFATGGITNGDEALKVLNAGASVA MVYTGMVYGGSGTITRIKNEMREKLAIEDKKQ QC762_0099040 MKSIIPRPTTTTTKTDDELISDNWGLSDEEDHLKMSATPTAKVF WQLTHSNSPTSGLANKRAKRRRARSTGCFGIPFDLLAFLSVFQLDPNQNNNTTKRIQS SSPPHFESEKVGEVRKSATWPLIHSAKSQAAREARMAAKRSAAIDRQLEQDREALCRT AQVMVTGCGPTRCEGKTLLFDQMRKCSSPGSSDQQPTGDPATQVRTAAIKEMRRILYE IHAQGTHYHQQPNQQIPPPLSNLLQTAITLHDLPLDEPPQVNPETLAFINQTTTLWSD PLWTNLCYTTLGRSRPFITLLLSLLSRSFTPSYTPTPTDLSHIKHFSSAPRSLHRESL TPFPSTSSLEFDLIDRDNTSCCSFLRRKIFNFLSANLSILMLVDLASYSQTLEEDNNV NYLSEALRSFEGLVNSKMFAQNARGALMLLLWNSEGLERQLDERPLGGYFPDFRGRRG EERGWIRKEFEKVVKRGKYGRDVRIRVGEPGEEGTVRWVVGAVKTGLLDQGLCEMGLG REGEGSGWCRRV QC762_607940 MVNATDRIAIIDNFSTNPDIPVLLLTINSGAVGLTITAANVVHL IEPIYNPAIEAQAVARVLRMGQTRPVKILRYVTEGTIEPVRFLVAD QC762_0099060 MLRQCNCPLSCFSETRKTEMLRRILSPGWAKRLSRSVTRKILVT ESSACLHGFPRQGLDATHSGMNKFQGPKCPNFKLVKDAVRKLAGDASVVLKLRKNSTV KGHWIVRFGRNKEFVGRESILEDLLKRVLPSGDEDDCQRTAIEGLGGVGKTQIALETA YRIRDVQPECSVFWVPAVDTTAFENAYRAIGQQLKVPGIDEEKADVKALIKSVLGRES MGNWLLIIDNADDEKLLFGDTALTDNLPFSRKGSILFTTRNHKLGLRLVESENHIIAV EEMSKDEALKLLGKNLKSSQMSDTRSNNALLEFLTNLPLAIRQASAYMAKEQISTARY LKLCKSSDEDMVKLLSSHFDDRHRYKNIQNAVATTWLISFQQISDHDALAADYLRFLC FLAGKDIPHSLLPPAGTLETVEAIGTLKAYAFISQQNESDSYDIHRLVQISMLSWLDG KGERQEWTAKVLERLNDIFPWPKHENREEWIRYLPHTQHALQLRKKTDDEEATTGLLS KVGESFFNLGKYKEAEQMHRQALQLREKVLGKEHPDTLSSMNNLALVLHSQGKYKEAE QMHRQALQLREKVLGKEHPDTLSSMDNLASVLDSQGKYEEAEQMHRQALQLREKVLGK EHPDTLSSMNNLALVLGSQGKYEEAEQMHRQALQLREKVLGKEHPDTLSSMNNLALVL DSQGRYEEAEQMHRQALQLREKVSKIR QC762_607967 MLKLLLLLIGATAAVGFPDARRLKAVDITSTAVSFTWESSTISQ STSTDLTSSSISQSNSTDLTSSQAVPTSTTVRLSASANPTASQYVPTHPTSTQTAPGE VDPGRLWVLYRRTFMSGDPGWTYLCYYDIFESHVSVKYFNPCHPYNATLHLGTGSECD PGYQMPIPQFDIGFNPFGVNTNCSYHPDVNQVVCDQGHYPCAVFSHDNWVHCIEDTDS PNYNAYFRIQCDYFPVMT QC762_607970 MDHTLRLDERNNDDKTPLEVAKEMSNLTAVSLLVESGRADVGDM TIDDEPDDEPDEDKLKYCTCNGISYGTMVACDGAECQREWFHLECVGLKHEPKGSAKW QC762_0099090 MFLYAKVVLDNLYDQASVADLNDELSEDNFPRQMNAAYDRVAAR VLDRSGPKSKTARAILEWLVCSPRPLRWREIQSSFCINLEKQNCDIDRRRVDSCKELC RSLVELNRCEYLKQAASEHEAIVGLVHNTARRWPCFVPNILSSPAFNTRVYPTEKIAA SAVTGYYGLFDYAQSYRKEHLRQMTVSKDEVDANIKKRVLDSAQHLFTQGQDSSPNPE QLHDNDNARPTPVTSQNGDSGTANTSPSDDMTLHNAVTTIRHTIENIDQTILTDRERK VLLELNGSGRFKCNRLQCSKFSEGFPERDE QC762_608000 MADISIRKWIRWEPHSPTPPTSVIVLTSPQRRFVDIRVILPLPT RPDSELPLEQLEWAIAGTSTSSPVLNPKTKEVEYSHCVWSHWIDSRVNDRDAGADEGD NYPVEGHPELTLERGRMVNPASGRVEGYEEMWVAGEVRAVEGVGCVVLEYDADLKGEE GWARGEGGKQGEVERVGRGMVVRLGGYVQGFLRDEEDVRVERWVWEGERGKWEKKVRI GSGGLKGGEGVIPVEFVTEVGGQMEVGDEVMGVGGRRWKVVEKSLV QC762_0099110 MNPYQQQPIPYSNFGTTGGHVASYNTGRHAQANPGTQSFSGYGN GVAYAQPIPHASQAALTSHFADSARTQVNYARTHNGRPGSSAEREQYQNIPSNIQMPG AAATYVAPGTVYGGPGNSDPRHGNVMYASTSLKGNPPGPTRNNHARVAPALNGAVPAN HDKKKKCAEPAVFSNYAYDHRGTQAQNMGGSVYPITTNGNTYLPPCGHANPNVKPGCR HCVAAIGATSRS QC762_608003 MPPYQQCTDLGGKHSSPTGHSLADETLDVPPPCRSHLCRSGAGS DHEIALPCRSLLVPCLRRSARSIARNILPWSARSRAPSSAFFSHSAAASSGSTSHSCD DHSSWRVWIPTEASRTAEIMELSASQKLNSPAAVPVTNFMAGSWTAASIAAIFLSVRL YTRLRATRRLFWDDTVLIFGTVFVIAASALWQWAAPKFWFILAVGSGTAFPTDLQQFM KDVEITMRVFFVEQIFFYSTLACVKLSLLLFFRRIGWHMTKIRVAWWSVLGFVMATWL TSIGDSQWGCLVAKGFDIMAQCTEPPAIRYANLTLRINCALDVLSDAAVLSIPVILIW DSKLRMAKKLAVIGLFSLTLLTMLVAILRVVGISSATWANGQVDPSYVWLWSYIETCI AIIVACLTAFPQLFVSNKKPAPYKQSGPSSGSAPPSGYKGPEDSVRMVQSYGDALDTR VDEESYGCSPTAFESHSTTNLKPAGMSQPYPEVVEWHHVSPITSPYQQNGEYQQRYH QC762_608005 MSSTRRIVTLMSDSDLDSEPGSGPSVEESLPLHSTTNTSDRVQA AVLQSDSEPISEPQSIPSLQKRLPFYPPRAPIPNDHLQNFRSNDIPRYLFRVYTPKSC GETTTSHVRPLSTTAPGHPEPQCLDIFASFHPDIAHRYPDRITYPSDTAKLLRRHFNF ACWPNASSDCPFVSWSSSLLFALHYALCRSAYFDHRRDHNGKVAPGVRTNWKDVKVLL LDTTKLPRRTFICEVELLQFFVSYEPDKCQSEEKLLTLKKLLNLRLQRHNEGYYYGEY LSQGDLNIAGACVQTDLESLKDRGLFRLVPVLGEQWRWGKNLVRGGGIISLRRKLHSS NNPASTEEVLQAIHLGELFHSRHLDLTVPAAAMFLALKKRIRQDPVILGVFKEMFQAD ITDVYQLVDTSFPTELTLPEVTQFRILIQDIQEHFDYLEYVDGMFSDDDTEHDEPTDL IVDAMAHLDLPSDTDSSS QC762_608010 MASTSSFDRQTHPNLTATMSSPDDTNDTLSLVSSFYGPGNISCW LFTIAAVLVTWTLNPRSRQQDTITLDFMAALAIPSIAAGHLFYLVFSRQRQDELGEEA PSIDGANLFTSSSQAAIRYAAAVEAPLNVCETFSSVALTMFVIAAWNGGFRRAFAVVV AGLLAFSTEISLFIQTAGIEAATSNLGRPFLFNSLVTMVVILVMLTFFLVVLLVIGMM VVDFRLRRLVAISDATRTEMEQTLSLKIANAQRVERLTMWLTIIPSAVLAPGGLMVGI LSATGWLEETTYIADEHGKTRLLFFIPRSMATITELDQAVSLAIGILTLCFSLWGALR VKWQADIEKRGLIDEDLASGQGLTVRSILMSSAAGRQLLERNMV QC762_0099150 MVLAHKNQSQAITLSLRHQAQADINPTITCFCPSCHRTLSKSKL TIRCLPCQTLTSSPTSHPSTAQASWYCLLASVTITWLFNHNQHGKDTLTNDLIAALAF PAVTSGHALHLILSAPPYRWEQYGCFDESLRFRIWLACPHDFDTASINRYYRAVEAPL AVCNQFVQLGIILLFAAAGTRRPWSASWIFCVWLLTMWTESVMLLKGGVRLFFYYVLM STAFACSSPWLNFVDKFAWGMRGDGSSSRIIPAHRLDMSRLLDAGKIGGFLILPFSKF LIRVKDVVLSVWRFRDVKGHQDILQEFQELWFRLASWPYPSSVLCGELHCIRIIRTKG SVPGPGSVVLRHQKSSGDHRP QC762_608020 MSAPSAAHGGTAPLASLTSIFTPPCSTTWLLTTTRLLSQYPPFP TAGPASCDPPSWQSNIAGGGFYYYSPAVCPEGFHVGPSCGLTRTRTAEGFPAVEKGET VAYCVPKGLTCTTDITDYRGGVWGYTRDGTAWGARVTVGPAIQIRWVEADLTLFETHP LTPGLTLVKSEMGVATAVARSFTTVISIDDADSTSLIIDTTPNHDGGRGPSIETGGGA PDTRELDTAASNGGNTSGFVIGNLNQGSSIVVMVVVSLIGALILSTIAWCLIRRYKRK KGLEKEMQHSNNAAHQEVGQQHHRAYAPGSSRRSGPRLDPRERMQMDAQKVLPSDPPV AMKKHLPQTALRIDPQQRPKPTPRRGYSELDTSSPALGSAPNPAELEGDTIETPAKPW VVHQRSWLRSPSVYHPLQSPRSFRSSRSARRTVRESFGEKVNDPATALGRLRIPSAAR STMSRSSPTSASPRSGSFWRIPRSPRSPRTPTSTRLSQQIPRPPPIKSGLSNETSLSN TPPDILERRDGEGPGSGHHDSR QC762_608025 MSSDKPHFNTTIFTQPSTDLTTSLVSSPTTTTKSPSPTRTAAAR TRAMSVTEEWQPVINRRQSWSAQEYSHEMHSKLAQQHDLRGSIGDRSLERDNGQGFSE R QC762_608030 MSSLTAASTLTRRARCAQATPSSVGAVLNLHQQQTRSFRFSRTW IVHIDADHDRDICRRYRFARQRYADILHRHLSWDKNSFSRPSNPSKSSPYDYWRTDQS PSLSRNRWASSNIPNKTPGNPTGIRPGQNIEDAERAPLEHLLFGKQERRAKVTALDPA DVTKTFKSYRSQFAGFQPPPLGVAQEPIFYDGPPPEAELKLYDKVKIDSEPWAAVPKK PAPAPRSQSVPDVVNALNDKHAEVLWNESNITGSGHGLNTSEYNDLDKYTPVVDPASD PVQDQPEEYKDLGRYVPIKHQEPDGKPTGQEESTEYEDLDKYGPVRSHEPDGKYKIGP DSEPAPEDLGKYEDGVSSHEPDGKYKPIQQASVSSEKLDKYDAAVRAHEPDGRYAPVL AEPNLDPAEIAEYSKPFLSHEPDGKYAEAYVRPSQDEAELAQYEAFRSHEPDGKYAPE QYQAGYDPVELKTYIPFRSHEPDGKYAASHVAPTRDPAELKSYRAFRSHEPDGKYAVS EAESDPERVELDSYKAVRSCEPDGKYAANINASITEPTDLDEYQGGFRSNEPDGKYAP SAEDLNEGPDLGNHEAFTLEDSETSRTAQRQNAIPAAKDESEITTEFRKVVQELMANP TAESALTSQRSSSHQSSDGKETPTPKKSKRLTGQTETTPANLSEPVLYKILAYDPVMQ AIDVAETTSVVPDSATPLSPAEVLLRISNPARFFPHFAPLQAQGFEIVSGSGDVLIFR KVREPVVAKEEQVASTKTVPALSPTSPVNPIDMTGGGLVPEYNVAAGRFASPTGFVNY DLPSEKTIGRYVPQGETFFSPGQRAEEMHERHSAWEEKQKKEKKKGSLLKRLAVSAAG VAGVSFGVAVLAEGMKNEGKGVKVVKKN QC762_608040 MGLGTPKAGFGGFGGVASSSPTLSYLTPPPDFSEIPLEVVVSFK SLLKKASATKEKALQDILAHEQNRSSDTNGPEESVIQAFVQLYPRLSIDDSARVRELS HQVLIQLLNSAKKRIAKHLPLFVGPWLAGTFDRDRRVSKAASEGLASFLQTKEKEEAF WKSVQVRALDYATTSLKETPTSLSDERSTTKQDSDAKYYRVVGASVCLTLNLLAKGEL DALKDGIAVYLEVDALWSLAKAEDPFVRRSFYHLIRSILDIAPELLKPRLQQLGRALI ADSFKGNQSGSATDFLKALTALTRQYSQVWGTQQHPVQRLEKFVSRGSQGGSDEYWRA SSQLLSVLTDKFPSPSLDIVSALLGSIRKGIFDRLETRSGRQQAFDMYAHAVELYLPA LVPNAEFLKDNVSSLTRQFLHPNPEVSTPSPQQPNILVQLWQALVRHPGSEVRQTAEL QWQNIVDEFLSRVSNSLPEVSERYQASQLAVAAEGERWFSVLSRFSKAASLHDLAVSS STRIIQSALDLLLRRNFKPFGCAAILQSAYRHCPDIYRGIDTTSMIFPANGNEHARTL VTTPSLPYIISDLHETAGDRFEEIWGLLFAASTQISDRGASISAVKTLVSIPSTFKFV QDLPQAQQFLISVWSEFAKSDDLGAPWAQLAETSLDFNTLTVESIKTITTTVIGLLEE PSSRAPALQALDLVLTHAPESSIIDDDLSVRLITTLLALTEISDTPIAEKAKGLFQLI QHRPTESPPLFRILASQLHEAGPASLDIDTLVAQAVAAVQSKVLPAEDVFPSTVAWQT ELSWFLNTPNPSLSLTSSMDGSYFLVQGSESTNKPSPGRDSKGRSSPARMALFTAKLL SSGVELSSLPLEFQLELIYLLCVTNMLAADQLALSEENRLWRNIRGQDTDDEILEYTE LASNEVSNIVAASVNWRDLDMSGDSLVERLVNFMLQTARGFNAAAFYTAKALAALLQA LVKAHGPLAKLEKWLTKLGLSRVTPDSTFVAAAILVGLNDALAPSKTISTLCARLFSE IPGYSIGSPRTLPSLVLLNLCLAVYDESQIPVEPRKQVLVLQQVTKWTDSPEEMDYRL AAETCKAITKIFPNVKEVYGPFWEKSIHYCLWLWGQAARDDTSNRLPYIYASLKLMQA LKSAGEVNDDLEDALAENKRAESDALIALLSVPHDAPATLPSQLVDALLSRTVSKIPN VKLGELSDVYQAVASPSRDIQKAAFGLLHRTIPAAQEEISVNVIIEKKPANLPAELLS LLLNAPSPDDYTDEELYQFPAPVRSYLLTWHLVFDAYSKASYRVRSDYTENLKKDDLI SPLLGFLADVLGHALARAIDLDKEGFTPEHVRSYSIDLADSEPAERDMNWLLIHLFYL ILKYVPGLFKSWYLDCSSKQTKVAIQSWMGRFFSPLIISDLLDEVTEWSANQGDLEGG TDAEALEIKVNKPVREITASYPVDDDFGTIQIVVPQSYPLEPVDVMSVKRVAIKEDKW QSWLKGIKAVIMFGNCSLVDGLMAFRRNISLAVKDQEECAICYSIVAQDKSLPDKKCG TCNHYFHRYCLYKWFHNSGKNTCPLCRNPIDYLGSDTSKRKGGR QC762_608045 MCTYTPLSAVMAKKVSPSYTITISSSQPSTSQLGFFLSYKHLIS SILKNATLTSATMGLLRKLLGRKPKTPRAQTTTLTSPNPFPDEETFAAVKAHVMARAR ANASNPEYIAMQRHVAFSAPTTFLEANYPPEENNLITPMGPIPTDAGEGIRVDDETVI PCEDTMFFMVLKHDPEGKKVPEMKMLFFVAIPPKGCGGEFAGTPMAYETLGTEGWKAV EREAEGFVRKWNGAGERGRRPEEGVKIVVQMGMDMGVWEWRREGGLVEPEGGVEMREV RDEGRWERDGMRLPPTTAEVEEKIAR QC762_608047 MLDQRHPVTPTNYLLTPAIHGCFKISSAVIRLFRSKSKNCDASA GTQPGNSSTFISDEMHLTIRFVSKYFAPLGAYERNVHIPSTATGRFTRFETNAKKRGK D QC762_608050 MLCPTCCRIFHGRAPRLDERIRHAFSLEEFQSSLALECFICCGL RDNFRIDTEAYEIDSIDHLVGIRAVEYKLEIMGLMNDRIRTPDRPKYRYLTVTWDCEV KYPGREREIRQLVQWPIQSVEGEHPWFMCLAIPGSDDGHQIQADHKPGNVKWKEPSVF EASKIDMCDWLQDVTVSKVTQWLELCGQDHDTCSPPRSGLQTQQWYPSRLISVHPGSV RLVETVEATLTGPYLTLSHRWPANASVYTQLKEDNLSSLKTRIDVDCLSKVFQDAIVY TRRLGVEYIWIDSLCIIQDSKEDWEAESATMADIYSRSYCNLSATADRCQDEGLFHPR QRLQFSHERVTFDWVGVPFKTDDGKLSDCSAPSFHRQDYVLYDPNFWTRRVDQQELFT RGWVFQEQQLAPRILHFSSDQLLWECRQHRACEMFPSGLPQNEMGWGESWRSNPAATV IKGALASVRTDGDSSGLYPTLGLSPWLAWQQVVGHYTRLNLTKAQDALVALGGVARVY QQLYKSKYVAGHWEEDFVSSLIWRVSTEGHSRNCPSARVQRPEHFRTEISQYIAPSWS WASADIGVVGYGARLVPTYTPDVVHKCVIVSNGDQFGIVTDGYLLLKGPIYPASLAVN DRFNLKVLVGLLVEGFASPVWTVDMDMCCRRHPSLVEIVKEIENDEDSEAGLSSNGTD EEDAETDEDSAEQSDSGSEDDGFDPDWDLKTLSKRYPVVFYLPIFEGTTVDESDNMTE TRTGLVLEPVDGYRGAYRRVGICFDKHVANRDWMLPEAVKASESMTKGPGSHLYLGLG EGMILIV QC762_0099230 MEWYYRLVMGQRSNCVRNEHVELLLSCTLPEIDLENLSFENLEE ILPRLFFSKKKITKKFGDVPVLERLLQCSCRDCNTLRTRDPQTIRDEMLGSPKGSILL LALVFLIYLDRLHYIYPLISLGSMTNDSLQSIIRHLSPDSDGMRGLIMKKEDLKLIDT PEKNLSAERQAEKREKTRQWARLHETFKAMRDEVSCMFEPVIIRLLSQNDSYPWYPDN SRFPFLDAEDRVPQGSFGTVTKFRIPSEYLDKSIKGFMESRYRGSRDEETGEYLFVRK SLVLQKDEMPHNPTTGSNEGTIARLASMINGDAADNIISLLTLYTWRSSLYFIFPCLK TDLYHLLRQTTLPGDQTKPTKLRSNEELPKHWLWKQMIGVAHALSAIHTNMKHPHGEV EGYIIASHFDLKPANILVTSQGVLKITDFGESVISVTKSLKNLSTPFRPGDPKYAAPE SRPSEAELARAYGAGEDSFQVLLNYDVWALACVMTEVLVVLLDTEERPAGTAIDRFHA KLEKESRVEETRGTFLEGGHELKPYARKVLENFPKLPRFAHDGPQKWYMQSVSNLLLR MFSTDSSKRPFSARVLEELTQAEKIYWQNVENHRDPLAWDVGQARLTHGGHSEFQEVG WGQSGSFLSFLHMDGVTLKVIDEDGRVTAGDHSQGAKCRFQLFYRASALVEEQSEPEP TSLSKTGSSSGSRRSNFNPFKLKNAEQKPKPKLVQRPSKFILKWGVISQESNYRSPHH IKETHIIPSQWSFTPRYILNPELDLGFILFKDSANGVDNILHFEFDSLEKVRSFQAAL LRYTVQGNILQAKTVNYTKLFGKPTPLFPDGGEPMIQVWAKDSPPFLTVDQAGRPQSK GPIENTQPRQLDKTPPPKALVFFEKRSDMQFALHLTAQVTNKLFNDSRHLRGIVCTRG PSRDHFPLICIPSGNRRPKQNVNKLLWKSSMPLIQGLVEPDVSQDDVDKKRVVLKLKE MELIMETQVGYKTIKSHVQGAWEK QC762_0099240 MSTRPWLAAGRRCTHASRIGYVRRTLEPHCNETFSRDLPGEVEV QFISVNRTGPQATPMLESGEDVTAYLDKQQNCEQGENDAKVHCRYVLLHPLIGRSPLL IPHRQMLHLLESWNVFPEICRYLNAFGKKYFNKDEAFAGFDCVEYEDSNNSSVNKLEM CYLLKYAASKRNEDTKVDAWTIRHALIYQRTCLNTRESSHILVRLSDNMKLALKEALS APSEEVQNFSRDWTRLHSLVLGEVDDGWRQMINSLDSEVSEIFHRLIASGVIPQDFNI FDSPATVAQDMKHLQRLTDSLRRVSTMISLNIDTIDCLINRVEMLNKGGYIYPDDLLP FLRTLHQLKQEHKFALKNSSAVLERATSLANQLRDTVTLRNSEINKHHTEQLGGNTAA LANLSEQAGRETSVVKTLTVLALVFVPASFVADFLQMGYVTTDPDNARIWRAAQDLQL YAALAIPLVALTMGFYIVIELRKASHHGQKGTVGAP QC762_0099250 MSVQTPLTYAVSLWVLEKWLSQTFGAKTVGERTTWSYKPDVQGG SSFWVVTAPREITKEEQQELEKRSAPKTIAISLTF QC762_0099260 MSNSIAMRDMGPMRPLAEDVNPLEAQASFPGASTQARNHMDNDS NRPSATELGESQSEPPGDTRHNSHHEIHGDHDDDNDHEDRERRRRAAGPFKNPRVNTE TSISEERGTGPLGVFDIFAFIANKMIGTGIYTAPASAFLLTGRKNITLGLFGVGFFYS LISTFLYLDFAAAFPYTGGELVYISEMTAYSGIRESSPTQGQVDMTPSPPRWRKTKRI FGDGLLAYVAYSILFVAFFNSGTNSMQTGRLVLLCVNAGDVDENGMTPDVNRDLVRFL GIVVLSVICLLQYFSPSAGRRLNRGFAAIKILTLIALIGVAGNTARVRRQNNEGHAAE WQEENPVTSPLSFAKAILAVLFSFEGWENATFVAGEIPRHQHKILQKGFIIAVAVVGV LYLAVVSAVLDALSWAQLNEQRTNVNYAPLLTGNGTAARRGWAIMAAISSLGSLNSII YTFSRVKQAIGQADILPWSKVWKKDDNMDRARDVSVQETRNPTNPQHYIHKSPQGGLL IHWTMSVVVIAGSSATANTIESLGIPGYIQTYVHVFVLFTLALVSFRLPGRERALATL TQQPIKSILRPSELTNPFAKSIWYLLWLLYILMNLTIIVVNPVPPYSGSDGSNVSFPG WGFPAILFSVLAFAISYYLVIFCPLPREYPPNQPLDAPTPDDQIPTRWTRNPLHAAGI RAKIEFDKDYNEALPRVFRFGRRWRVVYSIEGDRDGEEYDSSTTKLFLYWLFGGDRLK ESPPRRFWEWLRERAHFR QC762_0099270 MDPLSITAGVVGIVAPTLHCVRLLVEDLQNIADAPNTVKALTNN LQSVELALDSLGAVTDSQWESLGDAITTQSKATITSCKTSCERFKTSLDRWTRHSTDG TLSWRDRATLGIFRQDHIKSISKQLQQCNITLTSVTSIATLHSSPQQAQAAEEIKTII STKETAVNNAITATNDQSAEVSAQLVALTLAEPGEGETDADQASATKQVAMEKKALHE SRMLFEELLSVIQTAAANARADQGTTITFGNNNSGQQVGVNSGTITATFGRRG QC762_0099280 MPTSDLRLHPRGYKTHKWFDLDATYLIAPETPPRPFATIPFSRD PDFVNRGDILEQIDRRCSEPAARVALVGLGGIGKSQLAIEFAHRITEKQPDIWVFWVH AGIYERVEDGFRTIANTVKLAGRNEPKANIPQLCVQLAVQRTERQMDHDPDSADDRDV FDNANFAHGTTSGNERERRPFATYLPQSQNGSIIVTTRNRELAFRLTGRRQNMIEVGP MAQTDALALLEKKLGSPVDLDVAADLVQALDLVPLAISQAAAYIQARAPRSSPEKYLA EFRKSEHRKSSLLQYDAGDLRRDGGASNAVLTTWQISFDYIRSKRPSAADLLSLMSFF DRQGIPGWVLKPPRVTKEDIPGRRIDEDGDTDFDNGRSATDGAVDDDMDSDTDSDLTD DSADTTDDGFEDDVAILRDYCLIATTEMDEFEMHGLVQFSTRKSLEQWGQQETFKQKF IERMAASFPTGNYKNWATCRNLFAHVQVAVAYQPSDDRNDIWATLLYNGGWFAWSQGR YEVAQRIVGKARRARENRLGKEDTASLDSMSLFALILLDRGQWEEAEKLFVQVMETRK TKLGADHPDTLSSMANLASTFWNQGRWEEAERLEVQVMETRKTKLGTDHPSTLSSMAN LASTYRNQGRWEEAEKLGVQVMETSKTKLGADHPDTLTSMANLASTFWNQGRWEEAEK LEVQVMETSKTKLGADHPDTLSSMANLASTYRNQGRWEEAEKLFVQVMETSKTKLGAD HPDTLTSMANLASTFWNQGRWEEAEKLFVQVMETRKTKLGADHPSTLTSMANLASTYR NQGRWEEAEKLFVQVMETSKTKLGADHPDTLSSMANLASTFWNQGRWEEAEKLFVQVM ETSKTKLGADHPDTLSSMANLAFTWKSQGRHSTALALMKDCAQARQRRLGAEHPDTLS SLATVTKWGS QC762_000150 MTVNVISLRSLDAACINNFTADLTTDERRLFDTSVAAKTLIEEL KAIGATHKQQSKSRRVGEALIPFINGLETYGDGLSILANGSDILCPLWGSLRIVLDLA REFGEYFEKLALMLENIGTILSRLERYPTLYPDNENIKAPMVDIYIAIFEFCVRAKQI FRVGKEKCQGIKRLTQAVGLATALRVLWKPFSVDFDGIKDRISKNVEAVESEAGLAEK ELASKERTLAGERWAKAERSQRLIANYVDAESTAKVHEWLAPANVATNHKAATSLRHA SSGTWFLHGHQFQRWLREDNSFLWLHAIPGAGKTILSSSIINYLQEKVQDQNTGLAYF YCDYKDSQKQDPAKILSTILAMLAKQNSGVFETLQDFFLEQLRLAPTFTAEFDELLAD FNTFMSDHFETVIIVIDALDETSPSSWETLTDALRSLHEQCPRLKILVTSRNELPIAR AFQGLPATSIEQSDVADDIQNFVEGEVASRIKQRKLKLRHPELQSVIVESLVTGSKGM FQWVRCQIDALCKLRNDKAIRSALTNLPRTLQETYIRILQRIEDEHPEDVEIVRKILA WLVRGIRNLSLEELAEAISIEPDNGEESMDFNAVDTDPEDMLELLGGLVTVSPDRFVS LAHFSVKEFLVSEDICKTKPLFCVAKYETESTLASVCLTYLCYDDFAKPLSPDSDQLQ GYKFLSYAASAWGLHAKYSEQDDEPDEDVLDLTMRFFHLTNGSRRNFVTWEQYQHRAK SDKALSGVSSRTPLAYAAWYGLAGAAQQLLEAGVEAEQLLGPFKAAVSRGHAGVVKVI LKFATASVDELQANGPVPHPAEKMAELDLGEALYDAAAMGHAAVVEVLLQQNIDLDAR RRKDRSPLQAAALAGHADVVRLLLDKGAKHAIPCKRYGTPLAAAAEKGHRKVVEVLLQ AGANPCGQGGLYSSPLTSAIAGKNVQIVQLILEHLNGVKVSSPARRNTGPLAMAASNG MDECIENLVELGYPVEDCGALYQACCAGNISTINLLLGLGADVNEWSTCKYGSAIHVA SFRGHLGVLQRLISAGADLSVTHPEYGSPLELAAYGGHVDCVRLLAKAGIDINTGDNE ATAVVRAAANGHNAVIEALYDLGLEKGATTDAGNALVTAAYFGHSDTVAFLAEQGVDL ALLGTVLNKPISCTPLEAAASNGKMHVVKQLLQLGASPSETNNGRYGAPLSAALNAKS ICEDIVTALLDAGADPSVVTDEESGSHGFPLLFAVKRDRLNLVKILVERGADVNLKAG LLITALQAAVELESDAIFNFLLEAGADVNLASDYSDLTGSIDDGLDKGPITALQSAAW HGKDDLITRLVGAGAHLSVDLGQDTPKPPFKSALQVASLRGHSTTVDLLLKLGSDANE KGGIFTTALMAACSQGHLEVVRSLLAAGATTEVIKGSWYTSPLLAALRHDGVDVELIK LLVEHGANVNERAGGWGYPLPCAVGFQSDDKVALYLIEQGADVNAVGGVWGTALQVAA YHYDDEKLETLLDKGADPNIQGGQYGTALQAAYSRGAYVIIRILYRHGARNDLLGGMF GCAGGAAVGYPGKDDSWGSCSTLLHQMINYHDFDVNLAYGRFGNGLQHCVWMEREDEQ YFVEAGAEVNKVAGHYGTALNAAAVTYQEGTFDYLMEKGADAKLGNELYPNALFAAVE SIDKGQKIFDKVMKLGVDLNEPVSTVRGTALQAAALRNSWYAVRKLVKAGAEVNPGRA SGRWGTPLIASVSQGEPESWRLLLRKGADVCTKAGHLGTALHAAILHGKVEAVDLLLG RGADPNARGGRYGTPLQAACASRASKWVIAMLLNRGAKIDATGGKYHTALQAACQTGE LETVEMLVSRGADVNLRGGWFFSPLYAAVFVGHWEIVRYLLRNGATWEEVDVQRMKGH HAGYWADWVRDSVEDGKKKGAEDGEEDEHEEEDDEAEEEEDEDFVADNVESIPEFDQP WKRMSKWGVVKNRIALKVGLLEKAVAEVEVKEGSGSSDEEEVIDPVFQEELLWVGEDL LE QC762_000140 MVSLGVALGLRAAPLTVIIPNYAPFFLGLHFFFAYGLLSSRTLK QWYGIDHNVSPRYDLAKYGDAAVASGKITQKQLDMLKRNESAHANAVENYAFFAGAVC LATAAGVDRTLINRAGLTYTVARVAYGAVYILIDHPQWSQIRGITWWIGNLSCFYLLY KAGGELNSLSN QC762_000130 MRNPPESINHLLDTLTNNPSSPSLRIHFPTETVSSPGQHLSTTT SATPPSFSVSASALHNLKFPNNDLSPVSSHTSDPDDDTNKSSTSTKNEDQSDINPLTI PRFLITTLDLDPPFPSFPVLGPILHGMQADLALSTSFTGEDDIDTDKQFIPLERDTRF NLGEDDIGEVARYMGPSPPGWSEPHRYVCLMWQQPEGVTGDKIREEMGWGGARDGKIG AWERVRFDQGGFEERFGLGEVVAGNYFVC QC762_000120 MFPANQPLVSPQPRPLCLFSPRHILSHLTCTEPYPCVFPLLSHL KQITMAKDDREFRILICGGGVAGLTLANALEQASIPYLLLERRPDLAPQVGASIGIFS SGARILDQLGAWDRIEKEAERINLLTARRADGSVICEDRSTELILARTGYCTAWGERQ VLLRGLVNNIRDKSRLLTGRNVVEVRHDLDKGVRVVCDDGEEFEGDVLVGCDGVNSLV RGRMWELAGVVERERESLFADHNTLFGIAYGVEGLTSGHLDTSYNIGRVGMTIVADEG KVYWFAGERLSRRYHHGEIPQFNEQEVQSFLARNGDLILRPDPNRLTLADLWKKTVVH RLVSIEQGRFKLWHWGRITCAGDSIHKSTPNLGVGGNIAIESAAALANGIKKLADKCA RTGQLRPSPDDIQKMLAEYQQERETRAAAVVDASGFLTQAHCMQTAYSRFFVNWLLPN FGELIAELFHHVMIGATKIDYLPLPKRSLMVTAPFNPTMGDGRRESRFERALFALPLF LMSCLAFWIMNVGVPEQWAKEQRDGGFIAVDNTLVPVLRSFYGWRSLDETMAWVNMIF VPGMYGTSEEGRRHMITFLFEGLPFFTIWLLESTRRANALTILQLPNLFLTLCQLMGV GIIGPFYLYLHYILSPIESFAARDKRLTNTRWSYTALPAILLAYLTPLIGFLFSPDLP QRQSWLFIWQPFPIWTALAMLTLSRLFSDTVNQDKINNFTKDLPVLRIYIGLGSIIAA AAHVWGRNGGVDPAHWGLFFPGGIPRHYGDLTSFAVNFLKWDYLFGFGSHIVWLGYLF WDLRQAGMLREGWGNVMGLVVAGLVTVGPGATIGGAWVFREGVLAKRWHKDAVTVESV GRLHGGSK QC762_000110 MSDLHLDSPGHETCTKPSASKMRWSTTIIFLATALAPGAQCLFT GGGLTILSQNNLDGAENDKSAAILINQPSPNYAAAMACQLLGEETWDPKKSKFTTTLA RSLPYQAYLGVVPRDQLYWVSKSNPNSDKCRAIDATGKTRNVDCSTELPTLCSQSARV SNQTVDDTQSNWQVQQFVGSKLVTGYRDLHAWKFRGLRFADPPRRFTYSKVANYGEDG EIDATKAGADCSQPIGEVTSGSSEDCLFANVWTPYLPRMAGQDAKKQLKPVMLYLYGG GLTSGSGKNPNTDGTNLASRGDVVVVSVNYRVGSLGFLNLNDDVHKGNYAISDMITAL EWVNKYIKYFGGDPNKVTLFGESAGALGTHVVLSSPKAKGLFHRAILQSNPDGYPSPE KPVTPAIFYDTLAHNFETTTKNVLKDAGCLDAEDKVACLGKLSGFDLVNLPTNAYGIV QDGLYLTTPTLNFTSTLASKIPVMLGITRDEVGVMIDDPPAPNATFIQYFNTVAQKHF GLPANSTSLFSLPASTLALQNNEAAILNSTISLLSSLIFTCPSLAKAYSASRHGTFKD TYYFVFNRTYQMPGYSRDWCRPPSTNGYPKGILDMEYYKCHAGEQMIVFGTEARGGLP DRDGNDFAFERLVVDYWGAFARWGKPEFGKGYLEVRGFEGTRREVERLGRWEGVDWRR PKARVLQWGGRGGMVGFGELSGLCASLGVELTVLEG QC762_000100 MGQKQSSVPATFFCPNTGEELENPLGLCTHCQAAHDIIWQSVFI CPNTGYRIDTLSRRPDKECPSCFQMHQPYSKRVYICPVTGAQIPHPKETGGKCQKCKR SHEDNSTPMTSKEAVMARGLRD QC762_000090 MLSTAIFRGLLVSALAVAGASAECTRFIEAYEGDTCAILAEIAG ITVTQFLRSNPSVTSCSQLVTGGLYCIEGIADSGPTASASRTTSAAPTSSSPVRLTVS QDGTCGSGVTCTGSSFGNCCSQFGFCGSSADYCLSDGCQRGFGSCGAGGISSASSAPI TPGPTASVTVTVTSVVAVTRTSIVSQTNTATVTTTVPQTVVATRTITNTVPATAFTTQ TVRVTSTTTLTTGATAIVTRTVDLTLTSIVTRTATVPVTATLTTVITNLVEVTVTSTR SSTTTTTTTRTVQTVVPVTQTLTTILTSVSVSTRVLTSTAIVTSGTCGTPTTRAPATT TTPSGSRPTLPGTPSNCKNLAVITLNDTCRSLASRANLTLLQFYDLNPSINCDQLWEG YYVCVGR QC762_000085 MMAMALPNLQYSDLPEAVHRQHDFPEVVAEDAPQAVERSGETAK YLAYANVQSLESSIDTTSAKEPPERRILGLKRKTIFILSIIGAVILIVAIVGIALGVT ISQRKSPPSQEINIDDSLSPNATDNSPPAPPPSQPSINLTALPSNISPLSSLASANYT DPKTSIVHLHVYSQLPPPSNSLLVSIWNSTARIWTTYSLSALLPSTYDLLPGTPISAY VYTNPAFQAGVMVLTTDHVLHQFVTSDVTMKNWRHGGVGQGDAILTVGRENKNFQVLR PQCGTGEDCKWLFPPSAVGYQDGEGTVRVFNMKVMRGFEVGKGREGTELGLVSLVRAG GEGGFNVSDIYWRVVFVPEGGRELKGWTAGKEMVGESDSLGDMPSTPASHNMAAFSYD LVNQMIVTLEDGGRRLGVRTLDGLAGNKWTLAREEDDPAGLGDQKGEVRFTAITGNPE KRVFGMVNGNIHEWRFSSGRPRSWEYVGRVSTSPVLTG QC762_000080 MGVLKPDEDLTGLAPANLLHTITTFLTAHYLLLTLSFLFLRALV KRYASPLRKYPGPVLASISRLWKVKSVASGRTHLEHIDLHRKYGPVVRIAPNEVSVSS PEAARTLLSAGKRFFKTDFYGVFPPPENPDIFTETREDVHAMKKRVANVPYSMAAMQQ LSPFIDDTIELLATKINNHIESSPDGEFDLGDYLHYFAFDVLGEVAFSRSFGFLKEGR DVDNAIKTIDNSQTYNGIVGQVPELDFLLRRNPLWQFVPWLSTKNALITRMALEEMGR RQPFDKDRGGGLRGGVGVDGRRDLMASLIQGHLRDKERFGVGDVFAVAHGAIFAGSDS TASTMQSFFWHILDSKPVYQALLREIENAVNTGVIPAEGNITWNQSQSLDYLQACLKE AMRVRPAVGLNITRLVPPEGAELDGHFFPGGTTIAANGWVLHRDKETFGQDADDFRPE RWLEDEEKAKKMERYMFQFGGGSHLCIGRNLALLEINKVIPRLLRDYRFELAHPGQPL KANASFFVVQSGLEVFIKKA QC762_000070 MSPSAAVTKTAETTVPVNPDQTLKACKALVAHIKKAAAAPPKDG KQNLLADAETTVAETPVWLTLTTKNHIHENNRLQPGKIALPNPLNTSEEVSVCLITAD PQRYYKNAVADEFPEELRKKIGRVIDLTHLKAKFKAYEAQRKLFSEHDVFLADDRIIN RLPKALGKTFFKTTTKRPIPVVLMAQREKVDGKRVAVPKGFMVKKNKRDPTENANARP TAEIVKEVEKAIGAALVHLTPSTNTAIKVGYAGWEPEKIAENITVVVKELVERFVPQK WSNVRSFYVKGPETAALPVYQTDELWLDESKVVPNGQEGSSALPGKREQKLIKGEKPN IGKKRKSLDAEPEPAAEEAPVAKEDRPKKKAKKVLPESNDEKLDKEIAERKARLKKQK ASAKKAVEV QC762_000060 MDSSPSALTKTLEPSLPTLQGVKRPAPSLLPAFEPLSSSPGLPR PSKRQATASAFFKYPTPAPTSSTGILSSSPPRVRNRPAPPRPQSRSSVTERAPLCDVR SVDLNENGETLLMGRSSNSSHYQLSANRLISRVHVKARYIAAAEPLEPNKIEIVCNGW NGLKLHCQGQTWELAKGDSFTSETEGADIMIDVHDARVLVQWPRREKERDVLGQLSDS SWDESPRPRVGRVAGASELHGSPLRRSVRIGSPESPTPANVSRANASLNELLAVDNEH VDAVQIYEDASADEQELPRLTDAVEESFMTQAAPSLSSDLSEPESEEENDADEENDPI IHSFGPFGANLNSRLASFSANSPRGHRVSRNPLSDVAGRTQERMEPISEDEAEDLLSG LSDEQKANITNHVVNQLAFSRLSSTPLTTIMTNLPAAEKKDLKKDQLRVIIEGTAAVG IIRRQGKDAAGKPLESEYYYIPEKDSDEHRRLAVTDGLRKPSLRNCRKQHKQYFWKRP KTP QC762_000050 MAIKNHLRLLFTAGSLLATTFASPVSRFEDDDDDDTPLPVVIWH GLGDAYNADGMRQVADLAESVNPGTLVYPIRIEDAGNRDRYDSFVGNVTEQLAKVCAD IAAHPILSTAPAIDAVGFSQGGQFLRGYVERCNNPPVRSLITFGSQHNGITRFRDCET NDWICRFAMAVLGTNPWSPTVQGKLVPAQYFRDPEQYEKYLEHSNFLADINNERSIKN ETYKKNIAKLANFVMYMFDEDTTVVPKETSWFEDVNGTEITPLRARKLYSEDWLGLRE LDRKGGLKFRTAPGDHMQLSDELLTEAFGDFFGPLNRHKGRSDPRPASHIGDEEFDMG SGGRSEGRPASRGGDVESDMGNDL QC762_000040 MSVKYTGKCLCEEGGIRFTISAEPIDPVCTCYCGHCSKGAGGLG QVMVAFPEESIDIESGKELITTFTFKNTDSGKPKDKMFCKSCGVTLWTAPEHWKQLKQ LLVRTPVLDGGIDWKPTVELSTSKRAKWTSSVAGAVQQ QC762_000030 MSSRNNHVGDTTAQSYDDAEKGIYEASQQSLPVLPTPPSPVYSV DEKAIIQQVETVQPTPVTSPIHEGITPSSSTPVLTLASRNLPPSPASDDLKKPQGKPP AKPKRKVSRWILFQLWYNTYRKFFTIVVTLNLIGILMAAIGKFEYATNHLGALVLGNL LMAILMRNELFLRFLYIISIYGLRSWAPIWLKLAVTSILQHVGGIHSGCALSGACWLL YKIVDILIHHAKQHPSVIATGIITNVLVVISILSAFPWVRNNHHNVFEGHHRLIGWMG LATTWIFVVLGNAYDLKLGEWRLDAHSLISTQELWFAVFMTVFVLIPWVTLREVPVEV EIPSPKVAILKFQRGMQQGLLARISRTSIMEYHAFGIISEGRKSGCHYLICGVQGDFT KSLVDNPPKTVWTRELKFAGVGHASAMFKRGIRVCTGTGIGAALSTCIQSPNWFLIWI GSDQEKTFGPTISRLIHDNIEPERMILWDTKKRGGRPDSVQLLKDVWHSFGAEVIFIT SNKAGNDEMMQGCLEAGLHAFGTLWDF QC762_0099430 MKDGFMGPGELRRVKSRAHFGAVAVSCGAATATSRLIIRAGSRS VLLTLTPLRPLVRFGYNQALAAYR QC762_0099440 MDRQLEEKVQHHVRIWWGQRVSLRKHGPHQGGIERFNGADTAVN TPAGKPKILQVARLPPSVD QC762_000025 MSSMQAAYFSPDKPEPIKKIIMGWVKENSQKVSRIHQFGYGWEA WLQADLGYRLAVQLNGAGLRRETFVYNDLRRVDLNIYGNAGPTQPWHLFEFKCKTPTQ NTTEFLRGLLDDWDKLEDVVIGKDHARLWAVGFWVDDDMGKPNDNRLANWNFEKGNGV NVMWRSWYSPKPTARLS QC762_0099460 MPSSIPYDPSLVLMSVVSEEALANVEAISKLQAPVDAAHDALNS LISSKRGLTMTKTELRNLGIKTDALDEELKKLNDAVEKAAVTYAAARMAAEPQIQQLR QKIHSVHKQIESPVDYLRSEIKTMPLAADTMNMDVQYFSFDSNSQNSSAYSAQIASYV SGAVSGVFGTDQSMKIGTAASSQVSRQVKSHSIEGTLVLSVSCTHKNASIVAPFVMHV DKAIKVWNHLYPGKKLDPTSGSSMMKCAMNESQEDKEKFSIISGTTFGSSFIGMVHIL NSTQTSASETMEAAAMSMQRTMDTGAWFARAEGKVGVNAKFASDVKNLLSQQNVQSHV TVLTMGVIPSMVANLVSKTAEKFADFDPSANMAAVAAIQNATSSEQSTVQSMAEASRT SGQASEMQGKAIESSLSALAVIDGEKNKVLDVNSMMTALEDYLKKASEGDSGVPINYY LKDIDQKMLAQMWAAKYYPGKFMSIKYDDTEAEGGQEKAKL QC762_0099470 MSSLNSHTMYEEINATSLETSTTSEIIYPVAVQRNKSTMALGPK AAVQVSKPDRLLFRLEKLFRPTKDLGSVLTTFNYFLYLLAYFDAKAHNFKSQALSLVT KHASVDGALSGATAHPEGSPFAKLGAVVYNARTTLRLFGLLPLYVRARKLMTDSKDMD KVLWAVSAVQCSLFAIFQFLENVAFLTENGVLTSRARAGQLGGRVAAMYKIAHRAWFL GHVCDFARLMREAQIFFRRKHIDKEDITEEEAERASQWYYDWIRPLAWLPIGWQLSAW TEDGMSGKFNNLGLRGVAGVLADLKRTATLWDATKDA QC762_0099480 MDASQKSSLKYVSSGSSQSVSPNGHLISNTFIPLDILNTRLCGD WQARQQAYTRDWLAFCFYIVDVEANKPHNQRRSTKDLLPNELLKLFEKDQDAAGLANI LRPDWNGAHLGARNSVGEFSTIGAKFLYELLFDKDLKLMSASSLARHIFKRWCLVAHS AASLAKEPFSVKARFWKIPRLPNVNNSLELVYVLLPEFWSWTETVDHGIMEDQTISAD LKTTIRRISRDDEYRRQADELWGDFMTRAFAKAASNTAQPITARLALPTDNVQNMVLL GSHPQGYYSTYIQPQDLELLRSHGYTWGGDHHPFPRHMQPHEIVSLSESTTLSVTGVY ECKTTVISKMNIQNASNWPRIRTQNAVMDNISANQVSYICVWSCRHG QC762_608140 MSTIIDAPLPIPPPSVVEAVAKPEDILAQPDIDEKNEKRNLSIG EEKFLDIDPGVFDNEMVKLADGDVTKGTYTGTQAALTHAYARIERSYETYFDALQIEP TLPRYVEKDLKKELYQWSDYPTNRDGTPAQYPPHLQTIPREDQVSQTDLFNRLGLANT LLMIAKLVPDTWYGKTADWGISILQRAFNGSPMDGTIKQIEEYNRSHRKSPTDIEEGK NIGLLPDWFTDRRFADQSFTGTNPTSITVVPEALLNEFIAAAKQGGYDKWATILPTID PATLYVQDARNIRRSLGVDENETLFNKEPKSDDSWGCAAVTLFQLHPTGELHPIAICT DYKGDSLATSVTIFNKRMLPTDSSEGEEHDWPWRYAKTCAQVTDFLRHEVSVHLTQAH LVEEALIVATHRTVPMEHIIYRLLSPHWFKTLSLNAAARATLVPQIIKDIVGVKPDNL YQYVRSEFESFDYVGRYIPNDLASRGFPNTAEGLAAPQYRNYAYAKNMLSMWYCIRRY VKSMLLTYYTEATADAVISKCEIIKAWYTEVQTAAHIKTFPTITTLDQLIDAVTMSIH IAAPFHSAVNYLQNFYQVFVIAKPPCLCSPPPTTLDQLKGYEEADLVKALPIGRQRQW LLAAQIPWLLSYKVSTERSLISFAQSQWWSRKYAQTTKEKAVRDISERFHDDLRALDV EFTQTSNNMSEGSIPYMVMDPDNTAVSILI QC762_0099500 MGPQPGQKTVLITGCTPGGIGHALCLEFHSKGLHVIATARNPSV LADLNALGMTTLPLDVTSPSSIKTCHDQVSALTNNKLDILVNNAGRTHTHPATDIDID DVRETFETNVFGVMAMCAAFSDLLINSKGLIINIASLAAITPYVFGSVYCASKGAVVS YSRTLRLELKPFGVRVMVAMTGTVRSQIASKTHRTLPEESIYQRVKEIFEKRLTFSQN NATVKTEDYAKKLVANALKPEWPLILRSWFGRADWFWAGGWSKGVWASTFFGEWILDF VLYRLIGLKKMEQVLREEERKKKLT QC762_608160 MVKASFLATAIAVIISGCTVSARGVIVPRAYCTNGKQVVEGNTC EIIADRRCTISVARLQQLNPQLDCSNLRVGQHFCCNEGAVRRDPECTNYKTVVAGNTC ASIADKRCTISESRFRQLNPHLDCSNLQVGTGFCCSEGSLPLPDCTNVKTVVEGNTCA IIADRRCTISLGNFVNYNPHIDCSRLEVGEKVCCMEGKLPEGPSPNADGTCQDRQVVA GDSCSTLYQKCNITPSLLTQYNTATNFCSNLKVNQWICCSSGTLKDRRPKPNADGSCA TYTVKAGDSCSVIEAANGLVDGDLDTFNKRKTWGWAGCSKLWPDSKICLSSGTPPMPL PIANAVCGPQKPGTVAPPAGTNISTLNPCPLNTCCNIWGQCGTTKDFCEVTGDGTPGT GTCISNCGMTIVNNNAPPAEYKKIGYFEAWSTDRDCLWMDATSIDETQFTHIHFAFAD VTPDFRVDVSKVQAQFNKFKALKRSKRIIAFGGWAASTSPTTFQIFRAGVNSANRATL ANNIANFVKEHGLDGADIDWEYPAAPDLPDIPPADPIDGPNYLAFLRLLRAGLPTGKS LAIAAPASYWYLKGFPIREMGSVLDYIVYMTYDLHGQWDAGSRWSQDGCPSGMCLRSH VNMTETYNALVMVTKAGVPANKIIVGVSSYGRSFKMKDPNCRGPMCEYTGTASVSHAT PGPCTGVQGYISNAEIQEYKNVNDINVATHYDQATRSNIAIINGTWIAYMDTAEKNAR SNMYRGWNFGGTSDWAIDLDTFTELERKVSGSVLTSRFTRKGATGGTTRWYDLSCSIP AVDTADMDRKQRWEGLMADEAWADAIKAYLARTMDVGSFSSWIVGKFFRGRQDVHCGT LLPASNCLSPQENCYDKDNKPPILTTDPPERTGPAGKFIMNSFVTLEQLFVNYYDALR EAQQDVDLFIPVMEDYFMKEFDDTFAKQMGYNILAAITSLVTGPLVGHVLRNSAATEV VDQAVLATVMTFKDKNTGDIEDDLSKASTLAMALNATMTHWERSVTGFSAKLFAGPDK DGHLTPLLANFLAEGKLIGGGVPKEQPRPDILRVADMKDMIRRALFTFMIPVAWNNND DANVAVLETGNACRTYGNLWQRYVREEDAKKAEFCFENKQYLLLAAMGPYQNCYPFGT GGGQSCDHAFWSLPPGFDKLGEFNNISATDIMEGALRTWRRNGGQNGYEFDPDNLAMK DYYDPVDPMRNKIIDMGLIQFPVCTLDQANLRWGHPGPKGDFYPC QC762_608170 MSQPLQNVTLSSISPQPLPAGLPLHVAVFDTVWFLASVTFTWLL YRLLPTFQLPHLGKREWKPAWPEFKPVALGAMLLTLWYFLTMIDRWMRQSGNSQVKYE YVTARPIYDVLHVTSTLLLLWGTYNVLWKRFGDRKPDSSRQRVWWFVSKSVFFVISLV SVLYLVFYFAFSLVWVKYESLVPVVHFAARGSSFEIATVVLVWVASMAMLGVYRFFGS WGHEKHSAERWYLWGALAMFFARSLAEVAVVLKAQTEKPPQLCLENHIGSGDTVCVPS FGTPQYIPPSTAESNMAAIDIPYGLFSILFLVAMWLTARETTGGYDQEGNQQQLVMSD IRSAVLQKLQERTNQRRKKSPPFREIMDEIEEDLDKSLESGPLARSLATVSPQYKRQA ALACIRELRDKYEGAKPRYGTEDPPGHRLAYNSQLPSLGPSSATLTPGAGGLGSVRGH DDEVSVHGSSVWAEASIRTPPETQRPRYHPAPSSYSVQELEVPNQPLSHQSSQIDMVP AETTPYYPSPPMPAQAQQRPLGRAASMGRLDPIPPPGFTSPELWSHGAGYDAYNPVHS MSQDAIPPVPVVPGQFPAANVPGIPLAAASQGYRAAAMPRPAALRSQAARGVAPGPSM VQQMPRPMDMGGGRSVSDPVVLTPFLARAEVAGVSGGPPPVADGRRGGNVMGTNWMRD EERARGRVDDDGLGRYYSASGGRQ QC762_608180 MCFGTREKNHSEGPRRHHGPTYQESFPQSQPPPQGYFAPGDPGH DRARQIPVDDTKEKISRFMTKHNIPQQEGLDNLTNVLQWVNNTLLVKDNEIAVIKRSA REDVDRITRERYTVSQERDRYRALYERDNAALAECEEALYQAKTENQRLHQTIADMKW THNETLAKNEKRYQTSMEEQDTKHEDAVKKLRIEIDDLKERIGEYTSQDDAVISDEAF RTSLLSLSQQLLKIVDHIPKPMQQQQDTRGWSRFIRSVCWTHLLHGFYQYPLGFGIFG VEGEAHAILAHFSEAIRVQAADDPDDSTLTNQQKAKMNEGRGFLFERILEDFRRNNST DEKAFTTYFRQNIERVTEDLVRALQQWSGQALDSQVNKRIWTVVRDAGVLALQMGSQR SRVMLVACSRGDIVQLKHIFEDETGHSEEVKVIVDMMTQPGLMRIGNGKEDLTREQVI SKGKVIPLKTRD QC762_608190 MHFTKAAATGLAVLVGLASAHPGHDVAQEAAERREFLANAKRTD LSHCAEKLRARGIEAKNIARRKAQVEEARRKRNIKKRDIGDVLAKSHNKTSLGYTPNT DSATLFAGINSCILSPEVTQGPYYVAGEYVRENLIEDQEGVELLVDYQVIDVDTCDPV PNVYLEAWACNATGVYGGVIAGGNGNTADASNIHNTWLRGIQPTDEDGVARFQTIFPG HYTGRTAHIHIMVHTNATLQPNQTLGHDNYASHIGQAYFDQDLITAVEQTAPYNTNRQ PLTTNAQDFLLAQGSAGAVDPVMEYTLLGDSVEDGLFAWLSFGIDTSVSNKINPAVYL GEEGGVANPNPGFGGPGGPGGPPGGWTPPPGWTPPPGFPLEENEEVEA QC762_608200 MSSTSGQRFLICVDYGTTYTGVGWILTHRTRPSQLNELNIVKRW GAIHRPETSQVIGPKVPSIISYSGTSGRRWGYGVIGDADSHILQWTKLEMEPPTRLEA LSRLKRTLEATRGPVSHRQHASSLVQGIPLHLIKSTEDVVADYLTEVAQCVRQDIETV QRDRRVIGDFPIELIITHPAIWHPRAMNTTFRAVNTAFKRIFPEFESNPGKVRLTTES EACAQYIMKTSTSAHKRHLRRGACFVVVDAGGGTVDLVSYRVDQDTPSFQVSLVTEMS SGRCGATRIDDYFIKRFLPRRLTPDDYRKVVEDAESSFGSGPHVLFSRRQQAMLESFQ FAKHKFAGVGTEDEEFRVLLPGDLDIPDNPERGISNGNLQILPEDMEHMFHETVDGTV NLIRQQITQLEVKNLRVSAVFLSGGLSRSEYLFKKVESEIGHQYRLPVFRGQEGDKSS WTDVVIGAAILGLGMNCEVPPACAECPYHIGVLISQQFHEYENDEKQAYTDAIGQSMR AKDHLKWIAAKGDMITQPDGISKSVKLVRKILKLNDQVLKGSCTVVISHDSKQGDKVE DIQNLQKVQLNYDLATLSTADKAKVIRRDTDEDTKTQYRQVELELVVTVREEIAAFQL YAGQPGRIPIAETATDRNGQFILGNAGSQREQHLPVVPDKDSASVAGSDPNQESTSSR QPGQGRRPIYDTAESSRNRRVYV QC762_608210 MLTGMEFWKDFPITNNNDSNTLPLLPITSPETWLRLMNHSTAKL GLSYFPPNLLAVPHNFSSFDTIPTNSLVITLPDLDSSRLENNPQQLDQLIYGLICTLA GIWVAAWAAWTWYHNGTLLIPRVSPPGSKDVNNDVELGAHTAAVDFVSPETFHAGFLK YYYDVGGDEHQLADNIFSHSADSHNKREKPVTGEDVEELTKLVQKMYEIDVELFGLQD ARYITEDKKDKLRRKREAMLVEAARVVESWADRRWLHINKWEEGEYDTVLEILDVLRE YVEAERQKRVYVY QC762_608220 MLVIRIFWLQAALAMAQLPTLDDLLGGDSNGVEEPAGSEGGGLV PGLQDLIDAVPVPTVADDIVGALPDPTEILDGVIGAPGTITPDEEAVATPEPTPSPTP AEEESPAVEETPVVGAPTPEPTPEESTAPVVQVPVPVAEIPAVEEPTPAPAPAPAPEP SPGPAPVPAPIVIADPPAEQEDTELVLSPAPAPNAPPAPSPDADSGVGGAVLLPLPSL PADTTPAPASTPAPTTTAEQPANTSPFLSLVTPANGSPFMSVFTPPQPTQVNAVVDDD TTDSPSPPTTSDTTNSLTNTNVSPDLPLDNTPLPSTSPTPSESSGIPLSTKIGVAAGL GGGSLVLLIIILYIMWHKRMENNPFRRHTRSGSAASGGSSKRDLESAAGLPVQDRQKL DWESGHDVAFDFGFGKPTVEIKGGNNWKETKEEDRLPAEMEARMGVGEDGSAQFRR QC762_608230 MSADQSSDETLSKCTILLVTGTGGFTHAAPVLELGRLLASRGHT IHFATHKTQEKWILTNPSYAFISPAHIHPMGDPLTPEQEEAHYLALQNTDIRVDYKSY FAPKYTVDAFWTSDYTHLLRITKAISPDAIVTDFFVEATRDMQKQFGIPVAMVWPQMP YGMVSAGHIPGIPGFQVDALTSEKASIWQRIRAALRPLRAIMTVVPYLRWVKRMRREA GVNYPLAGVTAGKPDYLGLVNSFWGLETPKDCPPLLQAVGPILSEEYPGLDGELRGFY ERGKKRRVVYVCFGTHITLPTEQVVMFLGALGDLLADGLVDGVIWTVGKKQKQQFQPL LNQWTGGVREPVGMLLENQSERWYFTPFAPQRAILDHPDTILFVTHGGGSSVNEAMYH GVRMLSLGFFFDQLLNGLRIVEAGVGLGLDKATFTRDEIYDKGRQVLLDEDGSFARNV ERMRHIARISARKKHYAADLIEEMMYDAKFGLDPNSGRMRSMHLQTADVRMPIWKAKN LDLLLLGGLATAGFAGVSYWLYSWIRNQL QC762_0099590 MARDLNLLSLMGVSLAMDNIIDKCAMDDVLTLYRTQRFLNDYIS TYLARRARRKFTREGLKVALIFVRLCDMARHCQLEHPTSQDTKLAMQILDEEQDLLSI TTPRILFDLCRVEELAARFVRFVLRIQRRLADKAERWIRVEEPAWLAPMLKPKFRYFD KHVYEEKLRTLSTAVGSHRRPLSETEVARLERAFVRAELLFFLHAVFSKDITDRAFHK MHRWEVEELLCALDFMECQGLRRLTHDTRTKALRWLFRYQISQAFYRHFYDKHYASRQ QRLRFTDVPFNHDGHSKLSQVQGQDHSDDIKWNVPKWTDPLGPNAAWSAYASQFPIQV EDRNNTRMTLSQPRFFRAPGIYEDFVCIQNYRCLAWVMWDADTTAALQLGSVETTTSQ MPPPVLQLVNLAKALGKNSYERFLESTGTIKYSPLQLYDLGLDSQVLDPGEIPEIPVV RKAIRDEDVRKAVAEF QC762_608240 MRSFSSAAGIGALVFGLVGVCSATSGVDTSVPGWDRALQQEGWT CLTPPRRTQPGRSVSFGNTVCPGQINTCEALCKSRGGVVEGENACVSNNGGVDNPFNS NTYCFICNCEDGALPDLETYYDTVPRYYCGIRSQNCFYGYNQGGQLPPDGACRCPEAE YAVPPSWYSLLPKTTSTSTVIPPSSTSVPVPQTSTSAAETSSASEPVTVTDTVIPNTS TGLTTIETITTAPTSQSTLVVIETTTSIFSNGTAPVPTQKPILTGGSTRPVVGVVGAM VAALLAM QC762_608250 MTFVPRLLLWSSFVLSGLFDSVNARPGPRPSLLNRRAANDTGRP CGALFDIIEEDLKLQFFPVFNASDVYSCLTAVPFLKDVGLRFIEYYNTTLQFQSTLAY LESPPAEYQQPAVDVVAELGRVKQKVLDDQYTRQLDFEYDIHSIVHAIHDDHVNLYIG ITAAFSFASPFDFVSASLDGKTLPRIYLKDDIVKARNNGWAEEPSPVATINGVDATEF LAQFSSRNAFGYLESHADWNDMFEHPTNDIQGFYGTLGGQLLFYPGNGLDDTFNVKLE DGTEWNDNWLALLNQIHSPGPLETAGDFYNYFVLGLAPPVEVIEDSSDSGLVFDFEGE DGLKLIEIKIDTDWLEESDEAFPKPEVAQPFLTISGGGVVTGYFQDDVGILSIPTFEQ FPEEAKNFSNTVQEFITKAEDRNVKKIIIDLQQNRGGSPNLAFDTFRRFFPQEPDSLP WTWAGSRRRSHPLGDLVGDTITEWWKGLDPEDDVQLFDQWDEAANEFVVATKINPLTN TTYDNWAQYSPGSASYREDTFSKVERLNLFDDQVLYSAFSIETVEDDPYGYGGNPVTT KQAWKPEDIVILTDGLCSSTCSLFVGFMTRAGVRTIVAGGRPETGPMQAVSGSRGTRA YSNHILDWMFQFTGQILPDNSSLPNIPIDYETRDTGLWIHHAGLNLRDEILKSDWEKD PNAEHVPRQFQYEAAHCRIFYTVRNIYNMTQLWSDVAKAAWTDPGLCVDDSTGYAKLP NQPAPVPAPTRPTAQDAQIVINITTTRGGPGQDPDGVPIFNEGDIVAGFAVKKTGLEP CGAGDKCPSNSMCEPIKVVCANGERPKATEAKYCLPLCKPAVDTCAHFASDVKINGAL RCDLRYEAVTKSRKHDFVGLCAPREGIKPQNLCPGRPTA QC762_608260 MLVISNPTFAQVHHTICYPYTHYLIRMSSPSQVVDGVIISSNPA DCLGPPAPWFFDYIFDSLEYDRWVKSVPSRLLRLVGGPGSGKTSFAALAVNRLRQNDQ AHHNHQKPPLVLSVFLKPLTVRDPSAAVHQEQNAIPFGVQFLAEIERQIDAKIGVNSD LSPPPSPQTQIDGTALLNSIRFKLFRLSDVWLVVDDLDCLWPIRKEYLEVEERLEQLR GIGVRVLLTSRTPFQLSTQKPICDVSLENEYDHDEEQHEGRPGLITWWECNLDHDSTG GPFWICQKCKEAGYACGNESHSPPELTSNPFPVTFDISNAPEPSMKSLIIHNLQLEHG RFWPLEPPFRSHQDEYPPLSCLGRRLISGSTTEEPSREAVDFVVKLVQLSYGNPSMAL LLLETIHQAETLDAAIAKSDRFPKSVVEMFDRLIDAQIRSRLLDKTSPREVRARATLA LHAIRIVGNAEKGLKFFGIEFESLKMMLLEESDRCGSHGFEDLLDSEDFEVVDEVIGA AGGLLAVETMGTRYYVRCFHPDFYNYVKERYNEFVSEWECEKE QC762_608270 MSHHHPSRLLVDRFTDTITPATTLPKTTNITPSGSLPQIQSWIH ECSTSHERCQAIQSSTSSSWLPTRLIDVSNPSKIHIITTSPSMKERYTTLSHCWGRIE IIKLIQANYAQLTDPSVGVNWDKLTKTFQDAITVTRALGVKYIWIDSLCIVQWDGEKA PGDFKTEGQLMHLVYRNSFLNLAGADSKDGSQGLFRSYEKNPRQRVLHEPVKIEGGRG IAAEWYILPKDYWRHELLDKILYTRGWVFQERMLAPRILHFSTHQLLWDCATLSASES LPQGLPRQIDTISATERHWRERLLLMRSTPANQPALIRAGTADDSLETFWIDSVRNYT RCELTNYISDRLQAIWGVAKVVRDGLREKGSWDENDHQEEEYAAGLWSKNLYLQLAWR VVNPRSREETRLPGLQGIHPSWSWASTIGEISLQSRLRLAGTWYRVRHHDGGEVRFEV KCLGDVEGSPELAARGVEPKRDHQPELVGKKLAVRGVTVRGCWDQKTQGVRVSVVDVA VVKRFDFFPDVVLEEGREVYLLVLSAHETDEHGQLVMVDDSASGSEVSWRKPNVTYNS GTGLMLEGGVGVENEYKRIGAFRFQGLGKDDMRALVDETGVKNISIL QC762_0099640 MTHSLLLLTSTTIVLDNILDHLELPDAQAIHLTCKPMNDYVNSY LGNRACRTFTAVGLKHAIGLIRLAEVVQHTPEAQGSPDSKVCQYAKPLLGGVRELRHN CDPSMV QC762_0099650 MKDARIRDKLADEKGVLCFEMEAAGLMNHFPCLVIRGICDYSDS HKNKDWQGYAAMMAAAYAKDLLRQIPSNKVEEERRIGEVVNSLQEGLGCLHQTTNETK AEVETMRRNHHLAEVERWLRPPDASTNFNEARRKWHEGSGLWFLDSPAFDEWKCGSHH LWLHGLAGCGKTVLSATIVDHLQKSNDCIVLQFYFDFNDTSKQKVDGVLRSLVFQLYK LGSNSKELDSLYQSHFDYQRQPDNPSLTKTLHAMMEDSKNTYLVMDALDECTERGELL QWMMEFFKAPDLGHVRMIATGRPDEEFLRRIPGWIGKNNCLQLDKEAVNADIRSYVTA KLEQSPDFLEKELSQDLRERIRNEVGDRADGMFRWAACQLASLAKCMSPRDIETALKT LPGDLNETYQRMLQNIPANLKKDAIRLLQFLVHGKRPLTLHEAVEVIATQTDEEPRGF DLKRRLFRGDDILQYCPSLVSVIDVLAYNGARKELHLAHFSVKEYLLKEGQFGLPLAS IVITRTCLTYLTDIEGRWWEIERFAMAQYAAKYWMDYAALAERSEDVVQISIKFLQDE STFQRWVQLYQAHNMLTRAPGPRQELRLYYACLGGLVAVSRALIDGDADVNAQGGYYG NALEAAAYGDHRDIVQLLLDRGADVNAQGGYYDNALYAAVNGSHRDIVQLLLDRGADT TALDTNVWHHLFTWL QC762_401930 MQNFYIKSVVWDLIFNLESDITLDIGHEGAAFRICYNARTLEAS PYALEQHRESYRILYEDDPDGGSRQAVERLRKPFEELMTQMAPNPPIELTGYLHSHLY PPWFILEARVDESGCVQPYFKAALSRQEFGRPGEYVNNDFLQPHLSPLLNSKLNKYSS HHIQVLAQTSQLVPSRVLVDGTVYFFKPWISGRVHGYHELQSYRKILTDTEASPPLLA SARICRLHGLVIDDDDDVLQHYPLDSDEENHSGTRLVGLLLTCIENRGTLKDLAPWSD DCTNKDRLRWSGQIHHSVECLHNAGVIWGDAKPENVLIDMEGDAWLIDFGGSYTPGWV DEDKRETVEGDRQGVQRIDDWLTRWSRQPVTRIKRSGEGGGEGGGRRQGGSVEGGKEV GL QC762_608290 MAHQPNSHAQGQYMQQQFTLQAQLPQQNHMQQQQQHPLQQVHQS LQPHPHPQPQPLSQPPPRPNFQMSLLPPPQDRLYPDFTTLLTDVKSFARTQGYAVVIG SSLNRDSEGNYRRYNLSCAKGGKSYASHSKGIRNTRSTKTGCPMRMKAVQEKAHPYDD KWHVVVQCAEHNHEPFTGEPGVSVPAQFRKIEPDGARWLMIMHREAQLTLRQLTIGIR ISFGEKYQYVKKSDVRNMLAKMKREEERKAAQLAAQQGLPSNVPYTIIPQQHQPPPPP PVSVQQMPALPEGMHVPDPDLESDDDEVENL QC762_608300 MLLPVALALLGVKVPMVVAQGFEIPQYFEISGLPMDSESFPIVD AAARPQPDLSDPKTVEKLEQQWLEAAQRIPTELINRCPVACSSAGSDSLKWDVYPHVA RMAKCEKPVLFEMPVFSEIKTDMTPVGLRVCTADLTGARRGSKRSLDNDALTGGQKAP DKAAAGVVSSIQQISNHLESIVPSCGKNKVAFAHSGTVVVGLYVGAQAHRQGLAGDAL DRLLARVEKEGFSDNLIGEVCESGYSADYVVGVVVHAGNDAASKVQQAIRAWSEGSCL AAGSSSQAVGEPIRLLVPAPSTPSAASSSANHTTASSSNFTAQSRMHRARDSGSMFRG LAGRQEYCSNVKQVVAGNTCETIADKRCTISLDRFLSYNPGIDCKNLWVGQNVCCTEG ATPPPAPLVPAPDPYCTNWKTVQAGQTCEYMADKRCTVSLSTFKSRNPHLDCNNLKVG TTFCCNAGRVPPENECSNSKTVVAGNTCLQIADKRCTISIAKFVEYNPQLNCQDDNGL KIGEPFCCNYGRVPPPGPPPNADGTCKTAVVAEGDGCDKLATKCGIAGDYITQFNPQS GFCANLAEGQTYCCGRGSLPDLRPKKNADGSCFDYTIQPLDSCNKTAIRHQLTQADLF KFNKNTYGWNGCDNLQVNLKICLSEGTPPMPTVDETAVCGPTVLGTKRPANNTALADL NPCPLNVCCNHWGQCGMTHEFCSITKSVTGNPGTTTCVSNCGNEIIKSSPPADQMRIA YFEAWNGNRPCLRMNVNQIDTSRYTHIHFAFAEVSPSFEVVISHVQDEWDRFMTMTDV KKIISFGGWDFSVKEGTYRILRDAVKPANREVFRKNVVDFVNKYNLDGVDLDWEYPGA PDNMPTAGDPVEGLDYSTWLKSVRSTLPSSKTVSFAAPASYWYLKAFPISTMASYIDY VVYMTYDLHGQWDVGNKYAIDGCEAGNCLRSHVNITETRAALSMITKAGMPSNKVVVG VTSYGRSFRMAEKDCVDPLCTFTGDKENSEAAPGKCTGTRGYISNAEIESIIETNPSA ISYHHDGTDYLVYNDYEWVAYMSEQTKAQRERYYESLNMRGSTDWAVDLQSFDTDQWY GVVDPLEGLEPEDIDLLFPNDCPERNFKTLEEIEKAKDVDAHCTGGYMIEALANMLEK AVSDYDAIMKTDYDKKFGYFAKAVKESWAAKLREFYYDSKVNNDDWYNCYKVGGSTKV SCPPKASQTTSVRMEVKNQKAFADHLMKEFSIDYADTIAGTVNLNLIPSGCVGTSPGN NYGNICGALPNPVGTEAIGVRLLREDLEIPNPKKEVGQSLENLRKLPKYLRSIVKLHR LGALHYETDLADVIDTTAPSVFMVQESVDAMKKAYEIGEDVEEEEKKRKQKDIIMWVL SAILFILPGAGQALAGFTRIAMIGRIASITAYTGGVAMSAYEVASDPENLVLGIFMLL MDLVPGVGSLRSTWKQGGAMRGKMTQADIDKMGPFVKNGLQQIDNIRQVCRRR QC762_608310 MRSRLSFLPWLLLLTFLVCQAMAGPESKYAHAAERVFAYMLYRL EGLSRPPGFDPTRYSIAKDCAVGKGRPCNLDEFITFISGGKNDGKPALSNHLPKDLPT NWYTDKSKIEDVIKAYAQAPDMDGSVNERLSSENILQYYDRKTNQMKGSGYVGLYKIN YDKFISEVQTRVQNIPANHPQKIEANLIGPNLMPLMADIIKLRKAYQLNKMEEEMRKL FPDDRSNMVYRMDSKGQAIKPQLEPIEWHYHTGTSFAGKTFKALDFEKTQNDPNNKTT WEHFQTKIDLLMERVHKGTAGDDRNLSKEMKALKTHQPVIDAMSFLYTRRTGKAPTNL C QC762_0099700 MHAFDETFMLLRQQRVVICRSCHHAVLPGSVRTDVNTQHRCLPN PERRQIVERALELEKESVLSSDINSIRFPGREDAAVPDLPVWTDGRQDVFIDRLRRRP VRVYSARPARYSGYLKSRRHVRVSPPAQTGGRWRFER QC762_0099710 MNCLSILEGIRMSETEQNRSLIFVAHSFGGSWLNKALVQARASR DFGHVAEHAQTIIFLGTPHRGTSFGLWGWLAAKGLQPLGSNPSILADLEYDSFSLHDL HKDFMAVAPDDLRVFNFFEKRPTCILRLWFVRLERFCVHEQSATYEGRNVRNIGLSVD HYGLNKFASKNESYQSILSKLTESIRASARPVKHHYAVPLGRVDTYTERVGLSAELEQ KLHIRHKKASVPYAVSLYGLGGVGKSQLALDYAEKHKHDYNPILWIDATDEETVRSSF KICAAELGLTVEGGENQGSIITDAGVRAVLRWLCDRSEADDEWLLIVDNADDVSWGIQ KVMPRGNRGRVIITSRDEQSTKLVGGTCESVRVGDMSPPEGRALLLRHLQLDEELAPG DIKDDCDRVVKKLEFLALAIDIAGAYIGSHSPCDKALQRYLADYERHRDELLQMDFFR GLLATEKTVWTVWDTTLEKIAMENKGLLPDVLLTFLAHFKGGIIQDEMFRLASLGMEK VKANMGEEESEGMPFELQQFLPLAGDKWDDFRYQQGCRLLLRYSLLQRVDGGWAGVTM HGLVRWRAMLSHRSRPWRQWYMVFVLAACYQNIEEEQPEFRRHLVGHLPDIHGDDGQE REYFLRYPSFIGETIGRIYYDEGRWEEAEKLQVQVMETRKTKLGADHPDTLTSMINLA STYRNQGRWEEAEKLEVQVMETSKMKLGVDHPSTLTSIGNLASTYMNQGRWEEAEKLE VQVMETRKTKLGADHPDTLTGMANLALTFWSQGRWEEAEKLQLQVMETSKIKLGADHP DTLRSMANLASTFSNQGRLEEAEKLEVQVMETSKIKLGADHPSTLTSMANLASTYRNQ GRWEEAEKLEVQVMETRKTKLGADHPDTLMSIGNLASTYRNQGRWKEAEKLQLQVMET SKIKLGADHPDSLTGMANLALTFWSQGRWEEAEKLQVQVMETSKMKLGVDHPSTLTSM ANLASTFWSQGRWEEAEKLQVQVMETNKMKLGVDHPSTLTSMANLASTYRNQGRWEEA EKLEMQVMETRKTKLGADHPDTLRSMANLALTFWNQGRWEEAEKLQLQVMETSKIKLG ADHPSTLTSMANLASTYRNQGRWEEAEKLEVQVMETSKIKLGADHLDTLTAQQRVLGD EHPYTLMSLAIVAKWSS QC762_0099720 MKACNMHSPADLWFGDIPLTKTPLDMSAAQLNRQKYQMPSYSHI PFPPSSAPNRDRLSPYDEVTVQNAQSEPDNKAGPITHVPGEPAVRLLPDQVRIHISSH LDTPLLDELYEHLWLIAKKCGRNIDPLHTQKVKGRSIVPTEDPRLHLTWHRDRIYVKP VPVFLLNYQFWTTYLQTSTQDSSCGMPKELGFDSSIATGFLRSYALLVPHRLDFELAK EAHLIPGDVENWLQWSKFISHFHHLSDENVARRYHYGQLRLSWLNWAVRVFRPQHART WWFYEVPHWSITAFVARATVPLLFLFAGISLALSSMQVALSVPTDDPWFHGLGESKLQ SIGRAFWVFSIAVILGWAAIGALLLGIPTAILVWQVSWGFMREKRRRARTSGG QC762_608335 MIPTSSPRATPLIPEEDILSKVLLRHSNGASTLIVPWWRLEKRK DGMLVRHYADAKSEVQSYQQAPAQTVACAEWYDAGILYTSYCGADVLARVSGLIQFYM NRFFIEIDKAVAKKQEEFDDELLHLVRVAFYNILVMSLWTLFFPLLTTHFHFFVTPVI YAFLYFVAHDLLGASWKTLWATICTIASKDSPLQRELTHLEKCLNDAREDWNVKKNSM TKTHAKLMVMVQQGMYCGELYGEMHSFGLQTSVWQYLPPRLSRLLFGDEETARFMDSV DFDWGALYN QC762_608340 MSTAPTSKEYKTFLDVVNARDNCVFPILQWGLQVHIDSIDRFYH LVIPPADQTVRLVIPSVVSAFRGRKLDEPSRPRTLALVDGTDAASRAAIVEKTLHKFC DEKMLAILSRWRNELKPIYGSSGELPFKIDRAAAPLLGVVSYGIHRTAFTRSDDGHIK LWVQKRSQSTAFYPGHLDNTVASSTMPDGQLPLEVAILEAGEEATLPEDLVRSRTKSC GTVTYMHLHNALAIGEVGLVQPQVEYLFELELPGGAEPKPCDHKEEWIKLFDAEELIE SILQGNHALLSKLRSYVNHLDIQVTSNRVTLWLL QC762_608350 MPPSTITVGVLALQGGVVEHIALLNRASGSYPSVTFHFLEVRTP EQLSLCDALIIPGGESTTMAIVARRLGLLEPLREFVKINNKPVWGTCAGLVMLAEEAS ATKQGGQELIGGLDVRVLRNKFGTQVQSFVADLNLDFLGEGEGPFRGVFIRAPVVEEV IDGDGRVKVLGTVKKPGEEEDIVAVRQGNVFGTSFHPELTGDVRVHAWWLGNVVEALG QGGEGKGLVMGKGKGDAGKVY QC762_608360 MATNLTNGISSISDDFTVKAGLAQMLKGGVIMDVTNVEQARIAE EAGAVAVMALERIPSDIRKVGGVARMSNPEMIKEIQAAVTIPVMAKARIGHIVECQIL EALGIDYVDESEVLTPADDQYHVQKTDFKVPFVCGCRNLGEALRRIKEGAAFIRTKGE AGTGDVVEAVKHIRTVNAEIAKAKAALASEGELGIAKLAREIGADPILLKQTAELGRL PVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKDALDAEHATKRARAIVKAVANWT DKKVLIEASIEHGTAMKGISNAGLKEDEKLAGRGW QC762_608365 MGPSLEDEERALIALYGPKEDWPHDSRGPEIAASVWVAFSFAAI FVALRFWTRIKIVRSLGAADWLVLASLLLAAGMSAVMTVEVGYGMGKHVYDVEPKENF LKMLKTWYFSLLFYCVSLGLSKISICVIYVTIFTYDWAKKCSWAMLIFVVVQNLWALG TTLTFCIPLQSVWDPRVKASYCHSESIWWVNTSLGVFTDFLIFLLPIPMIMPLKLPRR QKIAVTAVFAIGFFICIVSVIRLSIIVWLKSNPHVDYTYVNALSSHWTSLEIHISILV ACAMTLKPLITRLFPNLLEPPSGESTGLPSGGGAGSNGGAQLTIGSRPLRNQPAPTRP GAGGDWLETVAEEGPTVRNNDNNNNNNNKENNAIEDVALRDIDVEAQSHQHHRPRQSN AASTKGERENKELGSDTHLARGSIVEELPEFLRPGSRPYAKGDAASVSTQASLLGPEV TARSIG QC762_608370 MSATPFDEDDDPIMAEYNLFVKPPLPENRKLVLLQFFNKTATDP STLRIPHIIGMRHKPESGFYEVDLPMDINTAYDRNKGVEMGTALTKSLEAKKGGTHGL AGGFSSVGPAATAASRGRRMAPGEEEPPRMNFEEAARKNMVLKTQTLSGHRIANENVP HSYVGVFKGNNIHLTPFSDTVNLRPFQPHLDAVTEQERLNRPNPNAPQDAAPGARAPG RAIQMSLKSAMDGVATDTMADRTHKVQLENWQHLDYMPDDTNGAWQAYRENLLLVPEQ DLEGVNKEGTKEDAKGKTKEVADERDKICAMPDLVDKVAHLRTDWDEEKYIKIITEKW QC762_608390 MSFFGFNNGHNTAATGFSQAHNPFQGLSGRDDDGDALEFEDTYD GLGDQLEETKDAYNDDTFGDTSDIAAAPVGKDFDFFSQTAKVQNAIEEEHYLFNRQQP PARSAQPAQPVQQMSYGQPSLQSAASYGYTSQGHYRTGYEKYSNDKYNNNEPVPELQV DASLWGVAPKKPAQPAPQVSQQAAQSSSAPSRKILSLEEVEAQMRAQAQAKAAQATPS PAPHAQGPSQIPYDPAIQFAQPAQQQFVPPVDHTRQALPIHVLQRPQSTQASSPQPPV QPAAHQRQPSSTSVQPTQILQNPNRLSGDAARMGMQQHPTPPVPGPSAPPTQPAHRQQ GSFGRQPNIITHPSQVSQLTEEQKAAYMEQEAKRAKRNHKIYLMSRDNGVMTPQDKSF VTRIQLQQLVAATGNPNEHGTDESLAEDFYYQVHSSLQGGQRQHPNQPLNNFAQTYLF QTGNRSGHMRRHRGPENHMQRMEQQVQRAVEAAKNKPKNKQLVIEGSLGKISFSNAKT PKPLLNIKRTESTDDANRPSSAHKVVSAGDRKSDLRTIERIYTILMKMEDHDRVLPPP PTSDTDVEGHQRGMRWSNEAQALNQDLWSALRVYDEPQPGHPHPFVALLSYNKGMKAM NRIFRHLSQEQRTTILTLVIVNLDKLDVVVNAQVTSAAVQLTAAMRENIHIFSNAVMN TLFNFLNELDLDIVAGLLSILSKQRLDIIAKSRIGASMITMILSRAEIIKVNVGNTHP SWQAWDMCHTDFFDRLEPTLPYMFPGSVNTADDIYVWQLLAALGIGASPEQQQRLVLA VKDRVMDTVALSKTLPADMGAQRLQNVNLFMRSIGLDVELLQ QC762_608400 MAAGSARYVRYILIAFFVLAVFYFISDSSNAISQNIPIPHGNKG TDDHAHKDAVLNNQPNSHDAAGDTHNTKNKPAIPKVGANIDPAEYPLAMTPNDPGFHD LSGIKGGPRVNATFVTLARNSDVWEIAKSIRQVEDRFNRRYNYDWVFLNDQPFDDTFK KVTTSLCSGKTHYGLIGEEHWGFPDFIDQEKAKKVREDMKERKIIYGDSVSYRHMCRF ESGFFFRQPLMMNYEYYWRVEPSVELFCDIHYDPFRFMHENGKKYSFVLSLYEYIETI PTLWDSVTKFMKNHPEHIAEDNSMGFLSDDGGKTYNKCHFWSNFEIGSLSWLRSKAYI DYFESLDRDGGFFYERWGDAPVHSIAAALMLPKDQIHFFNDIAYYHVPFTHCPTGEKL RTDLKCHCDPKNNFDWKGYSCTSRYFEVNGLEKPEGWQDQTD QC762_608410 MASFLKNLVFRPSLLPVASPIRTFSTTPSQSATLNQVLRGCRKP QKARHPVSPALSAIHAAQLKGVCVKVGITKPKKPNSGERKTARVRLSTGKVITAYIPG EGHNIQQHSVVLVRGGRAQDCPGVRYRLVRGALDLGGVGSRVSSRSKFGTKKPKKATV QC762_608420 MRPFARALRPAVRRSLAVSAPRIASGRTAALPAVQIAARPLSTT APRRDPSLADVAPTPITHFSETEVAMAEAVQKFANDVILPKVRDMDEAEEMDPTIVEQ LFEQGIMGVEIPEEYGGAGMNFTAAIVGIEELARVDPSVSVMVDVHNTLVNTAVIRWG SETLKKKYLPKLATNTVGSFCLSEPVSGSDAFALATKATPTENGYKINGSKMWITNSM EAEFFIVFANLKPEQGYKGISAFIVDKGMKGFSIAKKEKKLGIKASSTCVLNFDDVEV PKENLLGQEGQGYKYAIQILNEGRIGIGAQMTGLALGAWENAVKYVWNDRKQFGKLVG EFQGMQHQIAQSYTEIAAARALVYNAARKKEAGEDFVMDAAMAKLYASQVAGRVSGLA VEWMGGMGFVREGLAEKFFRDSKIGAIYEGTSNIQLNTIAKMLQKEYTA QC762_608430 MTDDDKIVDITKKIEREKALINAALVMRQQTLNDTVRQKLDSQV REGRRNLAFFESRLKELEMRRMNQSMDNMSLGGSTLASTRSSEYQHDDSGRPAPPPKD GSGYPSNYGGQAPYGPGDLMPPRGPFPAGAPNSSIPKSRPNFSKLDLIKYDTPHLGPR IQHMLSQLQFKLNVEEQYLKGIEKMVQLYQMEGDKKSRADAAAKRVESGQKIILLKQA LKKFEELNIDMDADSQDDDSINMPNLRKPLSGQLSVRIVAVKEVDHAPLTRFSRSPET FITLKVEDAIVARTKASRNDRWESEYHSIFVDKANEVELTVYDKPGEHAVPIGLLWVR ISDIVEEMRRKKIEAETTAQGWVSADRLGSHDARGGPPPAQFPMGAQAPQFQPPPTSP GRFHGSEDDPQFLAPRPDVAPVIPQSVEGWFALEPAGQIFLNLNFVKDTSGRGRPADA GLGRKGAVRQRKEEVHEMQGHKFVEKQFYNIMRCALCGEFLKYSTGMQCEDCKYTCHT KCYSQVVIKCISKSNADSDPDEQKLNHKIPHRFVPFSNLTANWCCHCGYMLPIGSKKN SRRCTECPLTAHTQCVHLVPDFCGMSMLQANQILHSIKMAAEQQRTKKEKAKSGSASL SEKTLRTGSKGTTSSVGSSSTYPPVSYTPSTASADATEAAKHMYSQGSPQRVSGPDRT SISSSAASAAAAAAMSPKPQTPTQQTPIPDFGPGHYGSPGGYGRPGQQDDMYGGSPAQ HQQQPYGQQPQQRKYNPADYANIGAYPVQQPAQPRPVQPQSPPQQVAPHHQQPMYQQQ SQPVLHQQPPKQQPLPAQTEPAMIVPSASGVPVPTKKPLPSATDPGTGMRIGLDHFNF LAVLGKGNFGKVMLAETKRSRKLYAIKVLKKEFIIENDEVESIRSEKRVFLIANRERH PFLTNLHACFQTETRVYFVMEYISGGDLMLHIQRGQFGTKRAQ QC762_608440 MPGLGYDVFALGTWAVSGVPAAECQGISTTDPIDTRELSSPHVR HTRNVVTVPAVPRPRAESFMSPHTTLRLAARPLSQLATRKALAQLPPSQCFRYNTSCA GFGIGLYARLPRHFSTARPPPKRPQPETDPVIELGSPSEPIPLNAPQPESQHSTENTP SDPSSPPPTEDADPSKSEPTSESPSSSPESSSPDPSPSESPNPSPSKPNPPEPELPSV TDSHRHSLSVKFSAFMDQFQSRILVATQTLNDLTGYSAIEAIKSRNAQLESDLSTAQA RLRAARQNYKSLTTHRASTQREVTALLARKDTWNPTDLERFTSLYRMDHTLEAQVSAA SAELTEAETNESRISAELNAGILRRYHEEQIWSDRIRRQSTWGTWGLMGVNFVLFLVL QFVAEPWRRKRMIKGIAETEKENMEEVRRELKEVRAAMEVVKEHHQRQQLAAPVVVEE EPVLIEEEQEDGAAVPLVTEEEEATRPLEHDFRRSSLFGGGQRPWKEVLQEYWEDPQL LRDDAMDLYSDRRIALRMKDVSVIALEGAAAGAAVAVAVALAVMRFSSP QC762_608450 MDEFTGNLEGKVAIVTGASRGIGATIATTLAQHGCAVVVNYNNS AAEAKILGKQINKDYDVTTYAVQADVSKPEEVAQLFEKTVKVLGRVDIVVSNAGVEHF GNLAAVQSEEIDHVFDVNVKGQFFVAQQAEKYMEERGRLILTSSVSAVMGVPHHTIYA ASKAAVTGMTKCLAWDFGKKNITVNCIAAGGVKSDMYDKNAKEYMKDGDELSVAEIDA RISSWSPLGRVGMPEDIAGVVALLASDEAGWITGQTLHVSGGAHMATA QC762_608455 MPFRPKEEFHLMLRRIKRTNYDDQDFYAQRDIVDWMEAVENDNN ASNAALLLTSVYGFDSFVPVREEDLEGALVVFAFLIHMNCGDLIYIFMSYFQDDRLDR DVPSGIRDAIRCCHLRLESQIQQLIDRFDDERWAFVLVRVEDICRKAKVLETGFYILP FCRKEVSDLVSGEISEKFEGSETEDNEFGQTLPVLSFSYQSNYRVFKDEISHFEGIGM LKGAIKCHGSFLYKGSGDGDYGECHILSEGVEQSLHDLLASAAAPSTNLEIVNSWTRI FGVAETLSDLEKMEYKFPNGKVVLSKGWHDYTDPNSIFWVEDRFKLADFNQSKFEVER SLHRLNVEKTHRAFLSGSPECTPGRRITQFTMKALHRMTIWSLGCVLSSFATWIVFGP DGYDKYQRLRSRAIRAMPTAQTDEGVSLHKCGFHDGFALLQSVKDWHQFLRLSLRLSD TITGKVLDLIETKLLVNHPKERISSRELVRVLEEIIVQAKHDYDTAIKNREMLPDEFF KDLQDLDIEKYGSTHVSESPDADSSDDEEAAHEARQSQSSRSACLDRSSVSGAKSPTD DEKD QC762_608460 MSSTAESASNVAGISSARMLYEYAPLPESNAFRVLILEPGGVDD TLCCSLEITTLNKPIPYEAISYVWGNTHRDHPIRINGRAGHITANLCRALHRMRLPDQ SRVLWADSICINQDDLTERASQVLLMSEIYGQARRVLLHLGEDSNQEGEVVRSLVHEI EAMVLEGVRAAGESWDTFPTPSPEEREQFLADTRWEAFINMTHTPWFTRGWVIQEAGS ARNGLMLWGKTEISWQSFVRVYTWMVRRLPQVRVKYRDGGRGMNRLHLEMYRLRHKGE TMPLYAKQSSQFDFLIVLHDARALSVRDARDRVYAFMSLATSAGLSLHFQPDYSEHKT ARDVYLDMARDYVNSMGDISLLHCVQHTGNSMNEKFPSWVPRWDLNLFDNIITHTSGP ALIPTELRPSVSHDNALEAKGLMFDDIIFTSDVLSRDVSMSDIKMLWNQMLDLLPVAF TNPSPTHGSFAALSFAHVLSVGRSWGAEWPEWVEWRTAYMEYLCQEEPTRRDSVPRPP PDIARNGIQGFHTYAQWNVHNRRIAVTKRGLFALVPSPTQTGDICGVFLGGKAPCILR RAMTARTYRLVGDACIPVNVSRPSTGGGLVVSVGIENSGRDLLDWSVEEEDIRLC QC762_608470 MPTIVVLGAGVSGLTCALELAKQGGHEITVVAKHMPGDYDIEYT SPWAGANVLPMALDKDSRWERRTWPVLRRLAKEVPEAGLHVQTARVLRREKDVATGLK AALADGLFQFDPWYKEVMDNFREIPANELPKGMHSGCEFMSVCINTAIYLPWLVGQCA KYGVQFKRGIVKHISQAHNLSHTGKKADIVVNATGVLACKLGGVMDKAVYPARGQIVV VRNEAKGFMPTSSGCDDADDEIMYVMQRALGGGTILGGTYMRHSWDPNPDPNIAVRIM KRAVEAHPELTDGKGIEALSIIRHGVGLRPAREGGVRIEKEKIDGTWVVHNYGHAGWG YQGSYGCAEKVVELVDEIVKQEKRQSKL QC762_608480 MTGRKPPLHQQALGLVGDILNGRHMLSQVIAPALFLADAVLCAL VIRLIPYTEIDWKAYMEQVSQFVSGERDYTKIRGGTGPLVYPAAHVYTYTGLYYLTDE GKNILLAQKLFAVLYVVTLGVVMRCYRNARVPPYVLPLLILSRRLHSIFVLRCFNDCF AVLFLFLTILAFQNHSWRAGVLFYTWGLGIKMSLLLVLPAVGIILLLGTGLNSALQSA AIIALVQVLIGVPFLANNPWGYLGRAFELSRQFFFKWTVNWRFMGEQAFLSKEFALVL LALHVLALLSFLTSRWLKGTGRSLSHIITSVLQVKSPFLPQEQEAIAEAITPEYVMTT ILSANVIGLLFARSLHYQFYAYLAWSTPYLLYKSRIHPVLQYMLWAAQEWAWNVYPST PFSSGVVIGVMATTVASVWLGTKRSVLWVDNAEKTK QC762_608490 MAKSKRNILAAAQETLTPPEELTESQSIARVLQVEGNNLYICEL PNTKTIVVELQSRFRGTIFIKRGGYVLVDLASAAERPAASRVVGEIINIVRDEKEWRK QAYWPKKFEKKTYDDDSDSEEESNVGKMPPSDSEDEDER QC762_608500 MGSTLDIALPALKTKPKFIFFTDFDGTITQQDSNDWMTDNLGFG AELRKKGNEDVLFGRRDFRDSFADMLDSIKTPFNECIELLLKNITLDPGFKQFFEWAK ENNVPIVILSGGMEPVIRALLAHMLGKEEAETLQIVSNDVAPRPGKSVNEAGGWHIVY HDDSGFGHDKSLEIRPYARLPAEERPVLFYAGDGVSDLSAAKETDLLFAKSGRDLVSY CERENVPFTTFQDFTEILATVKDIVAGKTTVKEAATGRK QC762_608510 MADNATAAAMANTGQQPPGSPAPAALDDITPAPAPDASQTSTAN LTSPNNNDDLEKQTHIISTTPTNPTLLINPDVNHYRIAALSLASLTAGLTDASVGPLI EPMKHFHSLPDDKLISLLWVAQAVGFILGVALISPLRSLKPFLNHDNITLLSTNILVF LSYLPFSCSAPLPAIVITFLPLGFGNSFNLAIGNVYCGSLRRKATFYLGVTHACYGLG ATIGPLIATRMIVQTGLDYGQFYSIPLCLSLINSMLLFWAFREHPVVAPPAAGTMEEG NAEAVATEARRPNPGEWLRSYLPADTKLVVFAALFIFCYQGAEVSNAGWITEYLHHRH PASQEKMDTYGYTMTGFWGGVTLGRVVLTPLGELWPGGNKVFVYFLILLCTGFQLLIW LLKGGIVASGLSVAFVGFFIGPGYPCAMRVVMKMLDENEIRRPPFGRVDKEAKAAAMG VISAFGMTGGAVVPFVIGNLNRPVGRWVLHPIVLGLYALMLLLWFFLPVARWNKSAWK QRPIHRFVDAVLTFWQSL QC762_608520 MYEGRVEEAVLLRTTHPTQVQKRQYISLDREEHISKDAASWSTA PLLNFLLHYTRETEEKGRYSDRISGLKAIDVLNKGYTEEDPLPDESEELRQSLLQLFR DGPGKEKVPKGTSVTIVGAGVSGLCVGYELKKAGFDVTILEASSRVGGRVVTFRDPIF APGLHAEGGAMRIPGNHFLLRTYIDNFKIGELFNFEMQNKFIYLSEYRGGTTLTYDDF NAKLKSHEPKLLKLFPSLKKLAVVPVVEDFRKAYKNAEGDEPFKIKTGYQAITNLYDK YTLRSPDAIKLYDLGNAHVVFENGFIESFKDAFLSSNSQGAQVGMQQLQGGMDLVPKA FISPDRGENSLIDNIIFGARVTHITDPKPSPNPKIPMAPQIKITYKTTGSKKLTVESD YLILAIPNTALCHTSTNQPP QC762_0099940 MTTTEACRGLRRIVPVDDAPDDATIDIIAIHGLGTESPRTWEFK KRNGDGVVNWLSDADMLPAALPKARIYTYDWNANYFANAPVQTLLGHADTLLGLIAEG RGSQTRPIIFVASCFGGLILAEAIIRAAQEGSAYKHILLSTVGIVFLATPFQGSDAAK QARWQVLVKGIMGEQASDQLIKDLEQSHDFVHQRVQKFAEIANAKAVQLPLSCFFETR KTEMLRRILSPGWAKRLSRSVTRKILVTESSACLHGFPRQGLDATHSGMNKFQGPECP NFKLVKDAVRKLAGDASVVLKLRKNSTVKGHWIVRFGRNKEFVGRESILEDLFKRVLP SGDEDDCQRTAIEGLGGVGKTQIALETAYRIRDVQPECSVFWVPAVDATAFENAYRAI GQQLKVPGIDEEKADVKALIKSVLGRESMGNWLLIIDNADDEKLLFGDTALTDYLPFS RKGSILFTTRNHKLGLRLVESENHIIAVEEMSRDEALKLLGKNLKGSQMSDTRSNNAL LEFLTNLPLAIRQASAYMAKEQISTARYLKLCKSSDEDMVKLLSSHFDDRHRYKNIQN AVATTWLISFQQISDHDALAADYLRFLCFLAGKDIPHSLLPPAGTLETVEAIGTLKAY AFISQQNESDSYDIHRLVQISMLSWLDGKGERQEWTAKVLERLDDVFPWPKHENREEW IRYLPHTQHALQLRKRTDDEEATTGLLSKVGESFRNLGKYQEAEQMYRQALQLREKVL GKEHPDTLTSMNNLALVLDSQGKYEEAEQIHRQALQLSEKVLGKEHPDTLTSMNNLAL VLRSQGKYEEAEQIHRQEFQLREKVLGKEHPSTLTSMNNLAFVLRSQGKYEEAEQMHR QALQLWKKVLGKEHPSTLTSMNNLAGMLNSQGKYEEAEQMHRQTLQLREKVLGKEHPD MLASMNNLASVLNSQGKYEEAEQMHRQALQLWEKVLGKEHPDMLTSMNNLALVLDSQG KYEEAEQMHRQALQLSEKVLGKEHPSTLTSMNNLALVLRSQGKYEEAEQIHRQALQLK EKVLGKEHPSTLTSMNNLAGVLDSQGKYEEAEQIHRQALQLKEKVLGKEHPDTPTSMN NLASVLRSQGKYKEAEQMHRQALQLREKVLGKEHPSTLTSMNNLAFVLDSQGKYEEAE QIHRQALQLWEKVLGKEHPSTLTSMNNLASVLDNQGKYEEAKQIHRQALQLREKVLEI R QC762_608540 MGDLGTQRPAREDPFSDHNPLSRPYRSGGYHMLDEVQEPAKLGF LKSVISPTPATQHHDGSSPPDRGHPGPSGPSFFGRIRRSKWAMYICLIFGVACAAGHH VFYSTLNGKPATDQLVMQRYGTLLAFGAKAGLGAAVIEASHQRVWVTARKRVMTVGAL DSLFSMTESLASFGAWEVLRGAKIAALLALFVWIAPIVVILTANTLQVELSRIVTEDR CAGVRTLNFSFEEIDEWRDPTKIGKYFEVPASIWNTTKKATDDDDSDEWFDYYTAPGL TLAQTLTIGAFMGETVMRKNAQAETCGSGWNCTFEIKFTAPAYKCTELASGVGSKASN LTQQSGSIAPPFSTDLLLPKGIYSYYAFTTGGDYFNMQMKDVEPGGIPKTDRPYPKNL GAFRTDPIVWIGYSTRTNPGEPLPEKSSSPGWEQAFTPKLFACENYESSYIVQFNYTE NLHSTNVLDVEYLRPVINTTYLPDIELEDGTADNVAATPQSNYVFPQNKSLYRRTAAY YSLSLIARSFLNGTVAANQKNANGVPMANTNVIQTKVLDIANNYFPVGDLMKTVQRFY SENIILSMLSNPQFTSVVWAAKPDEQSGIDPDVQREDVEGLKYPCQRSRAGNVYTYHV RDLWIVYSISIGLAVMGVVIGVLSVRENGGLMRNVKFSSFVAATRGEGLRRVEWGGAD GGRVSEGVKGMRMRYGMVEVEGQGGKELKFGFGFEEDVTNLERNGGGTAVKRLTMLGA SSRSLARSG QC762_608550 MNGTCPRLPVWLDTCESPASQSHAQNANKPTRTIDNWSQAVQHT SAVAVVHIHPSCCKANKHPQALDHSTPQKQAVVIVEMKCPPCDTTEPSLCFPNCCFPH GTEMRDSAMSWLPSSTVALIGTALLLCFVIATRVQSFVRTRHIPGPFWAGWTDLWMIR AQLSGRFCFLLQDANKRYGPIAKIAPNWVVCGDAEELRRIWGVRSAWKRPFWYRAFRF DPYKDNAFSTTDDQVHEKLRAKLMPGYGGKDVDNLHELIDRQVAGLVSLLENKYLSSK TEFKPVDLARKVQYFTLDVISALAFGKELGYLAADQDLFSYIQTTESTLPIMLTIGFM PWLLKLIQSPRLKFLMPDIDRVVGIGTVVKTAQQAVAERYGDKPLIKRDMLGSFVANG LTREEAEGETVVQIIAGSDTSATAIRSTLLFIITNPLVYRRLQAEIDTGIREGRISSP ITDAEARNLPYLQAVIREGLRMWPPATAALPKVSDSDQVVCGVHIPAGTIIAWAPFSF LRSKKIFGEDADVFRPERWLDIEPEKYRTMDQTVMMEFASGSRWECLGKTVAQIELNK AYVELLRRFDVTLVDPTNPWTSFNAAVFIQSDMNVVVTRREL QC762_608560 MPQTPPEDVRHQHEVELGPSDAHDEENYDSGVDDASRRSSLTSL RSSIFEFYEENGRTYHSMSAGKYFMPNDASEVERLDLQHHLFRLTFDDQICLCPKKDG AKRVLDLGTGSGIWCIDYADQHPEAEVIGVDLSPVQPDFIPPNCSFEIDDLEKEWTWS KKFDFIISRFMTGSFADNASIVKKVYDQLEPGGYFEAQDMALPIGCDDGTFTEDSGLW IWMSWLMKAMEAMHRPFSAAQNWKSMMEEAGFEDVVEYIYKWPINGWPRDPKYKRLGQ WALYDMDQVMEAAILAPLTRAMGWSQEEVLLLAADARKVLRDPRVHAYWPIYVVYGRK PFSSKQKPSVDKTTA QC762_608570 MDDSNIPPNQPAITLPPLPSTQPHHPTPRIHPSSLIHPSSLIHP SSLPLIHPTATVGAFCLIGPNVTISARTTLLSHVSIPSNTTVGTDCTIHPFSVLGGPS QALADKSQPPNTGKLTIGNSCTIREGVTCNVGFSAKGTVIGNGCLLMANSHVAHDCVL GDEVILVNGVLLAGHVTVGRGAIFAGMGGTVQFVRVGEYAYVGGATVVSRDVLPYSMV KGYRGKTVGVNAVGLKRRGWTGERIQWVERAVRAASMGDQEELSELVKRVGSTGKDDL MRVVDFARESEIGLCSLHEK QC762_608580 MARATQWHATIAIYIFFLPTIFLHLHIRMSSEFDPQHSSSEEEA IEKWNPRPIVSDPSHCAELYNLLLSRYIAAIPSEFHSQTHPPTTTALETTLFPRFSTL HPAFFSNMEDPHSHPFHILLSQLQTSSPFQRDAILSPFFIQPEPDLLVPSEAYHSLFQ IDFEEALEQQGRCLLLFLPGNMDFTGEPPYDEGLVLDADTLEATWRHGMDGLPEYPGP SWIPLHVVLEKEREKWERGEYYFDEEQMKVEQRGWTEIGLDETVQAWEGLLKAIEDKG GTGGEWDEPLRLEDEDIERYKVSRFAKEFLSRSKRPKFKFIGPGITVFSPESWLEVYG SEPENSERRLNKNGAEYQNWPTLVFPSAYQVGSPFHQWSPGYYKAALSEMVHRRTGVY LNGWDQRYAEAAELVSGSADVPRGITSFDRPPPWGPVRGTRLALILRHWTRLVEDGTW EVGDDGVAEHLTWWNDDNKAKAQVRRV QC762_608590 MGIIITRPSPRVSTGGGSSGSSTGSTGSTSGTAGTTVGKTWGAP APAPAPAPAPVPVWGTTPGVIITTPPDVAAAGAKSAGKYVPGDPATVPYIDRGNSGQY PVVIVTPSELNTGNDTKVALPPIPVEWIIAPRNITSPDQCPNAGATIATFAATDVILA VLLIVTAARSMLYRASCHLFGKRGGKKVYWTWLMWLVLQVTGNVGAALLVVRTEGYEH LEFLKVFALYLSRPRLKTWWLAILRTSFSVGGRRFPDGNTRLEQEQEQENEKISLAWE KDGRKEKEHIYVDAYVSAALVEFIFQISAAVFIGVTWERFPNEVIKQYMQPPLRFMFA APGIMLVAISVGVPIWRITGETWGWKETVDKDPETKKVIRRRSPPSMGGIRFWWVIWG SLIYIPVYSAAWVYWTHFLTLPGALWCPPRLVSQSAIWILTSI QC762_608600 MSSSASATASLREKSANNHLTSSTGSDSDSTKVEAGLPNPNTTD PAPPPRDIHGFKWISVVIAILSSIFLYSLDNTVVADITPAAVNAFGDSLKLPWLSVGF LLGGVSVVLPFGKLYSLFDAKWLYIFSTVLFNIGSAICGAAPSMDALIVGRVLAGMGG NGMYLGTMNLLSATTTNMERPAYLSFVGLVWGVGTVLGPVVGGAFVESPATWRWAFYI NLCIAGLFAPVYLFWIPSYKPQPRETSSRELVKKFDFGGTLLSVAAITTLVMAINLGG ALYEWNSGNIIALFVVSFVVFGAFGVQQSWNFGLRDKGDKIFPTHFLRRWNLVLLFCS AAAINAAAFIPIYYIPLYFQFTRGDSPTEAAVRLLPLIFTLSAAILINGHLMVRWEYF QPWYITGSILALVGGVLLCK QC762_608610 MSDSRYQTNITMPHSTTHQQPFIMVPTRQYYQSPDTFLLNNIVD INKLLKTAVSSGLKAVPAVGSLLGSVVSALWPEKSQPTLQWDRIEKDVRRVVEGLLDR DKANTLRQKINSLHDLIDGYNKTAYGGRQKGEKLTFLLGWFTVTRREFTENGTPWLTL QYFVPLATLHLTLLREQLLRWDKLYPDDTMDEVRLRGELQDAITIYTTAAEQIRDKCL KWRMDERIIVTEDEAYDWCLIGRQWRKFVRDLETQFSQTISWGPEIGPSRGYRIEEEV NRYVQDLRDTAGAVYRQQIDDILAPSLQWPQFDKVGTYDPVRRVIMAGTTGPMCAGIS SGMWHFNDREFAREHGPITKVVVHAWDRVDGFEVWYGGVSSGLRGRRGGSQRELEIGD SESIVCVTGHAGQWLDSVSFFVSPNKHINGGNGTDNFRIGFAEDCPSNETDTLRLDYV YGWSNSGSGFIEGFGAVFCRVEIL QC762_608620 MVYVEFCLIRKVHDFRVLVSTKMSITRPFLLGVLAFGDMASAQV FASLFESNGSMTLGSDVVRMSGDSWSSTFASGYNATSKASIQSYNITAEYPGSELPSH QSWNYTVKVKPSIGPLAFPGNDTLSNAAGTWLQVQHPKSDRVKVPSTDRFTWQVPKHP SWSMCGVVFVSSGWTRDTPLLGPGCTSILSADCIADIKRNLTEAYKEQEFMGPNGAGG ESLCPPPRPVLIPERCRPPSGIVGLGVGLTQELPVIPPDAVLMPSDSPLGGNSNGTID LLAFAHIGNGTVDAFQQAVRQVYVVGHIWGLNGEYAEENAIKGASEGPWAEVTCLKAE VIDGGVADEPVQDGSGNGDDDGGEGQNGNGNGEEDLGNPFINAAMGLGVSTRDLCKLP LVVMAASFFSL QC762_608630 MGIERLLRVLCCRLWNDRGFRRFGYAVLGAYVAILLRCIYRIAE MAGGWGNHIMQDEWSFVVLEGIMVLIACLLLAFFPPGVLFPEMAARMAAGRKSAKGDV EGQTQTGTSTVEKGVVGGNVAETSTGTESEKEKAVPVSSTAVKKEESI QC762_608640 MSTARVWRPARALAQRRSFSTTPRALDNYAFIGLGRMGYQMARN LQSKLLPGDTIRLYDINRSAAEKLVEEMKTQQAGGAAPEVSTSVSDAAKEADVVISCL PEPQHVRSMYEALLKDIADLPPRPQPRLFIDSSTIDPHTSSTVCQLVEDKLPGQGHFV DAPMSGGVVGATAGTLTFMLGSDKSLVGRLEPILLRMGKRVLHCGPQTAGLAAKLANN LLLGIQNIGTAEAMLLGVKLGLDPKVLADVINASTGKCWASEVNNPVPGVVPTAPASR GYEGGFGIELMLKDMKLAQDAARRIDLQQTMATKALDLYERASKDAECKGRDFSVVYQ VFDKTNGQGP QC762_608650 MTSKVVGGPCHQHAKPFPMGPVALLGVLSLRRSRGPGHFSPNLS LAQRERFNRLRLGKSSTAPSTLSTCQSYPVAPSLYAAPKHWTASFFCCLLPRYPGPCS YLGSGLIPTKLVALWTTDFPRRPLRLRAKDFACCALRSHIKLSSQYISLRITNIHKTE PFLKGSSSPRRTLAADKMATHRHLPQRYNQFMIDDVPPHNELVSRRRLGRTRLSPKVA AFTHNDANDPLLDDTFDYAHLRAPLPKGIVSPIWGLNKSSPSSYFLMRRSRDGFVSAT GMFKASFPYANLEEEEAERRYIKSQPTTSANETAGNVWIPPQQALDLAVEYKILPWIR ALLDPSEIAVNPSSEGPKAPPAFHGLTQYPLPPPTPSRLRRSSRSASPAKTAPPSTRR PIATPRRRRAGSKPPVPSVVESQPADSQATLVNGGGGDDEIFQTTSVSAPVTTVAKVE EVKEGEVKVEAVEQDSGAVLNTTEEPKIKVHVDQDVKVDADGEEVKHTKVDVEVPIFG GKLPSSEEAAKMVAEARAMVEAATKAVDNEAAVEPTKSKRKADDIAEDEDDQDEDAPE SRQAKKVKTEAEIRKQKIRRRAFFGVTATVAVGAIGALLPMITPYIANAL QC762_608660 MSIAPRWKACYRDNGIETEMGREQDISAFARVKLGASRESSSMP RQAAGNAAGAGAVHSSRAPVVPSKTVIHCWLAIVLCNDSSGWPCLRLSTLGSCLTLLN TTNCILPYLPRPHHTIHFSIFSLSLSKPTTPIFLPPPSPTEINMSSGNKVTFQENSEE KTDANGRGRALSGAKAPDPGLIRTPSRRLPSPYPHHDALDEQTSPIGSALKRAKNTAS AVFCLANANQTHSDEGDESLQRYKASLGLTGGNNLSDPNDPRVCIIQSLTMESPGRPP VVIDLSAPGSVDSLKKTPFKIKEGVTFTMSAQFKVQHEILSGLHYVQVIKRKGITIPG VGKSDEMIGSYAPNTDKQPFYTKKFQEETAPSGMLVRGTYHVASSFVDDDKKTHLKFD WAFEIAKDW QC762_608670 MPGLPSSVDLDECIARLYKKELLAESVIEAICAKTKELLMRESN VVHVKAPVTVVGDIHGQFYDLIEIFRIGGFCPDTNYLFLGDYVDRGMFSVETISLLVC LKLRYPNRLHLIRGNHESRGVTQSYGFYTECSRKYGNANVWHHFTDMFDYLTLSVVID DRIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDPERDEFSLSPRGAG YTFGSQVVKKFLEVNKMEHILRAHQLCQEGYQTLFDNQLSTVWSAPNYCYRCGNMASV LEVGENGERVFNVFEAAPENDQVKDMQMGQDKMGEQGQLPDYFL QC762_608680 MSTAPGQSSPDKKSNEQCYNCGKDHWTLACPEPQRPIMDGIQRW QSRHQEQGGSKRNSSSQERRGPVVERYPPPPNHGQAMGGYPPPSGYPVPGYAGMPPVP PGLPPAGPPGLTSGGPPGLPPGPPGYTPNGPPGFNPSGPPGLSSAGAPGLPPGVAPRP PNQPPPPPPGPPPSHPYQPQQPYPPAQYAGGYQAPQPPQQAPQHGQYIPPVPPQYPQQ YGQQPPYGQHQYVPPYHPQAGPYGGAPNYPPQQYGQPGPPTEPAPYAQPPFGSQAPPP PPSAPYYAAHATGFSPPPPATGAYPPPPPPGWVPPPFPPAHQQADGRHQHSNNNRDRK DRRRRNRDRNRNGNRNNNGNGNGNDSQGRNGQRRDRPHSQQVRQQASADENQVSPDAS PVRRQSVTGGDGALAGGAGSSADVTNPPADVVSSLADGSQVPAEDIPALEQPAVEGRE GQKKRRGRAGSPSPPKDPNEWDFVVDNKHVFPDLDPKPADPVGIPLPFHFTEDPTIPP AYNATCIKSKYFNEYDLMDFCKSVRDKEEWERLKNDPIFRHYPGMVRRTFPPDNRIEY STYEPTPPLSPSVEIKLPPKYEPPQPASPAPAPVDSRYGSEYDSFEDKRGRRDDRSVR DDDVGFRSRRSPPHQPRHQDSPRDRDRRGQSSNQDRWSRYPDDRDRDRGIKRRRSASP PTPADITADPWSPNAVELPSTKRRSDDRHSDTPRGSTYRDRNDRVPYNKRNDSGYHSG QSLDKISSRRDSPREWQPQSNRRMSNRPHGYDQRNRSATRSRSRNSSSGGENARSRTR SRSPPPPRKKADRGRSRSNSPLTFQDKMLLGFTGTESSDEEEKEVEKRVVKARRMEKK KPPVKRPKVASAFNRRW QC762_608690 MADPTAITAALTGLFPLVKEVFLMTQVLFEADKKLRSIWARVEL QRAIFDAWEDGWLDARDKPDDKIKAYAFEKPLLARGILKEFVSLLKTLSDPDKLKKRY GLRPDRIPKYATRNDDVPRDLRYYSDYLSGVDSRFNIKGLPAFNKSINDHLNLLKTVR YVIVGKDYELEDVVIRFTEFNRDLQLYTDSEANNEAAQKIYEVVLTGLHSKPDDPARL AEAALFEQKATIDPDAKRFYGDIAKFIGFSLSLRDVTVLNGRGLSPNMPSQKIFTRRD FSFDAPYKLGPHSTLARLLDYPTKYQTRLVLVEWVPVPKTVNVKTKLEGLKSEWYVLH ADKPDGLLLPTAQGLVYDNTDSNFIGIVFQLPSHIRTEVPPKIAGRVDPRVVRSPKTT AAQRMPTSLRQLILQQPALDLGVRFKLAQKLLNSLHLMHTAGWMHKRIRADNILFFPS QSRDGEPDPTRLDFENPFLAGFHSTRLEIETSSVLEVAAKPLEHIRPLQGLGKVLNQP RVVALDAYQHPEYRYNVNLPYRNQYDLYGVGAVLLELGLWETLEMLEKGDIGRRGYDP RVVPTKDDIRKDGETVERAGWKLDRIMGSTYGKVVRECLTLKPMPGDLLGLERTLVAR LAHCQA QC762_608700 MVKWAHEWTEPSPGRAAVKANAPLRSTGVGVFSCIAPFTPPNAA TVGPSSTVPGSQAARWTGEHVTCPKPLSTPQCLGVRQSPTACGLVLGKEEPQRRTDIM ESIARISSLLENARELTLDAASAARGSRSSFKPLDRSQVKKLLDSRNDREVLEGLRRV IAMMYKALKTLPLFSSVVKNVASPNLEIKKLVYIYLIHHAEQEPDLALLSINTIQKSL SDTNPQVRALALRTISGIRVPVISQIVSLAIRKGAGDMSPYVRRAAALAIPKCYRLDP SQLPQLLEYMTTLLGDKQYYVAGAAVTAFLEVCPERIDLIHKNYRNLVKMVVDMDEWS QLSTLRLMTVYARKCFPRRARVEAGEEVVFLDADLELLLTSIKPLLQSRNSGVVVAVA RCYSAVGTAAYVRQAVGPLVALLRSGQDIQQVALFNIVSICLDYPAAFVKYATHFLVR ATDSQPIWELKLEVLTLIFPHSPPHIKSLILNELEHFSRGSDKGLVKEAVRAIGRCAQ TDTATAPRCLRLLLGQITFLDGTLAAESLTVIRHLIQQDPSSHVATVVRLAKNLDSAT DPQARATIIWLVGEFSGLNGEDNIAADVLRILLKEFPSESELAKRQIVLLAAKVYLHY LNRKLEAQKEAASGENEARTPPATPDQEDDDHPIPKLWNYVTVLARYDTSYDLRDRTR LYKSLLQVPQLATLMLLAPKPAPQAPSPSETRKGYTLGSAALVLAGGGTIHGLRGYED LPEWVEKGQEPDAKLRDREGDNRQDDGKYGVYDRRNKAGSVPIPAAERIIDAGQGRSA SMSGSASPAGSFGAKSAANGVGAKTLDDWLAEDEGGEQEEEEEESEEGEGEEEEEEEE EESEEEDESEEESSGEEESDEDARLVRPS QC762_608710 MDPLFLSPALPSTLIHYIIHHCTYPTTLLICSDRAEFLSTLTQE LQTQQHHQRQSEATADPDTDAGPDHLGQAPHQPSSPPNHTASAPSLLTPPPLYQLAVT RHIRTVFIPTVSHLRAFLSVFTLQDTTAVSAPPTSTAAPRSSSSGANHTTPLLLVYGF LGSHRHTSEWSVQGVNGTAAVLVEAAKQAGLKAVIVEAPLSTSSPDEAGGDTQHAEDI LSEKMPVLSGSSKRAGLNLEGTGWTGKTIEVSKVLGRWFRFQEGNWEA QC762_608720 MLGLRSLDVPEIKSTNLILSKPSNLHVPRLKSMWIFSLQGFSFP SNTPPNFVNTAHPNYQRFTTTRGASSTPRPEVNSPPGTRHRSQTQPAGAPVLRLPPRS CRLPRNPPISPRKLPRRPAHLALPPIRAAIMSYNPRMSIMPGSLPPGSGAGASSKSRA AKKEEEAAYANMRLPDREIVGCINELGISFTVQDLQKPNPIQVQMIFEWFGELLMNKT RATVDPAMRAAAEDIVGEDLCDALMPADTRNLLGFFRNLRILMEQCGVHDFNFGDLYK PTHDRLVKLLSYVINFVRFRESQTNVIDEHCNRAEQTKARIEQLYQENQNMEGQLEDM KFTRKQMEVQVAEKTKRNEELKHKLLELRKTQEKVAARWEEAKIKKAEMTKELEDKTT TKVMLKQESAKLKPYTLQSPSALQSSLAELSNTLNGDKAHIDSLDRRARALQTSTDSF AVVTGDVASCIKLLEEIGVELAKEEEENAKNAKQRDALTERGASVREVERTEALLQRQ LAKWVERTETLRAHSQEKAQRAKESMEELRAVHKKLTEERSEKGKDIERRRVRIEQIE KKMLDLKENIENEVRAAYDEYLKMESHIKLYITEMEQAL QC762_608730 MGWLFRRKSRWKRAHQNASDIEQFRGRAESALPPRTQTFPDAMI SAFPSMSERQGIKKQRIEPKKLQRRARTYSFSPGRDDSIQVGRSKSSKNKRAAGASLP AASRVANDGNGEQLDVGLGAAEEEILRRVPTLHNKRDGDHLPRKKSSKKQKREVDHQR EAEIRAMSNLIPVRPAAEDWMAGRPMKKESRRVKSGMGIVRGSDWEKRNRSSEISLPA PGSIDSALSSDSDFMSFRVSALEALAPRPTIRCTTHPRLTGDGTGLARKPSQRQNENR KSPANIPEATLKAHKRVANLADDLSASDLRELMERDQRRRERKRQLEQEKIEARLAKR AEKQRAAEAAAQAQGRDSPPNLERGVLGREIPDLGANATSAVVTSTRIRDSQDQLKEA QAKPLSTDCDDIAIDEPRVPPLAAFHRVDSIPLQTPELPQAPKEEPLPPLASPRSRSS FLHAKLRRSKSPLGSETRTENTESLKKGSETSGSKGPLSWASFFRWGKNRRHSAGPSS FSNTSRDSMQTSQIPTPPPNFIPRRVSSGVPKRTMSRFREDLPESPMSPPDSRIQSPE VDVIPPIAETSPDLPQPDEDPDQLPTPPAERYDTPMTDPRSLEDMRNTPSTVNHPDEP AGVSPEPQAMSLASIDSEASWFSGGALAKKRKSSSVMKRGSHAQLQRYTPESDSGRLQ ENDHANEDMEITEDDYLARLTPLQSERPGWNRKSTGEARPSSDWEEEAHWGSVGQQPT LVHSHTVGRMKSREGLLNNFDEDAASTHEAEVLGAESPVDEGNGLQRATSINLGNGHA RHISAGSARLLSITPRSSVDGRRTSLTLKRMSS QC762_608740 MSFRLLARPATTTAFRSALRTTTRTSSPLQSLRPAFPQTTPTSS VTKPRAFTTTTPKMTVHIFETAGQFKEAVAAHPVVVVDAFATWCGPCKAIAPQIAKWA EDPEFKDKIYFCKFDVDHLPELAQELGIRAMPTFIFYKDGDRVDELMGANPPALLNLL RKYLGGSGAGASSGGEHPEPPSL QC762_608750 MLNLKTISAGLVAGAAFASAQCTIPTTPLSDDIPSHFRIQVQNA SRPEVHNKYMNLFEAGGGDQHLFIGPVGEPTYDFTLVDGVVFNVRKNIRLVIGGEWSE IDHTTKLFSTARPDPDAIFQPTYACNPDTGLLQVELRFVQWEGEPLGGHICVRHSFDG SHEFRYDPPGNTLIDVNRECIKVTLVVLPTLDGGPSTTFSTVTVSSTSSSSSVVPPSS SSTSTSTSTSTSTAPTSTSTSGVLPYTDMTSLGWRYIGCAPEERWTTDGSFRTLSGAL LGSDTMTNEACMAFCGANGWAYAGTEWRRECWCGNSYAPTRQPLTTLVSLAKCDYRCS GDSGQFCGGDAWLSLYAKCPTGGPCENAVFT QC762_608760 MKSSPMILGALAWASLSTITLANDGHSPPILLRYKRPSCSALAA AELTVDTPLGTVIGTTYSDTPCVRRFLSIPFAQPPVGSLRFLPPEPLSALPSSPYRAT QFGPSCMQFLMEDPPTIFTQFVNQYNLQGLNMTSPFLSEDCLSLSVYAPPVRAWGQKL PVIIFIHGGAFMAGGQDVPYQIPANWVQDSQEHIVVTFNYRLNIFGFPNAAAFAGDNT KQNPGLLDQKLAIQWVKDYISYFGGDPERITLWGQSAGAISAAWYQYAAFDPSFPQVS AVIMDSGTEIFPLARASTEDVKHGNFTAVATEVGCGGLSPQEELECMQTADAEHIEAF LQENMEELMSGKPFIYFTSVVDNRTVFGNYTDRAIKKEILDVPTIIGTNANDGIPFVP LTAEGVNSTIEAITTAVFFFCPAVQASALRIMAGVPVYRYLYTGNFSNVSPEAFMGAY HSAELPLIFGTDSLLRGLSTPEEEAVSEAMQHAWVALAKGGQAGLEATGWPRYNLDTR LVREFGQFENGTTTEDGRVPLSSVVRDVSLKSMEEMCPPILDAWLV QC762_608770 MAEQAEDIDDIVDHPTGANSSEQHDEPSSASSKPKNAFAELMAP KRKAPASPEPEKRPKDKAARWRGALVQYINDPSSFGSQVLQVTPNTVLIKDSFPKATV HLLLLPRSKKHYLLRPNEAFADPAFLAIVREEAASAAKLAAAELERLLGSFSVSNKPR LEATDYANRPPGRDYLKEIKVGMHAHPSMDHLHVHIISKDMHSPKVKHKKHYNSFNTE FFIPLVDYPLADDDVRRDVGFQNGNLSKDFKCWRCGKDFGNKFTQLKKHLEEEFEEWK KE QC762_608775 MLLLYHHLLCLLTLRHHALVKAQTVAKTYTGWDCCKPICASTDN RPSLLTTRGAVRVCNRSSQPLPTTAGVSAVTGCQPGVNTNTAAYLCDTYQPIPISNDL SYGFAIQVQDSQAADHPNCCKCYQLRWLTGAAANKTMIVQVVTPGGAGGCQRGDMIIL TPGGGVGPLGQGGCNGMYGGLQLGGAQGGEE QC762_608780 MSDTRNVCITAVDGQTGFLIAELLLKEERFKKQITSLSGLSLDP TSAKAKELESLGAVIVPHNPGREREMVNALKKIGCDTICLIPPAKEDKFDICVELTNA AKKAGVQNVLLISAAGCDYAERDKQPRLREFIDLEALVLAEKGNADVALGHSPCVIRA GFYAENILLYAQQAQSEGVLPLPIGENHKFAPVALGDIAHVAAHVLSGKGPHGFDDKH RGQMMVITGPMLCAGKELAESASKALGQTMEFENISEREAKRILKNQATIDDSEKEYL LEYYSLVREGKTNYIATTAFHDVTGEHPTEPDEFFRLYAGELRPKKKVKHNGA QC762_608785 MPHHFEQQCAEDHAGQSEGASDESYVSIEQLQEMLESYRNVITD KSNQAKDLEHENKKLKEQLSLVSGDSRWKLSSFLGRFSNEKALCTHDTEKYDTYISEL EARLENAEQKCVQLEVMSNHQAAELRNAEQKFIEQEAIIKHQAAKFARDLEEQKLKDP VGYTKVSDNEIESKWKQLRFLVRQFVESHFPQSIDWETANSLAFLKPIPTATKYQATS PRFYPLILEAYVWNYLHHFIFRIQATYWNGSLGSSLSVVFHKIAATIDGKEQDVHCQK PARESFHEWRSQTVRFANMVPHRNYLPVLVSHFYSRELRDVLAQDTKEDAVNAAVTEI ITLAAELDTIFRTSKADFTIVISDAWPDSLLDENYRFGFPFNPDLMEPTRHLHPPPHC SKRNESMNVDLVIAPGIVKCGTADGKNYDKKMVLVKLEVICDKQTTQDGISIPKKVKT SG QC762_608790 MLSANNKTSDDPGDNTITIGIDFGTTYSGVAYTWSKKLARIEII TSWDSDLHSNADLEKAPTAISLDSDKHVLWGYSIPHEFGQIRWFKLLLIDKNDLPDDV QNSPKIAEAVAYLEKHNKSAIDIVSLYLRHIWNHATQRIMETISKDMVCSSKLEIVIT LPAIWPAYAVGRMRQAARIAGMLGDRDPGPTILTFVSEPEAAALATLSDMQGRHDIKV ALHYSAGDSFVVVDCGGGTVDLISYDMVSLSPATVKECVKGQGGLCGAVFVDEAFTDI LKRKFGKNKWRKLGDDARHRIQHDEWENGIKTQFDGSYREWKFTMPWECLEHVDLKPG TLIPKIVITSDEIQRAFDPVLDKIFVMVQEQVAAVTRKKGHGPKYVILVGGFGRSRYL FSYLKKKLGDEIEILQSRGASSWTAICRGAVIQSISSKGRSNLSIDVQGRISRASYGV RYSTTWDPQVHDASLRYFCHYEAGWKAKNQMCWYLNQKGDLVNSDKRVTSSYLRQFDT NDDQKQTIVEDIYCTTASQPPKVWNHQVKMLCTVKWETKIDLTTLPTFTNPVGKVIYV LKYDIEMTVAGGIMDFAVYRDGVRQGGKSVMIEYENA QC762_608800 MLSSLQLVPPAAVIHSAVRAAAPPLTLALTRALTSTTRRIASQA PRAVHNSTPRLHPRLANPPTSHHPPKIPGYRRLKTTNTLSPFFSSNQPPLGDLPSSTS SWRPPPPSSLDERPVLIIGAGSIGRRVALIWASNSRPVTLYDVSDDALKSATEYLTDN LGEYCAARGTHPGHVYITSNIRVATTTGRHESAPPPSCPAEEAELESGSKGPWLAIEC LPENLSLKVDVLSLAEGYLPLDCIICSNSSSLMTSEMIKYGDNELQYPERLLNTHYFI PPRNRMVEVMSSSKTNPKIFPFLTDQMENVGLRPMVVPPGVQSPGFIFNRIWAACKRE TLEVLSEGVARPEDVDALFRDFFHAEKGPCERMDEVGLDTVYKVGKHNLERNRDLGGG EALKWLKKTYLDRGMKGERAGDGLYTREERAELKVKHRLEKWEEVEETQGA QC762_608810 MSSESKTFPFPIPTSITGGCLCGSVRYRVTFPPDHDFLRFSQTC QCTQCRKQTGSLFFAEHVIAPASTAFTLTNKENPTLKHYSTSPKAYRWFCSNCGSFLY WQPLDNEKDYICLAVGSIDQVYLAGGENDEGVPKEGYGLALVGGGGEHLFCQNEIKGV TDDIPLLGRKRGTRVMDGGESA QC762_608820 MSKPSGSTEIPVDDDDKQDYDETSPLLGQPHQNNTPSTSKMPLP SQDSDPLKGASSNPQAQPDTSDQAPTNPNNALSASQPPEEQNRGLTKNPPAKKSTPTV LKSDTDLSWGQPAGLPIRRTNDENLVIFRRAVGINSTLPGSTDCRSLEEGRKSAMGMY AAAMRAQRNKKMISRLVNLIVYASHFFQIIVGASLTALGPSAGEHTILITVLGAVNTV IAGVLALLKGQGLPERLRHQVAEFRKLQDWIEQTEALLSVGVIGRDRKEVGLLVQVAF KKYNAAKECEEATSQPENNSNGYGNGYDNDHDHSEGSEPRRRQRLSDIPPVQ QC762_608821 MAKTLHKQINLQKVQGPDNTYTCDWHTDWAFGITLHGGCTAAGI YSALTTHLAHTPHPDILKLHLEFLRPCTREASTITITTLRTGATSTTLQATLTQSSNF KILAIATAINFNTPLGPSSPSEWTLLPPPNPLPNFKAIESNQKDPNWVMGRIKGEFLP FTDRMLILAPRQGHTTNGVHDGWYKFLYANNDDEEYSPDYEGGVDNTLLTFLADALPS MSDMLMRTGGVYDPHGIHSKMVAWESADTRNQGRPAVVRNLLKDAMKAEAFSNTVTLD VELKKRVDPNRGNGWFLIRTTAKMLEKGRMDVDVVIADENMELLVVAQQTVLVLEAGR KFSKGRKAAL QC762_608823 MCSSYPLLTLNPLAGYSLSAALYPSAITNPHGFRLPFNPHHQTS SRRQFCCVALCYSLSAANYTIVDVQWDLPIQPGNASSDTIYVNGAIENAINEMEYLHL GQNQTFENYLRALAQANTAQDTEDPTGWECDIEGVGCQTWVPEKGITYLREVEGTPKN GPGPNECGRVSCGYGAAIHWCNENGFEKEVTWDGIADGAEDLKGLCGTHRRWSTVKVR VDFKDNWNVVVVENDC QC762_608825 MLTNLITFLCGSLLLSFAGLACADADPATNYTIIELQWDMPITP GDASNGTVTVTGTVQQAIAQMDALYPGWNERFQSQISPRADGSVVGAALGELESYDCN FGTSCIISYILTGIDYLRGLEGGTKPKNGPGPGNCGRVSCSHNAAIWWCNDNNAAKEI TWGKIADGAQVIVDNCRSGSSLKDVKGQAFYKSKWNVIVRRDPC QC762_608830 MGYVADLKDGLRAFTPQERRNIAIYIAGIMIYKFGLEAFNGSVV ALATNRYDYESIQSGRPSRTFERVGLLTGLNQACQCIGSILIGPLVKRYPTKNVLACA VLVFGLCSALLLIIDAATGGTFMPAAYRENHPKNDWSYYGDYNTDAMIPVYCISGIAY GMVELIRRVIPRDIVGGHVQKLRKMDSIVHIFYEITGTAGAFITALVIIPQLGNNKAF IVTPVCFTFCATIWFFISELDFKRRKSTLHQHEKPAYWKAAFRGLFLFGESIWVGGRI LFTSRKFIWLVPGYAVALYAHRYLENGIAPQVARRYLGHSAWSQIMVGGSNLGELLGA LAVLLLTNNIQTPMPWLRLDAIMLLIVWYIPFWRPPVDDVGQAWIVAATFAPISFGWA AGDVSLAAYIQASLSRLESKNKNVSALGAVMAFLYSFYIVTYAISGTLLGRYLDRVYN ESGGTDGGNIREGLMFTAGMQMTIISVLVFAATFVPKGALAFNPKMISEEKLDEDEPV ELKGRRDQEEIPDVPVFKESSKKSYCTSDDGSEPHIEKKASEII QC762_0100310 MSAKTTSHLDTTKRRSNAHGLVQHRARPRRYSEGSTLVLVEIAK KSLVADRGAQPPPESRGPLRLAHSSYKHRPRLPHAACRLAFVVLDALMSGPS QC762_0100320 MTADAEELLIRPFRDVVAVGTAAVTNAASLGPHRADDADRMSRA AQALVREGERALKKLQPVWDDQVQKLGDIFKRMITQQASIEKRRLILEELLWDFDDVT HPDEFDIERYSALQTATKALALDIVETAKRLKPIMETAPEIPEGGFPPLPPLPTHRSR PCSTFSSRPRAHSKQEANSSCGGRHGAELTPPDSPNCATPIAQFQEFNIDSSVKRASL TSDSFPSPSTVRTAPSLLSKSSSLTSSSALLATPESAFNQPEATPNKVNFHEAVMTLL PPAALGTGDEDDLETSSTTSKRRQGSVLTEHRKSSRANPRSEDCNIGSDSTYHKLKGL CKGAVRFRKDGHWGSIKMTTEYGGGGGGAGDMMRASDAIVPLQYEVTKVAGCAECGYA HDLEAVELDKSRKPEGIIISESGPRYRLRLLFKSHLGKGASGGSADDYYACLWCVSAA VTVRESDATVFRSADDLLQHLSRHPQPLPQIPGVAVCYGPNPGQAEFDLHLPDGSVPV PMPDNVTRLATAIATKDHFRRHGRGKLEKPPKYDGEMLEFMEGARIMGVMFPEKWEGK WCLGRHDGMFGAFPSKVIELRAPQESEVPVGGESGMSVSTRWKWTPPKSGGVPWVAFG KGEVITNVQCLYADYWCWYGTNSKGKTGVFPQSHVDLQTLKAQESAAPRRPSRGLSLF GR QC762_608878 MLYPKPLEFKGSDKLAVDSISSYETFVKLSTALLQSLDIVEYDG ECLTAEQGHLERLASTVVVRRVRVSLDFTCNQDQPTAPRTKRALLREEYFGRCSQFRR GILDL QC762_608880 MVYETGLEHFQTLICQDQDQNYEGNSDPTMISCAGRAFHQLNLT LGHIKSKRMAGRIGSAFARGQRFSPPDPREASVGTHVSWSILNKNAEQQESPEGYQRP ERLELGSMSNVIAVIIPWVLTLPSVSDSLNRLLRGIAERDLFLKGSANQTIELQPHPK YFAFYCLNTEEYRTLQYSRGPEESRSLSNSPITRNGLVSGKAVGNIGRASSFAFDLAT SRPPKPFRTPFLFGKSEKSHLEVVKKNQSALDSANNEMKTWVLEEKGVMVQCRLYVSA VVALSASLVIGGISIDITVGDRIPGVDPFRSHKHRG QC762_608890 MTRLARLLIAASAAWLGFAGIGNCSTVKGVPFPSLTEVTIDDLE EGLSRGLFTSVDLVKAYLARIEQVNPLLRAVNEVNPDALDIAAELDAMRANGTTLGPL HGIPILIKDNIATADKMNNTAGSFALVGAKVPHDSTMAVKLREAGAIILGKANLSQWA NYRSSNSSSGWSAYGGQATGAYYPNEDPGGSSSGSGVAASIGLCLAALGTETSGSIIS PSQKGSLVGIKPTVGLTSRYLVIPISSHQDTIGPMARTVKDAAIILQAIAGHDPRDNY TSTIPWEDSKIPDYVSALNVSSLSGARIGIPYNTLNPNASTVEMTAFWSAIDTMKSAG ATVVSANFTVPSPNTTSIVLGADFVSDLAVYLDSLSHNPYNLHTLEDIRNFTQNSSLE FFPDRDTARWDGALELGYNNSDIRFWEEYQRNLYWGGEGGLLGAIERNDLDAVVLPTS QAAAKAAIQGAPIVTLPLGYYPATWNVTRNARGLVQQGPNIPFGISFLGGMFEEEKLL ALAYAFEQRTLVRKKGPRPVIVPNLELGDFVGF QC762_0100380 MASASTRVAEDGATPPSSSPSHAGVDQYFIAIGIDFGTTYSGVS WTRSHEWNPNDPRYIYDVMSWPGDPDHYQQRLDEAQVPTLVDPETGAWGYHCLSPTSN PIRWFKLLLLRESDAGVDVRSSKQLIEARSRLQKSQRYQKTGLVGLIADFLRGVWEHA LEEIGREVEGLDGVPLKVAIATPAMWPEYANKTMIEAAHLAGITDPPPFRFVTLSWVQ EPEAAALCTLREKLKRPVKRGETFMVCDCGGGTIDIITYTIESVHPLRFREAVEGAGK LRGAFLIDQAFENHITIGNRSRPGIRNSKEFRDFVDHAWEYGLKRNFHTPASDNRERI VEPVRLENLPRGLIPKHGLDRLVGRGKPTDIVFIERAVVEQWFSASYTGIRFLIGEQL QRLKAAGAKSPSKIFLVGGLGSSRYLHSILNRQFNNILQVRRTWSAVARGATMALLEG ISINSKVVRQSYGIQALVPESEHNGPRYQPLKDEMYIGRDGVSRMKRMLWYFKEGDRA DSNGTKVSHRVFIDFDSRHNTDLVIEVCLCNSDNPPPRKDKTVKPMCRFRCPMRALEY GDWQKLVDDEGMVTRIRLNNVMLSMIFDGELRCMLRTGDDHAEFELEMEPVVESEMED GFEGRCRIGFL QC762_0100390 MALQIRGIPMTGGQIVTPERLNRILLRQFSPCLSPRLRSSGTSK ELTRASTASFTKTPPSPTPGDNEVLVHLRGASLNYRDLIIPKGEYPFPLNFPVVAFSD GAGEVVAVGSKVTKWKKGDKVLTLFNQGHQSGDIDIKTSKTGLGGCIDGTLRQ QC762_0100400 MPSNLNFVEAASLNALYGLKSLKKGQWVVTQGTGGVSLFALQFA KAVGAHIIATTSTAEKAEMLKKLEADRVINYREDVNWGETARKLTPGGEGVEHVIEVG GADTFTQSLSAIKMEGVISVIGSLGNVAPKDNILETLYRVCTVRGVYVGSREQLEAMV AEIEKHDIHPVMDRTVFTLEKAKEAYEYMSAMKHTGKIPITIE QC762_608930 MSNSEKPKEGTVDAPKDAPKTTPSLATGLTPRAPSTGPSAAYIP QFSAATQMILKRLKGEPSNLGAALSQASRSPSITASIPSATYEDVKRRLVMSMNTSSQ MSMQMPATAPLSMRAPSVPLPAPSLAIPPSNKSTSGMSAIRKVTAGLTGSSKNTPTKS STAPKVLSSDSKVKKAKGKPNSRATGHSNKHRQRLKGQSADISGISDSDSEVETPTTA STGNGPFSAGGGGDSTPTATMTKSGRQVQKPDAYNPAAMEAATKKRVHYGKRTAEQAL CKKCSRMHSPSSNQIVFCDGCDAGWHQYCHDPFVSDDIVKNTSKNWFCSECAAKKERQ GSHAKKLKQEHVPRGPPKKESWAGKSVQQKRAYLSTLPAQELVGLLMTSLELHPDLPI FPSPVVDTGVDASGAPRGLFAGGTTEGLFTRANANPTGQGINFMRKVQSNGSARGSQD RTAGQQEEEDEEDPLTLLWPKPGKGLYARLGADVLDERGLLDAEGEDFEAFSSIVYDD RGRKVLENGMKV QC762_608940 MSRILGVPRALLQLRGTGRRFGPGPGAFRTLSGSAASGDVTLPL EGYRVLDMTRVLAGPYCTQILGDLGAEVIKIEHPVRGDDTRAWGPPYASYKSGSALEG PGESAYFLGVNRNKKSLALSFQDPAGIEILHKLAAKCDILVENYIPGALKKYGLDFET IHKINPALIYASITGYGQNGPYSKRAGYDVMVEAEFGLMHITGARDGPPVKVGVAVTD LTTGLYTSNSIMAALLARAKTGKGQHIDAALSDCQTATLANIASSSLISGEKDTGRWG TAHPSIVPYKSFETKDGDILFGGGNDRLFGILCDGLGRPEWKEDERYKINASRVAHRN ELEAEIEKITVTKTTQEWLDIFEGKGMPYAAVNDVLTTLTHEHTQARNMVVEVEHGDC GPIKLVNTPIKYSHSKPRVRTPPPTLGQNTNEILREHLGMDDNQIQALRESGVVR QC762_608950 MSPHNQGESSHAQDDGIATGDLPTAGQSADQGAASNEPPTPAPA SAAVEEDLLDLGNQDSHETGDGTVESSTLRQDKGKQSSSLDDSNDLMSSIATLKPATS PTTTTATQYLTIESRTYKDPTPPTPAPSQPPSRAPSTAATSRSRHGSSEPSPTRSDVG YDEKRYTSEDEQESGSRSEIQSIMEQFSEEGGGPGVEEVMSPRLEMASPLLGSPMSHP PRKSSLEPLTPSLAQQLQEGIQGLRISGSSPSDTRGKDKEDFGPPVPPKDDSMYPASP GRSQDDLRGNVDSPMSPSTLHRPPPPEPEPEPTLPFDFHRFLEQLRNKKADPVARYLK SFLSEFGKRQWMVHEQVKIISDFLTFIANKMGQCEVWRDVSDAEFDNAREGMEKLVMN RLYSQTFSPAIPPPQPIPGAKPRRRGGERPMGPGRRGQHQEDVERDEILAQKINIYSW VREDHLDIPPVNESGKRFLKLAQQELLKIKSYRAPRDKIICVLNCCKVIFGLLKHAKS DSSADSFMPMLIYVVLHANPEHLVSNVQYILRFRNQEKLGGEAGYYLSSLMGAIQFIE NMDRTTLTITDEEFEQNVEAAVSAIAERHRAESPPPPPPQSEKSSALKAPSAGRVSAD TDASLRPDTPRRSMSSNEGRDSGEYSGNDEKVAITGLLRTIQRPLSTIGRIFSDEPSS SEPSTSIASPARTPMPERPDGDARRQRLSAEEAAARQASAETAEAQRLHRAEHANIVE TLAGMFPDLDKDIISDVVYQKEGRVGLAVDACLALSS QC762_608960 MCGIHAIISPSFCSLSEISPELHQNLVNRGPDYFGQVTRESSDG RWKLRFTSTVLALRGDRVTKQPLHDDSGSRGGNVLCWNGEGWRFMGEVIGEHENDGEV IYRGLKDARSVEEVMGVWKGVEGPFAFVFYCEALQRVFWGRDRLGRRSLMVRRIEGGG VVLGSIADGEGKWEEVEADGVYSLGLGDGVARRHDWVEEGENFVSAKFCPGGSVSSIG VFNKALPSFEGETPLTSASPSVRALKDQLVESLKLRVLNIPNPPSSGTGKTRVAVLFS GGLDCTVLARLCHDILEPHQEIDLLNVGFENPRVGARLKKEANGKEVDLYEACPDRIT GRKSFAELKNVCPGRVFRFVAVNVPYSKLQAHRQQIISLIHPHNTVMDLSIACALYFA ARGQGSVRSESPDPDSEPSTVSYTSPARVLLSGLGADELFGGYSRHAAAFQQDGYTGL VKELLRDVSRLAERNLGRDDRVMAHWGKEVRFPFLDERLVKWAIATPAWEKCDFEREE EKSGVEAAKRVLRLLAMELSMEGVAKEKKRAIQFGSRTAKMESGRDKGTTLLS QC762_608965 MGEIMESRFQGPIRPPLAMPSAPGTPGTPGTPGTPSTPTGTNPS PVRPKLYRRQSRFTEEPMTERTPACSASIHSFDPSALDDSNVNTLTHTNTVQQFRTAN RTVSRDLSHRSSNLRRDLNSFSFGGAPATPVLQEESPTAISRTGTLDPPPQPLSSPTT AEIPPPSGPLPLHQSAGLSPEEKRRVWLRLANSVLHSAPTLVLLYIMSTSISAFRSTA KFSSPGITLLSILYLDAILNIITCFRIRSPWPRWRLSLRFLFGLGYMILFFVYIGLGN EVLPKGYTYWNVPDKMATPLVYIFLWWLAAWDLAHLPVCRPELFSCARKREGQQEGRR PSVALSELHPTSFRARVPSSVGGESVVSYTWRRWVQRTRTHSTGGVHFHEMGHNDVEM GPTRTRTREGDVIGEGESISGRRSESMRTGTAHSGEVTLRGDEFERRSGEKEKEAEAG GAGKRGEEGGRLEESPKTVRAADVGQ QC762_608970 MAPFALVTASILDTRGDKTPDTTDNAVIWIVVSIVAGTIGVVTA LTTLVVCYTKRHQYKKAKARDPYLSREEFSRKRKLSANDLFKEEETWRGHMIRKSLAS RSTNTIDQQPQQHRPEPQRQQQPQELEHVPGSDQQQQLLSPAALSTAATINQIDQQIS EMERKESTRLKEDWKRWEAQVRHERSASGEQHPAIAASNSVPIIAVPTPPKHRSHNRV SFPELRPEPLRPPPRNPARCQPNNGG QC762_608980 MSALYPPHPSSASGEYKEDLNAILMCAECKEFPPNLIEEFSSGD MVCESCGLVLGERIIDTRSEWRTFSNDDQGNDDPSRVGDGPNLMIDGDQLQTTIAFDG KGAKNLSHLQNKMTNDKGSKQLLNAYRDIQSFTDSINTGTQVANAAKHIYKLVDDAKA LKGKSQEAIIAGCIFIACRQANADRTFREIYRLTKVSKKEIGRVFKQLETFLQKAGGA DDITKAGPFNQTYQAKASTTAVGLCARYCSNMGFRNPVRVEDVARRLAKKSQQVADLA GRSPLSVAAACIYMASHLVGEPKASKEIAGVAGVSDGTVKTAYRYLYQAKDALLTKEE FPDDMPDASKLPAN QC762_608990 MPSRRSGRAAAKRAQQALESTPKNFEGLDDEDEPMPDVDADVEA DEDVEPPQDAEEEGDKDEDSVVEEEEEVAQEEEEEKSPSPPPEPVIRRRRLGRPPKNR PPDWDQLPIERPNADSDTPRRRGRGGWRGRGGRKGQYSAPTTQSIDKDGTVLDIVNDE VDLPEDPEGEKKVDKLGNLQDGREYRCRTFTVAGRGDRLYMLSTEPARCVGFRDSYLF FTKHKKLFKIIVNDEEKRDMIERDIIPHSYKGRSIGIVTARSVFREFGALIIVGGRRI IDDYNVAAVREDGAVEGELADPNDIYDPSQPYNKNQYVAWHGASAVYHSNAPTVPQQN AKVDTKKRRVAVNDMNWQLEHAREASQYNSILTDMRRQNVKGVYNVMTNLMHYPRISQ PTHARIEQVLDSGKGEDAEESSTFPPLEPSISRNFLVMDTYMETPPAGISPAAYEVPF RTSPADYEASAAADPLAPFRGLSVISDDIIAELPPDCRAAFDKARQEEVDWFNKWGDE AKNTSRREPIVDKAIVPYPVMMH QC762_608991 MAPVFLPVWSSLFLLRAPSGLGHFGLVRRLIIRLLPPQGSQIRS GRAVPFENTNIAPQGFPVVNTNNATETKPPAKRKRQRTKKDAVPEPPAVRGDLFLDAA SGLVPVSAGSKRRRGRPAVSARSVVPNAQSTPGLPLLSPAPAKHYGAGVLNGHDSVSS MIGARFASEAQSIAQSVPDLPVLAPAPPNIHGHGVLNGHDTVSSMPGAQFASEVPPAL LSGNAMPNAQSSTGLTVLAPASPNNHGHGLLNGHDTVSSMLGAQFAAEAAPAVPAPSS NVAARFLNGHDTVSSMIAAQIHSGVTPTPLPDLAATMRPSPRKRR QC762_609000 MPGGVCAVLDYDVELMADYVSEMATRVVMPRNTVNPAFRKYVSG ILSSTRLPRTTILLGLNYLAKRINMMPAGAVQNEGEIWGLLTIALLLGSKFLDDNTFQ NKSWSEVSGIPVRDLNTLEYEWLAAIGWVLYVNLDESKDYNAWLDNWTEWKETKKRQQ HQASRERLAALVTPIDTDVSRARNQHVYSSWHQQQVAEYERLSSMKRGQATPPAYRHE QASWGYMAWPQPTAPLTPPDSGYGTPEYTNSATSCNSHYAEWFDRAIVGGSNTSRHYQ QPAPAYSGYRHTGQNTRNAGNYGGFYSHNIWEHPGPDCTCSSCVSVHKQPSYFFAHSY GQPVAG QC762_609010 MSSRFVSAGSIDATTGEATTEHAGPSDSQQQQPPPVSQKSKEWA EVTQQLESDRQRRILQAKQAQEGGEKSLYEVLQANKAAKQAEFEEKSKLKNQFRALDE DEIDFLDEVERRRREEEERRRREEEEGLRAFREIKSSREKGESEEEEVEVEGWDFGGE GGRRRKRRAEGRRLLVKKVKREEKMDDTEGSPAGKPSGGLVGGGSGGDKKATEVVVGK AAAAASVPKPAEAPAVSAKKAAGGLVDYGSDSNDSAYAYIGGWG QC762_0100550 MLSVLSCLPNNILLKSIAVFPIRVQNKRPGPDNLCDTSLFPLLD MLRFIPSLFPERFDPVLLLKGQHLFLLVFLILSRLFREMAVVSQADCGDITADFIGEV PAVEETVQTGWRGEEA QC762_0100540 MAEPTPPAQPSAAEVAEKAAKAPERNRALRMLGLPALPKKLPSR NWMIFWTLSTAITAGIIYDKREKKRAIAKWRHAVEHLAKEPLPSHNALSELRKITIYL SAPPGDGLRTAQDHYTEYVKPILAASGLDWEFVQGRRDGDVRAYTAEKIRRHRRQVDS GEVEPELPDEPTKEEIIAAHRKIRGIKDYDGIKGDIVIGRHTWKEYLRGLHEGWLGPL TAPPLPIPEPLPTAESDSEKSEEDKKKEEEEKKKEEESKPKRPPQPRPYNTPADYPSS PLPSSIPNEFSPVAPVREPHLLGFLSTPTRLYRFFNRRHLADEIGRDVAAVCLAHYRH FSEQSGEDQKYEQEEVLAFEEKDWIKSLWKEAGDEPEHVKEREKAGITEVVRARPLVL DPRIAERMRRFELSKEDVERVAKIVVPEEEIEGWTKGKFRQLYRWGKGKVMGEEKRSN VEDVD QC762_609030 MDNQGNRLYLNFGNNNNNNNTDRLAASDRTQYPTTPSTFPQPVF PTGPSGQTPTPQQAQQGYPQGYASNNYYNPNHNDPNAGLAHQFAHQNLGGAGRPQNYS ARGPSPGQRPRTANSQGQQPGYGSYLNAPPMPSQNSGLEFAPAPERNPDKYGPNANNN QKKCSQLASDFFKDSVKRARERNQRQSEMEQKLNETTDPRRRESIWATGGRKEGQYLR FLRTKDKPENYNTIKIIGKGAFGEVKLVQKKSDGKVYAMKSLIKTEMFKKDQLAHVRA ERDILAESDSPWVVKLYTTFQDANFLYMLMEFLPGGDLMTMLIKYEIFSEDITRFYIA EIVLAIEAVHKLGFIHRCVLFAGVVTAFGY QC762_609040 MDYQNRAGSKFGGGGVASHSATNADRRERLRKLALEQIDLDKDP YIFKNHLGSFECRLCLTVHQNDGSYLAHTQGKKHQTNLARRAAREQKEGKGEIDPTTG LPVGVVGAGFAALGLGAGGPRKNVVKIGRPGYKITKVRDPITRQQGLLFQLQYPDIGV GVTPKWQVMSAFTQRVEEPDRNFQYLLVAAEPYETCGFKIPARELDKREDGRQFEFWD PDSKEYWVQIMFMTEREERFNAAPGLTGRR QC762_609045 MTSINDIQEKTHPEPSVSHSGPSPELKKATPTLRPSALFRNPFS YKSMAVESSPGKLPAFLMITTLLCLATLGAASPLINPEEQRPAEVPHIAPDHPAYHPA VSQPHHESGEEGEHQPEQPAHHISFPRPRREFREKEHHPSYEEHRPEGQHHAEEHQPE GQYPPEQSHTEEPHHPKGDHLEVSRLEENHAEEHCPEGFHPEVHIRSSLLEPPAPLPA GAYTPSTPCPPSLEGQWNCMLTSWQRCGSGIWSAVMPTAKGTQCAPGGIAHELKTVLA PDYPPSAKPNPDQPQLPGPQGNTGGWAQGLPPRYSEGGRLGVSIGLAIAGVVVVVVAG LT QC762_609050 MINRTSEPSYISDLEPPRKKLRVRKGTKSCWECKRRKVRCIFSV ETNSVCDACERRRSTCLSQEFPDVVTQHINGDTEGRLGRVESLVENLARKIDSLNSAQ RDTEDRTPDLQQPPLPSNPESEDNRQTAELRRIATELLEAWPDKSVLDQISELPLQTS FMELLFKVGGILSPNPSASSKPSLVDTMQKPPPGAHPVLIARKAVLLGLLLQDVPASC VKPLENHAIGYRDVMSRAVERTISMVTSNDQLTDSIEGIECIMMESMYHDRAGNLRRS WSTTRRAMTMAQLMGLHRGKQAKTCLNTLSSNTEANIDPEYLWSRIVQSDRYLSLVLG LPQGSSDNSFATPKVLEKCTPAEQLRRLHCVAAGYILQRNDINDISATREIDDLLQKA SAFMPPRWWLTPDFAPRTAEDDLEAPDKTLRLMSQAVHYHLLAQLHLPYLLRPASDLS CNYSRITAVTASREVITRFLTFRKGNPVSSYCHGIDFLAFIASTAMCIAHLDSHRQKV QRVLGFDALDFLAHQRQGDRGMLEATLEAMERMSVDGEDAIAKRIAILLRHLLFIESE AAAGVVCSYRSQSEQQAEEAEELGCGGTTTDGDNILRIHIPYLGGIVIRRGSDMPEGL ACAFGEDGQPFELGSVESWGLQGVDTAFFDNLLRGAGESESAVFEQP QC762_609060 MVKIAIAGATGNVAQEVTEALVATGKHKLLLLTRTDTAELSSNN AFPNITWITTDYGDLDGLSETLQGVDTVLSFIVTHSDPGNTAQKNLINASVRAGVKRF APSEWATSSFEHLPWYAGKAEIREYLAELNKDKKASQLSATHLSQLLMMIWHLGQFTD YLCYPYKSSKHIHPFQTQIDFHARRAIILEDSEDARITWTTAKDLANVVAKAVEHEGE WPVVGGIRGDEVTIGEIIALGEKIRGDPFIVEKLKAEDIKAGIVKSSWLPVVDHPSLS PAAVEAMAKGFLSGMLLGISAGVLKVSNEWNRLLPDYEFTRAEEFLTEVWESKP QC762_609070 MDYVQQRVAQEAAKAEGASASSSFFTPLNIIVLLFVLYATYSFL RPTPPPALPKEEPAVVFKTFTPRTLLPYNGENNMPVYLAVKGRVFDVTRGRNFYGPGG PYANFAGRDASRGLAKGSFDEDMLTKDLDGPLDTLADLNQEELEAMTGWEERFLEKYL VVGKLVAVGEEEKEE QC762_609080 MDFLSKVAGEALKPQQSAQQQEQQQPQQTQTSGGLLGSIVSAAT QSTQPVATNTQQQPQQTQTSGASGLLGSLVSSATQSTQTTQQQPQQPQSGADALLNKL HGIVGGGPESEKKEDALDKAIDLVQEHVFKAGPQTNESAAEQAKDKFIADTIRDGYEK ATGKDFPIAAKKEEENKVTAGLGGLAGKLFK QC762_609090 MFIHPILKSFRSLHKHPSPQPDPSNLPNSSLSPITTISPTHANI PPSTMSTPTPYKQIRALHTPRTITLYQAYNHPIASAAVSAQSLTASPLFKTTRMTWIK PSWAWMLYRSGYSFKDANQSRILALTMSHEDFLWLLSQGVVSHRSPEEKGDQGGKKLG GVRIQWDPERDVKMERLGYRSIQIGIPGGEVCERWVGMVKKIEDVTDKARELKRVIDE RPEVTVGVLKEMGLVPEEKVFEIEDEEVRKRLGMDWPVEPE QC762_609100 MPNGIAKTAFCAHRSFSSISLFASAPLSFSHHLPIAPFPLPSPP GPEIPDTSKKELTSCVQHTLSAHKLPPCRIQTTWRTVALIARELGRWLGREGVEEDEL RFFLAKTQALAMPNRDERVLRFFSAVTTTRRQSTVVPFAVQPFGSMGKYLASDPLQQW ISSTICCLFRYHDQRYIKSFLSFFLILSAQKGGEVPPRQYKINSMPEKLRLDPVVSKV VESTWLHVGNAGLLGNDNECPRLPDEFDWACTEGHDLDEYQLAILLSKISRLSSREII IQSKHILTNLVLWLSWHYAGRLRVVVSGRIVYDRVLGPEEGTVECRVAVFCAEEPKKC SFFERDRDEKDPRDHSIRVFESIAGSLHLLFKNDYSSFASPRGGVFVRQPLYEWPHRY PKQEKKIKNITWVAARELLTWFLGLPVVKDHIPRFRCPSFSLELFSATKDNSHA QC762_609110 MAVGQLPKSSSDPEISSTRPARPKFHHRCTNDRIREGSGTPPLF FSMTGKIRKDRKSVFREVGLDTEEPSGPYFSEHEFGEITGLASPTSTHRPDTAQGNTS DDGKDDTEQRQPRDELDEAESPTSPSQKPWYSRLTPGRRPRVRTASSAPPPSVSSFTR LSTIALLIAVVIPAFSYYKGAEEVAPLAGADAGVIYYRDMKPGPILETRADSPTKACK RWAHQTAQLNGTLYIYGGQASNTPDQTYDNWNNNLLVLDLTRSWDITSPSLRGLPQPS GPPAVSLGYLWNDYNNLYLYGGQFSDKPVADVPPLSIWRYSIKSQSWDEFKNPKTTAG NYSTDAGIPLERAAEGAGISVPELGLSWYFGGHLDSHTTPGWSIHVPRLYLKSLLEFT HPGYVNDGLKIDGAGSEGAFRNITEGGLQVQDAFSERGDAALVFVPGWGERGILIGLA GGRVGGDLIDDLRTLDVFDIETSEWYHQETTGEAPRVRVNLCAVVASAPDASSFQIYV YGGQDLEETQTQYNDMYILSIPAFTWIKAPSFSSSSTAPKARAGHTCNLRDGQIIVLG GYTGASTPCESPGIWVFNASSLTWSSRFNSLDHPPDISPENSVLGASYGYTVPDSVAE VIGGSPSGGATVSQPLVGKPTAGPFATGKPPIWTLPGSTATVTAWGPDATSTVPPGSD PQSPDSNNSNNNNAESRKGGLIAAGVIAALAGLAALYLGYCAWLYRRQVKAYRSHLAV ANRYSGPAGASTGTFSGLAAFFGGGRKGSKKSNKSGSNHGTVVTEKERYYPSNRMSTS TTDSLFATSSGAGGVEPRTMFDDDDGAHHDLGVPQRPGQWWRDQEGGQGSSQTAVNTT ASGPSGASPGHHIQDEEVGMGKKVERRGSTSGESASSTERLLEGQEPSFFSVVMKPRR ALRVVNGLEGEVTSA QC762_609120 MSKAKGWQAVSKTISSLVPPIHQPLPLSKRDSQRLLDALKTSFR SELDRQHGPSPNPLSGPKASTTLTSASASSAEKPDVPHRPTDVHLHSILNNPLLSQAS SPRRTSGIGSPYDHDKEVFQQAVAKGLMTIPRAHGFLLKIFKTAEKNTLSQSPLYVPL QGTGAGLLVLQWLKASGQHNTYSWLSNQKFSVLLLRFMIAEGLDDMVWIWLERVMKQA SSVNTMHSRICSDLLIKHFIAAHTCTEGLRDAYSSVIQVESMLKKNELPLNQLGDAWS LVAWNSTVASWKHAKAPVSLFEPFVAMSIELERRLHERAHLDLYHPTDPSAELALQYF REDDSFVKRLLSQWDPAAAPKMPPYVQRVMALGIDAANHLMSADRVREANTILDCISG IQNRIQEIVGGGSGELKPAV QC762_609130 MSEVQSRPSAPRGRGSARGGRGGFSTRGGGRTAARSAGTNGTTQ HDTESSSPALEDEGEISELRKLYGQHIVNIKNIVSDWTDADILYALRETDGDPDEAAF KILDGTFSKWNEVSKPVKTKAKNDTFTTTTADSGAAGGLRNARGGRVEGGRGRGRATE RGGRGGGRGKSIHPSTNGPRVKENQPLSVPTEESNEWDTSAPTAESNEWTESTPAESA PAPATVEPTPAAAPAKPSTDAPKTWASMLRQSTTPKPAPKPKEVPAPPPAEPTPVIEP LPPAPAEPEVVPEPEIAAPVEEKEEPVPEPVQEEQPAVVVPVIEPVIPVVPVVPAVAI PEVALSPSKDALTDENLDKVPGTTEPPATETARSEAADSWDPRAAGSATATPLSASQQ QHQAERTPSSGFAATATKATTRTPAFPRRVLDQLEAVRMPGNRAEVERTTVQFGAFSL NGGIEDDIDGDREEPETRPQPPQDSPVAQPRASLPPVQPPAAPEGFPTPTQKPVSQVP TGSAGMASQTSPAVLSWLLADTNLAAAPAAVPAITPVAAPAPQPAAQHNQQQYGRFGQ QTAAQEHSSFPPSKPFDSFGQQQPAAASTQSQFEGGFQGQTQPAAQTQNQQQAFSSAP NDYPSYYTSGDQRGFYGGYNYNQQQGSQDGPSSQAQRFGGYGTTQTDNISQYPQSGAQ HGQSRFGSGSAPSAETPTTTAPATSASSALPQAGQTSQTQHGQQPQPDQYPYHPYSNS PYYGGYMGGYGQYGQGGYAPYGKGNVGYQPNQYGVASQGPYGYSNPSAGFGQSALHRE TGGAAAGAGAGLGDYGRAGSQSAQQQSGFGGMHDAFSRGASGYQSQAASFNAPGTQPG AVPSAVDDIKSFGDAKGAAGPSPSLGVAARPGSAANNGPSQSGLPPPQSAQQTNLGGM GQYGYPGHMQQGHAGLHGSHTAAGGYGMSATGGQSQQSSYGYGNQGFGGGYYGGNSQP RGWGNNYHH QC762_609140 MAPASSLSAWSDLHSHHESVGKNIILKDAFKNDPKRFDKFTRKI TLPADISSGSNGTDIIFDFSKNLITEETLDKLVKLAEEAGVEKKRDAMFAGEKINFTE GRAVYHAALRNVSNQAMKVDGVDVMNTKGGVNDVLEHMRVFSEQVRSGEWKGYTGKKL TTIINVGIGGSDLGPVMVTEALKHYGAKDMTLHFVSNIDGTHIAEALANSDPETTLFL IASKTFTTAETTTNANTAKSWFLEKTSGKGDIAKHFVALSTNESEVTKFGIDAANMFG FESWVGGRYSVWSAIGLSVALYVGFDNFHKFLAGAHAMDQHFQQAPLRENIPAIGGLL SVWYSNFYGAQTHLVAPFDQYLHRFPAYLQQLSMESNGKTITSDGSPAKYTTGPILFG EPCTNAQHSFFQLVHQGTKLIPSDFILAAKSHNPVSDNLHQKMLASNYLAQAEALMVG KTAEEVRAEGNVPEELVPHKVFMGNRPTTSILVGGAIGPAELGALIVYYEHLTFTEGA VWDINSFDQWGVELGKVLAKKILKEIDEEGAGSGHDSSTGGLLGAFKAYGGF QC762_609150 MSWKLTKTPPQLPRPLSSTPGFGHDGPLLRRIFVSVLESANTSH PLELKETHLGPLTSTFSRSPSTSTITDKDSKSQGDISGAVTPTNENTIAASEALTQAP VVAPPKPGILVVTLHEGQGFSLPEQHRASFNNPAHGGNSLSTGNALNMSSSIRPSSSS RTSGFMGRPQTSGGFSGIPTNHGRISSKYMPYALIDFDKLQVFVNSVEGTPENPLWAG SNTQYKFDVSRSW QC762_609155 MSPPKASTTIPLPLRLVLLLLEPLGALNGAYLTLFTPSSYHSAI ARPHPPSWSPPFSPQNQYLYTQLAGAWLVFAFNESVILWLYDDLKLWKLMCWGMLISD LVYHISAVQAVGGWERFLGLGGWNLFDWAVAVSAAGPAVVRVWICLFAGEKGGREKGK GE QC762_609160 MPHHFIFGHMIESGKAFKDVPMEINRLTLTSLFLRQHSDIIKDG IIVMDVWPMIDPILYVMDPEIVSQFSNQQVLAKTLPKSNQLKDMFRPMFQGKDLLTMD GPEWKRWRAIYNPGFSARNVATMAPEFIEEIDIFKRHLRKVAKSGKVVKMQQLAINLT IDVIGRAVLGNNFKCQAEGNPLEKAIADQVKWLIPNRTPDALVKLLNPFRLYDLWRLE RIIRNVLAPAVRENLSNETSTTLHKTILSLAVKAYLAENSDSNPKFDLEAFLNRTIPH LKIFIFAGHDTTATSLCFAYYLLSQNPQCLAKLRSEHDAILGPDPSQAAAKIAANPQL LNSLVYTTAVIKETLRIFPPALTVRQGRPDVTLHNHKTGKTYPTDGFLVIGATFATHK LEEYFPRNEEFLPERFLAKEGEELYVGKNAFRPFELGPRACIGQELAMMELRMILGLT VREFDFEVDESVFMDGGKRLWGQRVYMVGGLAGHPKGGMPMRVKVRGR QC762_609170 MRVSTLSLGALAVGLGAATEWPDCHEDNCYRNLIDANYAAEASA FCPGFIAGTTTAATAIPTNFYNCDGSVQSVSSVCSCIVYTMTHTSATATAEPTTEPSV EPTTTTIETYTISETWTSEEPTSTSTDEDDYCEDDETTTTEPTATPTDEPTVTPTDEP TVTPTESEEPTATPTDSEEPTVTPTDEPTVTSTETEAPTTTDDDYCEDDETTTTEPTV TPTDEPTVTPTDTEEPTATPTDTEEPTVTPTDTEEPTATPTSGPTTTDDDYCEDDETT TEPSATPTDEPTVTPTDEPTVTPTDEPTEEPTVTPTETEEPTATPTAEPTEEPTEEPT ATRTDEPTEEPTEEPTATPTDEPTEEPSVTDTPTITTTKDEDSTGTTTTEEWTTSTIT TTTVKTITQCPSTVPACPTGGVVIVTTETIVTTTVCPVTDVPAVPTTTEGGEVTPPIV TDGPEVTGGPGPEVPPTSTDEEEPPVVTDGPEVTGGPDPEVPPTTTEEPEEEPPVVTD GPEVTGGPGPEVPPTTTEEEEPIVTGGPGGEEPPVVTDGPDVTGGPDVEPPVVVVPTS FGTVTVPAVTDLPSTTNTPVTAGAGRAVGPVEGLLAAVAGLAAVLL QC762_609180 MSSPLDDWLWILRRNPGAIMRSTSSSRWWDSLWPCYSCCQRIWI PSLGTFSHQCLGVVGHVPLWIALLTVTLRYGLGPVWSRYRPTWLRRFAAEETTISAID QETGDSLGVKRSKWTIWNLSLLLLSVGAPLLGVTGAVIWPENAQVLLMPVGPAVISST MLVLERPRTLPGAVLMIQGALLLVELALFIVVPDVAGNGWPSTAVWVGELSLTFASIL VMLNMPLREPYAESTGISKPFTAPNSDARSPEDLITAWQWMTVSWLSPLMKLGYSRQL NDDDVWFLALEFQHGRLHTLFRELTGTVLVRLLKANGLDLVITTCLAVLECTVELIEP VLLKQLLGALSSSPPDKRTAMIYAGLTLFGRLVKAQSSVFSIWFCRRNYERSRGEMIT TIYEKTLRRKAFTFPGGATDSIEGASQAQPESPSDGISSETTLTDSESRHSDDADKVE PTRYQRLAAWFHHHYQTIKPFIPQLRTIKTKPDDSPSSTGKIMNILRSDVYDVAQRFW EVPDIFTKPFNFLFSVYLIWKILGPAALSGALVILLGMAVNVLLMKVFVNIERARRSI TDVKLQRTSQFVESIRHLRWYDWQDAWLEQIMDSRRAELVKRATANIVSLFIKTINSL VGFLFPLAAFLAYTLISKKPLTVDIAFPALDLFGMLESSLREMPQMFQTLLNARVSMQ RIEKFMTEPDRENDDWDGKGGEGSDGPLGELEIAVERASFSWPGVTKEVLNDVTLSCK AGLTMVCGRVGIGKTALLQAVLGELDQHGGERRVPKEVIAYCAQSPWLESMSIRDNIL FCSVYDKARFDQVIDACCLRDDLDKFKSKDLTLIGENGVGLSGGQRARVALARALYSR ARILLLDDPIAALDHQTATSILQNLFANRQAPLTAGRLIVFVTHRVDIVKRYAYQVIE VVEGGKAQTYSMAELENNERELEHLAALAAAQEQEAAPADNGGEGEEPVPDKFIQDEH RVHGGVLVSVYWRYIKAGRIAWWFTMIGFFIAYRAAKVGYFWFLKEWGEGYDDKPANA HRLHALGLSGHPDDLQQAVFSQHVFQQSRVGRLKSWLDLSRYLPSPADNVMPWAVGLL VLSLIQVFTVTCSDVALVGIIYEAGKNLFRETMQRVSNATFRFYDVTPVGRLMNRLTS DMGTVDGSVAVLLQTVTWSTFAWLSAITVIATITPSFLVISVIMTGIFFWIFDSFLPT SQSLRRLETVSLSPLMSNFGTLLEGLATVRAFRAQPHYQNRIVFTTDSFQRMDHFYWS LQSWLQYRFDLLSAFTTFALTLTAVLSGLSGGTVGFVLASAANFVRSTHSLCRRYGEL QMQFVSVERIVELLSLEQEPKGSFKPPAAWPLYGDAIVFDNVTLRYAPEFEPVLKNVT FAIPGGTRNVAVTGRTGSGKSTLALSLLGTLHPDAGTGGSIRIGNVDLADVDKHALRK NITFVAQDPVLFAGTLRDNLDPLDERGETERADVLQRVLGMSGDFTLDSPVDGGGKNL SQGQRQLVGLGRAILRRSPVVIMDEATASIDGETARYIQRLLREELRYSTVITIAHKA EAVEGADWEVVLDKGEVVRAGPTGES QC762_609190 MISLGKLRALPSALAILVLASTVQGASINFDFYPETAQGCMYAA ADASKCETGVVKTTNECLCQNGGGFIKNTASCLGRSSRDDLQQVYDTMSGACRDSRTP MGISEKQFMDFADGATTTSTSITTTTAPTSTTTTSSTTSTTTSTESPPASTEPADEGS PDEGSQGLPTAALAGIIAGSVVGVAVVAGMVFFLLRKKRKQGEESHPMLPDSYTQPPL SQTAHMSVTPSASGTSGVWPPQDQPKWMPSPEPAKAAYNRASGYNWESPEHLSLPPDT QAQAQAQAYDRYTAFQPFQPHMSTVQPPIHELDVPVGHPAAGGEGPVEMSGTPIQSPG PRWTGQP QC762_609200 MPIATTNEVIESAVIRAPLSHVWHFIKLAEFPKWYSQIKQAEHI VKGVSDETDVYKWTFKDGSVVEIKQDEHSNLDHFITYSVINSEPELSYSSVVSTIRCW PVTSGEFEESTFVRWTSKFASDADIGVIEDAKYKRRDALKDLAAAAQKMVKEHQK QC762_0100770 MRYTGSPCQGTYYWRDPDNRKHYKLNTSVLPNHVDYAEGDNIPR TLYQVHYKKPLAPRNDRPNNTIQVISS QC762_609220 MSLSTTSILDIFTYSLPASFGVNFISTDGSSRSKSLSIDRDKWG SEVVWTGEDFYTEQFEPSMLDISTADYLDHRQLSLEILNWVCNLSASPTTVSLNIQAS SLRNTLILSNTRNIFNVPSVNIYASKQVLKSRLIAAKAFEDAFRSFAAQDTTTGTFAA LTTNMFARSEDAITEYQFLEALAQKGYEAAVNANNVAQRRFLENEKSLDKAQKSLNAG IDAWSKKNEQEAVVGVCKAVVDVSGAVAATVATGGLAASSIGAAINSGVGVIKTLTEI FKKLKQLYEEIKSVIESLTKLAGEVAGVVATLEAAKKHRDETAMQRPDMDIDVFNATA LWDIFREQVDDMEKAIASVDCDGKRKYFLSLRKLAVNGKTYLQTQEYLCRRGDDLAVV LVKLQRRDQARLTLSTTTLMQQDAVLDILRRAMFDRLLSIRSLIFLDFQSYSEAYMFH ALTPYSPITFSPVQPVVDFLDAAAKLQGSVAAFGSRAQIQNRRFVIRTLGNATDATDL RAQLGAGQSVTVSLRPDQNIFNGFSRIRVSRVRCYLDGVKTVCPPAGMDTLRLYLKTP GRFSDIALPGARTDRVSNFVGDARALLFEYVPLDGSIVCDGEYSQQRDCTLQTPLTEW EVCIAPGGLEVKDLDLEELTGLRIEFWCDVTLGNL QC762_609230 MPCPYGCHPSYVMPVQLQPQMCCHGYAFYNMFGAMNSAAGAFAG AAAGAGAAAGANFANNMWPMTPAAMTMPMMGYSMGAGPVSMAAQSFVYTSPVGPNTGI PIQITSFYPIGTFVGPGGPVQAPMNGCAPPMAMPFRLAP QC762_609240 MMGVERILGRYLVNRFTSSLSKRAFFTCNPARPRLVGYYSASAT RRAAIVPVSTAVAAAATMATAAGAVVYESSVTKPVRAGNLPDDAASNPHHVKDAGGRH VKFRNPYPSAGDPKPTMFQTLRAILTAKLQGNLPTPDTSAANIPSCPPSFSPTRENTS LRATWLGHACYYVEFPSGLRVLFDPVFEDRCAPVQWFGPKRYTPPPCKLGDLPIVDAV VISHSHYDHLSYSSIKDIQTHHPNAWFFVGLGLEKWFKASGVEKVVEMDWWEGVKMSL TPEGGKGQGGMEAEITCLPCQHSSGRNGLDHDKTLWASWGVKSGGKSVWFGGDTGYRR VPQLPVTQWKDPAADYGPEYESLPKCPQFKQIGERMGPFDLGLIPIGAYHPRWLFSWM HANPYDAVEIFKDTKCKKAMGIHWGTWVLTSEEVEEPPRLLKDALKRSGIQEEGVFGV CKIGETKEF QC762_609250 MLSLKRDTLDSLSTLSDGQSLRGSGRSMLPCPNDPRSSVTNATA LSPPPASKPPQPQSTSHVPSRLRSDSGLSLHTNQAAFRQYTDYGSDGSVRSISSRTQA GSPTEGGSVDDAPIGPKSSIFLKDSILQAKLLPNFFDPAVIKLAFSNPTTGHKLCRYA ARKGGPSASYMDFLIRVDEYFRAFGNMTTLISQITTDFTGVVASTPIELPQDIANRLK NNTKHCARTALPSLERLYREAKAAVEERLAETLYPEFVKYQLSECMRTSLSTSQSSAG GFLSTCPGLGDAFCLTTPLEPDNPIICASDALLRMSGYGRKEIMNKNCRFFQGICTDP EATRRLSEAISTGHEASELLINYRKDGTPFWNLLFVCPLFEGGTIRYFLGAQINVSES MGSDYKDILRILNFGPPGEQQHQQAQQLSGKGPRPAEKPVWRNPINADAERPLSSAST YQKAALRNRFFKRFSRKGSTIRARIPTWPSTPRADSPSNGVCGLSKKAILPPISWKAE APQEEYSTPYSRFFVLKYIPSLPSSSTAASTSTMQPTRPNYHCGSSTSSGVAAQLTIS FSSHFALSMLGLHEPNDAKLVSGRDIFAVLTPNAATMSSISNKQVRSTVCSAIAAGES VSLDITTSTASPPPSQRSTSPVKKVYTRGISVAKGGDNQPSRLSDTLDRGADFLSSVF SHNGAGLGGKNATRKVVSHWTPLKNGNGEVEFVVVVLTAAS QC762_609260 MLALLALCWQVLVVEALEDAVFDPLAYVDPLIGASNGGNVFPGA SLPYGMAKAVADTNSSSNQGGFTLDGAFVTGFSGMHDSGTGGSPSLGNFPLFPFTNCP EGDINRCVFPKKSRAAHGGFGNNTVTAKPGTFGITLNNGIRADMTTTHHTSLFRFTFP TLGSDGQPAQPLILQDLTDLSDSRQDNGSVTVDPETGRITGSARFLPSFGGGNFVLHF CTDFKGADVLDSGIFVNSRGSTEVKNLTISRSINGYPLPGGAFVRFSSGAEPILVRTA NSFISAEQACKHAETEIPDYDFERVSEAAIEKWREKMSIIKVSPAGVEGSLLTNFYSG IYRTMVNPQNYTGENPLWESSEPYFDSFYCIWDLFRSQIPFLIITDPAAVAEMVRSLI DTYRHTGWMPDCRMSLNKGYTQGGSNADNVLADAFIKGIKDGIDWEDGYAAVVKDAEV EPYDWCCEGRGGLDSWKALGYIPVQDFDYKGFGTMTRSISRTLEYAYNDFCISQIAHG LGRTADRDKYLASSSNWKNLFRPDQTSLWWNGTNTGFTGFFQPRYLNGTWAYQNPLNC SNLDTFSVCSLQNTGRETFESSIWEYNFSFVPHDQSTLLTLLGGPSLFTSRLDYLHNT NITYIGNEPSFLTVFQYHYSARPALSALRSHSYIPSYFSPTPSGLPGNDDSGAMGSFV ALSMMGLFPNPGQNVYLVTPPFFEQVNITSPVTGKVARVRNVNFDGGYKSVYIQSATL DGERYTKNWVDHSFFTEGKELVLVLGDGESNWGTGVGDLPPSLGEYVGFAGGNGTAVN DTSTITGWKRGLEEVVGRAWRGGYVGDVKV QC762_609270 MDIFDTENFVPPFELRDNEEQVEAWYNNPARQENRRLAEENLRL KKLLRENGISWDRRLTLDLNDPTGSRGTWADSKTARRSSRSGRSDQRLPSLPVEIILY ILEYSLTSSQPIIDPLSKLNPHVLLPEEKKMSKAQIAINFLATCRAYHDEGERFLWRN NTFVFTDCLALQNFRNLGLEHRKQITHITMRITARYYDEDFEREHRAPYPTSNPTRNP LRLRVIPRVYDNTLARRGFRSYTWLQVVDFLTVLRPPFDPDHDTTQPRPRLLPALESL RIDLVNFPSDFLTAPSPVEMHALTGHDLSMSLKELQLTGIPECQWGSDMASHLVRMVR DDGLFLKSDSTYVSSNRVRKQSDGDWEPRAVRAWKVLAEEYLQSKKKTNGSSLPLGGG HHGHHSHHGTVKIPAVPAEEGQPETTWQNRRTLWKRVPVSRDSEERVWAEFDRTTGTI ILPEEYENPDMDTYDPEELVCHHCQMMHSPYDDDY QC762_609280 MSSGFSNTSGVKHPDPYKEANLDTQVSTQTKLDDLSKFMDASKF CMMTTVNPKTHQLVSRCMALAGQENGGLDLLFHTNTESGKTDDLAADTHINVGFLNNS GEWASVSGTTEIITDRELVKKHYKPHLKAWVGDLGDGVHDGSANDPRIGIIRVKMATA HYAISHKNIAGRLTEVAKGVVTGDTAVVNKLREISEEEVSKLRLTH QC762_609290 MLYLVGLGLSDETDITVKGLEIVKRASRVYLEAYTSILLVDQSV LESYYGRPISIADREMVESNSDEILRDADKEDVAFCVVGDPFGATTHTDLVLRARELG IRVGTVPNASIMSGIGAAGLQLYNFGQTVSMVFFLDNWRPASFYDRIKENRQIGLHTL VLLDIKVKEQSLENMARGRKIYEPPRYMTVGTCASQMLEVEEEKKEGVYGPDSLAIGA ARVGGKTEKFVAGTLKELCDADELLGGPLHSLVLLGRRTHELEHDYVREFAVNKENWD RIWKADYEGKH QC762_609300 MTGKGSAYGQAAGDTDFRKKYDLDEYAAKAAAREAAEKEERKAR YEAKLAGKKYYKPMDGTETLTVARNATQDFSKMVGTTSLVPAGAGVGKRGRGAGFYCE ACDLTFKDNLQWLEHTNSMQHQRAVGATGQVKKATAEEVHARIEALWQRQQELKREQK EAALKAKTDYGEDVRIEGEHDEDDMMAAMGFTGFGTTAKK QC762_0100870 MAWAFGFYWNIWLGLAEDLEAPNPVMVVGTETGTGTPPVAVFIR EAGNRPLLIPLLQEAAGPMSSS QC762_609310 MSSVSSVAAASLLKRQLKQMQTDKDIPGISCGLVSDNNIFEWEV MLMISDECKYYGGGNFRAILTFPPTYPLMPPKLVFQSPIPFHPNIYPDGRLCISILHP PEEDISGYEKASERWSPVQSPESILLSVISLFEDPNDESPANLDAAVLLREEREGKSR EFRKRVRQCVRESLGED QC762_609320 MAGLISLVLLGLLIAYIVLKPSGYNGHTTGKGVPVHILVLGDIG RSPRMTYHALSIAKHGGKVKLIGYLETSPHPDILTNPNITLISLPTPPPRPPSVPFLL FAPIKVIFQILHLSYLLLYLLPPSAWLLVQNPPSIPTLAIASLTSYLRNSNLIIDWHN YGWTILSSTKGPSHPFVSLSKIYETYLGRLGSHHLTVTNAMARQLRAAPYSIPPHKPM IPVHDRPAAIFQPILSPHARNEALDKILFLSGREYYRALVSGKMKLLVSSTSWTPDED FSLLLSALTMYAARPDAVPILALITGKGPQKEYYDDKIDALVKEGKLPNVRIATLFLP FEDYARLLACADLGVCLHMSSSGVDLPMKVVDMFGAGLPVVAYGGYESFGELVKEGVN GRGFETGEELAGVLGELLKPEGENELKHLKKGAVEEGRRRWDEEWDGTVGRLMGFVEK SS QC762_0100900 MSVDLDSPLHQPKPGSGVKKGRRPWSAASPATGKGKVEEGQVWG KQQHDIHPRHRVLGCPASQCRSFINHGYQQCNNPKSVAIAGLETSHDIIGGCPSDPGA TPPRDSDVNHMSASR QC762_609330 MTSTYALPATAIHQHHPSSDHGCSHSHSHGHNNGHLHSTSSLGG LSPTRSRKESRANGGHSHNRSQDHDINHTNHRANSSVPKPLNLGPTLSSNAHWRTEST LGGKPLVTPTSASFDAAGIYQPPASRVRADTRSHDHSHDHSHDHGHGHSHDHDHGHGH GHDHSVERSRFTKFLLPRIARWPLVHAVVVEKDSRRIFYFMSLNLAFMMIQAFYGYVT DSLGLLSDSIHMFFDCVALAVGLFAAVASKWPPSERFPYGFGKIETLSGFANGVFLIL ISVEIMIEACERMMEGRETKRLGELFVVSTLGLLVNLVGMAAFGHHHHGHDHGHSHSG CGGHSHGHDHKHDHGHDHDHRDEKKDAHGHSHSHSHDNENMYGIYLHVLADTLGSAAV IVSTILTHFYKWAGWDPLASFLIALLILLSALPLVKSSARRLLLTIPPEIEYNLRDTL SGITGLKGVVSYAAPKFWMDDRHSEGGPANKLLGVMHVVAGRGMDMEDVRDRVRNYLL EHNIDITLQVEREGDTSCWCGVGRSPLSQAHKSTNSISIF QC762_609340 MSSTSPDQKLQAVLPDAVADKIPHIPESKEELKADAKAAASTGL AQVRSFVAGGFGGVCAVVVGHPFDLVKVRLQTAEKGVYSSAIDVVKKSVAKDGLKRGL YAGVSAPLVGVTPMFAVSFWGYDLGKSIVRSTSTVSPDGNLSIAQISAAGFFSAIPMT AITAPFERVKVILQVQGQKQLAPGEKPKYSGGMDVVRQLYREGGVRSVFRGSAATLAR DGPGSAAYFAAYEYCKRALTPKDPVTGEASGKLSLTAITCAGAAAGVAMWIPVFPIDT VKSRLQTAEGNVTIGGVVKGLYAKGGYKAFFPGFGPALARAVPANAATFLGVELAHQA MNKVFN QC762_609350 MAPIIDERGVPIPTIERDDEGFPPSPTLGASSLDHRLAIHSPDV SAKPTCNPTSNPSSPGVGADLTFSKKYLPLRELTLNSRPLVKRAIQFSCDGDLAVAAD DSVHVFVPEFPDLTRRRKRIAEGGDPESSDEEETNEQKGKYNPETFRTQYSEGSKHMP VSFPPLDPRINKELYDAEGMPFPYDKTSAPPKPQGVEKDTNGQEDGRDAGDGDQADGV EGDDDDDWEDDSDDSSVDDDPAGGGARLGSNQPYGAGSGPITSVGSSMNHVVKISWSP SELGVNRRPILGILTGAGTLAMYGDGDMAANVLGSANGYMLQRRELASWLVLWGVGER MMVPGQSPAYSEYIRTFAWAQEIGPGQALLATLNDAKEIAIISVQTVVYVEEVSEKGR AVGGPAEKTVWHVRELVRFKAEGPHLPVSTMDPDYVPCGTSFGLSFGPWLEDDGGRTC VIGYLDRNYVGFRKLRIDKPWTRGQLPKLQVENKDTHGQCVHLTTDGFIEFEQGIFHK GDMIGCRGMISTGWHPVPFEVSLVSGALAETAKHKTGVCGTTYNLQHCENPIIDMVVH PPPDPARPTPTPLYTLVRLSATPTTPNWYETNVPSALAADPSSPYFQWARSIQQKLEV LVPADMYQKRAYGADDSDSDEESDDGVLMEDTLEDDEGVNYTGEGLTAIDLTTDANVA REVKVVPEVHPHRFRFHGLTLSAGGGASAVLVSAHSTQHPERGGWHTQRSQVLFSYKP RRASGRSLSMDMDDDNLNFSLLSSMSTEGKLFEYLYGGGPDVPGVHYPLSSDPRHAHV AQIFAPVVRNQKCEVCGAAVTQRMRKEDLVGCEKGHFFGICALSGLAVQKPGMTRSCG TCGFKTMRPEILLQKVPEDIKEEVKGVIGRGSCMACSGKFLN QC762_609360 MAYVMLGHLLNWPLHCETTATRRESRPSSTRLPQHHDLPTNIIN TTKMKLSSLLLPAFLLAGPSLAEHTSNWAVLVCTSRFWFNYRHLANVLSIYRTVKRLG IPDSQIILMLPDDMACNPRNAFPGTVYSNADRAVDLYGDNIEVDYRGYEVTVENFIRL LTDRVGEEMPRSKRLLTDDRSNILVYMTGHGGNEFLKFQDAEEIGAWDLADAFEQMWE KRRYHEILFMIDTCQANTMYSKLYSPNIIATGSSELDQSSYSHHADNDIGVAVIDRYT YYNLEFLESEVKDTSSKKTVGDLFDSYTYEKIHSHAGVRYDLFKGGAEEARRRLVTDF FGNVQNVEVDSGKGEKNASFEEEMVRLSRTIAELHTRAEEQEAAERNATASAAKTGKK QQQPRKIQRVAKPLTDDNWWTKKIVGATALAGCAALWGLGSYLEGVV QC762_609370 MGRPPAYLFVVRHGYRLDAADKQWHLSSPAPYDPPLTYSGWQQC KNLGGRIASILQERVKGDELEARMNPDPNRKRKRYKVVLHSSPFLRCIQTSIAIGAGL AQDSTPFGPPDLDSRPPTPPPALEGRPRPPNIITDPPQKPVKIRKSVLRLDAFLGEWL SPTYFELITPPPESVMMLASAKSELLRREDYSHYPPFSGHHHSNSQGHLWSPTGRPSP ASPPSDGGYDSLGSMVTLSNALPRSPSIGSQSSITSSLSTRPSTPKIELGVHGYVSPV PHYAVSNNNTIPPGYVAHAKDACVKVDYQWDSRGPPLDWGDGGSFPEEWASMHKRFRS GVQKLVNWYTTAEHPTEMVTKFAFQPVRRGSDGVDSEDDDVETEAVVIMVSHGAGCNA LIGAITHQPVLMDVGMASLTMAVRKPEVHDVDTTNVNDLPPVHHLYDLKLFANSDHIR SPPTTPNPSRSPSVSVASVLNGPRGRHMSFSSTLSHFSWNDNNNSRGSSANMALNGLR RTTSNTYSSTPTTASKLSYGISSGGGITVGSGVTSFTANKGSTFGHRPSIGLWSPISS QPEEDEDDDFLLPNFGDADRKPASSETLEQVDGAATPTPVPGTTNNLDSFNLSVSPRG TTERIPTPSFSPVTTPKAESSEQLGDGPGGLWGGNGPRPPEDADRLRDTSCTKRRWTV TERS QC762_609380 MGQDLGIDLRKELGLRWGSNGNDGSSTAGLIESFPPPPPPAKEP HHMMAKKKPSQSSLNSTSPTEPPNRPLPPRPVSKLGGGLSRSTTNASGFTAITPLPPP SMPPPSVPPPSVPAPNRPPPPKPLPLSVRPQVPLGMNPPTRPGTSTTAKTTTSAATAM ELPPVSTTDSKPRMSWTSTAASSLNVPRRIKYGQGKYSSVELVPQPSDDPDDPLNWPT WRKEVNFWSLMLMVAMTGVTKTIFMTVNAQVSQLYGVSYTAAAALTGVPLILSAITGL GCLIAARMVGKRPFYLGSLISFFIGTVWSTNVGFEGAYGQCMAARVFQGLGWGAWDAL VLGSIQDTFFEHERNIKITIHAITTTATLWFPPILGGVASQSSAGFTLQFTILSSFFA LAIPAIALGCPETVFDRAYTLSAAASAAPTPATGLSGFGLTGTGRYKTSLPLAPYRLL SWETACTYLAKLHPYTYSTPISPSLLLQAPRAMLAPTTLLLFLVSFLPISALWSFSSS LSLIFHPLPLSLPPSSIGLFFFGPFLLSLIPVITFNYFYRKYSTIFTSTPKTHLFVTA VGLVLSFIGILTFSLHISSSLTPDPDSLMATGAKVNLPAVGFVFGLLAMGAATLEHGL QRVTIGESTAFTSRNLGVKMRNEVDMGFGVEFYRKVMMGVFVMGTQTGNWVVFEGLRG LGLGLGVAVVVLGGGLATGWWLWGGMIKGVDGWAVGGVDLGEMGNEGAGIKRSGSFFD TD QC762_609390 MPPDTPLNSLPNELLLSILNDLPTSDLLPLTAVSHHFSSIALRI FHHRLHNLAVSLSPSGRHKLILECYHPTAKISTPYLYCEYLSTDQFYDESSDSALRNS LGEVYSHFKPVLQDENRRPRMRYPRRRPEREEEEEKTDPHQDIYLDADEGFSQLCVIT NLVKLGPKPGLFLSHVNISEGLIRLKRNFLATASSNRTAESEVLWADTKQTIGLKFKI EEKDTGIERPVLVAEGEELPVAYRLQFEELVVRTGELLEAFEGAERQEVEVDGEGREG RAVVIAAF QC762_609400 MKPRPGLPTDIEALTDVIIRTMPLDPQWDYRFPYRHEYPEDHYK FTRLLFEYFLDPAYDDWQVMVVEDSLETSCEDRRVVSFSVWDVSYRNKKRYGPGYVTQ DPVTEVEKQGGRARRDANHKHFQEFWKGQIRAYKMFFGKIGPDQIHLQILATLPEFQR RGHASSLCKWGMKMVHQERLKDISVMASPMGHSLYTWLGFSLVGTFHIQVAGEEEMLT LHAMKYVPDSKVLRVVADNGQCDLM QC762_609410 MNMEDMVTVVAVASEMDSRTGSADLGPVFHFGEAGDHSPFFDPA FGSLIFFDTFHAASDRRDYPLGPGPSAYSALVPLNHASFDTQFHGPGTKIIAARNSNH NPGNPQSYPNAPPTIYAEGQRADIYDFEYLESNVGPPFGGGPIIGQTTHLPQLEGPYS NCDGFLSPDLNSSFGPLAHALSNGYHTGSSSDSSPGPDSPVPTLLGVSPSTEVRHRIN PNSAFDLDTSRRPSIASTEIKFEHNTGASPSPRPPNRKGAAKNSAGSLEIILYKPSGK PDGRLSKKRPAFDEVTTGSSTPQTLRRISLEDESGQVKATMTTFGKRPRIRTPFDEEK RRRTALARKEGVCARCKRSKRQCDLAAKGLYVSCTLCTCTKIYKNVPRMPCFRSTLVD IMFFRAGMSAVSSAHRVPWTDTFLGPAANEPLFTRRLVEFKLEDLSAPDVPVRTLKLS QRMGHHRLTVYASEFKPEPTDKLSYHWKDSAGMIHEMKIPPFTLTNLDKVHAHFRQYI DAAKGSYLESLRGQQDDGLTWKTVSTAMEYARRKPDSLVADTLDMWAVSRMIEIPWEI CGEWTDTLGMSPVQNPENPHKGKIPIPPIMDTLLDQIVIRHFLQPLRERVIKKFEQLI SPARPEVWFEVYLSAFIILNHIERLAKHSASHARLHSMPTKYSNTSFLEGAFHTAKVI LSRFHFVCNGSAPLRLDWKKEKTAELAKLQPKEVALMEETQAIIRRKENDVLSLRKTH QYESPLYWCHQLYFEDWDTSPVHIVEE QC762_609420 MHLPTLIPFLTLLTPTLSHTISEQRMRMLSGGRFGPSQSGNNHF TNGKRYFINPKPENPAGAGVLSGIDPTRVTSNSIMDSQSDKRDLIYPKPQTPAGAGVI TGIDDKRIPVNKRDACEFSSCDACYKEELACVNCAKDYTVDNFAPCMLCW QC762_0101010 MGKEPGLIILDQGSYRLARDLYYWLPANIASSVVVSTKVESPAT NSSASPTAPKDNRDESRPSSSSPGSSNSSDSSSGSTSQVRKVSAPRVVGFGGSAHSSS YLVQGSLWNAEKMPELIAAEMSTSLPSLYTQHIYAVFMQGLSHVVPEISNDDSTWWEE KSGDHLSGMLSNINVSNLVYFLQEIQLFTPKEAYLAIIPSLSKNNNFAKSETALRNML LSAHHQRRCDEVVPRLLSRFRDKESVVHFEHAPSFLRAGLRKETSALLWSCVNLIPCK SFQSGNEGKLFHFEYRKMRPFRLPYSKETMHAVENWSYNDAKDLAYWMLSYIWQNRLD PDHHIRAWPLYVNVRRGEKGKEYYRRMLRYCQHSTLHQAFRSGSQDEMNAALLEGKDI SEGDIWGWKVLHYAAAFMASNTDPRFPAALEALKIAPSMIDARDLIGWTPLHYAAKNP NAGRLLDHILEHSNANEYDSESALDLSTPLHCAAEMGLVNHIEKLRRFKPTSVAKKWF SSRDHSGFTPLHRAILGGHVEATRAILTAVSQWWGRDEYWLPVQEHWRYIHFAIWSGK MEIFELLPVDRDLVLDWITWADINGLTPLHMAVARGNTDFVKVLIERFTGAESPDMDG NQLSRKDEAGYTPLDIAKQEGFDEICTLLQEAGAELGTPDRNKFVWDRADPMMQLPI QC762_0101020 MLSPNRHRERQINLDKTGVCEPPLKLEESSGQPQKSFLLVEKSG AAPNISLNLHGSTKFELGLMAVFGTMLQFAVLAFCGWTAYWPHEPGSILLKDEQPAER YAFPCTLSGTLLLVVGLTLCAHVVESRTIETEFRAGAKTSGRLLWIQRAATVSDQTFG SYALYADRDPFVLRTSRRADTVSRRTKTNKGQIINEGLEAFRQTETIIGVSIGLIGFV VQFIGLRGMSWSAALAQLIATLAMTAIRAWARRESDSSFHAVPLDPGFELDWVASNLG PYGDVEESLDVENMPPGSSEDKSLDLPAKMNNTS QC762_609440 MTTTMSQACPLALAPKLSTNKDLAPAPAPGDIPRPDISWFPDRK VFLNRVEALRLLYPDRPTTVPAGWPTQLNMARAWSGSDFSDDGKEYIVQFDQADLAEI DEALVHFKSLPGDLGPDDVSKETFPLPGLEERLEAISQEIHNGRGFVVLRGLQPDKWT NMENILVYLGVTSYIAEKRGMQDFDGRMILHIQAVVEDVKKHGAMPNSPYVARAQPFH TDLCDILSLYALNTAKYGGESFLASSAQIYNELAATRPDIIHTLIKDDWIFDEFWQGQ YHTRPLLFNFEGQGPAFQFSRRPLTGSHFSPHHPDVPAMTEIQAEALDAVFFAAQKHK VELKLQKGDMMIFNNFAMLHARSSFHDEGEQRRHMLRLWLRNEELMWKTPRELERLSW ECYGDHEWRAKRVWDIERSPPELRVKYRRASCA QC762_609460 MAYPPAADLRQKLAEHPLPTVAVTALDPASLTPEETAKIANNVL TVFNKAISTRDITALRNCLFAEQALWKDSLALTYHLRTFSTPAVIAAHLLETTKLRGA HGFGLEFVHFLPVSPTLQFIDCSLSLKTTSPAATCTGRMLLLPVEGSGGLEWKIWVLS TRLESLDAHPEDETLLHTPSTLADGGDDVKTDVFIIGGGNGAVTLASRLKALGVESVM ADRNANSGDNWALRYDSLKFHVPTAMCDMPYLPYGEDLKGSHLLTRDELANQVRQYVK EFNLNMITSSQIQSTQYDQATRRWTVKLKTPSGLRTVRSKHLVQATGFASQKPRLPDI AGKEIYKGISLHSKEYKNPKVSLQNKGAKSVLIIGSANTAIDILNDCQTAGLDTTILA RSPTYVLPVGYVMDPRSFGLFNQLDTETCDRALMSFPTWVECNMAHGLLAMLASQEPD RYKRVAKAGFPVIDSAHPDACLMHNLVERGGGHYVDIGGLEVIAEGKVGVISSVEPVA YTETGLRLSDGRTAEADSIIWCTGFSDLNARDTVFEVLGGENAADGEEQDSNLLGPRE IANKVDATFGVDEEGEIRGLWKRQRGIDNFWFMGGQTQHHRYHSKTVALQIKAELEET IPVFLNSNVQISGIQNQDIAMRPKHHSLASKTPYPPKVPCSLKGTPVHARCAMLQLF QC762_609465 MLTRNFLLAALFSVSVLAHPHGPLNPGGPVAARYTLTTRDGDET DTDTDDDISAGQPTVGVPAVIDDDTDWTDNDGHDTDDDVPTPITGVVGDEGDDTDHDD HDTDTDDEANLGAGPGVVPGDETDNDGHDTDTDNDGHDTDTDDDDVSAATTTGPVAVT AAGPIAATTGPATTTTTEGEDTDTDNDGIDTDDESDDEAPTATSSIRPTITPVLANAG AKENGFGGVAMGVAGVLGVIAVF QC762_609470 MTLPKEITNPPPAVPHTDISFPAPHVLLVTLNRPKALNSIPIPQ HIAMAHLWNWYDTQPWLRCAILTGTGRAFCAGADLKEWDSSHAPEGSGHDQHVEARKM TTAGFGGLSNRSGGKKPIIAAVNGLCFGGGMEMVINCDMVVADGAKARFGLPEVGKGV IALAGALPRLMRTVGRQRAGEMALLGRVSYTAEEMKGWGLVNFVVGEGRVVEEAVKVA EELAGNSPDAVIATREGLRMGWEGVGPEMATDIVERGIYGRIDAGENMKEGVRSFVEK RKPRWVDSKL QC762_609480 MAYNPYGAPPYGAPPSYAAFPGATHAPGMAPPPGLGPPPGMSSA PGMAPPPGVQQSSLSAQANRPSGLPASFQPPPNLPNINFNAPVIRLGPTVPPLKPGAS LTDRRDSHTPTSARLGLGSDRHDQSRAQMRENIQSLVPPTPEERLRTIFVHKIPSGVG GEEGIQKLLNAVGRVQRWGSAQSHLSEHKGDLFGFAQYEDPESLALAVELLKDVEVPV KKQAPIENPPADEDDKFEDIEKVKLQVVVDSSTERYIESWKEALPDDSNYDARLYEAR QSLKHFIRNLFYPRAGGGRDAEGDTAMGNGMNGIGDNIEVINIPIAPEDDLADIPAEM RATVAAEIAAFRDRSNRRDLERLRREEEFEEQERQRNGAPRKSRLDSPPPGANSNSIP LGPRGVPNAPSGPRGQNRGIDFVNGGTTNGHGPQFRDDDDTDADDEELYERELSKQRA EEDKAYLEAERKWVNRERQRAAALERERERERVETEGFARRKEDQLDKEKNWDDERET SRKVHLYYRDHSQWIRDRTAFRNQEAARDEMDRRQEQEELRKAEADMEHARDMADSFL ERQAEAMTAHRPAAPAAAPAAPQKVTISFGAAAQKVAQRAATTRRTVAEVEGLLDDDE QDKTTKRTLIPIKFEPITDTKAMTEEDIANAVRALAQEIPVDKEGLWAWDVKWDYLEE GIIRDKLRPFVEKKVVEFLGVQEQFLVDVVEEHLRKHQKPAELVETLGEALDEDAEDL VKKLWRMVIFFTESEKRGLPA QC762_609490 MFELPTNSEIAVPSARLQENKSKPFTVLSTSTAAPRISVINCFR PLAQICRTATSSSTKPSPLLLNLTQTSHFSTARVLRREATAAAPSPSTTTTTTTSRPQ QKILGLASKLNRTPAPRPPPLKADPLFGPSSSSSTGSLSTSRSSLFNRTVGKKETQVF SAIINRDAKESLSKESSGTLSNLTTALFMTNAGLQTPDIRLRPTSGRTVPVKSNDPAR AFKVLNALCRHNNLANTVREQRFHERPALKRKRKLRERWRTRFKDGFVAVIDRTMELR KQGW QC762_609500 MRRPRVSIVCVQLPAVLPLDRLKFALSLFPRRRSGTVTRFPLFA TGCAVPNSLTGLAQSSANPESCKVGVREEDAPAMANLTSTTSIATYTRSTRAPSHLSK PPPSTTLNSIRGTAPSAPPPQHPSASLPVVASPTSISNVSLFLTNLRLLDLDLSPDWP GISPSTFNAKDAGGQKKRIQCVEWALYHLFALYDRDETRNKLLPFFPPNDQVQSLNLR AALLRQLEQAKKNGVLGRDAVVRKTMLDECKGERLEEVLAVFSSVVLKKVVAERQLNS RDPMSPPLAQALALENMGYSGDKTELTVLVLAHRVSLQRTLDEKNALRKRFNSFAEIL ADKERGIARRRRQQQDQDDDGEEGVPEQTRRDVWKTVRNNWTGSERWMETLLHGDSQA QRDGVLSAPFDRVWRRVQSDRLAELDEKEPGLLEQLDDRVRSQRERLERWQGFRQRMF GDTASGSTTRQAGAQERPKGIDLGFRGHETLHIGRASTKKLASSRASDFDHEYEKLIS NLKAELDGITPSVPHIPSFFQQTPTAVPPLDLDGVVESESEEISDISDHEPTPIAVRP SPPRRDPIKLEPVFEPVLRKAQSFYHDEIDVNEIPTTPSRHALRRAATIKSNTPSPRR REPTQTPTPTQSPRRRLSSPPKPVLTPTRNTVLPAPQLSPELASSPEELPSPTQQMAD QILASVSNASPSPIKRSRHTLSLAERTRLSMARRTSHANLRVSAEDDDLLLDSPAPEP QKQPQPPSITPPSPPTGTNGYEDLVSRTRRSMANFEAVKQKAQIERRRSLRQAKAAPA TPQNQGGRPRSAYFSSLDEEGEDSYQDEGNTTLLIAEELINEGKEDDYEAVFMSRPKI ATSPVGTPVMRGRNWAVSDF QC762_609510 MAARHDYLPSKHDTSLLPRWIIQTSNNIIKARKAEIAKLQSHEI NKTGQIRVDGIVPLCRLIAKHRFAIQTQDKNVEKCNYTQKFFIDTLVVVLEALGSTPS KPGASQNADHGTSSVSKEEVEQLISANRFVPLQNGEIDGSSDKEVPQQSSTTQPRKQA RPGRAKKGKKGKKHNHKRPEPSVSEEDPVNLSLEDYKLIEEKDSEWGYMWAASDLAQN WIQLRRSLQEVWRDVAYNHLNIAIGGTLSNSAIAMIQRRSRAILLIFPTMIHMAAS QC762_609520 MKTTTVLSALAAAAAVNATPTATLPLKARQTELEPITATGNAFY KGKERFFVRGIDYQPGGAAANVDPLADPKVCKPDIEKFKKLGINTIRVYSTDNSKDHD ECMEELAKAGIYVVLDANNPLYSINRDDPHTSYNAIYLQSVFATIDAFAKYTNTMAFF SGNEVIHDHANTTLTARYVKATDRDMRRYIKARKYRKILVGYSAADVTENRLQTADYF NCGTDEERSDFFAFNDYSWCTSNFIESGWDQKVKNFTGYGLPIFLSEYGCNHNVRDFG ELESLMHPNMTSVYSGGLMYEYSEEPNKYGIVKIEGGDKGNGFDQTGKRTEMQPEFNN LVKAMKAFPAPKGLAGASTENKASKCPENNDHWIVSTVLPEIPEEALQYFENGAGKGP GLNGKGSQWAGHVASKSPEFPDGDAQGSTGGSGSDDNENAAPRGAASILFVSGLVALV AGAISL QC762_609530 MTSQSPQYQHFVPQFLLRNFSHPYKPDGPTKGRKFQKGMFPKDK VVRHVDFAVDPPVICESPVNRILGLVNMYDNPNKPTALQREVEILFSKLEHQASQIFR KISKAFEQKSTVVSMSRAERDLMRKFLFLLMYRNRGFHTRFNHATPDGYNEDDKELVR EYMRKNNIITPLDVWLDGIKTIIELPMDPGKKWLGVLMGKMYPQDAMWFWLHAEFSYM AILTPAEDGDEFILTDNAYAIFEGPNTSALDAETQEVIDTEYLPLHTFAPISPKLLIV LRADIFPDPLEDADERVREARKLMRLACRAPLESPGSEKVRSLLADLPISKARNNYTV IVDSRLELKAGQPPKRRQTDRFDFTIFPVKQNHVNMINGIFLDSCAPCTSIVFESRDA FARTLEWYLTAPCSMGKVLGGKHKEIRRRALFKLEAISRALGSQKETKWQDVEEVVMD NVEQWRAENLDKQRNLVRLMNADLDGLYEGVHRQANKYGRPFNSKSYGPYFLLGGSRY TAEEDFAQANLIRRFRIKIDLWSKGMVDEVIRQRNRDLIETAYLRLPPRRVWMLIKLI RLMLLAGPDKPVDLKLAKSEFDGPEDTIARVHHLVTPKVLNDLLWRTHINDMYSRRNH GVDIWARQNGGWDLAAWEMPFLHHIRGSIRNCGIPAIEKFGLEVPGSPTPACTIDTFR DMRPTDIRKTIVELNVRKLAELEFDSLFDNQVECHDLLMEFKRLFFQLSYPTPPYTWL LEMAVRAGVL QC762_609540 MKSLTFFTLRLLQIALLVACLAFAVEAARGSSSGGGGGSSSSGS GRSGSSFGGGGSSTGFGTGYHPSNNDNDDTSSSSGSHNSNSNSNSASSTNAYFGTGNA GGYRYRYRNGNNNSRAPFDTQQAVNYRTIHGILASLAMVVLFPIGSILLRVLPGKWGF WVHVIFQVLATIIYISGAALGIYLVNMIRIPSGSLLSNTSTNYHPILGLVLLVLFLIQ PVLGVVHHLKFRKVQKRQIWSQLHLANGRVSIIIGIITGGLGLHLSQATNQKKTVYAA VAGVIGAIWIGVSVWAELKRKKKLTDTEKAKEGEDESMVRRKSGDEVKTGVTAWDIMK QC762_0101140 MNPSDDNSDPLRLIDEARKNKRFWYACRNGKLKKARRLLRQGAD VNVERRCFILKRGRRDEGSDSEMISDDSGADSDAETLRRNPEGFWAACSAGNMEEAQR QMWYYKMKRKHPELWRNHMPEESDWDSDDEQEDRDNQREKDDRYKDLGKVSALYVAIK RAHFDIALHLLDQPGIDNLAKKYTKEKETVLHRAVAKEAEDVYQKLLKHPDACELGES KDKDGDSAIQMAVIFGDVVATRVLLASEFGNPHSLESLLFLAASHRRSRPPKNVDVAR LLLMNGARLGLEDGDGKTTALQAACAREDVGMVKLLLAWKAPRIPVGRDDALRLAGDE LWQNKDETKPAWSNSISRQHEISANFNIEITFSCALDVNGDGSYTRNWTRSMPIKDFM GTRPTEENLLTQLEEEFVSDTEDDGVNIEPEDIVRWIHIPHTNDVVAKLCESYGETES EKQYYMSRFVDATACARNTAGNSYCRFPHVQCQHKIDGTITSSKISLVVPFIDFESER YLSPHANRLFPVLQKQRDLWDLESQFGPYNGSVGLQRPETLDQAYHDGYTALNQTDKD QVVYKWLKERNCAPGPIPTTGEAPAAEGAGTAIPALGRVNPTIQNVEGPEPTAPGVAA PFVTETATRTAGGTNPPTENAETPETNNTPLKLLMVRQLWMWKLDESGTYYYCVCALA NNLLANT QC762_0101150 MPPRWDGESKETLFEIVSRCLPLHAWSTPHALVQQILLQCLRLP DEFEQSGLGYHILDIFESWIAKQENEEVGLFKDFRQFVEDHDTDRENHGLDHESLKIG GEVQIIYELKDVLGELAILKQLFTNQKEIAERYHSTCPQGDGSISYETFIQQSRIRAF IDRVDRLEANATRVLAAVDHLVSVKQAQGSFIVSKLANIEAVKSRQLNNYVLLLTAVT IVFTPLAFMLALFAISIEGFPHDDTGEPFYRSSWITGRLFAGELVSLFAISLGFLAIK NANKRDEENLKQRLSRENSIVLAVRGILEGLRQMVHPSPARSAQDAQGDQNTNNGVAQ TDEVPLADNNGGGTEATGEVPPARESPPQLRQRGAWRPLRGGQQGEPDLELQEVPR QC762_609560 MKRLHDKYGPVVRIGPNLLDLDYPELSKVLYGTDGKWRKTEFYH NNSALVNGKITYHMFSTTDQTEHAQMKRPIVKHYSLGSVLALESHMDNVLGELMQQLD NRFAKCGQGTCDFGEWVAFYAWDLITSVTFSKSFGYMTQGHDFDSSIATADKSLDYFV SVGQIPWLDFLLDKNPILRIGPPNLSNVTNIAMTSLIARMQGQDKNFNPKVPDYLQHF IDSKNTHPDTVHDGMVFGYLLVNLIAGADTTAITIRAIFYYVLKNPAVYARLVGEIRS AGIENSNAFPYSQARQLPYLEAVVREALRVHPAVAMPLERYVPAEGFTLPNGNFVPGG VAVGINPYIGNRNKTVWGSDADEFRPERWLRDVKGGETDEEYKKRMQKWNACDLSFGA GSRICLGRNLALVETYKLVATVLNRYEVTLADREREWETVNSWFWRQKGVEVRLRGRV QC762_609570 MSNPKPREPHPAREDGCFDVTPERKYYIRGNAFIKRSLRPKEVI TNWKGKTHVPRLRKELLMNEAAALRFIRQHTDIPVPDIYCGFEDDDAYYLIFQNIDGV NMSDLKDETQKAAARMELEKHLAKLKGIKPKRLGGPSGIVIPPYHVLGITQRRQLVVL KGVGGKRR QC762_609580 MTLGGDGPWAVSVMWIVTALTFVFALLRIYTRAYVVESYGLDDH VYNFAFVLLLCYTIMTTIAAQYGFGQNIFDIQEVEDLVKAILFEAIGQTFAVVGMAVA KWSLGLFLLRLVTQTWHKVVIWITMGSLLAASISVCFVFWLQCSPPAYLYDRRIPGGY CYINTTPVSFTLCILCVIADFFFAIFPWVFLWKLQMNQREKIIIAASMSLGLIAGACG IKRTIEVPNLSSTNYTKDTVGLIVWSAAEIAITMICIGVPVVRPLYKGFIDKLTSRAT RSTSGYKKQSGPRYGLKTFGGSTMPGASRWQAETTDGEEGDDKAKKAEERTRQLKMGV NGPFTHTKAVGGRTMPANRSDEQILGQEYRENMADDVEDGSGRGSNIQVVETWTVDRS SPVGSRGRY QC762_609590 MAKLFIFLSAFLGILTTLISAQSSPFEMVTLVKIGYATAELAEH YHRLDVTYKTINATAIKAAVLVPKKLAASNKKTDAPVVVHFHGGGLIIGTNLEPVFIA DWVTQLPVSTNSILVSPLYRLLPEANAPETLSDISSFWSWLHTSLPSVITSAYPKINT NLNQIVTVGESAGGYLAVQSALLFQEKAKIKAVIAQYPAIWPDLAAWGSLPLPDPTNE AVIKAGKVIDEYLGNLTGTEIRIDAPYPDRWELTEAALLSGRSFEFWGDETDIAQSGL GYALNVSRQWGDKLPGFWVLQGENDGMVAQAGTEEFLARLKGVHPGVEVKYTLRPGLH GFDALYGLDKPFIAEGVRWVKGFWLRGKN QC762_609600 MATYGAKRTQKSPQILTWNSVGIGPKMAEADLDPEGLDLEDPQA WISHNYREHLITKVEKWNPIWDQAPQADVFSYCISIAQLQRMRIQRLQIQLASAARKA YEGADTDNDWKDWDKILSEYVSAVQEHDYMEQRGSGGRDPFLITGERYMDRKVLEQVM HGFQLSESTDSNPTGEPSLRVWQTTRRAPVPTRGDNRLKKLLARIGIAAIGTAFLIVP MWLLIWVVWEDTWVALWSTTIFVAVFGVLMACVLENEIAVLSASAAYAAVLVVFVALV AEQARGQDEKGSQSENVFCG QC762_609610 MVLDSAAGKISRDVLGASSGSVLARVQRLKVEPSRQCSRYSLGP SCINGSVPREESMCTLDLEKSLFSTVECIPGHTESPYSKPLQQHIMGSITPSRGYKIQ EVPLGSPRPFRLVCIGAGYSGLLLSIIVGQKMQGENLDYQVYEMNRDLGGKWLVNRYP GCQCDTPAHIYNYSFCPNPHWPSYYATASSIHHYLKDTAVKYDCEQYFKYGHKVTSAV WDEEVGEWCLTVIDMAKETEFEDRCNALVNATGFLQTPKWPKIRGMDRFKGQLVHSAL WDETVEVAGKNVAIIGIGSSGAKTTTLFARSSTWITCPPSKPSAQPAQFVDEHNSYPT STQLTFSSDPKSLLAHRRALTNERNAAFRASGGGRFPQSATQEACRNSMESRLQVETS EKGRIIAKRLIPKFSVGCRRVTPSKDFLETLLDDRVECVFLDPQSNQAGGSPGTSIKT FTGRGIMLSHSASEEEEEREFDVVVCATGYEASYIPSFELVGRDGVRLAERWSGCHRD GGTNPECYLGTTVSGFPNYFMFLGPNSPVANGGLVQAIQAQGMYIYKCVRKMQTQGVR CMEVQRSVMDEYNEHAQAYLRGSMWTEGCQSWYKRVGEGGEDRVIGIYPGSAFHFVEM MRHPRWEDYDFGYGYPGMVGGRNGPSIRQNRFAFMGNGFTRREAMGKSIGGTCVETFE EYWDLMELPGIHS QC762_609613 MFPLFSFLFSLLSSGPTPTHPTVPKVQGNLPHHHSKRRFGDGGW LTTPIHRRRYLNTAVGRYLIGTRISAGSPGPHTVSRLHWTSFSLASSQQPGRSAIQIC CIAMERAAKVARSGGSWTPRHTEETVCAIAPNDQHKDDHGSNAGPDDNFMISDDDEMF HWTQLYAWPHQDILPGLDSLGNHLNSTHLAGLHSDSICPVSANQGRKRSYEELRNEDT AGAEPLHTRQHVPAGPAKIPTSSQEQDEVHLENPYASDEGSCSHPHALVRCRSIVEEC RGRRIVLDGFIKTRITLYGSPRHEQGHALNVPFRYFPPIESESRHGGGGNGAAGDLIP SEIIRPPPQFGDVQLSGRFEKSDRYLFKFFIEAVCNGRTVVKNDNAYLNQIAPMADSS PAVKHAMLSVSLSYILDYSAEDKAKELATHHHQQAIWHTSQELKDTRNCSPGNGDSLV ACLILLGHTEIVVWDRNRDNMKSTQKEPPKWYRGAKVAERVLEQSDPARTHQDPKNAQ ITKTRSQLAIRVCLDSVLSDCVHPLDPGAEIECYNWLIRGSERECRRIDGFAGLSPDL MYCLAKITYLASMRDRRPYSLAQLATANGIKDMLSNFRQWSALSNGYDTFQELLDSCE LNEKGKVNTEAKVTELIGESYVAAAQIYLQCRVFRRRRDDPVIKELLARLILTVQYQP ISGPLFTAQTPLFALFIGGLVAYDQLDRQAIKSWFDPICKGPRGNVPPAYEALKHAWS WMDSYERRRGKVAKQAMNDEADDGGAGTDDEEHEIWENKDPWWEKLVTAITSKCGRIN LC QC762_609615 MACDMLHLFDHEQACSGDSLVCALLREQFHDLITGLQQQSLSGC PLEDIGNFLVNILHLASGLARSVVKSQHLRDFTTDLAPFLAREPGLRSTKYLDGKHEA LKKISGQVRELSKTAVGIWKNSPGIPSSLHGYLRPPLPTPTPTGLGPESSLSQSTSLP FRDNTSTLVGSSFTDSSMATSYAAVSWDSPSVESSTVQQESNMGGDEYDDNIEYAVVT SLEEINSRGRGVGPYICSHRENCNKGGVDSNGRMRVFKRNSDIKAHLEKHEKRFKCDL PGCPKPEKGFARADQLERHKQKVAHGLYRGR QC762_0101240 MPTVQQGYQTTQTRQRPPRLWIASFNGTWAGGIRSGRKTVVSEL VDLISEEENVYEVLLNGVGSDGSISTKMKGGAWAARYLAKLIDIVGLPEKPDERFHKK VYKACKKGRLCDPERVYVGKLRQRYGCYNVSIDALCCFDTVGSLGWPVTGLAKPLKFL CKLGKQQNTNDLVSEVADNVRFPFHALSLHETRAPYMPTLMLGHDVHQVYFPGNHSDL GWIDEVEGLVLAPLAWMIGRLNTHLGVRFDENKLSNRFPNYSQPRPNPPRWAEASIRH TRTFLHAVMGRKCRNPGHQQVNRPGAASNVRIHCGARLRNNMPEEAVPGYRLMAPLDG QRPYWERRNNSADTNSANIQENRAMRVEEAELGELEAKLLGLPDRAINEIRAALYLPN QC762_609620 MGIFQSKVVRHGKSYIIVGAGAFGASTALHLIRKYPSAKIYLVD RKPYPPERAASWDWNKVIRADYTTRVYMNLALEALEKWTEDKLYREFYHQSGLAWVAD SEFYQSIINNYRHFPGSKHQIQLLSTQDVRNLWGGIHADAVYQDVKEVFYNKTGGWAE AANALRKVIDTAIREGVKYVEADVKKVLFDKDGSAFGVITEEGTPIHASHTVLATGAM TANILADSAPTEPKLQLGSRMTAAAICSAMVKLDEREASLFKTGPVFANDLDTVIGGS LPPNSDNQLKVYRDESFRNTVLHEASGQEISVPPSKPSYDQWDLSPGMKKEVRSSFEG IFGEKAKDWELFNYRICWDAVTPTQDPIICEHPHAKQLFLVTGGSFHGYKFLPIIGKY VVEMLDGTLEPELTKIWAWDKENNGEAHEGMFPTREMADV QC762_609630 MAPSIFLTGGTGYIGGSVLAALVKQHPKYDITVLLRNVPDTFTS QYPNVKIVRGDFDDTALIADTASKADIVIHNGNSKHEPSIRAHIDGLLRNGTPSSPRF LIRLGGTGAIADWADPSYYGEKNPRVWNDIHDIEQLTSLPDTALHRNIEKIVQKAAVD HGDRLKCAIICSCGVYGPGKGPGRAQSQLVPLFCDEIINNTKRAFYTQSGGNARSWVH IDDLVAVYIKLVEAAAAGGGNADWGLHGYYFTATQEVSQLDFATAIGRILKKHGVIEY EEPVQLPLDDIWKTAQKSKWRYTGIYAFACNTRTVSARARKVLGYEPKAPSLFDCLEE DVLAAVRRGA QC762_0101270 MRICVLAALFSVVLAAASPTLDRRRQPLTNANSTDVANIGFATQ NGGTTGGLGANATTATTLAELSAAVSETNPLPAIVFIKGAITGATKVRVGSNKSIIGL PGSSLRGIGFIIRHQKNVIIRNLVSSHVVASLAEDAVKIDSSTNIWIDHCEFSSALVA DKDYYDGLVDASHGSDFITVSHTYFHDHWKTTLVGHSDSNAAQDTGKLHITYANNYFR NINSRAPLIRFGTAHIFNNLYESVGSAINTRMSAQVLVESNYFLNVTTAVTSKDSKEV GYARLEDNVFGRAASNAPVGSLTKQKIPYAYTLLGSGKVQGAVPLQAGARLNVTVPVP VQPEPTTTSSSTTTSQTSMSTTTTLVTTTSAPITTTSVISTPSMTTSITSIMVTTTLV ESPTTVEPTVKPTTTEPTTTTEPTTTSDPTSATELTTTSEPTPTTESPTEVPTTAEPT TTAELTTMTTNPEPTESTDPDTSQPTETPNPTTPYNRRAAPRSKADKARGGAATSIRG ALGKGEAVAELGIHFVSLFPASVAQSPTNRPAAGGRVRRGGGLAAAGGAAAVVDAGLA RVDLAVGLGSRRDEGREGEDEGGGELHLEGLFSSKVLFE QC762_0101280 MITLEKSIKGLITFSLGVLSSSASQALFKQHFRREQTFKMKFTT TLILALTALVSAAPQPDSEIDARQTCVYDCGCSSGSGEPSPPPDTATCCRSVGGTLGN GGTLCNGLTFAQGTSYARCCASPGFVCFAPRGCTPVTV QC762_609650 MSAPGFFMSSLLMTSWRTAHKGCNGYIRASSSRFTSNLLLAILL LAGGILANVSTIEPGLDEPPLVEQRPFEMVNHQLYTTTALSLLTPLAPGHNWNGTGHS LNGTSNCKLIPGDSTWPGDGDWSALNETLGGRLIASVPLAAVCHNGPFNIYNETRCGE AREGWDRPETHFVEPVEFNAPIFQNATCDPFTSVDQECELGNYVSYAVNLTTDTAADD VKAGLAFATQHNLRVVIKNTGHDYLGKSTGKGALSFWTHNLKNISIIEDYSSDDYNGP AVKIGAGVQGFDVLDSLKESGYLTLSGSCPTVGLAGGYTQGGGHSILTSKYGLSADNV LEWEVVTANGTLVTATPKKNQDLYFALSGGGGGTFGVVVSMTTRLFKDRKVGGANNFT FAAASDKKEDVNAFWDAVGSLQNLTIPFVDAGNSLVYLIYAWPDRKVPALTTYSVTVP DCDTTECVDTAMKPFTDDLTARGVKYEYSAKVSETFLEHYNASFGPIPYGWYPVSQVT GGRLLPRAVVSDEEMGKNLTATMRMAIQEEGFTFGCVASSAKVGLREGVVSSVNPAWR NAMAFCLVVGKWDYSIDRAEMMKMQKALTEVVQPALDAATPGGGCYLNEANFEQEDWQ EQFYGLENYKKLRNVKDTWDPTGVFYALTAVGSEDWELDGDGRVCRKQ QC762_609660 METLHDNTDPLPWLWEDPYLVPLDFPTFGASLDTGVPLLPQSEG AIHQQPEQSNQWDGGDPPLIDFNFLSPNLGSFPDFDFSMCLAQIGMESPHSVWTASAY TDSIACPSITSDVSSLSPSQMSMTMSTPPSFLPFTPRPLAPLQHCEPFELANGQGDRT VQVAENPMVNPTTAFPSPPQTSTRPRNSRRRRDPSFIPARQIRQLEKPEKCPICQKGH QYRSDVKRHIASRHKDRAHEFGVSIALFLCPVSGCFQTFPVSRLDHALRHIRRKHKDH DGNTKAIRGGKK QC762_0101310 MSAVGPPSLAWGIQRGPLSSSLLPVFAFPLHRPSNAFVLITRQY PSARTSHSRLRSRIPTSATKLIGNTWRLFVKVLGIL QC762_0101320 MDPPPSSQQLTSSTASTSDHKNINKLSHLKPTPAFTQLTSHRLH TSPTSPLPSQNIFQLSKMSGSDSSSTKWDDILKHGSALSIVRPDVVFTPYGGGSSSGS SSGGSSSNSSGGSSSGGK QC762_609695 MCDNPPDSDTPFCAPENGAQLQTGNIVGVIWSPLFFATSPTSRP RQIRIQADFFPSNTPLSRLNSTLSTGTEGFTSNVLNPALGTFNWSILDSYIDDIDVPS LIAVLSIAEPFTDSDGNGTVLQGNDRFPGPTVTLVRGPTRPTSTNSVNGGTINVPPSP ASENTGPSPITIALPILFGFLTAITLACCMVYKRRHPSFKVGEMVTNWMGRSGRGGFG GLRAASGYGQGRSQRQRMGGGGGGLRGKDIKVVTTDIQGLRMNAMNMMAGQNQQQGRN VFREEVRRQERRDGDGGVLGV QC762_609700 MSSISIASIPRLRPSTLSTLLLDSPSPTSIAIIDVRDDDYIGGH IRGALNFPSQTLDATLPTLIRKLQDKETVIFHCALSQQRGPGAALKYLREKERLAKLE EQQKQKPQEEAEAEGEGENKKPAVEQRVYVLDRGFVGWQEAYGEDERLTEGYRKELWK DGYWM QC762_609710 MARQRRWPPDMLLGLFVCSIAFLCDGAATRTSSSNDVEQNSNAI HVLPQPRPQTLTPRRQDGHAQVNHMSRTSTAIAPTPTKPSQRIVSTTSTAYYTVTVTA SPTPRKRNFVDISELLESIDSLQEALASATASAVSLSREFAGSINQLESSTQYLAASA SSALLVAEASASKALAAAEASAAKALSAVEESAASSISEVLAVATSTIPRTSNDTYRT QVDNGDESSVSPAIVGIAVAVSVVGSSLISLLVFFFFTRRRKAKQRAQEEENEMNAAL DRAIVSYIVKELPSPQGSTGQQTGQGQFWIEDKEEGDAGNSFAPSPPGPTEPTPTGPS DLHHVEELPPTPPSPQSGMIPIQEMPSPQPSTQPPSSRSSLDRVPRGMPRSRSHRSPR MAKPPPAHMRSHSQTTPSPRVRSGYFGHQPSASDASGGSAWYQPSMTPSSIAISRALS RRTASSHFMDSAEKIYGDILTSPLEKDPLEAPYQPAPEPPVNTLPKSSSKMKREDVGW PLPAKEAWL QC762_609720 MAPPPPADMPLPQRLQKLASTLQFAWFAGHAVLILCITRYAFSW IRFNYYGGMASFCYRSAFVAAASTYGIVVYKTWRARQKTGAKQPGGALGYLSDENVQY LLMALVWLFMPQYPLALLPYGIYSIFHVATYTRANVIPTIAPPQKLVPGAAASPNGKP QYAPHPMADAIGSFVKKYYDSSMSVVASLEIALWARILLSALFFQRRSWILIAVYTAF LRARYAQSSHVKNSFAQLEARVDNLIGAQGTPPVARQAWDTVKGVARQFHGATDVNKY VNGAAAPKKSS QC762_609730 MTSLLRQLVAGPRVKHQDTGLDLCYVTKKIIATSGPSQTYPQLA YRNPLDRLVSFLDARHGKEWMIWEFRAEGTGYPDEAVYGRVKHYPWPDHHPPPFCVVP VVIGGMRIWLNPATEGEDLEEVIKKRVVVVHCKAGKGRSGTMACSYLIAEEGWTVEDA LRRFTDRRMRVGFGEGVSIPSQLRWVGYVDRWANRGGKVYKDGPVEILEVHVWGLRNG VKVEVEGYVEEGKKIRCFHTFTKEERVVVEGGAPGGGGLKDFMWDMYGGVKQEEGAEE GVKMGVVGDGDGRSLSRSSSKKLKEGLKKKLSVRKKDTSAASSTTSLDKLATGASQKQ KSKTIALQPEGAAAKADGDQTSLPAKTESRSQSTTSLQNAGTFSFADPSEPGGQAVIF KPAKPIRIPNSDVNISVERRNKAPGSIGLTMVTAVAHVWFNTFFEGNGPEQGGKPDDS GVFTIDWDKMDGIKGSSQRGTRACDKISVVWRTAAVSDIGEGGEAPGVVIHEPGEGSP VPEMKAADWKGDGTENPTAQKTLGLRVEDPESASVSKASSVKSQEYAIAPSNDSSEMA KVEEEDEDLKGVKVSGPAGEEVLDGVAGGDGEPPKKEKADETAKRGFIIE QC762_609750 MSAEMANEIKLISGRSHPELSDKIAKRLGIEVARTISLNYSNQE TSFTVGESVRDEDVFIVQSTATGDVNEGLMELLIMISACRTASARRITAVIPNFPYAR QDKKDKSRAPISARLVANMLQTAGANHIVTVDLHASQIQGFFSVPVDNLYAEPSFLRY IRENFNPEDCVIVSPDAGGAKRATSIADHLNTAFALIHKERPRPNVVGRMVLVGNVED KVAILVDDMADTCGTLVKAAAVLKENGAKSVLALVTHGILSGNAIETLNGSVLTALVV TNTVPLGDKVQRCPKLRVIDISPTVAEAIRRTHNGESVSYLFTHAPV QC762_609760 MSSLTYVVDELAAEVPHDTWVKIPSSTTKIEEITWQNFTFQQLG QAVDRLAHWIDKHLGPADLGRDESLAYTGINDIRYPIVILAALKTGHKSLLLSPRNSV EGHCALLTPTRCTKLLHSQELSDQASEIGQKLGHLSVLRIPDLEHLLETTTNPTPYQS KCNDTTPDHETVMILHSSGTTGLPKPIPLKAGVLTTAGRLLATLPTPAGRLNTHDPLY TTPLILSMPPFFHAFGINLLVRSLHYRRPLVLLPPSTPPTAELMLHAVKTTRPTGMVC TPSILEDICSLPHGIETLRASDIECIYSGGAPLARSCGDVIGEELSPRITLVNGIGTT EIWNATGYAPLDPRDWEYFEWNPAAGVVMEGVGEDKNTAELVIKRLGGEEGRFQFVFY NFPESEEWRTKDLFERHPLKENLWRYVGRVDDVIVLSNGEKLNPVTFEKMVEGHSIVK GAVMVGSGRFQTGLIVEVHDASLGVEEVVERIWESVEEANEQYPAHARVWKSMVRVAR QDKPFERTPKGTVMRRNTYLAYQAEIEEMYASAMVINGIAAEGVLDETMILAQIRDAV NSVIKRRGDVTDDTNLFVLGFDSLQVLQLILTLKGTVQSSLQGKVSLRLVYENPSISQ LHRALCSAPATATTNTSRADKFDALIKEYTSSLRLPRPSHTESKGFKVILTGTTGTLG SSLLSSLLANPTITHIYCLNRSKPISPNTSRVTYLQTDLLHPTLGLSSETYNTLKHPQ LLIHSAWPVNFNLPFDSFLPSISGTANLMALNPAKFVFISSIATAMAGTSPVPENFTQ DHNLPLSTGYAESKHLASCVLANSPIPSTILRVGQLAGRADGVGRQWNKHEWVPSLVQ TSLNMGMIPSTLGGNQKAVDWIPVDLAAEAIVELGLGGSGKGRECYNVVNPSCADWEG TMVGAVQEYAGKTERGELKVVGVAEWLDALGEKNDAERYPALKLKEFFEGWRDERQGP VIFQTDKAKKTSQTMGKMAAVTGEMMSRWLDAWAF QC762_609770 MALPLPLGLTHSEVAFVAEMELVTVVPRQRLESIDLLSGKTPPL RPPHRADLPLWLALLLKKQRRANIVPPPWLHPASLADIVHRETKVHPHAFSEPMPTAS RARQSQPGYAGRIGGGDSSEVILSPPFRSNCTSAAPAGYLPYHWLEVAEALITHASDD LGGNTSEIRGLLRDLVEVRAAKMRDSAETLGAEGQGGVVSLRGVGAMELAENRGFVLG VVDGVRKIGGAVETARREKEEEMDLGGGDGGRGGYGGDGDSDDDMGI QC762_609780 MPSTAVPLGNPDPGFRITKPPSWHRTLLGLFSSNWTRCPPLIKR LGVWTGDPKIYSFSSMPDHHRISIMSSSKRTGMFKVKTPWSTGDSNVPEGSGPQSFVF SLDHRPRATRASVPKASRSSLGSLVVPTDHGRYIDNGVPFRRRHVKCDEAKPACQRCL KWQGFCDGYKTAELAPPRDDKRRRLSSPSARSEKSDSGLPDNFEALQLSDQYGAGENS SQESSQNNEFDEHWETFYCDCWSSLANNLGGGWFSSRLFTQTIPQISQGEPAIRYALM AIGALACSLFPNIVPAGPSGGDGNDYHYNAALTHYGQAIRLIRLQQEPNSASTLRVAV VACILFACFEILHGSHEAAVNHISFGSLMLSGQASMGQLELEDEILQAFERMEWSAWS IGLMTGSVQVPIEINSRLSLDDMPTKFSGLGEARRWWDAIQHWTLYFSQAMAGPTYAD GDFLPGIKTMQSQCLGMLERWNDMFWPLYNPASSPRKGSSYLQATSLLLQSIVLHAYI KTCGFQDHYGTEQITSQFKEMVRLSGVLLANQPVSKGCSEIFTLDSGPTCALYIAATK CLDPTVRADATALLTQYPRRDGFWDSRAALGILGQDLSSSGEYGGTIDPALQYLDQ QC762_609785 MTARTQSQKHHERKTGEIPPPPESLFHELTLVVENNQDKAPNMP NNQDHPAGSPEYQAGCRPDLPKVPKVDAMMMAVLWTIPAGTRKYVDQHRGEKNLPFAL VVLGGTYNLPRLAPFNPRNGTCSQYRTNQNTSGMHRERMRKVTSPVRVWILM QC762_609790 MNFLSAFRAPTRLEAQWFKVGLVSSFPDLGIDEEDTHTLAHTRT CNTDTAPGCKVFHIPKDDPSSGNEVPVPENEAAGDMTDQVLVFKRKGTFHAIDHQCPH SSFPLSQGLPFDIEDFGIVLSAGITCPKHGWSFDLFSGRADRGNYKLKVWEVQLRDAA NDASDKEVWVRRKQRIG QC762_609793 MAPKRYNNKAPKAPKAPKNHKTTSKVKKVQAPITTRVTRSRARE SGEELVSLDMYASTRTRRKTTKATVTNPTVINTTVNNPTVDTPTVNSTAVTNTTVTIE IKVTPSKAPGTEEIKLNPPTKRKASTETPRDLESPPRKLARNGRAPKSPSSPKKTPTE KQVGQPPVTQTEVPATQTEKVTQVDPNSSTSSSGIGSVSVGSSNFASPAGSEKSDSTG GISSLNSGSNSEKNPSSPGSPNKPPSDSGSDSNPQNLDRAPFPAHTNGFFTALVSLLE IADNVRGLKQTIDETPGVSPNWADSERYSRYSSSTESSLYSF QC762_609800 MASADTMEAEKPKMSYTKLREEQILAAEEEKAHLANLKAEEKRK KKWKRRPLTKEEREAKGKDLDALLAQSAAFSSILTDKTKVLGRVGTGLDGKTLGEHDL TMAKQPKCLVGGTMRDYQLEGLTWMYEICVQGMSGILADEMGLGKTIQTISLIALLRE QENYLGPHLIVAPLSTLSNWMNEFHKWVPSIPVCMYHGTPEQRIGIFKNQINKHLVGG RPTNKFPVVCTTYEMVIRDRANLSKINWEFIIIDEGHRMKNFDSKLFRELKTFTSATR LLITGTPLQNNLKELWSLLNFLLPKIFSDWESFESWFDFSDLEDEEGTEEFIADKAKQ ELVKKMHVVLQPLLLRRVKADVAKYLPKKREYVLYAPMTREQTDLYNAISDKNIDTRA YLENKVVERLTAANSSALSPSRSTRSSRSSSVKPDTESEVTSSTARTSPMSVKMEEPP APPAKKNAFALMMGKRPSGRPPKAATLAKKQGAAAEAVLPIRESPRKGSTKRKASPAP QSPAPKSVKSSRQSTPSSVRGRPRRGRKSYKDADSDDDMLDDDAFEAKLAKQLEAETE LQAHNGLDEDTDEFERAKTLELAKREISLKKLGNPVMQLRLVCNSPHHFYDCEADESI VTASGKMLLLDRLLPALFERGHKVLIFSQFNKQLDLLESYCADLRGWPVCRIDGSVAQ EDRQAQIEDFNKDPELKIFLLTTRAGGQGINLASADTVILFDSDWNPQQDLQAQDRAH RIGQTRPVVVYRLATKDTVEDELLGSADAKRRLEKLVIKKGGFRTMGQKIDMREDLDK ETLKALLLKDGQVYKFSGDKEVLSDADIEVLCDRSDEAYERAAAGEGNAGVYKVVETK ADGITETGKQ QC762_609810 MAYLDSQKYTPAEETEIQSWLSKASSVSPDNSSLLDEINNTLAT RTTALGTKPSKADIVLYESLAPLVKSWGPEQRTGEHGHPNIVRLVDFVQNSPFFGLDV KDKVEVDVEDIRYVKPVVDAKAEKERLKKEKAAAAAAAAAAGGNAEQKTLVDRTKEAA QTVVEKAVEAKNTVVEAVTGDAAAAAGAKPQKQKKEKKEKKGREPAPPPPPQVLSPCL IDLRVGHILKAIKHPEADSLYVSTIAMGDKPGTDDTTEVDGQICRTVCSGLNGLVPLE EMQGRKVVVVCNLKPVKMRGIKSSAMVLAASPKIKEGEVDDHKGPVELVNPPADAKAG ERVYFEGWAGEPEKVLNPKKKIWETFQPGFTTTDDLEVAFDAGVVEALEGKTGLGKLV TESGGVCKVKSLTGAQVR QC762_609820 MQLPALLLLLAGAVSAIPAGTSLPVLTVPGGGPAITASLPVITP PSKPTACSAKPSCYTHTTTTTPKACATACPEPKDPIMCPAYIKIEQKEVPCHDDCCPK TSTQTVTARCPKCVTGCVIPTITEYVTTGCAPTHTGAFPTAILTKPN QC762_609830 MLVRSRVATRHTLPVRTLLTSSSSRHPSRCPLPSSSSRVPAETQ RFLNTGPVLRPSTKKTYRALVGFERKLATTVTEQHIENAPLDAIPLYQLRPIDVTNTV QVPDDLPRPPRGRMNQSGIPGDHAELLSMFDACIKVGRLERAAMVARRLEELGGLSVL EMLQIHHAYLRARIARIEMGGEDVEMSGKSAGEDVFSLYETRIHGVLPARSETIGYML KLSLLCCTGSRLRTRVNRFMSLLPENTTMDLGDILSSEDLARIAKISPSLTYTVAEPS PIEPEPAPKINDETAQFFNQTKSSIDSKSTVPPEVLATTQKGLGLTSLKKTISLFSEV PDGRDISSLSPAERREIQSRLEKDTIDAAVDRWRKESQAMSEMGLGLSSPGLNARLFE WHTDLQRRISQEIKLAVESEKRQKKTSDDLVRSQLTPYLLQSTPDRLAAVVILSLLSL VSTYGVDKGVPLTRVVHHLASSVEEDIRVYKATQSIPKEMARTQKAREQKAKTLLKVA KARDYYAAKRGGQEEPTQAVNDGKVFSSDLPLPILEPRWPATTKTKFGALLLGAFLDT AKVTVVREHPETKELVSQMQPAFSHSTQLRRGKKVGVIVPNRALVDILKTEPRVEVLA RHLPMVVEPEPWTKWEKGGFIEYPTSVVRAKAQEKDQQIYTEAAIERGDMEQMMKGLD VLGQTAWRINRKVFDVMLEAWNNGAEVANIPAVNPNLPMPPEPENLDDPLARRVWLKA VKAVENEKGGLHSQRCFMNFQLEIARAFRDQTFFFPHNLDFRGRAYPVPTYLNHMGAD HMRGLLKFAKGKPLGTNGLRWLKIHLANVYGFDKASLAEREEFANENLQNALDSVRNP LNGNGWWLKAEDPWQCLATCFELTDALEHPDPTKFVSHLPIHQDGTCNGLQHYAALGG DAWGAEQVNLIPGERPADVYSAVADIVAKRIDHDADELKLDLAIALKGKIVRKVVKQT VMTNVYGVTRTGARKQILKQLDALYPTIEADTGINPNVLASYCTSKVFDALSSMFKGA HDIQKWLGEIGGRVCQSLNAEQLRRIAMEEQDEVEHQQAQQALYEADSHRPRPKKAAS DEVAIMAPKRKSKSLRAVKNESTLEALKEKFLSTIVWTTPLRMPVVQPYRNTANRVIA TCLQNLSLMDTNRSDPVNRRKQLQAFPPNFIHSLDASHMMLSALESDERGLTFAAVHD SFWTHASDVDIMSDIIRDSFIRIHEEDVIGRLKREFEARFGDSVYLATVVKNTPAGKA IEDWRKGFRMTARQELLLEVKRLKLLKSEVPSERLEGEEMVTPASILEKLGSPDSMVG AAEAVEAEADTTAAPEDGMEDGMEHGMEGEADATELLGEGEQTDESAQVSGKKWEKNL FSARKDKNFPNNTTQQQKVTVWLPLTFPDIPAKGDFDIQMLRQSKYFFS QC762_609840 MVNRKREKSSAAIRDDRLKLITPTAKILPTSPNAGAVSGDELCR DQSDVRQDFPEGETELVFNGHGLLLKTSPTISTSTSRLIQLQNKTIMAGPSVTGAIRC SSCTRSVFRSLIGSIAESRTPQAALRSQRLVTPAVGSRYHSSFRASPPLRGGPALEET LQREELDGSISSSNLDSNNATTTTTTTTTSSEPSDVPWYLQVEPPRHPTLMHEPPPLP DIPEDSPKVMEPLLKYISEELGMDDLSLVDLRDRDPPAAIGQDVIMIVGTARSERHLH VSADRLVRWLRGRGIGADADGLLGRNELKIKLRRIARKAKMLGTARSARAGDDGITTG WICVNLGNVGGSRREVQMVDEEGRSMGFGVPPTGASVIVQMLTESRRQELDLENLWEE MGREAVETYERPPPLRRDFSGYKAYAGRRTRSEGYRPRRIREFSTAAVPQGTIGALAV KAFRNGRG QC762_609850 MAQRVSLKSFLATARKALTAPPSQRPNPLTFVVGNESADLDSIC SAVLYAYFRTHAPSSQSALHIPLSNLPRADLALRAELNAIFPPSSNSVTPDDLITLSD LPSPSDLPPSATKWYLVDHNVLTGDLTKRGYDKSVVGCVDHHDDEGIIPPDAQPRVFA KCGSCMSLVLSQCEPIWDQLQSHQEIDEELARVAMAPILIDTVKLTSKDKTTDWDVNA AAYAEEKLVATLSVARSNRPRYDREKYFDHLSELKDSILHLSYRDILRKDYKKWTDGS LNLGVSTVVQGFETCLAEVGDKQTFLAALKDWAKEQQLDIAAVMTVSKPGGVFTRELL VWGLGGQDAVKVARRFAEKNGGSLGLEKWNNGELDGEEGGEWRACWRQMDVGSSRKQV APMLREVMKESARL QC762_609860 MAPIRLAILEADTPVPQANEKYKGYLGIFTHLFRRAVDPEPLES ILTITGHDIVAFPSTAYPDLDSIDAVLITGSKYNSFDNDDWILSLVEFTRKALIHPRV KVIGVCFGHQIVARAMGCLVQRSDKGWEVSVTETTLTDKGKQIFGNHQSLKIQQMHRD QVYGIPAGAQLLASTEKCPNHGFLVPNRVITIQGHPEFTSEIMNEVLVLRHGTGLFTD EVYESGVQRNGDHHDGVDVTEVFIQFLQGEFDEEEDPAQK QC762_609870 MVFFSAMMELLHGSSPASVRSSVPVDLMSPITSRYVADDQHTEH TVYILTILSLTFASVSVVSTLSTLYWFVKMRRSFRHELILLLVQSDFVKSAAFVVFPL VSLYQGTIESDSAFCQFSGFALAIGIESSDVAILLIALHSVMYIFRPKSGLYPYRHLA YSVFYLFPVSTACLAFINGNGFENVGHYCYLRTDNGWSRLALSWIPRYLICASIIGIY AFIYIYIRKRMDDYGRRSSTSLPPPRRMSGADQTYQQPDGHQRQLSSPALTLPRISYH GLIPSTPSSKRTSTTDTINLVKTRQMSASSVGTLRVEDTGNTDAGGSPSRTPVSQPRR SIQWNWGGFNQATTSPDVSIDDMHDPLSAVDPGLPSPPPAAHAASPVSAVPIAGQQSL RRATVLGDPYPASSPSDTFFDRPLYATAPGSLDPTGGHSRYSTSTSRATNNSKRVLSL PNIFTMLRRGPSNRSSAAGTLLSGGTRTTTQTNTAGNYPVGATYLNASTLAFEPAGGS DVSKNREKIRRQLRSLFVYPLVYMIIWTFPFVSHVMGYDDSVKKNDPQWLLILGILSL SVQGMVDCMLFAVREQPWRHASGRKVGEVVRKRLGYYFGWAGSSTKAGGGTTAGRTRE EMLVDGRLARERREGEILSERRAINRGVRAVHAREREWWDVDLERIGIDSDEEEDEPE GEEMTAKSTPMRVHSGRRRERGERSQSAAV QC762_609880 MSIPGLGHISAQQASLPSTRTLTLHPFSEWRFQISATSTATCRL LSGTAERDGTELAQTKTYNLTRCRSKIVTFTGATLEITGEFESEHVKHYPHSADSSFV TYLNLHFLLQARRSQSSSGSGHGPRVMICGPPASGKSSLAKMLIGWATRQGEQPVLAT VDPRDGMLALPGTLSAAVFGTVMDVEDPEGGVGVGCTPSSGPSAVPVKLPVGYYFGRE RVEDDEGLWKDLVRRLGSSVRAKTGGDQGVRRGGVVVDTPAVEVRKGEVKVKGEDGEE ERERGGGVEGLMHVIREFAVNIVIVLGSPDLEAELRRRDRKTPLGEPVEIVNLDKPDG VVEQDRQHLLSSRKALIKDYFFGDSKRALSPSVQSFSFDDVVIFRAVDAMDLDDPNQV LERAEISEEMSHWTLAVMDASVNDPLETIRQAPVIGWVCVSDVDKDRRRLKILSTVSG RLTRPMVWGRWPEPYVNLLG QC762_609890 MISNREIPKHADLQPYNFAVAGHDGTLCDPEGELFIKPCVQQEI DFYEKAFKDHPAFADLMPEYLGTLVLNDASDVHDIEEQIPAAVEHMTQHFKEEAVRMA KQHAADVAAAEAAKEADALAASKDNVSWKPNKSRKIATDKSVVLENAAYGFKRPNILD AKLGQRLWADDAPMEKRKRFDEITKVTTNGSHGFRIAGMRVYKGGENPDEWDQEGYKV YNKDHGRLVVNKDNVVDEIRKFIFNPRANIDEDLGRAVAEAFVNDLKRVEQVLASSET RMYSASLLFTFEGDGDALRAAIENQTPTTPPKEATEKEPVPLASRVDSGIVMDGEGEI ILPQGNGTTINGVIEGDVKVLQLAGEAESDDEEFASLPKIYSLKLIDFAHATWVPGQG PDENSLFGVRSLIKIFEELAK QC762_609900 MDSSPIVTASIGDQVYASHDAKIPDISEQTKLFNEAMEGKPDDK GKNRKKRKKKSKATKPTGFEEYYCEPVMTPAEAWDEKNLVYSPDRSFVERIEEGIQRY RARRRLDAVRNQVFTQYLILGGVDATARQFQGADKLPDDLIRESTKGELRDMVSDDVI HRGGEGGGRFYHPASSEHWDVDFTGVVAGFMSHKVLDMSGGEMEMIWIASNTIQNFLK YLILHDVCPEHADDIHRAIKLCDQAFEEIGLVSNALELVPGAFNRSVVALHCEEEDGD AISLLVDNKNLDRNHALHTVALIATLVLPTLGGSSTPNVNAMEVTNPIERTFEITSIT PPTKEMRQRVAVVSEHLRKSNPSTPPIQACGTMQGHPVIVLDGWDVTDKLTDEEAKEE SSFILEELILEQLRVGMKVTIGVSTCTFPGGGTFKVIRYVKGIKPSFYTFLPQDLMRY WKEPVPNERPGPSIHDRHDLLELAVGEDEADD QC762_609910 MCSTDLFLGILAILFPPLPVWVKRGICSADSLINILLLCLGFIP GLIHAWYIIAKYPDIPYDYDYQAPSNAEHGRVYVFVHDNNHRQGHPHQGQPRLQNQQP KAHPQPNYGTTAHNNNHSSGHEEGVAPAAGPSSGGPPPSYAQVVAQGPGDHKVQTQD QC762_609920 MDDLESLELLSLVSKITSELQNHLGVSEKTLAEFLIAQRLECDS LDGFKAKLASVGASDFPPSLVDSIDRLVRTMHPKFKGQQNRTNDDSSQRHDRSAEETT KVFKGLAIPDKEVEVEAIDDTFAMLESLAPKLSNGNQERPPRKRSRTPDDRREDSRRK RKDRYRSRSRSRSRSPQRGRQRIDRYRDDDNAYRRPPPRDLDDTPQLNKVYDGHVTGV KDFGAFVNIHGVKGKVDGLVHISAFGQRVNHPEDVVTRGQNVKVKVVKIEGNRVGLSM KDIDQETGVDMAPQIRMGSGANMMALGGGPTGGNDPTGSFMATAIARQQKKRMTSPER WEIRQMIAAGIAKASDYPDLEEEYKSTLDGTGQMELEEDVDIEIRDEEPPFLAGQTKQ SLELSPIRVVKAPDGSMNRAAMAGTNLAKERKEMKQQEAEEQQQKTKVDLSQWQDPMA NPENRQFASDLRRRAQATQAESDSVPEWKRAVVPKDQPTGKRSDMTIKEQRESLPVFA FREQLINAVRENQVLIVVGETGSGKTTQLTQYLAEAGFTSNGIIGCTQPRRVAAVSVA KRVSEEVGCRLGEEVGYTIRFEDVTSPATKIKYMTDGMLEREILIDPELGRYSVIMLD EAHERTIATDVLFALLKKTMKSRKDLKVIVTSATLDADKFSEYFNACPIFTIPGRTFP VEILYSREPESDYLDAALTTVMQIHLSEPMGDILLFLTGQEEIDTSCEILFERMKALG PSVPELIILPVYSALPSEMQSRIFDPAPPGSRKVVIATNIAETSITIDHIYYVIDPGF VKQNAYDPKLGMDSLIVTPISQAQANQRAGRAGRTGPGKCFRLYTEAAYQSEMLPTTI PEIQRQNLSNTILMLKAMGINDLIRFDFMDPPPVNTMLTALEELYALGALDDEGLLTR LGRKMADFPMEPSLSKVLISSVDKGCSDEVVSIVAMLNLSTIFYRPKDKQNQADQKKA KFHDPHGDHLTLLNVYNSWKNHGFSSTWCHENFIQARSMRRAKDVRDQIVKIMNRHRH PIVSCGRETDRVRQALCSGFFRNTARKDPQEGYKTLTEGTPVYLHPSSALFGKQAEWV IYHTLVLTTREYMHFTTAIEPKWLIDAAPTFFKLAPTDKLSKRKAAERIQPLHNRYGG EDDWRLSAQKRGGRGGGGGGTWG QC762_609930 MTLTQQQAIHLVQSIADDHGHLDEKTLATMNAGTRLKVERALLA KDQLIGQSVITLARELYSKDVRFIFELLQNADDNHFNHAKSSGQEPYVAFFIYHDKIV IDCNEDGFTEENLRAICSVGKSSKHGAQGYIGEKGIGFKSVFKVAWKVHVQSGPFSFC FIHRSGDSGMGMISPEWHQSEDSTRPPTGITRMTFTLHNNLDQKLQAAQRQSIVNEFK DLQPAMLLFLKKLRRIEVHLFDVEGTKESHSAMSLSAPVDISNRAVLTTTKTTHSSEP KTFSHHYHVTKLWATGLARNENRKYSPAEESSKAYSRTEVVLAFPLTDQSVPIIEPQD IFAFLPIRKIGFNFLIHTDFVTMANREDIVTSSQRNKDLRRYLAQAFVTAAEQMCEHP QLQFQWMRYLPRLSGNHWDSFWSEFSRILQKEIKKVDVIIPFASMTPRPLTALKQWPS KISWLGHLERPVFEDLPKKKAAYVSLEYQDSDLSILRDYGMKYLSSVDLISRAEADLR SRSSKMKSPQTDAKWHSLAAKLLKRGLRNLEYEGEIKALDLLPLSDGSWTSVTKGGSV YFPTTTEGFSIPAELGLKFIKADAAVQPERNALFKALGVLSASSDHIRSLVIGFQAKH RPSSRETSVDMLRFLYLTHPPDASLGVYDVIWVKSSSYLDLKPTANDVYFEDESDPYG PAALGLKVNFLHPHYLQEPPQTPEDATRAGEDAARGWRNWLEQHIGIRTRLRLATKDV PNGKPALSEEILHVAEHQPTKFIGLLHHLWEYDGEGLASNKTLCRHLGRLEVLCEGGS REPLKNTILPTPKLRKLSQRYLEPSHGSRFLQLEISLANTIGSWNFLAKFNIIMDDDL LFYLIILRSIALKAASLLMKPSRILRLYAAIQAKCLVSNDISEALEHVRRVFADSPLT YIPSTPGEFGTSPQWVVATRSCLLDAPADMRHRLPLDALYSQAFSDDASKSLSTVLTF FRETVKVSSCDHMAFVLELQHLKVNNCMDMPLIRSHYKRLRKCISSKEDDIEEVRKWV ADKALVFYQPCNDGEPTWYSPADCVWSTEAGLRGKANLREQYPDLKEFFVDLIGVDLL KINMIYELLLRLDTNSRVDYQEAKTQLLAFSSLLPMAPEELLKTMKPKKLVKQNCLPV TCPDVGEKLVGAATDFMIIDRQGPMAEFRHQVRVLDFDMEEVHDLQAFIEWAGLTGRY LSKMVREVPCLGAGPKVPIGNPLFDIRKKAHEILSPRYQEGNGCKLYAQLRGAQTWET DDISAQLVLEMDGESYVVPLEKSDMYIAEEGEEGETSPPSCLTIYIPHDETDQDVCIQ HKLPYKLMEWIMTDPGTGKTKPVDGRAVRVVTAVLNAKFASLPRILEREGVHDVDVPA LVEDLDEDEDESVPEAEENVGVLEDDVQESLGDDEEGDVYEGDDVFDGVEGLSNIGPE EAAHVFEGDDVFDDVEYSDHDEIAQDDFAAHENGFVDEDEYADADQILDEVERELDGL SIEPRTPPRSVAVLSSSPSTPAGRGIIYTPLGTEPETPLRVPANPRNARWSPSGDFAT PQTGYFQPSPNWRSGPRELNSTPSSSTQQYRELLSHMISAGQQLRFPDFGNLDISPLR GQLPPTKDTANPLWRFLTWQQGAAGELFILELLKTLEPKLPGFCAEVNWTSRLRDRVT VHPTYANLDTWSGFNETSDLEYFDDTGAFTTLLTEKGYLPRSSNTGEQAGNPMQPKKY YIEVKCTSQGCDEPFYMSSGQYNKMQAICEQKGAVYIIFRVFNIYTDRIDVRLFVDPA TLERQGLLRFSPERYSVRATP QC762_609940 MKQFAFVFTIALFGTAIAAPASPRAATPSHLKSERQVTTQAVDP CIALCLIDPVGCVFSGECEVLNIDPNSSVVTTSVGGVNIKRQEQDDDCELTDFLCPLL GRKARRSAPVRRQAECNAIKDTILRGACIASLTLVEA QC762_609950 MPSSSPAINFPPLQTHTYQHPHTHTLIFLHGRGDKVPSFLTALS AWESSTALTLRTAFPTFRLVFPQAPLRPVAAAAAAKGERLEWNQWFDVWNTNDFSDNE ELQAEGLRESVSGIRAMIDEEAEMLGGRYDRILVAGISMGGATLIHTLFNLEKPIGAF LGFCCRCPFSGSKKTLEQMRAVLGLPGTPQGNEVLRKTPILLEHCIDDPLVRIESGRN LREVLLNFGAERLEWKEYGTGGHWFKAPDGMDDVISFVQNVLEVVDSGSGKDVDMDI QC762_0101630 MAPQNPDQSSQHINTSFVPELCRSECYRAYEETQKYRKTPELCR TDSVFTKDFAACRTCILHQGKEGDDWTNANKFYLQPAFGEYVEYCDSIPIQSAPRTSS TSTRSIITTTTSIESSTTTQITTTVLVTEPPVATSSFTSTSTTEIAVTSNLAVETSTL VIRVSSVVRSPVSSPASAGPTTPPTPTPLPGPPSLSLTTTVTIIVIPIFSAILLVAGL IYLYFHLRKRRKQKKATLSAFKEGDTLSKTSTWEKGEMSARISTLPRQEMEDWRGVKD LGPHELPVGASAQEIMDVKDGDGFDEGSSEEGKTVADSPTLSGSEMVGSETTADSPIL ESSEVAGTSSSPVSRGSIGSGR QC762_609960 MVDTMASSSPLTMESIKSSLSEQDLSKAQEVVTLLLDIYKTLVH MQYILASDLRPGPHDLTAMLPLYQDLQLDPRIIYLYTLLPYIDNHDRAAFYKGGILID YRNKHHVEEARDPFFHTDDRRAMMRPWMTPLSLCCGLQVVLIYDAKRHVVGVFEQCFL ESRDPAMVDKAANSLPWAEDLRRACEEDSHPRLGCGEKGGNVYDNMPARDAADVLRDI KKQYELLEDAPWRHECGEGGGPWPEGVEALFHKHGWPGSDFDVEGFHVDRIRMNAVEG TKRYASEALKKMEREKNGLQRLNQEMLECEQTLALADTLDKEWMTRFEVWKLESTIEL KKKLLEGAEKLVARLYPQGSNPGDMPEDVILWELRHLMNAFRQAGGSLETIQTERIIK DNERHLERLWQAVQACLRDADRLCPEREELPRDDNDIKVVAYAFNREWQVGNLTARKV KLQGFLATIPSTCKEGREVVQKEIDNCQRDIDNRNKFWDEADARQAEARKRNEATAAA V QC762_609965 MKLTTLTLVSTLTTLSIATPVLHWPRQANDTTLPITNGTALTAP TTEAAVDAEPVDATTDLTMATNTNIMSSLMVIKLLDAVLGVALGEVLVLNLGAYVQRF NAMMNAGMGMVGQAGVVEEEGKGVVEPMEPEAVVGEIVDEVEAAVEDVEVEAAEPVVG NEEGIEAGAEAMMIGA QC762_609970 MSDSSSTFHHHQASWLPSFSELTESIAHSAGDGAPPTRHTTLPL LGGRIPFRSPHSPEAHESPRYASPYYSSIFTAGPWSPLRLDPLSANRDPFQHADLPRK PSSASRAPTADYSTIHVNTGSDQESTEGMVEIPAKLNYTEALEAIANSAFALYDFARR CTADCRPGDDDSTLLVKLPSKRVVNIMVNNSDVIKKRMDDIKLARKWVEESTSGSHSK SLLSHHNSEETVQKPGRGRRRIREIGIDAHGKKTIPARNAAGKCYNCDCIESTEWRKG QEGARTLCNRCGLQYSKRNMPIKQRS QC762_609980 MCDFIQRGHFCGNFHFIASKWCRDYTATHIR QC762_609990 MKAVAFLLPALAAASPVAQVVGNDGWANAPDPKQIQIDKASFSG NGCPQGSVSTSISPDKTVVTFGFDRFQTYIGPGYDPTAKTKNCQLHLSLKYPSGFQFA VVESTYHGYAQLEKGVTGTFYSTYYFSQDASATTTTQTSITGGGIWESGQVYTKADRI PTASYIYSPCGASGILNVNNRIALTSSNRTAIGEITNDDATVAFTQQVNIAWRTCK QC762_610000 MALNFRKAPRALGALRPLAATPVRYAPITRRTYSTAEPDLKTTL KEVIPAKRELFKKVKAHGSKVIGEVKVENTIGGMRGLKAMVWEGSVLDANEGIRFHGR TIKDCQKELPKGKTGTEMLPEAMFWLLLTGKVPSVKQTRQFSRELAEQGQLPDFVTKL LDNFPKDLHPMTQFAIAVSALNYTSKFAKAYEEGLNKADYWEPTFDDCISLLAKLPTI AAKIYQNSYKGGGALPAEIDLEQDWSYNFAAMLGKGGKENENFQDLLRLYLALHGDHE GGNVSAHTTHLVGSALSDPFLSYSAGLQGLAGPLHGLAAQEVLRWIIQMKEAIPANYT EDDIHDYLWSTLNSGRVVPGYGHAVLRKPDPRFEALMDYAAARPEIAADPVFQLVEKN SRIAPEVLKKHGKTKNPYPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQLIW DRALGLPIERPKSINLEGLLKQVEGQ QC762_610010 MLRTILPSVPRRTLTARLTSALSSGSARAHAVRSLSTTAVTRMS SLPPANPPLTTTSAFEAYQLLPEAQKAGAAEDALFEAQVKEVEAWWASSRFEGIKRDW TAADVVSKRGSLQQNYPSSVMARKLWNLVREREAAGKPIHTLGAIDPIQMTQQAPHQE VLYISGWACSSLLTTTNEVSPDFGDYPYNTVPNQVQRLFKAQSMHDRKHWFLRSKMSP EERAKTPYTDYLRPIIADGDTGHGGLTAVMKLAKLFAENGAAAVHFEDQMHGGKKCGH LAGKVLVPTSEHINRLKAARFQWDVMGTENLVIARTDSESGKLISSSIDVRDHEFILG VADPSVGSLAETLASMEAKGASGSEIDAFEAQWVKSTKLVTFDEAAVAHFEKHGVPQE KIAGYQSAVKADRDMGISERRKLASSITTDAPVYWDWDVPRTREGFYHFRNGMNAATK RALAFGPYADLLWVETGDPNVQVAKDLGQAVRSVYPGKGLVYNLSPSFNWMAHGFTPE TLRSFIWDIAKEGFVLQLVSLAGLHSTATISNELAKKFKEDGMAAYVELVQRREKELG VDVLTHQKWSGASYVDAVLGHIQSGSSGSKSMGEGNTEGQFE QC762_610020 MSGRLAGKNAIVTGAAGGIGLETTILFAREGANVLMADISEEAL SRAQAKVKQLVPTAGKIETTICDVSKESAVQAAVESLDAWGGVDVMFNNAGIMHARDD DAVNTPEDIWDLTQNINVKGVWFGCKHAVLSLRRHNKTKGSIINTASVVALVGSATPQ LAYTASKGAVLAMTRELAIVHAREGYRFNSLCPAPLNTPLLQDWLGDDKAKRHRREVH FPTGRFGEAIEQAQAVLFLASDESSFVNGHDFVVDGGMTKAYVTPEGPAAPAPVNNAS KDSL QC762_610030 MGQQEKEPEITVEQLPDLLANDNAVKLAGVDVDGQLRGKLVSKK KFLSIAKSGFGFCSVIFGWDMHDMTYVRELRVSNKENGYRDIIAVPDLQSYRRIPWED NVPFFLVSFFDPDTKNSVSACPRGLVKAQLEKFKTINVGAMAGAEYEFYQFKSPSNNQ NDSLTSGTAAFLQQNPPHSLPALTEGMFGYSLTRPVHNSGYYYDVFNSCEAFRCNIEG WHTESGPGVYEAALEFGEAAQMADRASLFKYVVKSVATKYDITPCFMAKPKQGLPGNS GHMHISLVNHRGENLLFRGGKDEKAQYSDIESLSDLGRHFLAGILEGLPDVMPMVAPT INSYKRLVENFWAPVTVSWGLEHRAASIRLIAPPTCKPAATRFEIRVPGADANPYYVM AAMLALGWRGIQKKLEIPCPPLGKGEEVGGESDMGVRLAKNLKEANDRFTRTGSIARE VFGDEFVDHFGGTREHEIRLWDEAVTDWEMKRYIETV QC762_610040 MALTTAYSGAPAGRMPFDNAFGFTFSQPFQHESDFTPANHRVPK VNDEQPIFVDNKSGRALTYSQIRADSLALASGLLGLPNLDPTEIKKLPPTPSCPQGPE IAPVVLIQLPNCLPFAPILLGTFASGFTATLVSPALTADEVAWILQNAKPRVIITATA CLEAMKAAIGKQEGDKSFWNGVPVFTVDAANDTYPLAFSGQSNPQDWRQLLQAKGKPS VQPNQRLNEQQAKARTAVILWSSGTSGRSKGVLLSHHALNFATASLWHDADYYPPSGP QRWLGFAPFYHVFGLCNVFLLGIAAGARVFIMQGFKLPDMLEGIRKRQITYVHMSPPV AVMLAKAEVVEEYAKRDPKTGKNGFSSVVGAVTGGAPLGHEVVVQVYKRCGFRIRLGY GLSETCSTALQRGLGEREMGEQAGDTGLPHWGVEVMIASGEGYATKEGEKTPAAGVDV EGEVLVKAPGLMSAYLPVGLFSGAKPDMSVTNEALTADGWFRTGDVGALCKAGRLRIT DRLKELIKVRAYQVAPAELEAVLCSSESVGDAGVVGVYDDDEATEWPRAFVVPAGGKE NKSRADLEKLAVELKELVEKRTAKYKWLVGGIVFIDQVPKSPSGKILRRLLKSGAEGT KGVEIKLYEKKKRSAKL QC762_610050 MSTPESADEGLDVTKPVDMNKLHIEEIDPDGDLVLHVGADSDPT PRTFRVDPAALRRASPVFKAMLFGPSAESKPAGEQWLVSLPEDDPDDFEIILQIVHCQ FHVRRIPRKLMTRKAVYGLLLLTDKYQMTHLLAPWKHTLVDFGRNGEVKWQGYKDIRW CPFEAFLSWQLGFSRVFRAHTVQIVYHCWIDPQSRVLVFNNDQGDKTPLRQLRFGPLD MEGESPVSAWSRAIAQSFMLTR QC762_0101750 MDQTGPSHYQRTTLVDFRLILNISHGNFTGVSRAISFGEELYNL LILCDKYDLTALIGPWVRKWLFLFHIQHVMPIPMLPFIVWASVDHAGL QC762_610060 MSSDPIGPTEGPGNPVEKAVENQQEAGNQGKGRTSEAAAVEKTV APATVVGKSPVVENIDEDGDLVLHIGPTSNLRAFKIDSSTLRRTSEVFRAWICRWRTD KPSDSQWVFDLPEDDPASLKTILHIVHGQFDQATKTMAESDGSMLYELLVLCDKYNMA YMIKPWANAWLNHTIKDTQDKPSYWKLAFIAWELGRYDLFTESCQNIILTSQASHDGS GLVAYECQLGDCRLGPLKMEERFEPIRYAIIQALLDFYHTEVKDRLLGKVPTHSPVQP TASRSVGRSPTLSFGNTRQTSSRSPMTNTACNQIVLGGIIQATVTLLRGSTKTENMDA GILVLLPTEASELKDSPAKLIGQMITIFQAVQPLGSGHEICHPAARFLSFQKTIKEFT AECNKGWEGLLLTPADKGRLAKRRLLFG QC762_610070 MNDKTFRTSELLSTAPRPDTTTTIQALNPSTMPVTRTAATASKA TLFKSLHHTPTKPLLLANAYDATSARIIASLPGCRALATASYALALSIGKADETLTLE DNISLCRPIAAVAHEFNLPLTVDIQDGYAGPGDYAELRSVIEKVILELGAVGVNLEDS WHESTSGDMVPEDEAIERIKTVIRTARELGVVDFVVNARSDTFLMGGSLDESIRCGKR YLDEGGAETVFIFWPRNKEMEKADVQKVIDELGGRVNVSCRLGGQLTTGELGKMGAAR VSVGPQVFLAAAEAIKKAAGAVFES QC762_610080 MYLLFTTLTVLAAIVSFSTSQAQITAAPSIPTVSGCPAVLSITD ICSTCMTLACITTAEVTVGCSGCPEIPATVFSGYPCEGGCDQLGGCKTLYQVVTAQAD QCESGPLVPLPSITDGGESAITGGEDATTGDASGTQTVTETGEGVGSTGTPTTTGTVT ISTSGGRRLSPFRLW QC762_610085 MANYQHQYGQPATEYSVLPEVADNSTSAPEVVTQNHTRVGGGGG TATYFPPAYEQGFKPYSETATAVAAVQPSAPEFSETASPGPNKKVTILSVLVGILAVA VIALAATTGLMAKKANDKDAQIASMTAELQTVQSSSDGSNSSNEVAASEKETVTVTVA APSATGTGSSDGSSSTSAFLIEDVSNGCNDRPESFTGKDYTTSLYGNVVFRRYCNQKT TSVPIYAMHTPDFETCLEACASWSQALPYAFSDVSDKNRVNVTCSAVNFVPKWTDKKE SARKQSRGNCYFKSGEQTEEKSLRSSTGDTTVSHAAIVIKQAAKKD QC762_610090 MTADEKHNFFVGVLEKSTSKKGLDETEEVSHLFKEHGHMNGMLE KLALSIHALMGQVEPKPSVQGSYPFDMALYSVLDTCMTSRHPEFDRLGKMGYNNQRDK AFNTVPPVVDELSRGMVAIFWREGDNIINAGLAAAGVNVKPTVFRKRHPLYCGLWVSD MRNQLHFLGIRLKRSFGNKKWDEMELFFDKFFIGKALSNIEEYYKQLLSRHGILRWQL HPQHPQQGRVNRVFRGRFRPDSSRINLNAEDGVPGSSVHLIDFLAHALEAEILPQEPD YFLGHRICWTLLRKLKTAIEGDMLKWLGPRYIEKEYQLPFLVGYVFRSLIGDQGTIHG NITTSQRLPGTDLFHKAAQVYLYIFGGEDGEVERGQVKNGIDVFHAMAKMRKVIPDDP HPASQSHYNGVGASRGILNSANMNDEWDKDYDEDYDSDYSDGTAGFTERLCNTTRGGG SPRLQGQELMDAYAAMWQVAARGARQGRGIDGLVREVEEQMGRVLG QC762_610100 MSASVYNHAHPLLGIAVPDPLGPGVTWRNTLVISELLSRLGHHK ELFPILFPVAGYVKLVAEASLLFVNPRILRKFELDEFHLQQDITLGQKEDKISLELEL QSTGSHERHGERTDFVSFRIRSRNADSENVAKQLNSLGCVCLRSSIHRPGLPATGLAH SDTPTNTSANQTSRPKVLKTLPMSYGQSGFWFMTQLVEDPTFFNGTVTFLITTKTKLD VEVLSRIVDEMAMQHEGLRTAFFTDPETRQLVQGVLSKSPLRLETKTITSPAQVRSEI ESLNRHVYDITHGQFLRLLLLAESPTRHHLIVGYHHINMDGMSILALTEHIRLAYRGQ LLPPPFQQNEFSTRQRERLEAGQHKEDILFWKNEFEDLPEILPILPMSPNITVRSSRP IIRTTYRHVRAETRLKPAITTKLLELRKQGHLQSPFILYLTILQILLGRLAQTDDVCI GVASANRQNDAESMDSIGIFLNLFALRLRSDLSKPFVDLLQENKTKAQAGLKHSAVPF DVVLDEVGAVRHPSHSPLFQAFINYIPVAEDRPFGADGTIKNSNYEIGETIYDIMLAV INPPIGDPWIAIMVQKELYTQQEAQILLDCFLNLMEAFTDDIHISGRAPQMFSEVAVQ DATKLGQGVSLDMDFDSLISQLDSISAKRAQQIALNDTQGSMVSYKEMMARSTQIAHA LSMSSNVVPESRIGVLQEPTIDWICSMLGIWRFGGSYVPLEATQGAGRLKSIVRDADL AAVLIHHTTRTLCTEIFTDEPLVIQPVILDINSLDSFSGASNGFYHAKPSDEAIVLYT SGSTGVPKGISLPHRMITNTINGFLHVFPMKPQTVLQQIALSFDVSWWQTLLGLATGG SVFVAGKDARRDPLALTNLIVSQGITLTLAVPSEAVSWLQHGVGFDGLRQSSWEHHIS AGEAIGPNLIKLLRALQKPDLRFINAYGPSETIIPHAFEVPYLDPDLSPVIPIGKVLP NYSVYIMDENNHALPAGVPGQIVIGGAGVASGYIKQPELTAARFPNDPLANPRAVANG WTQAHLSGDRGYMREDGVFVTLGRMNGDTQVKLRGQRFELREVEAAMVFAGKGDIVEA VCHVRHGGDDKDAASAFLVSHVILSSEVQRRYGGATGPGVDKRLKEIVSDLTTLPQYM RPSVVVALPAMPLSHHGKVDRKFLSRAPLTREGGRIPVPNTARPSTRARISYNTPLMT SHKTATPKLASGEPGAGSFLPEMKAIWREVLGELVAGQQLDPDSDFFLVGGNSLLLIN VKNKIKERTGHNIPLPNLFQDSTLGKMAAVVPIRTAHALRVQPPAKTEQQSNTQDKLK QIWASVLGGLVDGTTIGPDTDFFLVGGNSLLLISIQREVNKAFGALLPLPKLFEANTL AKMSTLLDTSLAAARPVNFPKPETINWNDEVAFKESFTKMTSLPYPPPVTNITIILTG ATGFLGRHLLNRLLPNPS QC762_610120 MLRDFFIALLTASTALSAAVPAATACTNPQKRPSWHDMKEADKK AYLAANVCLLKSPQKLNRLPGAKTRWDELVSLHQIHALQIHTTGQFLPYHRYYINILA FLLKECGYSGPLPYWDETRDAGKFSSSPVFDPVTGFGGTGKGSKNCVADGPFANLTVN IGPGFKSQPRCVNRRITNALSTQCGATYVASAISGPTYSQALDAIYSGPHLVGHMALA MMDGDSITSSGDPLFFLHHGFVDKMWWDWQKKDLNTRLKDISGLNAQDPAVGFSEFPG GMEVESAMWGKPTAEILAVTPDPTSGDGGKEITLGHVMSSLGIIPNATVADVMDIKGG YLCYEFV QC762_610135 MQTLFHITHGNFESVPSSPSTEELYRILVLADKYALSRKLGPWV SRWLKAIRDRSEEEVRTEERVWIMRIGWNLGVDKLYLMQLKDFILRACFVPAPAESNQ VEQIGNEEAATTKEEGSERQTRSGDTSQSQNEEIKERLLRTEDDN QC762_610140 MIFPRSSALAAGLIGLTPLTAAFGTINEPVILGQHNEHEMVTRL AFQCPSGQKSDGVCFEPRSLDQLAGYHREVMGVALPGAGFNGAVGAPDTLDPVPEGPE AHCDDADFVEVPGYPQSRKEANKNLQKCVDHLRARFRQAWVSAEMLVDERRRIRPGEV ELTNAFGGDCNFAFPSLQINVFARAKCSTLEGFGRALHGVQDFYSHSNWADETDTSKP ISETNPPGLALHGTAKFLDLRASGPIPEDQIPYNLTTGCFTIPDGTPGSGDCAGRVTH HALNKDHGVIHLDGTFGDAGPGSPRSEAISRNFENAVRVAVQSSKETWAAFREHLREQ YGTVAGNLMICALVRDDPIKDCRKRMAVIALDASSRSGAVEASGLQVQIAQEFKLKLS SHGLDRIEVMEFAEGPKIVHPMGFPESVTFSELDTKGRTNIGNALDVAIDDIIQSQPD TYTDRGAVVLLTAGAEPENTKENQELAEYALAQVERAAKEGVRIHFGCINPPRPFNDD PDRSWHECAPGHSIIPAVLKTGGTFAYINHVAGRLDLTPAPHFISTIMSRGLTSTDEY EPELTRIYPGITIAALLSAEDYPSKSLFYPASPSERINITIRDRALDGQGVANGGGGC FSITLRDRKLDDLKIATYTSCGTEPLMLNYEALQDVDLLVVAELGDPHHPQQEGSPNP QGVVFTLELSSNMPAKNETSTMTTSSVVSSKATLKMTEEAGIKTEEFLTSETVEVFEE AATVNGTASTTSEGSHTVSGGDGVTGSAMPAQEAMVTGKESMAIMEDVYVTTIAGNFS GEDADDILDLR QC762_610145 MVGYIREAQQPQHHELLPCARHRARHSRISVFLLRYNDRLIWSP GPHLRPMQICVSACQQSRFRHALVRSALCFGLAKVCPGCLEASRLCGSPLGGDFLFSM GSWLWWLGTF QC762_610150 MQSCSLSGVEQLVMWTSLTTNKPILWGHSYFSLLVFSYLTLSGI CMSLICAGVDSNRSIPDIAGLEWASFIELEAPSFTLNRSLITGPDTSPRSPMPAFTTS PAAWSCGLQNFNTAALAKRASKLRNGTPCVVHLPDYQDLMMGTRNYHLDVEFEDGVTW IARIRQPNTTPLPVRNYMIKSEAATLGFLEKTEVPAPRVFDYRLDGPDNPVGVGYIFM DKLPGVPLRWDLASKKQRRKVLDQLADIYIELGRHPFKGLGSLDTPGSDHIGRFAKEL TFDLPPCQSWREYCTRYILHVLRLIERSEIYTQRPVDAFLIHRFLLDLVSRMAGLTGG WDERFPFYLKHADEKMDHILVDEEYNITGIIDWESAFTAPAFVAFNTPMGILPVKDFY DGVDTLGEHEDGFLDILRSKGGQVFSNVGRHGRLLHRFLFCIGFDIVKYRDDFETLFQ GLREGVGADEESDWDEWQVDALLRYRDKEMV QC762_610160 MAAGLPSNPLKKIQLVRPAHVWYKHKDIEAAKRFAADFGFYETE TIGKTTFFRGYGTEPFVLALEASEKPEFGGAAFVVESEEDLVYAHQSLPKECRATEVH ELKDVPGGGKRVTFYDPVDGFPFHLVHGQTEVERRDPGFPVLKFNYPNEKNREPNKFQ RFEKRPAPVHKLGHFGMCVTNFAKCYEFYSTYFNFHPSEVRILRMKRFLAERLTNSFQ LVHNDEGVDVTVFFRLDRGKEFVDHHCFFFFEGPKMHVHHSSFETHDFDAQVLGHDWL RHQGYTNCWGVGRHVMGSQIFDYWFDPSNFILEHYVDGDLLDMNEPTHHNKAAPDNLH VWGPEVPPTFLQ QC762_610170 MQLLHILAAFPLTALAALNGRCTGSEATGEWGSKGICIRTSTCS SAGGAYKTGACPSDPADVKCCLVGRGPSVGTNPCGGASWCDWTSNTCSGSRLTGYCPG GSNYKCCRL QC762_610180 MISWLNDPTFVAGLFAGVFATFTLFVGLAACLLLSRDHYGLDHW KLNLQMPVSLWMNLGYWKDTSEFAEACRNYLKQVFETADITTPQKSIAILDVGFGCGD QTSTILGGIISGGAYIGVTNNQVQLQAASRRCRDLHKELDTSHLFCADASKPHAWPEE IRSAVDKLKNPNLKGKWLLASDCMYHFSPSRMPLWQYAARNLGMNFMGSDLCMSNTAT WREKTLARTIGALMGCPWKAFLTVEQYREQLVACGYDRDSIVIKDVSVDVFPGLVAFL ERHDKALAEFGISLGGGFRVARKVFGWFAVSKVVRAVIVVARLPKVKVAA QC762_610190 MTETARERVAIIGTGLAGLTTAHLLQNDPKKRYAVTLLEQADSL SFDSASVAVKSHETGAVERVDLPMRASAGGYYANLNRMYHHLEVPMHPVRFLFVFAKA LKQRGTEAGNCQDSSQSPSAAASAVPDGYFVHASNLHQLPPPRPSAYSTWRYLLEILY LIICHAWFRAACFLVRPHDESFAQYLERIWLPRRYTTHYILPLMSSVSTCTHDELLAF PASDLVNYNKFSYGQQHYTVCGGVQQVQSRLSRGIEDICVRSRVLEVLPRSSGKVLIR WQDTVGAQEEVFDRAVLAVSPDVASRLFAPLKTTGLDKIPTTWVESSVLTNASRAHSL VDSDDRTSQGKVACMHHAAVEVESASTQVITLRTQFSGLGGARSEALHTMPSGVVVST CPLDGESEATQKRTLKTAGFRRTLRTVESRATVERIFRDGSGGTTDGWVNGENNVWLA GSWCWDGMVLLEGCVVSAMRVADEFGVEIPWRK QC762_610200 MVSNARPLAILASYMVTAVALAVRCIGIVRRHPVQKAKRSAGSL VLFGALAAVSLATTWSYMFGYFKWSYFDWAANAPSATADDQLHLGEWLRDTSLFKQAW FSALETPARAWWTLQIFGFCAIWSVMLSVQAKKRNIPQIWAFMLLGQVVAISFASNLF FLAVLAHDVKDEKKPAQSKQKPSSRTSDILILVVNLAVTLFLFGNLDSPYFLSLLLAP HVLAFVPLLRDGISSGSTESSQLREPSKVLQFGILAAVLAAGTSQPIASGETWKSILD TLYEHPAVSSVGWDVICCWVSYTAWFLVRDSE QC762_610210 MIRTSFGVLLQTWGGGGDDSDFVAVLGTIRRVFPAWTVTQGLGS HHGCLSGARKRVFKGSLCPQIMTSIPISTPTFKSTSTMQLLAILTTFPLTALAVVNGR CSGSAATGTWGQSGICISTGTCNSFGGVFKSGACPGDAADIRCCLIGLEGSTNKPCGA PRSYCDWDGHACWGVTHSGENVPVRQIIGAARVCK QC762_610220 MFTMIGQHATGAGHWSAWSMLKLLLLTSFPTLSLTAPPSVSHPI ASSESESMKSLAALKTMAPKYRFTAVPGYFKMEGRGPRNEVPTMPGMGLIDQPYPTDE AFDPQRSKQPWERFVNLLNSWNESEKGKAAYKLIYVTRHGQGYHNAKESDVGSAEWET RWVMLNGDDNSTWFDSHLTLEGIRQAMTMNAFWQDAATKLKLPLPRRYYASPLARCLE TCKLSFEGIELPPGQEKPPFKPIIKELLRERLHFHTCDRRRNGTWIRENFPEFEFEEG FVDEDVYWKTEGRETLQEHAARTMALLEDVFEHDDEQIISFSTHSGTIAALIKATGHE DFFVEPGNVVPFLIKGEIIQPN QC762_610230 MAKAKKLSKAPTSDTASTNSAGSSSSAPTTTPSWLTDSLPLPAL IVLDLDYTLWPFYSDIHISPPIRSLSPFVLSDRNGEHFSLFPDAPAILRLLSSPQCNI RLAVASKSPVGDLCREVLKSLRLPETEIRGQPKKVIDVFTTGGGGGLEIYESSKLRHF EVIAKRTGVRYEDMLFFDDERPNFEVESVGVTMKLVGRQGLCWEELEKGIQLWRERKG IVPATAGGSGSYAGGRW QC762_610240 MAGSPVEQVPSATATTGGDEFGYPHGHFGHLTAEQEKALQDFKI FLGEKGLYTHAPGEKPSHEDWTLLRFLRARRWIVEDAYKQFKDTEDWRKANQLEVLYD TIDVEAYEETRSLYPQWTGRRDRRGIPIYLFQIRHLDGKTVANYEKKAESTSASLAKT DGSTPEKLLRLFALYENLTRFAQPLCSAMKDRDNAETPITLSTNIVDVSQVSLRMFWN LKSHMQAASTLATAHYPETLDRIFIIGAPYFFSTVWGWIKRWFDPITVSKIFILSAAE VKTELERFMEPRNIPKAYGGELEFEFFDRPNVDPRIKEAITWEKGHTDFPRGPAYWVP SEDGNTLELYAVGRQDGKQRREKICSIPSPFPKVEEPAAAVAGVKEEQEVKIEAAMEG VKALSVSSSDDKPSTAEISEKVAAAAVPDAVAEEQKPAAVKA QC762_610250 MDGSGKIIWARHNEVVSAVIKAGDATKDNEPITFSTKELGNAEI YPQALLHSPNGRFAAICGDGEYIIYTALAWRNKAFGSALDFVWASKENSNDFAIRESP TSIKVFKNFQEKKGGLDVPFAADGLTGGVLLGVKGQGGISFFDWQTGGLVRRIEVEPK QVYWSESGELVALACEDSCYVLRFSRENYNEAVQSGKVEEDGVEEAFDVITDISESIR SAEWLGDVLIYTNGTNRLNYLVGDQTYTVSHFDKPMYILGYLQRDSRVYLTDKDLSVT SFALSLPVLEYQTLVLRQDMETAAELLPSIPQDQLNKIARFLEGQGHKELALEVATDP EHKFDLALALNQLDVAVELARQSDSDHKWKTLGDAGLAAWDIPLATECFVNSKDLGSL LLVYSSTSDREGLAKLAEQASAAGAHNIAFSSKWLLGDVPGCVEILTKTNRHAEAVLF AQTYKPSLAPAIVAEWKDRLEKNKKGRVAKSLGVPVEDEELFPEWDEWLRLEKEGPVI AEEEAAEEEAEEEDDEEESADEE QC762_610260 MERNTPKKAVHFGAGNIGRGFVACFLHESGYEVIFAEVNDATVS KLNTHKSYKVIEVGAEGTTEKTITNYRAINSRSNEAALVEEIATADVVTCSVGPNILK FLAPVIAKGLAARSTDLTPAAVIACENAIGATDTLAEFIKSPENTNPALLEDYDKRAT FANSAIDRIVPAQDPDAGLDVKLEKFYEWVVEKTPFKEWAVPDIKGIKWVDNLQPFIE RKLYTVNTGHATAAYYGYTRRKSTVYDALQDKDIRDEVKNALKETADLITEKHGIDEE EQKQYVDKIVRRISNPHLEDAVERVGRAPLRKLSRKERFIGPAAELAENGKDCSALLD AAEMAFRFQNVEGDDESFELAKIMEEKKPEEVVQEVCGLQPSEKLYPQVVDIVKRVQA DSNEE QC762_610270 MFSTSFLVLALAATGLGQVVVPEGYRKVYITSAVDAKFVIVPKA PLPAKAGTGVVVQIRNDKPEQQWYLKDGTGVRIQLAETNLCLDAGAKSNWRDMGTLTL KECSATEPAQKWNVMADGRIALEDSPQPQQCVDLVYMRATPNNAVGLYTCAGLGNTGA KDKGINWPLVNATAP QC762_610280 MAASDLLVSDRSTGRASSSDESETSTIRTRTLAEPSTALTVPTV DPIGTQVIETEKKHREYSRGELKRLDTIADKKTRIEQEVGHAAGGAGPCPPGDYSAGL ELDDEDESAVRHLAIENSPLAGRTEAILLASTAVIFFAILFNEKACSKVLLIPSIAFL VMLGRVLSTHAANNLSALPDAPLHCVTQGHGSDGAFRAQIGLPGHQVPRWATGTKLRY VICAETFPHPEDAALAASNLAQAIDQFADVPVTFEEVTRNTKAHFRVVYKDPVCADEA NVLASAFFPNRGPRNKRTLHIYALAFRKRHIGSQVQVLAHEVGHILGLRHEFAPESAE CRSFTYGRRNPDSIMSYPADWSTAKVTQQDIDELRGLYLDTRLFLQDDAGDDWHFQTI TPHPFLYPYENTRRNWGFMAAFWSFRRFQRHQQGNATAR QC762_610290 MRALVSLAWGRLATALLLVFVHLNLAAALSVPRYSELEARTRAD LDANTVRRELGSRLSPGTLIFGPSDGKFPAITARWNTFAPPQIQVVVQPASEADVAKI GDQVQYCNANGIDFLATNGGHGNSASLGTFTGVQISMAMLRTITIQPNKKSAWFQGGV YGGLVTKYLWERGFVTTTGSCDCVGLLGAALGGGHGRHEGLYGMVVDNLLQLNVVLGD GRAIRVSPTSNSDLLWGMKGAGHNFGIVTSFELNIFPRGPDTWHYHNYIWTGAQLERV FTSLNRLHNNGSTPVNMALNFGFFFMNTTVSTTDPILSWTFAYRGPANEAEALLAEFN AIPSVYNEQGDVPYNGVSKVQGNAEDDFICQKGNKRITTTAGLQVYNLTAERLIYNGF RARAISHPTLAAGAGILHEGYSTAGVGARNPADTAYPFRSDHHLMLFNGVIPPNDPVL EELAWEWANEVKDMWNAGQPTRTENNYVNYANGYEDLETVYGREPWRLARLRALKQKY DPQNKFRFYNPIVEPREGKGKGKGKGKGKGKRRSFQG QC762_610300 MDRLTSPFEDDSPHRYLIALATVPVLLFALYQYLLPKPIPGIAY NPSAAKSLFGDVPEMIAEVSQTGEFRVWCAKQVRKMNSPICQIFIKPFSQPWVLLSDF RESRDILTRRHKEFDKSSFLSDGMACMGAFHGIYMTDHKFRANRQLIQDLMTSTFLNG HVGPAIYNKGSELMRLFEMKVRLARGRPFSVKKDFEYASLDCMLAFAFGRNWVDTAVG EQVKVVGGLTEESLVIPVSVDEPVDFPLGEIVDFLKSVYEAPEIVEKTINAIMPKLQT WWWSQQGWYKKIFDDKEKAMKAQVAIGIKNFQQGKIETGVEHMLMREAARAEKEGREP DFESQIFRDELFGDIVGGHHTTSGAMMWLTKYLTDDPTIQSKLRSVLHSTLSAAHQEG RLFTFEEIRHAKLPYLDAIIEEMLRINAVPVTREAVVDTTVLGCPIKKGTQVFFMSNG PGFLSPSFPVDEAKRSDTSRLSKRANDSWDERQDLARFDPERWLVRKTDGTGVTADDV DFDGAAGPQLVFGLGPRTCWGRRLAHMEMKVIIAMLVWTFQLERTPPELSGYGGLEGI ARVPKKCYVRFVKL QC762_610310 MSSRINTILILGAAGGIGEATAHRFHSLGKKVIVTGREQDRERL EQIVNELEGLEYRIWDLTQLDSLQPQVDGILRHFPKLDTVFVNAGIQNHYDIFQNPPP NLAQEAIQELTTNLVAPILVAQAFAAHLLSLAKQGIKTNIFLTSSSLAYFPVPFYPTY CPSKAGVASFCKILRWQLEATGVKGMSVVEVAPPYVDTPLNAHHREQTDALQGGPEKA VQPMPLDEYIDKFFDLLENTKHDGSISDEIGVGFGGQGQKVWKQGYESLLRGSGMTD QC762_610320 MATQGSESILGLAQNILNLTQDLTKYLQANNLVAPTFSLESQDP PATLEYREIHAGLKTSLEDLQRLIDGPRKWLREFCCTGYDLGLVQVALDFEFFQLVPA HGSITIDELAEKAGLDADRTGRIIRQLMTYRIFEEHQPRVISHSNTSLLMQQDEELRS VVHYSLDEMLKAAADCNITFKAHPYECHQNLNPFVTRHGVGIFEFYKNDPAKARRFAK AMAGLRKMDRHLDYLLYDSFNWGALNGTVVDCGGGNGHISKSLAEAYPNIKCIVQDSN ADMLAEGKESLSPELADRVTYSKHSFFDPQPVKDAAAFLIRQCTHNWADKDVVRIFKG FVPGLENSSPNTPLLINDIIIPEPGVWPRHQERVVRQVDSVMIVNCGGKQRTKAEFEQ LLKEADSRYEIRNVFDNGPLGLLEVYLKR QC762_610330 MASNSEPQREQHEPVAIVGMGCRWPGGSHTSEQFWNFLCNKVDG WKEFDDPRFSSKGFHHPNSDRPGGFAMKGAFLGRDDARLFDHSFFGMTQLEVETMDPS QRKLLECAYEAIESAGESLESISGKRTGVFVGNFCLDHWTLQSRDWDNPRPYAFVGAG TSILANRISYIFNLQGPSLTIDTACSSSMYAVHCAVNAIRAGDCDSAIVAAGNWIADP TVQIALDKLGALSASSRCHTFDARAEGYARGEGWGAIYLKRPSTALADNSPIRAFIRG SAVNSNGRTGGITRPSALGQETVIREAYRNAGGLPFKDTSYFECHGTGTYVGDPIEVA AVGRVFASVREPRDPLLVGSVKSNVGHGEGASALASIMKVVLALERGAIPPVYDLQTR NPNIDFDAANVQPVTEVTPWPEGKIRRASINSFGYGGANAHCIIDHVTNVWPDYVAPG VFNKSRAVTSGHTNGHANGHTNGSTNGHTNGNGNGTSNGHQNGSVQHSPVVKIKTTAV PGASTRQSVLLPLSAHNEQSLKLNIEALAKAIDQFPLADVAYTLGNRRSKFAQRSFAI VDKDNVANDLLSVIDKKPTRAPLHTASLGFIFTGQGAQWHAMGSDLFQYRIFQNTIQH LDHVLGTLSNVPAWSLYDILSGNCDPSLIQTAEVSQAACTAVQVALVDLLASWSIRPS GVAGHSSGEIAAAYASGRITAAEAIVAAYLRGQAVSRNKQTGAMLAVGLGFDDVVKYL DGKEDEVKVAAINSPGSVTLSGEEPTVDSISAAMTADSVFNRKLKTGGNAYHSHHMIP IGREYVELLTEGTEHLRKLGLASSDDAARYQPTLWVSSVTPAKSTSGLGSDDLASYWR ANLESPVRFSEAVAGLVQNAEGVPIHALVEIGPHPALKSPLEQILKAAGVKNVAYAGS TLKRGEGAQTSMLQLAGSLFALNSEVNIAAVNAVESSHDGKGDLEHGVTCVDLPPYRY TYGGLNYHESRASKEYRYRSILRHDLLGSKIAGNAKLRPQWRNILRLKDVPWLGDHRL LPDAVLPGAGYLAMAIEAIARIHNELPSNPPIEGFVLADVSIKKSLVIPEDDYGVEVL TSLELVDAQTPAWATFSISSVGRESEEWMEHCTGRIKVALEGSDDSVEKTAVAPSTPR ALDGRVWYEKFAEIGLGYGETFHPISHIRSDPTSNVAVATLNLRSTAGLIKGGESAYP LHPASLDGAIQLGLIACHAGRSEDATTAFVPVQLPRLYLSNNINDLAGDKCTVVAHGE KRGIRGAHLDLQLLGPNGEVMLDAEGLRCISYSSAAKTTEDRTFSSPFTRLVWRPDIR TLRNGRAREMYPPPRDNVKKAPSWGITNKLAHFVVYSMYMMYGTLPEDDMPKPSGDVG HFFDWIKRKGQNDNSELMQEAREYAKQGLLLNKIDELVSEAPDVIEVQAAKLLHDSMA DILFERRTGIDVLISEGLLTPLYKEGLLMTGIYPQLHNVLAGVAHSNSHLRVLEIGGG TGGATRIAMKAFNGPNGIKSYKDYTFTDISAGFLSGARESMADLRDVNFSVFDIEVDP VEQGYVEKSYDLIIACQVLHATSNMKRTLTNCRRLLKPGGQLVLVETTENFIVPGVVV GTFTGYWSGIPDGRVDAPFQSLASWDRTLKEAGFQGLDVVLDDFPEPHNTTSVILSTV PPEEFPVSGEGTVHVLHSGSEAPALLQHLAQEFQGRGITVKPGTFDCIGELPSESRVV ALFDDGHLLLNASEEDFSRFQQLVRQSSSLVAITSSGVAKGLNPDAAVIPGLLRVLQN ENPGAQYMSVDIATDNFTIEAAEDQQHFAKCIADYESELYGATRSPPLDDIEGNPKDR ELSWQDGYFSVSRHVPDTGFHSQHGLDSRKFKPDTMPLGSQGAVKATFETPGVINSLC FEPYKEILQPLPAGFIDVAVAAVGLNARDLDTWTGRLDSDHFSSEYSGVITAVGANVD GLNVGDRVCGLGKGQFGTATRVPAAFASKVEDGDDLIQMASLPLSSVTAVYVLDHVAH IRKGRSILVQSGAKDVGLALISLANAKGAHVFATAETKEEARFIVEKCGLLESHVLVG ATSLAALQHAAQLTARGKFDVIVSTASRGHYLDSYLQVLSSVGHLVELDPQAVDSTQS TSIKASYSSVDIFSAVESDPELCQELMQAANEYYRQGIVTLPPNVTTTDISQLSTVIG GFNNLIGKLVVRFDNPSSWVRMIPAAPAVTFDPDAAYVITGALGGLGQSLVRWMGDRG ARHLVLLSRRDISTVPDAQKLVNTLAKRDIHVESFVCDVSSKEQVDTVIQQISAERPI KGVVHAAVSYLDLTFDKLSASRWNDGLSAKVQGTKNLHEATLPMPLDFFVMTTSALSV FAFATQGAYTAANNFQDAFARYRRNLGLPASTASFSLIKEVTEVGTSDLTVDLFERNK TLTLTESQFLTMFEPAFLNNTTHTTAGAAAWSGKDDDPLSAANVHTYLDPAALMTKKR QDTSSSQATPKWYTDARISLMMRAFLDAQHHESASSGAALDTEGSKNTPASIRRNFEA AVAGGDKASTVEFVEDAITRVVADMLFVDVEGIDPAKSVADLGVDSLIAAELRNWFLQ ALGTSISMLDLLDPSVSISSRAEGITEKAIEVKG QC762_610340 MSTMDLVVWLLGVRKLNVGDGVELGENLLRVRMDILGQDHPNIM RSQSHLATALVTTCKRDRSVVMQTDVVKRSERVLGRHHTDTLQYKASLAYILQETGNL AGAILLWREVCQKTGKQVGNKDLLVLECQSNLASSLMEGPDTGEEGLDLLHHVLQTGV DVLGLDHSEMLLAADHLVYYLDLDGQTARALELANETLKWCEDGTRGNNKFSQQQLCV MKDRKMRLEAAWRKRVLLRVPLSSKRGSRIDGLMILTQDMSAQNTADRKIVGMVASES VTWNWGDCRVSNQK QC762_610345 MDPVIAVGLAAAIVQFIEVGSKTIKGLSDFHGILDEIPRAFRHA KAKLPLIVSGLDKIKDPAIAGVF QC762_610350 MAATAKPLQDELPPDTTVLTAGPQSGSNGPQLAPSAIMKASGHT TTPTENDALSEDDESQFPHGLKLWLVLLSLCLAIFLVALDQTIIAPALGSITSEFDST RDIGWYGASYLLTMTALQPLYGTIYRLFDIKITYLSAVFLFELGSLISAVAPTSTVFI VGRAIAGLGTAGIFSGSFFIVGLLLPLRKRPTAFGGVGALWGISSVAGPLLGGVFAEK VTWRWCFYINLPIGGVAMAVIIFFLRVKKPDSGLPAQGRMVRVLQLDLVGTVIMLPSV IMLLLALQWGGLEYPWSDSKVIGLLVGASVGGAVFAGVEVWQQDKGIIPPRFFRNRNV FAAMMFAMFFGASFYPMVYYLSLYFQAVQGNNAVEAGIKLLAFLIAMVVSSVLSGIIV TAIGSYNIVMFVETAFLTTGAALIATFWIDTPFAKWFGYQIIMGFGTGVCFQAPIVVV QNCLPQELIAQATACVQFFQAFGGAVFIAVSQTVFQNGLITNMLRDAPGIDPAIILNS GASQIRQVLERIGRPEAVERVLAAYVLGLRNTYYISVAAAGCAFLVTFVLDWKPIKKP GVAKKKDEEAAASSDGTSASTDIAKVQVG QC762_610360 MSPSLQELFVSYSGNRTAGEILTSFVLVSSGTWLAYHLVKVIYN LFFHPLAHIPGPLLSRATYIPEFWYDVVRSGLYTKQIQKMHDKYGPIVRINPHEVHCA DRHFIDEIYAGGSRKRDKPMHQVRGSGVAENATFSTTSHDLHRVRRNALNKFFSRAQV FRLEPTIRDLAERLCDKILTVGKEAPFDVTTALSLFTTDVITGYCLGDNLGLVAQKGW EPNFREPLYAQLKLVYLFRFIPWLKHAGFAMAMFTRRLSEDMETLFNVLIVDMPNYVK RAQANLDKGVDDSEKTVFGSVLQNKDLPPAEKTLQRLVEEGFSLFVAGTETVSWALTV ITYHVLTKPEILRKLTDEVREVVRANNGEVPDWKTLEGLPYLGAVLYEGLRLSYGLAS RSSRVAPTEDLFYQGTWRRPGANKEEKAQYVIPRGYAIGMSAVITHHDESIFPDSHSF IPERWLDEKNQHRKDLDRALLSFSKGSRGCIGINLAYCELYVLLALLVDRVFPTMELY ATTEADIAWDHDFFNPFPVWGSQGVRAVIKG QC762_0102090 MSIIELFAPQTNKCGKPPHTLMPDGPLSTGRGGVVHLPCCGSGR HPARAFPAASVSSLKMLPAFMY QC762_610370 MSSRKRRSIASCSNCYLRKQKCDRTKPVCTLCNRRGVPDDCVYY SNNDSHTSSPTAVESQPEEIIHNPRLSVAHVARPIDKPIPPGNAFGSGLERGPWSARH EWSIYVRSLTPPTPSSRPAPSLADSFGYFQYSNSNTLALIQKLGADHHDPSSTATTRE PTLSPESTDDVHRILERIPDRQILDFLVQYFRTEVNWMDHLVHIPSFYPQYQAWFALE QITTVAEVDFAILVLRLCSYTLQFLPSPSYTLDKIRGVLLAQIRTCCDETADSLESIS TQTDSRGSLVRTQSLAFYALQCQMEGKTRGFWEALSRAIRVGQDAGIHCDAVSHHKGI DRVEREMRRRTYCNLFVWDSLLSRQLDRIPFIPGRMEDANWPDIRLLQPPHRRDTEGE IPSGMEPTIAEAPDPFTERLLQAKLADFWRGAGVGQRADYDMSLREDLYEVFSREYID RLPPPFALHNPDERWDDRFPKLRLQRKLLHMAIYDSILWNFRPLLLRKPSPLPAYKSV MLSCQKRKLAAAALCALEAVTQLHALLHGCHTRLASIVVTTFESAVVLVYLAGDQTFP EDCPPQHISPPDAFKSDPLQEGICKVSLTVTLQAIQGALKRLKMLAEVSSMADVAATT LIRLMNKVAVLATEREAPEQIHHAFSNRAARPMVLGTTRPHASSTTSLPTSHMSSISL PLATSAPGANNINPWLSETMSDMRSVDEYMSGMNEDIHATSGSGDISGTWPSFDPSQL YGPGVFGILEEA QC762_610380 MASFFITGASRGFGLALVKELLALPTSKVSKIIASSRSDSSALS EVVNSSSGRAEWVKLDVTDQESIKKAAAETEAKLGGKGLDVLINNAGICEYAFQGTKS MDNLSSSFLVNVQGVHWVTQAFFPLLEKGQLKKVANISTTLGSISLAEHFVQFPGPAY KITKAALNALTVQWALDHEKDGFTFIALCPGWMKTELGGGDMADLTAEQGAKASLDII FNKSQKEVNGKLPKVFVEGWDKPAPGRANVYDGTNAPW QC762_610390 MSAKASSVSLSHEKMSSVGSDWKLTNGAGYILDRDRSHAAASRL NLQFYLWKDSLGFNIHPTISQSLFPSTKSNELVSSQSPVAICEVASGTGIWLTDVARS VPPSTILTGLDYNLSQSPPAAWLPPNMSMRHWNVFDLVPEDLVGKYDYVHTRLLVLVV GESKDPGPIIRNLFKLLKPGGWLQWDELDTVNMSIQKVDPALETPALEELRKWSWADG RLDWTVKLPEFMEKEGFTEVKGDLSGDPPALARAFTEQHLLTAEEFAEGLIKLGKREV GEKYFGLVHKAHQEAIEGAALCVPRIVCVGRKPL QC762_610400 MSEERPSHVVIQGILPKEAPGIRREFSDWAKDHENNIQVSLFIR ALQKFYDIPYTETLSYFQVAGIHGYPGNLKWDGAVAPPHDRDARHYIYCTHNHFNFPT WHRPYMVLFEETLWKLMGEVIEKDLEFHDDADKKLWLEERNKWRLPYWDWALNSAQGK VPDLFVPYSINIRQPVGKGGSQQESENVPNPLARFQVKENGVPIKMGKLPKKYRVDSV PLGDGSYLPWAECSGTSRWGIKPHTQPDEWTEGVNKTEMIAPAINNHEWYFSPDRRKP EDRKKREEAIFKHPVGDLLHRLFKIDNWEDFSSTRVNQAPSDIDWEKWVSLEYVHNNL HGFIGGDGVEGIGHMQNVPSAAFDPIFYMHHCNIDRITAMWQTLHEGVWFENDVLAER ELYPFRGPKLDGEIDYFTSNDVRDWTRFGYQYEILELRDGETEVGRKRRINEFIDKSY FSTAQVLLKDEGHLFHDGSDIESFAARNDFEDYIIDVIYDRQVIPLTFYALNGDPYMV HFFLGAPVPQPESNGVTAKITFKSPKHVGMVYNFSTPWLGNGNNTESAMSDVESDGEE PEPESDQPTCGNCVSQQAENILSNAMIPLTIPLYNAAADKDIEGLENIHPDQVRDFLA NELTWVAVSTNGTIIPWERLPKTKVFVLKGKAKHYTENSKLSSYKEYDALGHITHNKP AGASHADYGQYA QC762_610410 MRQSEKWGGADVGEKAGGGRGGYGWMGESGCPAGGARRFKLVDQ PTAYKTPSTHFFRPSPPVHLFSSPILIPSTSPMPHSSHPSNIPPFLLFLPNPILLFIN TLIPPTIWHIIMSTTSPSPSPSPSPSPLPSPLGPYARLPSSETQLLTSAIQYLDEYRW KDAFKTICVAGHAMQSPPAKLHNLHLLPHINQLFEAIMLHHAYGVDHHIDHTINHILH LAVRTCQDAREDQHDTYSDECIRWMTPIEVEIGQYLQFYRMFCRYLENYSMSIESDRA LDDVRNLIQKMCPTVNNLCGWVPRTPPRDIRQDMQGAAANNTPRRRSQRLRSQHSTPE PAASNPRQQRKSQRPRRRRVSPPPQLPTPPTQSGDLMCSSTYKTEDYIPSLLTPMTQF SAPRSSQVPKVNEPEEEPTQLVGSRVLQFIKPPIPRPRLVEGGILPADFTSLRPVHIH VTSQRCCSGL QC762_610420 MRTATILTAGAISAASAGRINRADFRREDIIYKDVAIIGGGASG AHAAVRLRQDYNKSVVVVEREPILGGHVDTYVDKTTGRIHDYGLALYFPYLDSFDFFS RPEINVTLSPWFPAGGNEVRYVDFTSGQELTSTFQPPDESAGPIAVKKFHDLMTANGW DNMTQPGYWGLPAGKDIPADLLLPIGQFAKKHGIEAMLSTMYPSTGGGVGSRGNFEDI LTLSIIKAFPTAWSKVFFGEVAMFSIDGGNQFLYDKISTLLGKDVLYNSQVVNSRRSN SGIELVVDSARLEDDEEQPGKSRKGKGNGKATKKLIIAKKLLLAIPPTRENLAPFDLT PAEKAHFSKPKYGRSHTAIAKHSKLPAGVQLRNLPLSATANPLSPFLQTPFVLSFTSL ATDSPLFSLGSSGTNYTAFPPSEAKKVARKAIQTMVDAGTLPDLEGEEVEFVAWSDHG PGGFGVSAQELREGWMEDMYALQGKRSTWFTGNGIAADFTTMLWKFNDQMLDRIVEGL QC762_610430 MFTTALFSALMAGLTVASPLSLTQRQFQGNYPPRTTANGFKLVA NISIPSNIFDPPVHNWFLSGVHVGAGLNAAILVPNVDAAATLFVNGTGRDVSAQATSI GLPPIKYQGGATPMGLRFPMGPIEHATNLGLNFGPGNIGAGVVGGLRSPWAQAFTPYP YFIVCNETEPAYGRPQHAVKVAPNVESIPKNCVAVTFLAQCATLPEDVFEGVDELNIH QLDAPCYEDVGAIDWSQYD QC762_610440 MGFPSFSALRGKRGEDVSVSKKGSEYGVAVENNAGISGSESDDF AAIDPNSGVKRGLKTRHLSMMALAGIIGPGLLIGSGRALANGGPAALLIGFGVIGIIA FSIMQSLGELTTLYPTGGAFTGLSDRFVDKAFGVAVGWNYFIIWFCVLANEYNVISSI MVDWTDAIPIWGWFLIFWFSFTAFQMLGVETFGEAEFWLALFKLVGLIAFFFFSLIYA SGGVIGAEAIGFSYWREPGAFTDGFRGVASVFVFCSTFYAGVESVAVAATETKNPRRA VPLAIRQVFIRIVVVYMGSAFFFGLVCPANSPDLTSATQRALRSPMTIAIANAGWEGG KHLINAFILVTCLSAVNSSIFIGSRTILFMAQTQKAPKFLGWTNKRGVPVPAIIFTNL FGALSMMNVSTGAQDAYSYIVNLSGVSTFLVWAAISWTHIRFRKAWAAQGHSDSELPF KSMLYPYNAWFGLGTNIFLALIQGWATFAPFDAVDFVDAYILLPLFGVIYVVYKFWNK TKTVNIHTVDLQEGRRKDLDDGRRPLADGEEIDSTLPWYKKLWKSL QC762_610445 MPTINTHSVQIALEGILCGERKNWDHNMAVLPRWIHKLGSVYYT ALLEDEQVRKYGWLSGHQGERLSPSEMPKPVREILKLASTGLRNLRGITANLKEIIER SEITSNFIERATFSPERDPLVDSKGLLGLLGDRWRGVWYFLRKWRRRTTALALALSSS MTDELYLMDEEKREWIKDAALLSKMITVVYYNVLAAVAELKKVSELLQPGLRLKGEPL VELYTEVLSARTRLKVARELAKLFTTTVDSLGPLIAKLDSIVQDGDKSWRTQMQGDLR RFTEVFEERKDYAEDAAKQRSAKIWRNIRKFVRDSHVSVEPF QC762_610450 MDHLNANHIYHHGHGYGPVADPYTGVPPGHYDAAPSTRDFDSTT QWVNTLEGPSAAGSKRGQEGPQPAFTHDSNRELKGVRVSSHRSEAASGALTKPLWRIW MLEMLCVLLSLLAFITIVVTLFKFDRQQLPDWPLKISLNTFLALFTTLSKAAFMVPVS IAISQAQWSWFLDERPLHDFHVIDQASRGPWGSIVLLTRIRTKHIVVIGAFITIISVV TSPITQLAISYPVREVVAAELAEVPAVRTVMSPRDEVTFATRTAMLEAITPDGKQSRR VRMAPTGATCPTGNCTFDVFHSLGVCVEMVDISSAIVVQSWPGPEPGEEAVVKNNGSV VMMGDPFYPRRTIWNASLPGTHVDLVHQSRMAAVSDMLVGNQTVGFADNPTLMATRIA SFVELYTVPIPQDDENMRQLTDMSNGSNIASATHQFRHEALEFLFHLCVQTYNTTVRN GIHDNQLIATTSQPTPEDSDFFLNMNCSSKVGVPTGCRHSPSSKWNITLHLESPPNSS IPSGSFSANYRAMEFIAGEMKTYMMGSGRETYYEPWDSPSRGVVTRSDFIKTIMQMVL YTETTIINTTARIDTMTYLFKNIATSISYRLRTTDQELQLSSDAFNVTGQAWKQESYV HITWPWLTFLAVELLVASIFLVATIIEQVRMRKAARHEAGSDYSEMFFDYKDAAIAPL LALGQQCRSEAGGGLGPRKVMEKAAKSLRVKIDGNEVVVSRSEARGG QC762_610460 MAPNSNHIQIGFPSLPAPASTTHFAFLTEWLNDCDENHRGCDPV KKYPPPTRLIDLGSPDAPVVRLIQTTTTETVKYIALSHPWGQGHSFCTFPHNLAQHKA AIPVRSPNFPDTFRDAIAATRALGVRYLWIDSICIIQGPDGDFEKEAKRMEDVFSAAY CVIAASSARGQRDGFLRTRKERQFLQLRGESSSSLYVCRFIDNFAEHVLNGPLSKRGW VLQERALARRTIYFTDWQTYWECGCGVRCETLTKIDNKLVSFLGDPSFPSKLSSFDRG ERIRFYEDLYRQYSRLNFTRFTDRPVAIAGLEQRMINNLKAKGGYGIFHDGGSLLSRS LLWRRGAEASTLNRVDFSKAKQKEGKSLPSWSWMAYDGAIDYLDLPLGGVDWRNDAVL DPFSSPGSGRNDPSDKTAVLKAMARPFVFEPVGEPGESVDVILDAGNAQGVTGWEGWK AVVVGTRRIAKGEIVPVEHRTHYLLIITALTEGDGHETIYQRIGVGYMPGGFLGEEGV QRVTVG QC762_610470 MPLQEEPKFISKEDRKASFLAKKSKKSSLGSDILGNLQKSVFDE HIREFLPNTCIETLLTPAAVRRELHLSDDPELEEEVVNFVMKSAPKLFITSLLAGLTD KDQELATAMIQFETKSVNDNSLPLPDSAFVDEAGEFLEPWTEVSRRSFRRNQWTVLVP IISESNPELDLDPDCILPITEKSRAGESGAFGEVFQVKIHEEHHLNPIMKYDGKRADV AIKVIRPVYRDTTDENELDQLRDEWVREVKAHIEMRNLKHQNIIEFIAAIKRGSDRYL LFRWAEEGSLRKFWEMPEHKRPVVTRELVRAVIMQIQGMADALDKLHNYRYGGGSYRH GDLKPENILCVVGQPPRDGQFGFPILKISDMGLAKHHNIATQLRHNTSTQYTTTRYEP PEVALKSELGRSRRYDMWSFGCVILEMMIWLLYGTDHLERFNSQIIDEGRHRSHWFKV DKDKDEAFVHPHVQATIRALYYDPECKAETALKELLTIVKTKLLVVELDPPATPVSSS APPRSTNGSRAYSQELMERLDDIVARGKTDESYWFTGASREHIKDLKVDRAPESSFLS PNSAIGGNRPLRPRPPLRPLGENGGGIIPDVGFEDQPSLMVPVITVVEAKTRRV QC762_610480 MMEDKLQLESTQNLPARAIDPLKLIGLLRSQFGLGRYEISMIRS SYNIRTPRQLSLDEIAQCRGI QC762_610490 MKPQTPVFNMKVDNSNMESEAASPSAKTPSPSIHQASSLVSSGY HTRQSPHTSANDGSRDQSDLATEYSDTESSSGSSLVSSEEEHLQDRSRRPVELGGGLD TLVTSELDRKGIIDESDSELWKVEERTSSSGRKSPRVNYTARAPFRGDGGVPIVDLHH KPAHEVEPSEITDLGNGQYMRDPDRKSRFLQRRKSRNHAKDRKSLQTQLFQSLHPLDD EEKEKGFIPIDLLPLLITEESVYKELSGPLRETHDEKTIRRYARKICAETTENYIEGD KPKTKTITFRKIFAILVLVEKSPSITKFLKENINDSDLPLVRVSNPKRPGECDLRCSR ERDKQLKCFRGSWSPLQIRNFASWQFVTLAPFFAKSELYKEVEHYVLQDGIIMPFLTD PNKQAISNDPFVDSQQEELLGGGGRVFRAILHPDHHSFHKSFKCPREPSCICTFAIKR LHSQNKDHFKREVDMLKKFSNFAHPHLISLLATYEQRNSFFLIFPCAKSDLLSYWEKF EASPKMDHGTVKWMAHQCKGIASGVLKIHEYSSTNSKLGNTLKPGPREPFGHHGDIKP QNVLVFLDNAQDGPTQDHCSTWKSRGTLKLTDFGLASTSSHRTISRKPLSHVGMTYNY RAPECDLPSNQDPKGRQYDMWTLGCLYLEFVTWLMGGKKLLDEFTQLRAGPFSAESLK HRTKLDILYGGGLIPPEIASDAFFMIEEDHSMEGQKRGGLKGIVKPAVTEFIKRLHAD PACTGFLHDFLDMIRDGLLVVKQCDPGKLDRYEIQQVYGKLCKMEQECEKWEYSCGPA LR QC762_610500 MSVSRLKAWLGAGLLATAQLTSGYALDLGSKESVEAVTSTLSSL ASNAPRLALKLTPEGLKKQLEDPEFITSLVSGVESLIDGLNTGRHRRRLTPNVAPLVP QEHRPSAALRKRLDVDGVQIPSFEEWFQIDIDDLGLSAVSTASSKSGKEAPPALSKLT LELIHQLNKLDTVASVHALQQGPPPAVNPNDDPRSGNQGYLNAAPQGINARYAWTITG GDGARVGIVDMEQGWNLNHEDLRAANITLISGRNRDYPDHGTAVLGQMLMADNQIGGV GIVPAAKGRVISQSRPDGSYNTAATILDACNNMASGDILLLEAQEFDPVGGQYYWPVS VADANHEAILVCTGRGIIVVEAACNGGNDLDAYRNLSNKRIFNRAFPSEYRESGAIMV GASSASVPHFRLGYSNHGSRVDVYGWGENIDTTFTNADGTANNLYTTSFSGTSGASPI IVGAAAAVQGIAQARLGRKLSPARVRTILTTSGTASRTPSSDRIGVLPNLKAIIDGGH IRV QC762_610510 MPSDKPLQDDDESYSIIERLADAIRIEDDLHAGTEDRQRLVQDL YEGPKKCQCCLNWVHEMPPDVEVDQDNEDCTYPLIVRRRVLATMNGNKKRIELHSIEI RHPQVREVLFDVFEGYDNLVKEVKFLIFKAPFRPFFWRWDRFNAAIDKEESEVVKTIL IQLRSLVRADLAEAFAVKDELVSHGIITFKHLWTIFPPGELVYETPRITRQSNLGDFF IVTEVEAPHDDPERYQRHPRYYLFCTGTSWNGSSFGATNDNISLSSFKGTRKIADMRV VPVKYLEDAAAIKTQCLERGRRHHELAGITYKAYLPEKADEMSESERKQHTNRIILDS RNCPRRTFTRHLSEVNKPQLYTELITQAPVDAAPPGGHRPLEDNPLRPRYRQPIPVHD HDAPYDEPYARPPPRPLVVEDDPMATGTEDGDKNKKQVLDELELLLLSSYMHGYCLKE RKWDDFEVDRIIDVEKNTKPFDSLVLPDGYKDLILSFVENQLKDGEAFDDVINGKGGG LVMLLAGDPGVGKTMTAESVAEKIHAPLVKMELSDLLKDERDRMPERRRRDSRSRSPV SSRDDDELTRTFSLAAKWKAVLLIDECDMYLEKRSDDSPERNRLVARFLRELEYYPSL LFLTTNRERVLDPAIYSRIHLTINYPALDLSSRLAIWKTFLGMEEGEFASTVTDEEFD TLASIETNGRRIKNITKTARMMAKRYDRGITFEDIRNVMRITEGLQI QC762_610520 MSLIKILGVLLTFNFSVQATRHSHPLFAWETTPLDPIGLARLVQ DTNTSQYEHLFPFTGQKTAATPNRLSPGTCKVFPGDNDWPSPEAWDAFGKVLGGALIK TVPAAAVCYANTGLYDAQRCSQVQANFSNPYFHEDDPTSNFFPNFQGRTCLPTSDPRS SNCTHGAFPIYAVNATNVQQIQLAINFARNTNIRLVIKNTGHCYLGKSTGAGALSIWT HHLNDIRYFDDLKVDGFEQGGKALKIAPGATVRQVYEAADRNGVSVLGGICESVGYAG GYVAGGGHTPLSGLYGMAADHVLALQLVTAEGQFTTVSPKHNPDLYWALRGGGAGTFG VVTSVIIRAQPKLPIVTSTFSISTSDTVSAETFWKGMRGYFELFIPFTDAGTYSWWVL VNTNGNYVFSMSPFFAPNHTIESFNKLVKPWFDQLTELGIPFTPNTTQHDAYLPAWAS TWGEDVMLNAAGGMNIAGNWLLPRRNWENRTKFEETFAVIKRHSESGRMLMGYHQAPR NRANVDNAVNNAWREAVCFLILAAVIDAEPLAFTPGLISAASREFRDEILAPFRAVAP ESDGGGAYLNEAHIDDPNWREAFYGGHYERLSSIKQKWDPGHVFYATTAVGSERWEVR DGDQGIQTQNGRLCRT QC762_610530 MWSYSFHVVQRGSPRDLKRPYDQRPRHRYSPIFIHRRKPTLRAM SVTHTVLFQIKADADADDVKAACDRFIALKGSCLHPTSNTPYIKTIKGGKDNSPEGLQ FSSAEDRDYYVSTDPSHQAFVKSIGALVEKVIVVDFVDGLY QC762_610540 MHLSSYLALPLLPLLASAYQPPSYAGYSLLWADTFSGSSATLPS SSNWDIINRNIGVNNELQTYRADPRQIQLSGGQTLQIVPWRDSQLQWTSGRIESRYTF TPGAGRRTLVEAEIRFGGNDISQKQGIWPAFWLLGQSIRTGTGWPACGEVDIMETVNG LLTGYGTIHCHVYPGGACNEPTGRGGAINIPNQGWQKWRVIFDRTSSDWRSEHITWYM NGQQFHQVRGDQINDAGVWASLAQKPLFFILNVAVGGDWPGYPNGNTADGYGSMMEVG YVAHYST QC762_610550 MTEPNQTRFNQEAANWDSNPSVVLATELAVESYLPFCSASFDIL DLGCGTGLLSLLLAPHVRSITAVDSASGMIDVLTAKLSSSGSHQNVKNVLAVCALLQD PDDARLQIDPLTKATLGSETRARTFDLVVSHLVLHHIPDLAAVFKTIYGLLKPGGKVA VTDFEDFGPEARKFHPESKMDGVERHGIKREGIQEIIEEAGFESVTVETAFELPKRVE SEPGKGDIESGPTKVFPFLICSGTKP QC762_610560 MPTELRHVTKPPGCSGGPPGPSPFIPDTKKITPVHEPYVPGVTF RNPFNEDPQTKARRDAQDFIEHQRKDWENATKRAKQEWEALFEKQRPEQPEQRRTRPC DSGTNDRINFDRPSRRASSSKSNNHNILKRFSPAPSGKSKSSTAHTKSSRSQKFRDGT KKIAESVKSQSKSVLGKIKKFGSWFKKRLGNNKKKKKRKPSKKRKQKTA QC762_610570 MDHHHLELSSVVPLPPAIPRKSRERDRPRHSDSRRLALDPPPIL DSRRDREPDIFADWTDRDRQVYANRYPKPKERGRDSEHTIAAPPTPASPEVISSLITS LSAISRPLSNHFDSPSYLAPIGPGSPISISFPGSPTQAAGGGSFGVDYGAFSKPSLGD LREEDVPLDELAASPPVIRTSKPPSGLSVLTAPKSPKSAGPRESSGGLKGLLSRGSSS ALSRPSSKGSLTSGGAESIGNSFGKLSVETRNEPVSPGPEGHGLRKQRSFESWGWKNG RSQRSLKYMSSKEILREKEADKKRTSYGTPGYNPPSGSTTPRLDPLSAESIINEETNL DLGRDGLSSTLSSPRAIPTRDSSLRKTGSGAKRSSTRASRNSKRESGTIPELEEQGSE GRTFFDDTKSRNSRQKPLDPLRLSADIPQYSSDRLQPEVHSAPPVTPMASMFPDLEPL DDGAPSPAIAQGRRRDRETSTDAKRRRSGRTTPDPFGGYASEGGGVAVKSKRSSTRLK RLSGAPSPTPDKALDHRASSHSKGDHPHIAYERPPSADSIDDAVESYLCSPRLSQKIR HPQTGRVISFSEVGDPNGSAVFCCVGMGLTRYITAFYDELALTLKLRLITPDRPGVGD SEPYAEGTATPLGWPDDVYAICQSLKITKFSILAHSAGAIYALATALRMPQHIRGRIH LLAPWIPPSQMNVIGSSAQTPLPPTNAIPTSQRILRALPTPILKAANSSFMTATSSSI TSSLPKQKRARRERKNNAARESKEQSKSSSHGADNKENRMHDDGSKGASQIPAADEYM DHVKPTGTNPTGGDNMGHRHNRSNSTQQGNRRTSDKEDLLSAAAALATSQLADRERQE LYDNRLTHSIWQLATTGANPAVDLLVCLERRHTIGFRYVDITRPVIIHHGSRDTRVPV DNVRWLGKTMRRCEVRVLEGEGHGLMASAQVMGGVLMEISQEWEEWSRVTGATTRREE GRGRRGTIGQAR QC762_610580 MDILLSLPIASYFFSTSVTSWSTSLNLLFFYMTWSTLVLTHSPI QIELIGTTAIRLVFWLIPSLLFLLFDNLIPSVARTIKHNGASALPPTDFKTLSRLLAL ALFNLALETAVEAGLSLGLSLLLKQPVFRTSTTLPLPWQIAKQLALLFTLREILTYYT HRYWLHGTPPPTPPSTISKRLKLPKAPKPRYLPSLHKSYAHRYRSPPFALQVRVDHPF SFLLHRFVPTYLPALILRNNLHLLTFFVFLALTTAEETLSHSSYTIIPGVIMGGITRR TCMHYTGRKPGNYGTWGMLDWVHGTGLGKRDVWDDVRDEASKHQLRERGEDLVDDAGG KVEKALRRSKRNLNRSKSGKRGMSNKRGKGWMVEDEDDSEGDWVP QC762_610590 MSGLRSWFRGSAAPASKSSTKPPSTVSSPTTSTHSLNGAKFGSK KKKGTVSENEQEIQDMEDAMVAAGLIMNDDIEGAEVRLRMREDSSTFHALGMGVSTFM RSILSFEKDIMNEAATRLNATEARAWDDKTKAEKEAAKANGTASAGYWYSSVAKPTGP AEGGTSNIYPPGSEFALVHAEAQLMNAVVAVMHESLTEGIKGFYKLRKAFVTLEGIMT AEQQYLDSINGISSEASARPPAGRTASGRRTSFSEDPMPGTFDESEFADLEDPPTPIP EETDEKGAGTKPKADDTAVLDEKLGKLASSSPGTPENFQTPLQSRPPSVKGKDIDTPQ DGAQTPSSAAQLAQMNAAGADTALFKSTVDIFVHSGANMCFGVLLLIISMVPPVFSKL LYVIGFKGDRDRGVRMLWQATKFPNINGAMAGLFLLTYYNTFIGMADILPPDHELEQI DDGDSAASSELEAVSYPKEKCAALLVAMRERYPDSRLWKLEEARMLANEKKLDEAIET LKNNMDSKMRQVAALMNFELSMASMYVMDWPSMKDNFLRCVELNNWSHALYYYIAGCA EVEMYRDAFHKLKTAEGQEKSVLETELAKHKKLAEEYLRKAPTVAGKKRFMARQLPFE VFACRKLQKWEDRAKNLGMELVDIIAVSPAIEMIYLWNGTKRMGALLLERARGYLSWE RCTAPKDKLDKIKEEKDELAISALAECALLRQLGQGKQARALVESLLSMDRNIFKGPT RDDYCLAAAHYEAASVAWMEVCDPEAWPEANPEDFRKAKTEECQQYLEKVSRWEGFVL DARFGIRVKAGSETLRWFKDKKGWA QC762_610600 MSLLGGPGIARQSQSPVWAPSTSNSRPPPALLCTSTTPPIPPPS QPTSPPETRYDPDPTHTTTTHIKMATSKAPSADPKSSSDLPAEQPQQQKQQTLEEDDE FEDFPVDDWQADDTEATQNQQPQHLWEESWDDDDTTDDFSNQLKEELKRVEASKNKR QC762_610610 MSLANKLSIEDVDLKGKRVLIRVDFNVPLDADKNVTNPQRIVGA IPTIKYAVDHGAKAVILMSHLGRPNGQVNPKYSLKPVLPELEKQLGKKVTFAPDCVGP EVEEIVNKADNGDVVLLENLRFHVEEEGKGVDAEGNKVKADKAKVDEFRKGLTKLGDI YVNDAFGTAHRAHSSMVGVDLPQKAAGFLMKKELDYFAQALENPKRPFLAILGGAKVS DKIQLIDNLLEKVNTLIVCGGMAFTFKKTLYNVPIGNSLFDDAGSKTVGDLMEKAKKN NVKVVLPVDYITADKFDKDANTGKATDSEGIPDGWMGLDCGEESIKLYKQAIDEAQTI LWNGPAGVFEFDKFANGTKATLDAAVDAAQNGKVVIIGGGDTATVAAKYGVEDKLSHV STGGGASLELLEGKELPGVTALSERK QC762_610620 MNLEASLFASDIISDPVGWTTTTISSSEMTWELNRRRWLRSLNS RFIYGTLPLLHTIIFCIEMALFARLTSRFNGYYEERPILTMMVSNAILGGIADTVAQS ITAIRQRAVRKHPYGLDAREDAAAIEIHELDRKNPLSDRDLIPDSKALPPPFDFERLT RFMAYGFCMAPVQFRWFKFLESTFPLTKASAFVPAMKRVACDQLVFAPFGVAAFFTAM TLAEGGGTKGVSQKMKDMYFPTLKANYILWPAVQVVNFRLMPVQFQLPFVSTVGIAWT AYLSLTNAAENVQPASKPNNTEQESLPR QC762_610630 MRFSFFFGTFLGWATWTVAVPLEHTKRQSCANGPTARNCWGDFS IDDDVTYTWPDTGVTRSYSFDVGLATLAPDGVEKVMMVVNGQYPGPTIEANWGDSVQV EVCNNLSQNGTSIHFHGVRHLNTNHADGAVSQTECPIAPGDCHTYRFKATQHGSSWYH SHYSLQYGDGLLGAIVIRGPTTANWDIDLGPLLITDHYHESVFDLADEPLTSLIGIPP VAVNGLMNGKNAYLTGTGSRAEFTFTPGKKHLLRLVNTGSEMIFRFAVDQHRMTVVAM DFIPIQPFETDTLLIAIGQRYDVIIEADQSPDVAYWARGVPMTSCFAINLMSTDIRAI VRYESDTPVTGDPTSTSWLMLDTCADEDLSNLVPHITHSVGPSALTQNFNAVLLPSQG DNYAVRWRVGGSEPYRPPKNNPVVKQVIDTAAVNLTADFNPIDLTSLAPSSWVYLIVE SLAPLPHPLHLHGHDTYVLARGTGPYVELLTSLDLESPPRRDTFNLPQSGYVVLAFQT DNPGSWLLHCHIQWHIHQGFALTLVEGSPSSIQGVYGSGEDAEMRRICQNWGSSGLET TD QC762_610640 MVPMRSGSGTGITPLDEADDWAEDWHQPGGGGGANGGANGGANG VSEEAGGAIRLRDWTARSNTDEDDDARSQYGSEISKEDADVTTALIFTEGGPLGEPEP KRGRFWFSPPGGKGEETDLDAIATQRSVFDDPDLAGQYQPQPDWENIHRFDPSARWTW REERALIRKVDFKIMLWTCLMFCALEMDRANIRQAVTDDLLPELGLTTNDYNLGNSLF AFSFLCAEVPSQLISKYLGCDIWIPLQMVLWSLVASSQFTLSGRFGYLASRVLLGMLQ GGFIPTVVLYLSYFYKANELTIRMGFFWTSMVFADIFAALSAFGLLHMRGVGGYSGWR WLFLIEGMVTLIFGILSFGLMPAGPTQTAGWLRGEKGWFAPREEVILVNRIIRDDPSK GGMHNREPVSPRLLLKSLLDFDLWPIYLIGLFNHVPYATPTSYLTLSLKGMGFSTFQT NLLVIPSQVLHIVNMIILTYVSEFTGQMSLIAIIPQFWAIPFLVFLRFVDTTTVSKWT VWLVMTFFLGSPYSHPIQVGWISRNANTVRSRAVGSAVYNMCVQGGSIIGANIYREDD APHYTRGNTVLLSILAFNIVLYLSTKAYYVWRNRSREEVWNAMSESEREKYLAENWDA GNKRLDFRFAS QC762_610650 MAAAASAAAASIRLSAIYFQPQFQ QC762_610660 MWGQQTPEEAFRRGASEALPDSLHHRDPTQPISEEEADIDSGDT TKIPPSPEALSTADEGLWGERDVGGPVSQRMAMQDFQELQRELTKMTTRRSRSQSRSR ARSQPRSRSASKASRKSDSRRDNVFRRIASRASGKSKRPKDEHDPEQQAADDASSSDE SSDDGSQFQLEQFMRDGHLEKRTDSGESSKKVGVVFKNVTVKGVGGGTVFVKTLPQAI LGTFGPDLYGILCRFVPALRFGRRQGGLRTLLNDFTGVVRHGEMMLVLGRPGSGCSTF LRVIANNRGGYAAIEGEVSYSGISAEEVAKRYRGEVVYNGEDDQHMPTLTVGQTLQFS LLNKTKKHLRDNVDFIIDSLLRMFAIQHTKNTIVGNAYTRGVSGGERKRVSIAEALAT KSTIVCWDNSTRGLDASTALDYAKSLRVMTDVSDRTTITTLYQAGEGIYELMDKVLVI DEGRMLYQGPANEARQYFIDLGFHAPPRQTTADFLTSICDPVTRQFRPGYEDKCPKTA EELETAFRNSEAYRKVLADVEQFERHLQQTKHADTQRFQEAVEEQKSRRVASDSNYTV SFWKQVLACSRREIWLLWGNKTEMYTKYFTIISNGFIVGSLFYDTPDNTAGTFLRAGA AFFSIVFLGWLQLAELMKAVSGRVVVARHKEYAFYRPSAVNLARALVDLPVLIFQVVI FGLIMYFMTGLDLTPAKFFISLLFIYTTTFCITALYRMFASLSPTIDDAVRFSGLALN LLVIFTGYVIYKPILVSQKIWFGWLFYVNPLAYAFEAALTNEFSGRIMECAPAHLVPQ GPGILPANQGCAIPGSVAGSTDVSGTAYLDSQFNYTRDHLWRNFGVLIAFSVLYLMVT VAATELLTFVGSGGGALVFKRSSKAAKRAKLAAKPTDMEKGNATGASSTTDVGYQNRS EKTELTGLTGSDKVFTWENVSYTVPTPQGPKKLLNEVSGYAKPGVMVALMGASGAGKT TLLNTLSQRQTVGVVSGDMLVDGKPLTGDFQRGTGFVEQMDLHDETTTIREALEFSAL LRQSRDTPKHEKLAYVDTVLDLLELTDVQDAIIASLGVEQKKRLTIGVELAARPSLLL FLDEPTSGLDAQSAFSIVRFLRKLCVAGQAIVCTIHQPSSDLIQEFDEILALNPGGNT IYFGPVGENGSAVVKYFADRGVQCPPGRNVAEFLLETAIKGGRRPDGKRIHWTQEWRE SKENRDLLAEIQRLKDERSKANKESTVVQPALQSFAAPTWTQITLLTKRMFVHQWRQP SYLYGRLFTAVIVGIFNGFTFWKLGNTVADMQNRMFTCFLIIMIPATVLNAVLPKFYT NRMLWEAREHPSRIYGWVAFCTAEVLSEIPGSILAAVLYFLLWYFPTGLPTEASVAGY VFLMTLLFFLFMASWGQWICAWAPNFTVISNILPFFLVTFSIFNGVVVPYDQLNVFWR YWIYYVNPSTWWVRGVLAATLNGQSVQCAPNEAAYFDPPSGQTCLEFAGDFARQAGQG YLLNPNDTADCGFCPYSSGNQYLNTLGITPDEKWRDFGIFLMFCFTNWMLVYFFVWTV RVKGMTFGFGPLFDVLGKGVGAVKGVFKRK QC762_610670 MSSSERDQPIYNPLGSPTRHVTTHTPTTGLSFFAPPSLIPSSPT PYGSVGMVVHDLYKTFTTPLNMSTEDDINRLQAHPLQPSPGTLWFPKAPGETLVRYCD WGPGQAIQFHRTETIDFGVVIEGEMELTLDGGEKRRLKKGDVVVQRGTMHAWENKSDT VWARVVFFLVGAEAVDVGGDKKTEVLPWSHA QC762_610680 MRLTPSFAPALGLLAWTSLISNVAAQVTTHCFPMNETCPPNPAF GMDYNFVFNVTPKFEAWETTVGPVKYSPETGAEFTINKQGDSPTIRSRFYIFWGRTEI WLKAAPGRGIISSIMLLSDNLDEIDWEFFGGNSTVAQSNYFGKNQPHYTNAQYDQIPS VQDDFHNYTLDWTKDYLDFYIDGAKFRRLTPEDAISKGDGKKDEGGMDLYPQTPMRIS IGIWAGGDPSLPEGTREWAGGTTDYTKGPFSMFVKNCHVTDYSSGKEYVYGDRSGSWE SIQIVEGNSTVEEVMNAPPPVPEKTMAEKWEELPNAAKIAIYAGGSSVGAAILFAALF YCIRQRRQGAKEATEAAARAEAERLEMERFRKEGINPDAFTSNAHEYNAKDMAQNGHA DKDSYSVPPSPAPANEKFGNVVAMATAAAAGAGAGAGAAAARAANNGNDGPMSPTSTP LLREGAQSPRTVDPTNPFGPNYVGTQSARSPGAPSPYNPHEGLRSPTGSLYNQSMSPP PHQPLPHPPTRSMTNQSLQSRMGSPGPNQQQHGFDFGIGQPPQRSATTSPAPLAHPQP QRSFTTPTSGYGTPPQLQQQSSGYGNPPGPANGPQQGYWNGGNGGGGGYS QC762_610690 MADYFTAANSKYGHMSTIRVGPNDLITSDPEVIRRTSGARSKYS RSDWYKQSRLDPLTDAMFTTLDTKYHDELKAKLSVGYAGKDVPNLEGDIDLKLRQVRE VLERKVEQGKTIDFARLSSHFTLDSISRIAFGHEADFEMVLTEGADKHGVDYLGLVER HAPKSVAISSVPLLRGLFANDLTLKLVGPKATDKAGLGLLMRLARMLVGERFGEGAED RKDMLGSFVRHGLSQCECEAEVLIQIVAGSDTTATAIRATMLYVMTTPRVYQALQTEI DEGIKSGKISNPIIAAEGAKLPYLQGVIYEGLRLYPPFTGIPLKVVPPEGDTIDGKFV PGGTRIAASFWSTGRHKGTFGEDADLFRPERWIEAAAQNEKKFVEMKRVAELVFGYGR WGCPGMSHGKSQPPASTTTLSIPPQGGA QC762_610700 MCTEHTTGNCPACGKDYLVFVEFCKDYHPPLVTCPNGTTIVRVE MEEGGCPSPVCPNSRNGGCAVM QC762_610710 MSTGAFSIDQLMELAGLSVSQVVYRVHPINKGRRVLVAVGPGNN GGDGLVAARHLRHYGYQPTIYYPKRSKNELYQRLAKQLEDLDVLFVDDFPKALSSADH VVDAIFGFSFSGEVREPFPAVINAMAETQVPVTSVDAPSSWDIEEGPPKSGVGSNFHP NFLISLTAPKPLVKHFKGRHFIGGRFVTPAIAAKYDFDVPEYEGLDQVVEVGPSGQKL QC762_610720 MVEFKVWGDLLLCIVEDRVEREQWEGEENPGGETKRSKTGKPPR RAHVTAGGWGNACAHAPPQHLHPFGARTPRLPSQNFWSFLRFHSLERESASRRRGHRQ NLTTTAATTDSVLRAPDRTRPAQPRNMVVKIRLARFGRTNSPFYNIVVAHARTARNSR PLEVIGTYDPIPKKDTYDESGRLHKDIKLDISRAQYWIGVGAQPTETATRLLSMVGIV DPKYQKTPPRKSTPKKEKAAPAEA QC762_610730 MTGYSTVDSFVPGGSTAAMTQHRRTRSPKLGGGNASMMSSNINL LNTIVGAGTLAMPGAMSHFGVLWGALLIVWCGLTSAFGLYLQSRCARYLDRGTSSFFA LSQITYPNAAVVFDAAIAIKCFGVGVSYMIIIGDLMPGVAEAFGSVDSGLPFLADRKF WITVFFLVFIIPLSFPKKLDSLKYTSIVALLSIGYLVILVVYHFGADEVPNNRDIRWV TWEGPTAALRSLPVMIFAYTCHQNMFSIVNEIKDNSPASIVGVIGSSIGSAASIYVLV AITGYLTFGNEVKGNIVSMYPPSIASTIAKAAIVILVTFSIPLQIHPCRASIDAVLRW RPGSSRPSGGGTGSQPLLPSGGAAGGGALDSHGAPVVAMSELRFALITSVILVLSYFT ALSVETLDTVLAYVGSTGSTAISFILPGLFYYKISDPDSIFHQRLTKEDDDAEYSADD NDDDEESAAVTGSGLLDSVASLGSVISGRGGRRRSKKWRWDLEHLETGLIRKMALGLS IYGACVMVVCLAMNALFSAHH QC762_610740 MDDTLDKTTLSTIELLEARLLRIEHILYGPTTASAQPPSESAST SLAELEQRFSLLLRHMRVYAEILKIYNYQPSFFQPPPSNPNAPSENTPPIDLSPEAVR ATVLSYASQFPSTASALTAVTSDTPIPDAKLSAELASLLPKMKGIQATQMAQEAEIAE LRARSERVVKAWYEGGVLRYGRFVADHEGRLEKVERGLRRIEKEREEPPL QC762_610750 MPNYGSLHSPSLRKMEQSRIQYGRKRLSLGNIIGDPFALATISI AFLAWIISFFGSLFAHINQPPNISGVNNSFPLYTWWAVVFYFFLVVGVFIVVASDSVQ TYHVAIVGYLGCGLVLSTSAVHGLIYSNIGSREAAAAGMILLAMVTIVWIFYFGSAPS AVPRAYIDSFALAKESSTNRQTMNTGYGIGRPETSTSVQPPQMYTAQLNGLENPSPVG GMQSSGMRNSAVPPPFQSTLGQKSNGLPGSSDGDIAPPTEYPYRAKAIYSYEANPEDA NEISFQKHEILEVSDVSGRWWQARKENGDTGIAPSNYLILL QC762_610760 MAYQSYQQRQPSYASCVTSLRTSLSYLQSSVSTLDAGISDFPRL TSLLKTVRHYELIPQPTLAAAEASLRDEIGPFISLLLDRAEKNIDRQARRIETLKARA ELNAGRLSHYTSEGASSAQQERQRRQQEREREKKLRAARSGRLDGSAALRAKVVAQRK EALKYSVERLEMEVQQKERELRKRLERH QC762_610770 MPSPGEETWKDHWKCFVACGIIVLSPFQYGVDFGLIGGLQAMVG FLKIYGHPAPDTAIGWNLDTTRQQLISSLMTLGAFISSGTAGIVATYVSRRQCLWAAC ALCCVSNVIMMATEDIGALYAGRFLIGLANGYFMTFSQLYIQESSPARYRGWFLTAFQ FFTSFGTLIGTIIDWATAKRPDKSAYLIPLGMIYIIPVIMTVALFFIPESPRWLILRG DYEQGVKALRWLRPVGADVDAEGAEIKAAIDREKELSSSISVMDMFKNPIDRRRTGLA VGAVLLQAASGSMFVIAYKAYFLAMSKVSDPFAMSNVLSAMGILAIFLNSLVVVRWGR RRVILTTGLAICGILQLIIAVVYHILGATNTTGIVLVALTCVYMMTYNGMISTYAWLA GGEIPSQRLRSYTFGLAAAVGFFGAWLTTFTAPYFINPASLAWGPKYGFIWFPSCIIG VLWVLFFLPETKGRTLEEIDEMFEAKLPARKFRHHICVGHVNTAEKIDDRASEKTPTE VQHAEVKA QC762_610780 MVANVGTPRPSSIYPSTIPSSTAIPYQEPFAAAPPENYMMQGNP TNTGAPPEKPLVPSSTADWEAKKDVIRQLYMDENRILNEVIDIMHRVHRFKATARMYK GQFHKWEWSKYNTRKGRSQNALMPAQSRATKKRAHDADNTVSSETAAAHLDFDLPTVH STGSQAVMARVGNAMNTPLLHQNSDFRNVEFSLDAYKALINIWSPGDKPWKSSIPSPP TAPAGTILQQVQQALALFDRGQNAGGIRILDSVNERIASALTITASSSSSAACGENKT GTEITTTTTATTATTASIPIEIIWDCFLAVPQLILTANRPELLSLFMDYLARYANITL SSGHPLTKISCHLAALTRAFSIHRQQKNNHPQTSQEEALNMLKMYVSESWTLWLDLIT ETRGPKDHVTIHLRRGYAVLMEEDGDSPGRGSSRLLTDFTSSLDESIQIRGEEATTAR VLELEELLAKMYMPLFTPKKLAHAEKMLKDVITKVVTRLGKGGTRADTEMGYNDRFLL FIVKHFLARIADHEGNLEAGRKWRRESLETEKNDLFWRQTSQMVEEGLRADGREEEAE EIKREMEEVMPKEE QC762_610790 MSEPDLSKIARDAERDLNTYQSKTGSSRRGLDDSGVTDTSANKM FSGSTVKTGDDFVTSKSYNRRIPGDEGGDVDDKGHWVHGRAYEGEGGPEDKTAHIYQH NPGGIDEATVRKWGKDPVELERATLRKDRPDLLPSEEALGGRANEPAHQGDVSEQGRL ASKANLGRDEEDKRELPSQGSGGSRYKAAYYETPESVPDQGADMGVIPPKSSTGRSRN I QC762_610800 MPSQSRWPSEKTGWTPPPQLTAFIHMLSFHTWRFVASRSQSRIH SFQVVRFFSTSQRSLFCSTTMAETQSGTQTPISGASTPVPRGKAVNNKPPKKEVKILM LHGYTQSGPLFRAKTRAVEKLLVKALAPRNLIPSLIYPTAPNRLYPRDIPGYQPSSDN EGREDEEIDSWAWFRKDEATGSYRLVQQGMLQLASAIEETGGGIEGVIGFSQGGCVAS ILAAALERFRQPAVEHKEWVESIRAANGGQPLKFWVSYSGFWAVDQDLSAWLYQPKVK TPSLHYFGGLDTVVDESRSQGLVERSEGAVKVVHPGGHYVPVSKEWVVPLAGFVLQSL TEAPREDEEKL QC762_610810 MSWLGVAPFKKFPTPVLRPMAPFFAAAVVIAYGVNSAQTAMMNS DEFKNDPRNPNAKASH QC762_0102640 MSTSQQPVRKATSAGPLPEASLPPLGNAGWQLSGAGSNCEKRSF STTPTTSINVTRTQSIF QC762_610820 MLSRQLARRAAAGAVVRPATTRAFTTSLALSSKRTPSLGDIEPE QQEVFNKKQKEFRLKLAEAQKQREASAFASSSSSSSSSTSTSSSPSTSALGLGKLSTG SSTATGTSANAAEHEPPRKAGPLTNLIYGTKEGREMDAQIEASFSQVLARGKYVHSIV FHEVKPKDVDEYVELVGKWYPRMASMPENKVHLVGSWRTEVGDCETFVHIWEYQRYQG YHASLNAISRHPEYPAFEKKLRGLIRSKKSSLMQEFSFWPTTPPRQLGGIFELRSYTL HPGNLLEWETHWRRGLKARREVMEGVGAWFVQIGDLNTVHHLWQFADLEERKIRREKS WSQEGWAETVHKTVPLIQEMKSRILVPMPWSPVA QC762_610830 MSVEIWPRIAPEELKVAIRESEERELGWLVQELHETLTNLKHGL EDCYALLAPIDPGSTLVLSTPRNEIVKGTITRVGTRIVKGTIHLRLRTLPQQTISINP DHPIHLGPLTTLHTLLTHSIDLLNLTLSYSYPTESSPTLDKNTSSPQFLSAQLRLLSQ SFTESLAILKGPPLLNSDPSWTSRSAAPSHFIPPLTIPSNSSHNQSSHPCLSFHLTIQ DSSLVLWLRTLEPAHAPVHFSTKLALAIGTARRLEHDEAERVFGYCCTGGDSTGLPHI HNSNHDPNIPLAPVLSGTRRKEVEVYVREKVRVESADPSLMSLTAKLTALTHTLSLAR RNLAAVMGEEMED QC762_610840 MASPTTQQPVGASTTLPNKPENKLPPIPDGSRIRKRPLPLPPHR RTLKSATSSVNFQNQTTPDIDGYLPPPRANRTQIIKVASSASHMSLVKRVRKALESAR NNQQGTTKGLPLAARVAALGAKNGRSDQTGPISDALDDVVLIATGRAIQKAVEVGASF TREGDLIVIARTRTVQAVDDIEMMDEDAEEEDSARVRHVSCVEVGVRWAS QC762_610845 MQLSALFTALLLPLMAVAEGESTTTKTKTLTLTETLTLQRLQAA HTGSHNSTAALQTGATTTFNPAASTTTSGPTLDPTPDNAAGALNAANVAAAAMAGIVV VAFL QC762_610850 MKSINPLWLLAASLVRATFWMEDLSHQGIASFNPDRGYQVFRNV RDFGAKGDGVTDDTAAINAAMSHGNRCGGYGCIGATINPAIVYFPPGTYLISSPIVGL FYTQMIGDPTDMPVIKGGSNFTQDGKALLDADPYLSNGKLNFISTNVFFRQVRNLVFD TTAIPHQTWAVHWPSAQATVIHNCVFRLSPNFEDGHTGIYMEEGSGGMLADLVFFGGQ YGAQFGSQQYTVRNLTFHGSQTAILQVWNWGFTYKSLSINDCEIGLNMSSPDVGSVTL LDSSFTNVDTAIIVGRHNSSATGLGSLLIQNVKYTNVPTVMKDLDGNQVLAGNASGAL FECGYAKGNLYAPEGPSAFEGRDIAFDQPSALKLGDQYYERSKPQYEGYPAGAFVSAR NHYAVGDGVTDDTVALNSFFDVATEPTVVGFLDAGFYRVTDTVYIPAGARVVGEGLAA VIMGAGKKFSDVTNPRPVVQIGKPGELGYVEISDIIVSTQGPTAGAIAIEYNLNTPIL DGNITVDTPPSGLWDVHVRIGGFGGSKLQVAECPITPNITNVVEPTCIAGYMSMHITP SASNLYMENNWMWVADHDIEDWNQTRIDVFAGRGLLIEGSNIWMLGNAVEHHTLYQYQ LVNASNLWMGQIQTETPYYQPNPQAPYPFTQVNTTLHDPDFMTDCPGSSPSTVEQLPG DPPCAMAWAMRIIGSKNITVFGAGLYSFFNNYCTNCSTNHAGENCQARIFSIQDANGT KVVNSTTGLQMYNLNTIGSVSMLTNKGEDVAFWNETIATYASTMGIFRNDGNGTNVSS TSRVV QC762_610860 MPHPPLDVWVSIQPIHHSASDVTFVMVGQTPKAGLKNKTVMISS RWAKKCSKRLLGVPGGSALGPRTIFFSDNHNLNTTSTPPTKSSDTNMPFFSRSEPAQQ PVQPAPQPVYEEQPKKHGLFSRHRSPSPARTTSTSTRHTNSTYQTSPERGTRSSSGSR GGLLRRSFGNGSANEMDPSIVAARERVMSAEMAEREADRALMAARESVREAREHVRRL ELEAQEEARRAKIKQQQAKEVSKRGKQLGRYD QC762_0102700 MSLHQVVHRAYLSKKRPPLALMNGSCCSRSEPVKTNSRDSLVST IAHNGQLRCSDLDDPSSFLIKLASNSKFTQA QC762_610870 MDTDRRSRSRDPVSRDEPADHYRPESRNRSPSRTPSEAMDRYDT HDRTSQARSPAPRNGRPRTYSRSLSRSRSRSLSRSRSRSRSRSYSRDRSWSRSRSRTR TRSPTPQARSTKIVVERLTKNVNEDHLREIFGQYGEIEDLDLPLNRQLGTNRGTAYIL FYNEADAEAAIAHMHEATVDGAVINVSIVLPRRKLSPAPPTARRGANINPRIPPPHQS RPFGGNIGGGGGGGHGRGSGPGRHGNRSDTYRPRSLSRSRSRSPVLAGGNHNRRQRSP SYSSRSRSRTPPPARGGGRGSRHVGGRYDGDDDRDNRRSASRDSYDSYDRRSRSPSRH RDRGGR QC762_610880 MTVVPITGAAPPVADVAAILETIFNASSSNQSIEACYALCDILI NTTGFHGLHHYNIISEIKKASIDKKSGFRREGAQNLLGALFERLPPAAPITEVVFLIQ DGGLLKIALDALADKGAIVREAAQYGIDALFANLSPEAMVAALLPAIVEYIKKAGGKW QGVVGAFKIMEKMANKAQITIGSTKEQAAEQDIMREAMGSKLATLIPITENGMLDMKT EVEKQALKTMTAITTLLSNDDVASRIPLLIETMHHPSVEAVHKAIHALSQTTFVAIVT SPVLALLTPFLERSLNNPSTPQEVLRQTVVITENLTKLVHDPIEARTFLPKLQPGVKS VVNRASLPEVREIATRALAVMDKAMGNDNSASLTIIERTSAEDVAKVLDQEIKKNGGL NDDEALYKLAAPFISSMVCEDVNHRHLDRIPSKIAPYLKDLLRKPEASDAVAEAVHKF YVEEDARKYGVPEKEDDGEIEIVNADFSLAYGGMLLLSHTNLRLLKGHRYGLCGRNGA GKSTLMKSIANGKLEGFPSQDVLRTCYVEHNQGEDADISILEFVSKDPTIAKEGKERI VAVLEEFGFTSGPEGRQSQKVGSLSGGWKMKLALARAMLQRADVLLLDEPTNHLDVAN IKWLENYLKTHPDITSLIVSHDSGFLDEVTTDIYHYEPNKKLGHYKGNLAAFVKRRPE AKSYYTLSASLVQFKFPPPGILSGVKSNTRAIIRMTNVSYTYPKAPKPSLSDASCQLT LSSRVAIIGPNGAGKSTLIKLLTGEVIPTTGKVEKHPNLRIGYIKQHALEHVEMHLEK TPNQYLQWRYAHGDDREVHMKQTRALSDQDREQMDKFVEVGGGKAPRQIEALVGRQKY KKTFQYEIKWRGFLPKHNSHFSRETLLELGFDKLVQEFDDHEASREGLGYRELQPSVI SKHFEDLGLDPEIANHNEIGSLSGGQKVKVVIAGAMWNNPHLLVLDEPTNFLDRDSLG GLAVAIREFKGGVVMISHNEEFVGALASETWHVDNGRVTHRSNNAIALDRFEDSANTS AVPSAVPSGLNTPALSSAAPSAVNSGVEDNAGEALKFRARKKKKMTKKELKEREARRR LRHIDWLNSPKGTPKPLDTDDEADD QC762_610890 MVQVYTLLFIMQTRSRSVWRASATLLSVLAMLPSPIMADIKRAE PKALGEVLAGNEELSTYYSLIKKNPDILLQLPHSGGVTLIAPSNEAFNKTQSLNLEDS NLITSLLQYHILPLSVNVSSLEPGPSYLFPTLLTSSNYTNVTAGQNILLSRQHDDTTV VTSGLGTRSTFFESPDQDIPFTGGFIQIVDTLLVPPSRLEITARDAYKDLTSFLGALY KSGLSPALSSQKDITILAPRNAAFQSIASALDPLSREDLATVLKYHIIPDKVLSSSDL SALGNSTGSSLATLLGADSRAHKVTVSRAGNNIFFNSAQLLQSDILLANGVIHIIDNV LNPDEFAEVPDLEAKSQTPVFQVSKGAETRTGTRVPAPFTTWLPCTTDCPEPTVDANT QRTATTTGRNGVNSQTSSGMGAQVTAVAGILGAVGVGVIGMGVM QC762_610900 MGIGNYFKAEKPGKSEEAQQQQQVQQLQPPQPRRGSRQHGHQQG QSSVSEKPPSERLTHNEHELQLPTPRGSSRPQSVSGRSIKSTGSSMFLDDIKHEVMVN YLYQQQCSHLWVSDGSGEIEGVLLRKSRGQYMACPPSLGNSPFAMACAALNVQCAMTV NSRVIKTFLAWSPDAVDVPLMNGLRVQILPTIDDLPRARKHQFAAFVASEGLLIVWDD EALHLVERARGIEKELMDLVWKAGAEEDEEEKGGLPIPVEAEVDEESGELKPEKRPVH LLNTYLVSLTLILVTVSLGAAFRQLAIEVSVDNNYTRLALVALFPVQIFFTLFFAQVI VGCLAQIFGPIRQLTINSKFYSARPPPRLQAAILPHVTVQCPVYKEGLNGVIAPTVKS IKQAMSTYELQGGSANMFINDDGLQLISEEDRRARIEFYADHSIGWVARPKHGENGFT RKGKFKKASNMNFALMISCKVEEKLEQIQRTPDWSQHDEAMAYERALKEVLEADGRAW ADGNIRVGDYILLIDSDTRVPADCLLDAVSEMELSPDVGIMQFSSGVMQVVHTYFENG ITFFTNLIYSAIRYTVSNGDVAPFVGHNAILRWSAIQQVSYEDEDGYEKFWSESHVSE DFDMSLRLQCNGYIIRLAAWAGEGFKEGVSLTVYDELARWEKYAYGCNELLFHPIRMW IWKGPFTPLFRRFLFSNIRFTSKITVVSYIGTYYAIGAAWIMTSVNYFLMGWFNGYLD KYYVDSWQVWFSIIIVFNGLGNIALAVMRYRVGERNILYAIFENFKWTFLLAIFLGGL SLHVSQALLAHMFEIDMTWGATSKEAEFSNFFIEVPKVLKKFKFSMLFASLFIAGMVI LAVAPFIPYSWHITDFVAILPMATVAASHLLLPLALNPALMTFSW QC762_0102750 MAPATTNRLALPIWTPGQPGRRRTKLRPSAQSLSAEGLGIKDRT GAVKAWAPITNTPQGTAFDPSRRNVKLSIHLGVKVVVGSSGALHCVLLNKRA QC762_610910 MDHQQDYYGPGQPGTVNSSAAPTPRRPGSLNDPDGRNPFGDGVE SQASQRSVNNGNPFSSPSASRPPSSFDSSSAMGARYEDRGQRYFHSRRVRKGEVEKPW TKTVDPKEKWVTILPVIGIVIGLGISGLLVWDGMRSVVKHKYCPVLEEDFSRGFNSDV WMKEVQLGGFGNGEFDMTTAGDENVFVQDGKLIIKATLTDDKYILQNHTINLIDDGTC TSTEFKHCVAVTNTNNGNSSIVPPVKSGRINTKPGAAIKYGRVEVTAKLPEGDWLWPA IWMMPVKDTYGAWPASGEIDIVESRGNNYTYPQGGNNIMSSALHWGPSPAQDSWWRTN VKRPALHTTYSAGFNTFGLEWSQKYLFTYVNSRLLQVLYTNFDTRLWERGNFPSADSN GTWIRNPWEQGGQNAPFDQKFFLILNVAVGGTNGWFEDGVNGKPWLDSSENARKNFWE ARNQWLPTWKSPQMEVSKVIMWQQCNGDEGDL QC762_0102770 MDDSCVEATSMWSWLAIVVDKKVETATWFEPLEDSYIGANKEIL GWACGRRMNSENDNSAA QC762_610920 MDPTTYPPPPGQTRRYAPPTRSGTVRFRIPSSNDIAETSYSIWG NLSSKKVPLICVHGGPGIPHDYLLPISLISTDYTIPVVMYDQVGCGRSTHFSPHQYHP SFFTIDLFLAELDNLIRCLGITVYDLFGHSWGGMLISSHALTQPAGLRKLILCSTPSS MKTFVQVGVELRSFLPLEIQASLSDPLSPEHAAAAMELHRRHLCRVEPFFPEELMTSL HSLAENDTVHHAMNGGPSTPARVDINGSLKDWSVVSELGLVTEKTVPGGVLLINGYFD SAQDACVLPWFSKTKARVKWVQFALSSSTPHLEETDRFVSVVGRFLTTHS QC762_610930 MAIVEDEKSEVFLLQLYHQVEMMEDILIPGGILIIKDPYLKLTA DGRHGLRVDHVSDVVFLLAHDKRVPSCWHSELTNPQLKSADFWKTKGKEFFGQSRYQA AVEHYTRALVSSPRADECHIIKLNRSLAFLRTNSSDAALVDVNSVLEVANGKTKEKAL FRKAQALYSLQSYRECCEALKALCLDYPDNAAAKVKLSEAVSRLAEHTTGKYQFKKLH AEAARLRPPQLDHATYIGPVEIRQSGSRGRGLFTTKAVKTGDLLFYERAFAYAFHEGE GTSGDSSRLMMNLLIDVNANSFTSVAQPELITMLVQKLYRNPSLTSAVKGLHCGSYSP VQGTFDIIDGMPVIDS QC762_610940 MRFLIPLAALFTLGNAAVVHEPDETVIFGQAGPDNKTFRLGFDL VPGSYQVGHHTWYSPYKITKITYSSPGNLAARDDHCYESVKIHDQTNLHSPQSKDCEV IYDRIVDPGTWTVLMWLQHQLVEYRTCAYGVTAFRSRSGKAIFAHIGNDDIRDVLRRT IDSYSKEFSPHGTRVQSWGQFVCKPYDAEVEWEIYYQKGFPDDE QC762_610945 MKLLTMARAVLGLALAITASCAPAAKTYTGDSLIAEGFTEVSEG VYFRNTTTAPSVNGSSSGNELATQTHFCQISDYEGTTGDYSAKVDDCWQVYRNVAVDG EWVIRQGHQKVVASYGTCVFGITAHGGADVRIGNVDVHSALLESISQSARQYPGDNFQ RIGAVGRFQCGWNFGDACIWGVYVR QC762_610950 MDHAPADQEHIANATLGQGAGYGVVLGLGGAFAIGMIATTFILK RYNRELQTSEMFNTAGRTVKSGLVAAAVVSSWTWAATLLQSTGVCYRYGVSGPFWYAS GATVQIILFATLAIELKRRAPNAHTYLEVIKARYGTVAHLVFMVFGVITNILVSLMLI VGGSATLNALTGMHTIAAIYLLPLGVIAYTMVGGLKATILTDWAHTFILLLIIIIFAL TAYASHDLLGSPSAVYDLLVEAATRHPVDGNKEGSYLTMQSREGAIFFVINIVGNFGT VFLDNGYYNKAIAASPVHALPGYIIGGICWFAIPWLTATTMGLAGLALENNPRFPTYP DRMLDSDVSAGLVLPYAAVALLGKGGAMATLLIVFMAVTSAFSSQLIAVSTICTYDLY RAYFKPEASGKRLIYMSHCVVVGYGLFISTFSVGLWYAGISMGYLYVMMGVIISSAVL PATLALLWSGQNKWAAAGAPVLGLATSLTAWLVTSKKQCGELTVQCTGSNIPMLAGNV AALLSPVVFIAILTAIFGVDKYDWKSMLEIRRGDDHDLAQEAGVDLEDVNGGHEETQA EFEAEQSKLLKAGKISRWTTVILALAFLVLWPMPMYGSGYIFSKPFFTGWVTIGIIWI FGSFIGVGLFPVWESRATLTRTCKYIWKDLTGKSHIKTIHAQEAAVVGTPGDQTPPEK AGLKEEVRSS QC762_610960 MAHDESAQGLLKESSTRRSRSSDRGDNAAFDSDSDLDATDYIDR EAARPTRRSPTASFEPNTKGKKRSCSCLFTTLGRRSKCCIGVLAGMGILWVLLTATGV FVYRKAQEEPPYGQSPPWYPTPKGGIAASWADSYAKASKMVSKMTLAEKVNVTTGTGW EMGLAVGTNAPAIHVGFPQLQLQDGPLGIRFADNITAFPAGITVGATWNRQLMYARGK AHAIEARQKGINVLLGPCVGPLGRMPAGGRNWEGFGADPYLQGIAGAETVKGIQSEGV MATIKHFVANEQEHFRQPWEWGLPHAISSNIDDRTLHELYAWPFGDAVKAGVASVMCS YNQVNNSYACGNSKLLNGILKDELGFQGFVMSDWLAQHSGVGTALAGLDMTMPGDGLG WADGKSLWGPELSRAVLNGSVPLERLNDMVTRIVAAWYQLGQDDEKKFPRKQPNFSSW TDEEKGVMSPGSPTEQEQVVVNQFVNVQANHSVIAREVAAEGTVLLKNEDLLPISRQG LSDERLRARRDAVERATGKRSEGKFKVGIFGEDAGPGNGPNACKDRGCNQGTLGSGWG SGAVEFPYLVSPVEALRKQFDKSKVELSEFLDNKASFGKGDGSLNDLELCIVFANADA GEGFTKWADVSGDRPDLRLQNNGDDLIVKVASSCGGGTGDVVVVIHAVGPVLVEDWID TPNVKALLFANLPGQESGNALAEILFGDTNPSGHLPFTIGRTLEDYGAGGKVLYLPNG VVPQQDFKEGLYIDYRHFDKYNIEPRFEFGFGLSYTTFKFDNIVVIPQRRKTQYPLRR PNPAAEPPSYSTDIPSKEEAIFPPEIRRLEKYVYPYLDSTDDIEVGQYPYPDGYDQQP PLSEAGGDEGGNPDLWSNYVVVNVDVINDGPVAGAAVPQLYLQYPETESETDFPVRVL RGFDKVHLKPGEKKTVKFNLTRRDLSYWDVVAQNWVMVTEGPYEFSVGLSSRDLSISG TW QC762_610970 MSGVNSPEVLAAYDSIRSDKEEQNWLLLSYGATGNKLQLTATGT GGLSELTAQLDDTQVQYAYVRVEYANDAESKRVKFAFVVWIGENAKVMKKARASIEAG DVKKVLSHYSVELTANDKGDLNEDEVVKRLRKAGGADYNGGRG QC762_610980 MGRFCITGSSDGLGARTANKLISQGHTVILHARNAQRAEDARKA CPGAETVLIADLSSIEETKQLAKEASELGPYEAVIHNAGVYTGMEKVPGKSGLPTLFT VNTLAPYILTALMGPGAQKRLVFVSSELHAGGRPRLGDSEDIKKSGYGDSKLHNVILA KAFARVWQTKAYSVHPGWVPTKMGGANATGDMQLAVDTFVWVATGGQTDGKGENEDER WTPGGYFTALREEEPSKTANDQSVQDGLLAALETISGVKVSV QC762_0102860 MSSTPSCRNWFGFFRRQRVNSQPAPFRFYGTVDPWHQLAHFARL ASTRNRPLPQPGQRSESP QC762_610990 MPRSRFLSYASNVNGHNSHNNNDDDISNVNARPTNTMNHTNTMT LPMLSKPASTRNNITAFLGEFVGTFLFLFFSFAGTQIAVNSGPAQLESGTDIAVPNTQ NLMFIALVFGLSLMANVWAFYRVTGGLFNPSVTLALFLVGGLSAVRSIIVVVAQLLAG MAAAGVVSALFPGPMDVETTLGGGANVAQGLFIEMFLTAELVFVVIMVAAEKHKSTYL APVAIGMAFFLAELVGVYFTGGSLNFARSLGPAVVNRSFPGYFWIYFLGPILGSLLAS GFYALLKYLRWKECNPGQDVDDEEKLKFDTARVQEKERYGSVADGHATPRETVTPPNG AASPGGTTVADSPTAPRA QC762_611000 MRAKAIQTTKWGTACAQCASAKAKCSGRLTWLLTRILGLHVQMR APAEAMYRSSPSSSQDSSASTVSPDHLPGSLREPSFFLTFHTLSRPTAGLGEPSFDSL VSTPENPPSPSAVDLGADFASCSSSSVRSHTSAATPMGSLDVRPSLIRTSSMQSQQSV QHESYFFTASPPHCLNQQHLNPLFIEGREDLDDLLLNRYRTCLMPAHPFVLIPDHVSA SMLVTHRPFLMLAIRVVAGFEGLHSVQGQMQHIMDHVADRMFRQAERSIDLLMGIVVV LGWYHYHCMRHSQLNNLLCLAESLVSDLGINKRPQVQNEGRMTDEKRLLLGVWYLRSS AAMYLQQLTSMPFTSYMRQCLVELDEEEDHDLDEILVYCIKLQYLTERVAVLKTPQPD LPEQQNRERGTAIASSQEYLDKVMREMPSELKTNYTNTQIRAWFEGWVQGIPAQAYRT LPSNLVFQLLYAVGSLLRSHGAASQPPPSSSTRGDAEVIVILDRLVALCFANFIGGQP QPDMTHFWEALGERHERVCSPSPSVSEHGDDSNGPLTATPATWEQQYRHGSNVFTPPS RVGSAAPDPKLEAVDVDQYQVASLPMMTSNQLHPQSQWDSQTTQTGWDHHTATPQGQM LMVPGAEGVVNPQLWGQDQGTGAYHHSDGGYFMG QC762_611010 MLTLREATVADSPRIGVIGRDSFGPTLSRILFPAHLHHLARTDA ATEEAEWRAARNTRRIKDGKKTYVVVDKLEDGSEQIVGYAQWETPDSLPPTAKPQTAS ETEVDVLPPSLDQDALKEIFGLMEVVTEQTLGKEGHSQMWYLMILGVDPTQKRRGIGK MLVKHGLEQAAREKRDAFLIATPEGKYLYSRLGFEQVGEEIALGDVAHYAMLWKRPRE EGEEVLN QC762_611020 MRSPSPQRVGFGLGVCHLFAQMGCLVKTISRVDMEPAGQSYDMV SGESRRSLEADADKSGAVKGGRCPRNQLVSGG QC762_611025 MKEPENCIKGATSSVSILHNHPHHQQLSKHHLACSSFENPLATV HYITLRLPTINMKFQLLTTAAAFFVATVTAIPAPYDNHGLLPDTDDFENDAGVPAVLE ARAQAPATWAANFNFTRDFDFPLDHLFAEIERIPDDILEKGDEVLHQWLVANGDREPE TALKRDTDYVDAVEENLSLFERGELAARASLWKIAKCVAAIVQLLATTAVPAAKLLRI KKYIKALGGAKQAVKLMLGATTKAEKLKAGGEILVNLSAELLGISTVKNNCF QC762_611030 MGQLSASCPTDGQPHRAAVVGAGIAGLCAAIALRRAGWHVAVYE KSSFKNEIGAAITITPNATLVLERFGFDFLELGGVRNEMLLRYEAKNLSLLQKEYYGP DSGAEWSRFWSVHRVDLHSGLRGLATQTQDNTPGPPADIRLGQEITGIDCEAGTVKLK DGRLEQYDLVIIADGAHSRLIEDFTGNPSTVHRTGRSIYRWLVSMDEVNADPVLQNLY TDPQGSRKSGFVAWVDKETRILWVSYKCRGGKVLNNAVVHDTQTGEGEEDLWQSPVSR DQILKVLCNFHPSVQNMVFMTAEDGIKAHHLYKRLPLQSFVRGKTLVMGDAAHVMMPI HAAGASVAIETAATLETLFDLSGSTWTMDSRLEMFDKLRVPRCNLAMLSSNAGPEWLH VPGVEDEIRKYYSGPLPPAGAMPYSSAFRDVLFKHDEYRAARECMDKTAKN QC762_611040 MKSMYIGLAAAALSWTGVLASESNNAIPNEALLARRPRVLQSRH RNGTTDYAQLGLRQEGGRCGAGVGRCPDGQCCSDYGFCGLTVDHCHPLFDCQAQYGVC GWPPPVPATTSTPPPPPTTTSTPPPPPTTTSTTAPPPPPTTTSRVVVIPPSSTSSTPS VPQPTGPLVVTTNGQCGNGTMCIGNPNYGPCCSQYFWCGSSIDFCGAGCQSNFGACLG IPGLPGTPINGTTTSTSPVVPPTTTSTPVVVPPTTTSTTTTSSTSTRTTSTTSVAPTP TLVLPPGQRSSTDGRCGSGQNCLGSTFGRCCSQFGWCGDGDQYCPYIVGCQPEFGYCD PN QC762_611050 MNHNITDVAPYQPLLPRLHSPTSYPKKKKSGIFPDLTKNINLGE DIDLVVGLTATALTADQVLKLKDSKKHKAMHLAKASLSAAAAATAFTMMKREHNERVG RERTRRRPESESRSTLSTTKGEKHECHSRSTSRSSSRPRSFERARRRSRSRDRKLPYP DLEAQEPEDHKVRWALVPSPPFQEEHQEQRAESPEDYSYASSRLAPPPDERYHHRGRA RTTSPVRRQDDDRWPDNHRHHHRRKKSEGQSRWHTFFDLLGQELLQRQQKA QC762_611060 MLSQLAFLFVAMALVTGRVVRHDTADGFPNPNATQLEFVEDVAD GTLSDTPPPPSLNESSIPIFQLISFNENLKSPSFRPSLRTSLRTSPASHFQQSRKWRY SKSWRPSWLKNNCTPSMPPTFSSTSTPL QC762_611070 MSSSRSRRTGHKFGSSSASGSSARQIIESLLTHRINTLTELCRV ERLVANAETEDDQLAFQEPMTSAWIYYVESNQMLSELRGLTPNYAFSGEMLTYAQGLV RNDPQSNRSWNFAWMVLEKITEENLVATYAEIEAARPEMWGDVVPDDQQIQELAAYFS QEWTYAINWMLQHWTTAPVWY QC762_611080 MVHTGAVAASATTATASQSHPQSQSSIPRPSVKRYRHSGSFHAG EPFPDLSPYRESPSSSRRRRRKSSVAGRPPPVQRQSTAKYHTFPTEPPATPSNQPQQA RPRKNSWLRSLLRHSPSREEHGEDNSHYFSQADERGSSASPSRRGEGSDYSHHHTRPD TSPNSTPLPWRQLALLALLSLAEQTALNSIGPYLPAMVASFPEIPSGQEGMYVGLLAS AFAMAQLATNLLWGWLSDRIGRKPVMLIGTSLLAGCFCFFGICTTYAHLIIVHVAMGL LNGNAAVVPTCLGEVTDRTNQSRAFTWLPVIYSLGSITGPALGGLLVETDAGVDGAKY PYLTPNLVVAAFLVVSVIVLGIWFKETLEGEHDGTSARGPRDWMGWLKSIVQRPWRKQ QAGKHRSESVSSDHQQDSQEQQALLSSANTKAADEDDEDANSLTPSQKKSAFRQLANR NTMAVLGTYLVFQLANISFNSLYPIFVSAPPPTGRELGPGIIGLSLSLAGLATIVFQA LVFERLKARMGNLGTYRYSLLGMAVAMSLMPWIGYLDSTPNLGIGSGKGWLYSELGVI LIIKNICAVGGLSSVMLLITNSAPSHETLGTLNGIAQTLSAAGRSVGPFLSGGLFTLS MRVRPKGEALAWGLFAGVTLGGWIWSWVIKGHGLESAEYEGEEEQGGEGADGQDDEDV DEERAVGR QC762_611090 MANDHFLTLSCPDKPGIVHAVTGVFAGEKVNIIDLQQFSDPVTE KFFMRVHFGPTPTESPEFLRPHFEKLAGEYDMTYDIRPVAQKPKVLIMVSKIGHCLND LLFRAKTGQLPIEIPLIVSNHPDFAPLAASYGIEFRHLPVTKDTKAAQEGQILELIKE HNVELVVLARYMQVLSPTLCEAMSGKIINIHHSFLPSFKGAKPYHQAYDRGVKIIGAT AHFVTADLDEGPIIEQRVARVDHSLSPKALVDEGSNVESQVLAAAVKWYAERRVFLNG TRTVVF QC762_611100 MDIWSFLSSCPGQGALLTTADRYHEQEILTGWFTFQKTVDMACL FGLLLLLSAALPCSFAVSQWNEEVVNGIPYRTFYHADTNSKLSIVSNSGICETTPNVN QLSGYIRISEDINLFFWFFESRNSPATAPLALWLNGGPGCSSMLGLFVEHGPCRFPDG SPAGSDPVLNEESWNSYANMLYLDQPVGVGFSYGVGQVNATTQGSVYVWTFLQAFLAA KPELAKSQFGLFTESYGGHYGPDIVRFIQQQNKVVDQNVSNAVKIDIIALGINNGWVD PKLQFPAYLDFGLTNNYRQLVNQSQYSTGITLYQQRCVPALKNCTVTTGSAEDCNHAH NTCYDALGLFTVELQRFDLYDVRRVDTWTGIPGTDMYHEYLARSDVKDAIGAQRDYTE CSDIAWGLFDSTGDPSRSFLGELSEVVQSGVRVLLWAGDADYLCNWMGNLAVANAIDY SGQLDFVKRGMSAYQVNGTSFGEFKTVENLSWLRVYSAGHLVSSDQPRAALQAFRQTM ENRPLEAT QC762_611120 MHSLITPLIAVLIIHRILLIIQRIYFHPLSSFPGPKLAAATSLY ETWHALFSRSPLSWPEHQRFVLHPKYGPVVRIRPNALHISDPDAFRDVHKVGSKFTKA KYFYVPFRLSNALFGSTDPDFHRKRRSLIAPMFAKGEVIKLYEGLVKGKREMMVGNIR SFLGRGSSVVNMKNALAGLVVDVAAEAICQTSYGYVCLILVVQKPAYAYRAPACWKLT RSRHTP QC762_611130 MACTNCRRSDLPTTPTKPRTFHFPLSSFVLIYDIIFPGPNRAGQ RSQQSCFQLELSIYLSIIYPPTSSNHPLHGSPCQKNPTMRSLFLFPIIWHLALAASVR SIFLFKDVMKSNTTALKTSGFNTLIMFGVGILSNGDIMYYSNTPGSQDVRVASGGVYV GGVALAQKVRSLKTGETGVTRLEISMNAQNVRNLIVTPGPGPETPLFRNFQALKEAWT LDAVNNDDESIYDLKSSVAFGRMLGQIGYKYTIAPYTNAQFWLNVKNQLNQGLKEQDW LLDRVYLQCYDGGAYNNPPGWQTFLGMKVVPLIWVINDSKPVYGATAAQARTRLTQWH QQSTLAGGGYWNDYDIEKMGLSYWDYGNVLASIFP QC762_0103030 MRFLTTIVAFTGLVTALPSRIEEIRSLDLLEDLSKKQTLETRQS SQTRNELQTGGTCPPIIFIYARGSTEGGNLGSLGPLIADVLEANYGANNVWIQGVGGN YKANLLDNLLPDGTTAAAITEMKNLFTLASTRCPSAKIVSGGYSQGAALTAAAIRDST AAIREKIKGVVLFGYTKNQQNNGGIPNYPSNRLTVFCESGDLVCSGTLIVTPAHGEYQ DEARDQAPKFLIARINAS QC762_0103040 MTTVPHGGKDSKVKWIRLSGRECLRVQPIVVELYIQRQTTMHVG QTLGSTPNTAHVLSPGFGP QC762_611150 MTFEPKVTSHQTIPSELWHTALQHSPRAASILSTSSKRQAVQDT KTRESATMGLQPPPDKTKDYYDLGDFYMTITTRSHDTQIWFNRGLVWCYGFNHEEAVK CFERAALTDPDCAMAYWGLAYALGPNYNKPWAAFDDEEGSRNLRRAREAVVAALAKAA AAAPVERALVEALQHRYPQDRGEAKQGYVWNHTFAQAMEVAYNAHPSHPDVAAVYVDA LLNLTPWDLWDLRTGAPTKGARTLDAKAALDDALSKHPNHPGMLHLYIHLMEMSAQPE LALNAADRLLGIIPDSGHLNHMPSHIYMLCGDYRSAITSNSAAVRADQKSVQRDGAIN FYSLYRCHDLHFRLYAAMFAGQSAVALETAQLLEEAIPEELLRVQSPPMADWLEGFLA MRVHALVRFGKWEAIQRLPLPKDKSLFCSTTAMILYAKGVAFANTGRFQEAEEARAQF RSAVKTVPASRTVFNNPCQGILAIASAMLDGELEYRRGNIELGFEHLRRSIELDDALP YDEPWGWMQPTRHAYGALLLEQGRVEEALAVYAADLGFDGTLPRALQHRNNVWALHGY HECLITLKREAEAKIVWPQLQIALAVADVPVKASCFCRKNPGGTHKL QC762_611160 MRQVRPYREPRFVTDDQQLVGNRRCFPCRSENEMKRGIKYKAIP WASRPHHCSPSSSPPLKAAAMKLSLLAFLPAVLALPAAESSGIASRQTAVATTDNYIF TLTLPQFTVRRNNRNPASLDWSSDGCSNSPDNPFGFPFTPACHRHDFGYRNYKKQSRF TDANRKRIDDKFKVDLLYQCSSNGHGAVCRALADVYHAAVRAFGGSGASKREEEEDWV KIYEEKLAIYNELVKEAQATGELWTLE QC762_611170 MLSILTSALLAVSASPVVLGRAAPEQKHKLVARKDWESPTYSWL YQFPLPIPPVKTPKLTVTNPVTGNPIHYYEVYINRFTQQVYPNKGPATLVGYDGISPG PTFIVERGHEAVVRFVNNASIENSVHLHGSYSRAPWDGWAEDVTMPGEFKDYYYPNQQ AARFLWYHDHAFMHTAENAYFGQAGAYIIHDPAEDALNLPSGYGIHDIPLVLSSKQYN NNGSLFTTNGETDSLFGDVIHVNGQPWPYFNVEPRKYRLRFLDAAVSRTFKLYFQRQT GSSAKIPFQVIATDAGLMTSPATTNDLYISMGERYEVVFDFSPFAGQNITLRNTDDVG QDDDYLHTNKVMRFIVGNTPVTDTSSVPSTLATVDWPTPDGTGVDRHFKFDRSNGEWQ INGVVFADVNNRVLANVPRGKVEIWELENGGGGWSHPIHIHLVDFKVLWRSNDDGRPV YNYEAQGLKDVVWLAPNEIVRVEAHYAPWDGVYMFHCHNLIHEDHDMMAAFNVTALTD LGYNETAFRDPMEARWRAEPVTAAKFTTAAITEKIQFMARLQPYNNVEEVLEVLDEYW ATHSKRDAQDPAPKARRMRVEGGKVKEVR QC762_611180 MLVLFPLLCLVLGALGVDIPMWLDGALVDATATDVSYNTGGTIS VNGWTVQVPKNMLVTFPAAYVPWKDFVAQKAAVMGYEVNVAGNIVNGVSIAAQIIVQE FAMEINQGYIEEINFDGTMKILNGPVIRINDPNAVFSAGYSSPFMVADDKSPSVISFS GFPMCVPRSSNDTLCPSSQRPVVAGTPRRIFQAPDPLVMAPFLPGDFIMYRGFRNAQN QLICFDIVAWNVQITTTGSPAYIRVEETLVGVYTPNTNAEVAETRFIGYTSDPSVTVS ISAIDIDPCTGHETYRSIGVGQARPEEGGRNKWIARIDGTTPSIYTREYRMVASSGTV VTRNGIVAGEYVAPILEWIQPELLVPGIEPIINEYAAMSHLTRGVGPDEDGNIFGPLD PFPQSGVTVFNISTCAGPVTPGEPGEGESQTANPRIDATIPISATGSQVATVPHTKRL YVRHDDTFTLRGYQDNNNMGSNDTLTWSWSVLTDQSAGTQSNLVTFIPSSDSKSISVR FANSAPTGEYVFQLAISSAKHNTTGNFTYTVSLFSGPDIVSVDAVTWTSGQSGTIGVT CSSLYLVDWKVNMQVTYPGDRATTTSAMAATPPGSGLWSFSSRRVDRPGTITCRSALN GQATRSGTTAKRAVQLKA QC762_0103130 MHPFDNVFVPLPRYRIAICSSCHNAVFPSSIKTHVNTHHFYLPV RHRQQIIQRAVELERRGIVGSDVSGIQFPSPGDPAVPGLPVWPDGKKCIVPEPDGHPC GHIRRTYRGIQAHCRDAHGWTNVRARGRPSAGVSPGGEGDVWVDSIHCQQFGKTGTLQ RLFEVTPAQASTSTMEGSVHQSHPDSAKQIVAQFNELANTVKDNDQKAAAVIGEQSRF SANMWVRRTGWPRHLQGFDREWLAGTAQPRDPEKREERNRRNTEDKGKDKGKDEGAAT EKALARVLLAVERVIWRAQRASRVEIVGSTAINYISRREAGGDSNEKPFHAEQKGQTM ERYTESWKAVVAYVWRTSHLKPADAAEAAKTTGSRGDGETDAESEDEWDDDSKNGWNT QARHREGSTQNQRPAYHFTAYQAKVWKQLQEVAYACVVAENPAEDPDPYNSQDTNGDR CWEDPVSSPGISDEETGEELSEQRGPRRSPDICSHDRPQGQDMRALERDVLEFFIALL DHNIGDNEYQNALYSGLAVLGIQVGHGWRSALVYTPRLSAIVTVARMLVLYKAKQERD DEVEQRRAGGETQQEAQQNARSHFDRVREMVQRFMTIVAFDGQPSPMDSILRLRAYGK AIRANTNADGVVDWHGDELLYGHVQFSMASLRMMVHGLLHSTRAQLRQEVLLLETDSE GETAEGETAGGMPQIQWDRLVDNAAETRAGWSFVDDRRNHDAWNGVDGKMWLAGRVAA EERLRDQFIEAGSETSGGTGMRWKMDRVRQYAESMKSFRSKLLVLMHMSGGQPARGTE LVTVQYKNGVDGDIRGVVYRRRIGRVCHHVQQDHGDECKGQGDPPVFATGGGGVGRIL CMVGGPILADGGCGRQPWQGGLGQSVHMGAAERGGVGISGRGGRRTGAGARPRGRPEA KAERVWFVTIRKRSEETSGSRAVRGRGSYGDHNRRGGRSGRRRGRYRRV QC762_001460 MRLLLLSLGATIASLASASLQIVPGGTWTTPNGEHLQAHGAGLI VDNGTYYMIGEDKSGGHSFSNVNCYSSTDLVQWTLVGALLTRQASGDLGPNRVVERPK VVYNDRTRKYVLWMHMDSSNYGEARVAVATGDSVCGRYQYIRSFQPLGRESRDMGLFK DDDGKGYLLTEDRKHGLRIVALSDDYLTPTTDVFSWRLEGGNRVEAPAMIKLGRTYFM FASMMTGWDANENQYTTSTSLSGGWSAWRKFADSGSKTYNSQTTYILKTSESSAIYLG DRWMKDNLMASTYIWLPLSISGTTVTMKNFVSWVPGSPGFSAWQNPPAETSYEGEKAV YGGKARNVDCSTCSGKVTAGYIGGPDRGSVTFNNIRSDIDGLTTIRIKFLNGDSSPRY ANVRVNGDGGRKIAFLPAKGDPASSTLHANLRRGSSNTIVIEGFGNGWGPDVDRLMVP VQ QC762_001450 MRFFGLLAIGAGLVSAAPIVSDLPIPAASELSSRQAGSACFIIG NTVLPKETSDFVNQLRPRITCNNSRRTLSNVPDVTSDGVSFSSINFATSSQAPLQFAL SRFATPTPLRSANLAQFQRQLDVYIATEAGIRSVNGNLAIKVPKFFLQFQISRIQTAQ GNPPRAAGLQVNHLLEKVLKNSPRESQLHEQVRALARTLA QC762_001445 MFLFTWKAILAKILVFGSFLSIASAFPLDIRNDGPRGDFDPQIE QLFDQYNVPYGVLGAISHIIMVYTLVCHLFGRIPLMPWKYLSQHLIDVIVTSCMAIVT VTLAALNASEVRESRALVMLLAMHIIFGLVMDAVIIQRYFNRERRGLMVQLAGWICVL CVAGLLSSQMLAHMTGSKRIDDSEWQWSDPGIIIIAVMGIGGGVIAVVSFFLMCRSST PKTGGIKPFSVYVFFFAGLVCTLGWFWLADYGPVIVTGNTLGQPRQGKNALFWVYFVF QWVPLFTI QC762_001440 MMLVKYHFTVGFALSFSSLVSACLREFNSEHVHTHRKPILRRQA VWPPVLTEQETILSNSFDANSIDDWAKYYGNQVKLAGLGREAAEWTRDRWAENGFTSA LKEYHVYLSYPVRQSLAIAYSNGTRAEVHVQEPALAEDPVTGREDAISNFHGYSASGN ATAEYVYVGRGTHADFTRLVELGVELEGKIALIRYGSIFRGLKVKNAQDHGMIGAIIF TDPGDDGNVTVANGYEAYPHGPARHPDAVQKGSVLFLSTYPGDPTTPGYPSVEGAPRA DTSSVTPQIPSLPISYAAVLPLLQALDGHGLEASQVNRTAWKGALDAEYRTGPAPGVT LSLENLMEGKITPIWNVIGYINGTNPDETLVIGNHRDTWMIGGTGDPNSGSAILAELA RAFAKLTATGWKPRRNIVLASWDAEEYGLVGSTEWVEEHVNWLTETAVAYLNIDVAVS GPRPSLDATPELHTIGTEIMKKVVHPNAGGFNISLYDAWQRESSTGSGRHVGVLGSGS DYTTFLHRGVSALDVGSSGGAGDPIWHYHSNYDSYNWMSKFGDPGFKVHAAMGQYLSL LALHIADDEILPFDLPNYTEELRGYYEDLRDLIGDETLDTSELAAAIDVFEKSAKQVK ELETLAKTWKDENLIKVVNKKYRDFQRGFVSQGGLPNREFYRHVVTAPGLDTGYAAIT FPGVSEGVQYGNLTVAAEWVSKTAQGILRAAAILKT QC762_001430 MANSAPKVAEAERFETDRAISLKAKDIANLIKHSKHFIVFTGAG ISTSAGIPDFRGPDGVWTLRKQKRDAPSKATSTLQAIPTPTHMALVKLQNRGFLKYLV SQNCDGLHRKSGIAPEMISELHGNSNREYCRDCGKEYIRDFRAVAPYTKTVTDHRTGR KCSMPGCNGVLLDTIINFGECLFEQPLKLAREHGKKADFCLVLGSSLTVPPACTIPEI AGKSKRGKLGICNLQSTPLDHLVDGESMRVFARTDDLMIAVMGHLGLEIPQFVLRRQL TVKVVMGERDRNQVILQGVDVDGTPSTFLKSVRLEGSRRPAVTEPFTLSFRMDGQEEL RLKLELEFMGNYLEPNVEIVHSTQCSERLYLLEYSPYTREWQVVEKD QC762_001425 MHDHHKASIAKITAYFEADPTVMGLILTGSIAHGFDRADSDVDV LIVVSDDDFARRLETGNLTMVSPDLCTYEGGFVDAKYTCLSLINQTAEKGSEPARWAY DGAQVLFSRFDPPNILQNAIKNIASYQTEGKENRIMRFRVQLQIWRWYCSEGRKKNNP YLLNLAASKLVLFGGRLILAHNEMLYPFHKWFLRLLGDAPEKPEGFMDLVDRVIRDPT EANTEHFFEVVANWKEWATSPNRPGALYMVDSELNWLYLQTPVDDL QC762_001423 MLFASRQGIPVPQVYFFDSSVKNGYGIEFTIQEFVQGERLDTNQ ALISEAVECWHRLWNSSNFTQSGSLYWHWNSQPFFVGPMVDQEFFAPRVEKVSHELPQ FGPSSDSPSYVEVLFNTRRQRDWTKCHQGSQIMSSLSGCPVDANRFLARFAEIEAMAG SVSALIFKSRMIHWDMHERNVLVKSDGEKIAAVINWDAVVIEPAGLCRSIGHACVLDH MSSWE QC762_001420 MAWGHVTLARVLLLGLATHFASAADSKKDGLASEEIQHRTVYTG NATEPVLSSPPTDKWWKSNICQGSYCVYTNLRIANRRGLVLVTKPDEYNKVERIEAYL ERADNKWEDGSLLAETEILEKGLGLTAKQSIRRGKPLSSWSPVLLVHKDLFEDVVKKK ERTRLLEAAINYLPDDTRAAFNRQRNRPGHNSGESPRSIEEILYAHPFEVDLGAGYRQ EHSSKHYINYPEVALFQHDCRPNVAFYIDQHLQHRTTVARKVAEGEELSISYIDPFLP RKERSDWVKRFRGSSKPCPCAACTGNNKPSQLKKSDKNLAEINSIKAELKNHDSKKVT VSLIDRYVKLMQEEKLQAKYAEAWELAALNYNYLGEDKKAKKYADLAVQAGIVEGGKD SNDVVAMRVFASDVKGHYSYRYTLKRRGIKEPGN QC762_001410 MSPSDTSYNYYSDTSTGAYSESDPRNCLISGCRRKHAFARVGGR KIYSEFCNVHTCERTFPLSKGLHCPNPKREHERFCSVDLSCGHPDCSQTGSYSSSAEW TQYFCPRHRCTMRGCLAGSTNKRQQQRCDLHILTCNVPRCERPCYENRDGTLDIVCAA HYGSFNCAWAGCARRKPGYDTKYCLEHKCAFGECSHGRERDAKWCKEHKCAISSCDRG VRENGGVMCKDHECNSSRCRLPRMTGVDFCTDHGCKGKNCRFKARFPGGYCEERHACI VGMCSNPRSTVMSSTLGIFTDRCVEHDRLNRVGRRLSTNDMPERERWEGRRHRYSDDI ESMRRRELERLQKEQREREEREAHGPMYAYSGWDRR QC762_001400 MPRLKAIALHGLAAAVGVSAFAVQQKPILHDQQAQAPIGTHGDK PLVDSEALQDTIKADNLLARAKHLYKIAKLSEDKFGRPTRVIGSPGHEGTIKYIQKAL NAVSDYYTFGLQPFSAVSGTIFESRLTIDDKLPFTAYPMSLTPPTKNKEPVNGNLVLV SNEGCNEEDYPEAVSGNIAFIKRGVCPFGTKSELAGKAGAIAAVVYNYEDAPVGGTLG TPSKNHVATFGLSGREARPYVERLETGKTIAATAYIDAVVNQIVTNNIIAQTKGGDQE NCVMLGGHSDSVGEGPGINDDGSGSLALLEVALNLANFSVNNCVRFAWWSAEEEGLLG SDHYVSVLAPEENKKIRLFMDYDMLASPNFAYQVYDARDAVNPVGSQALRDLYVDWYT KHGLNYTFIPFDGRSDYDGFIRHGIPAGGIATGAEGIKSRAEARMFGGEYGVPYDVCY HELCDDIQNLNLTAWEINTKLVAHSVATFATSFDGFPKRTLDEEQFATTNMYHEQTKY HGDKLFV QC762_001390 MQIKSLALFATAALAAVNEPCVGSGGRAGVCVTTSTCSSSGGIT IDGACPSDASNVKCCTKASCPNGSAGNCRWASDCAGSTLTGLCPGPAQMRCCSSSATG FGGYSAPAIPAVGACKAVAVEGAKKVVAAFPGRVRQIYCTRACACPGTSDHCCGKAID YMCSDAGGVPTMSGRQLAEWAMNNRASLNLKYIIWGQRIWSPSDGVKAWTSWRAMEDR GDVTQNHWDHVHISFN QC762_001380 MDLTDHPQSSSKPTGTASKMALNLSSFGILPPGILTQPTPFSLN VSMRDIHRLSAQVDQANIAVPQYYNTHADPVNGTYGVSRSWLLDAQQIWLSEFDWRAH EEQQNKFPNFRINVTLPSDGQVFDLHFAALFSKREDAVPITLLHGWPGSWMEFLPVME LLVEKYTADTLPYHVVVPSIPDYGLSFRPEETKELTMATAGEAINSLMVQLGFNGYVA QGGDVGSFLSQVLSHHDECKAYHLNMFFMTSQQQSSVAHLNISAEEQAKVDYAAAWAT TGNAYAVEHGTRPSTISLVLQTNPVAMLAWMGEKLIEWSDNRHSPFPSIDTILSFVSY YWLTNSYARSMWAYSELTSVVGGELPPYNPSLTKPMGYSCFPVEIATLPESWARELFP NLVFYGVHEKGGHFAALQAPKAFLSDIEQFLAIVKDTVLGSA QC762_001370 MRPSALFACLWASATALPSSLSAGPDVSIVQLSNQPPSDLPTTW QWTSTGPLVGPKNDGRGIAGIKDPTIILINGTHHVFASTAQSAGYNLVYFTFADWADA PNATFYYLDQAPLGTGYRAAPQVFWFAPHKLWYLVYQNGNAAYSTNPDINNPKGWTAP KVFYPDGMPKIIEQNIGDGYWVDMWVICDPASCHLFSSDDNGQLYRSETSLEQFPNGM SQPVIAMQDNRNDLFEAACVYSLPDGKYLLLVEAIGTDGHRWFRSWTADSIRGPWQGL ANTEQNPWARSNNVQFDGDVWTKSISHGEIIRDGTVDEKLLIDPCNIRFMYQGMDPSA GGEYNALPWRLGFITHNNPAC QC762_001360 MRPFWKRSGIVASLIAFSSFLLLAAPVTAQASSTTTSSPAVSYV NTLANQRADPHIFKHTDGWYYFTATVPAFDRIILRRAQSIQALGDAAETTVWRRKSSG VGSGQVWAPEIHFIDGKWYIYVALGVANEWRIRAFVLEASGANPLTSTWIEKGIIKTN WDTFSLDATTFTVNSTRYLVWAQQDPSRSGENSSLFIAPLQNPWTIRGTAVAISHPDL AWERIGYKVNEGASVIQRNGRIFMTYSASATDHNYCMGLLSASATANLMNPASWTKSR TPVFVSNANTNQWGPGHNSFTLSEDGKSDLMVYHDRGYKDINGDPLNDPNRRTRVQKV YWKADGTPDFGIPVPDGNTPVRLRSAVSSGLFLRFYTGNSVPSGSVALEDTQFRIVNP GLSGNGTISLESTSNPGVYLRRLNGSQVQFEAGRNLNTAASKASASFNRRSGLANGSG ISLEASDAGGQYLRLQAGGALVVSAASSTTDQEQATFYLE QC762_001350 MPPLLRATPRQRAFQAFPAARSGVSVTRLGYCRFASSSQKSVHG TTTSRRTCTPSVNRQRSALISSSLSWNIQQKWSSTVAIDPKMYTTSFAFFEALWDAGV THVFVNLGSDHPSIIEAMVKGAREKKGQFPRIITCPNEMVAMSMADGYARLTNKPQAV IVHVDVGTQGLGAAVHNASAGRAPILVFAGISPITQEGELRGSRTEFIHWIQDVPDQK QIVAQYCRYSAELKTGVNVKQMVNRALQFAKSAPQGPVYLCGSREVMEQEIEPYSIQQ DEWDPVELGGLPGSAVSKIAEALAGAERPLLITGYAGRNPEMPAKLVELANTVKGLRV LDTGGSDMCFPADHPAWLGLRYGNEEAIQTADTIIVLDCDVPWIPTQCKPRSDAKIFH IDVDPLKQVMPLFYIKAQARYRADAMASVNQILAALQSDESLRAKLAGGASEQRWTAL QTSYRERIDGIASRARPLDNGEFGTGHLCSKLRELCPEDTIWAVEAVTNTLFVHDNIQ PTKPGQWINCGGGGLGWSGGGALGVKLASDHEAKLQGHEHGKFVVQIVGDGSFLFSVP GSVYWIAKRYNIPILTIVLNNKGWNAPRRSLLLVHPDGLGAGATNDDIHIAFNPSPDY AGIAKAAAGGDVFAERVDQAVDLVDVLKRAIQAVQNGQTAVVDCKVASGC QC762_001340 MADCDKSADASHEAGSDTSAVPSPPPPPVPSADPNLRFIPSPES DASPTLAAQVDDIYKIAPVAALRMLSAGIEALVNMTGDIPPTPPPRSPTMPHMRGMEA EKKSIVRSNSDKNLARLAQQRSAANSPRPSPRPGRSPLHSGAKAASVPVPDSAQSIDG VQLRAPYPTQASRAEQPLAPYIVVGENSQPLNLQHSAITRKFYSRLPPPISITEYLLR IHRFCPMSTAVYLATSLYIHRLAVLERAIAITKRNAHRLLLAGLRVAMKALEDLSYAH GKVAKVGGVSEAELARLEISFCFLTGFELVVTYESLSKHWEMLRRGTDCWNLHDELME EDMTVLQFAKPPKLRREHAVST QC762_001330 MLQSGLRVLASTIRSSKARLPFTFPTRRFDNRDIAVAMDYNKIL QGKYPAKQHAKRVSDYIRDKIPNATGVLYLEGRATKMIEDNDSEEHFRQRRYFYYLTG CPLADSYVIHDMDSSKTTLFIPPVDPESVIWSGLPVSAEEALSNWDVDEVKYTNEINA TLAHVGASKDNATLYAIPNQVSEKVTFLEFDHKNFSILKEAIEVTRVVKDEYEIAMIG KANQISSRAHELVMKKVKHVKNERELEAVFLAECISNGARDQAYHSIVAAGRAAATLH YVANNAPLDGKLNLLLDAGGEWNCYASDITRTFPINGKFTTESRAIYDIVLKMQLECI AALKEGVVWDDVHTLAHKIAIDGLLELGILKGDKEAILESRTSVAFFPHGLGHYLGMD THDTGGNANYADKDTMFRYLRVRGTLPAGSVITVEPGLYFCNFIIEPFLNDPKHSQYI NRPVLDRYWDVGGVRIEDNIVITKTGTKNLTTAIKDPDEMERLIASS QC762_001335 MTPSAQYFASGFSPVLVHFLSFLFALPHTSTSCRTRYITTYLKN IPPHAGHRPETMRALSILTLTTVALAASVPDFRQDSEHISGSQRRRSAEPGNIQLHKT VIPDWADDIYLTPSPKEHLDSERLLLHQSNVKDISKERDSPSIRVDPVEVHMYTETYP SPGVERNVVSARGTVFEPEPNSLGGRVPTCTRKAGKLHTQRKWSIGYDHDNKYNGMHC GQSVRVALKSFKKCRPTTDWTCVRNGEQGLGGVTVEFHTPRGCDDRRIHQAMKKASGG QIDVWCQHK QC762_705050 MVLPTEEPPPPPAPAAAPAAPLPPAPSSGTLSTGQLGALLGSVL GFAFLVLVLCCCLSCHRRRQRQERVIVYDGGSDNSDSEREVTREYYTSTRDWNRLRGP GGLGFANAEGMMGNAGGMATRTNVGFTTVPPPVRFPPTPRYTPYRQSRWPQISGVRRF P QC762_705040 MSHSYSTFDCSFPPLSQQNTATMPHDLEAAPLLLPPTAPKPPPS MKSAFVLPSATRFLADFTLGFADGLTVPFALTAGLSSLGSSDTVIYAGAAEICAGSLS MGIGGFLAAKGEWSQSQVQTPAQSALVDQFDADVEIDTETETIVSGYHDTENDDLLEG YLAPLELSPKLQDEIRSHVAKCPGILRELEQQQKRYRSRHGCLETGSLSEKEAEAKRA APSPILVGLSVSLGYLLGGLLPLFPYFFVEHVQEGLRWSFAVCLLALFLFGLLKDYFL NSQQSKDQTRAGWIGTSGQKKHRIVLGMKWLDLKRSLWEGIQMALMGGIAAIAAVLCV KFFEGMGV QC762_705030 MKSVLVALATATAVSAHGWVDNITISGQFYQLYQPYQDPYMGEW APKRISRKIITNGPVEDVTSIDLQCGGSTIEGQIGSEPAPLHAKAVAGSEVSLRWTHW PDSHMGPVLTYMARCPDSGCDKFLPGDEPIWFKIHHEGRHTFDKTWPDDIWATTPFMK FDNEPYRYTIPECLKPGFYLVRHEIIALHSAWAAKGAQFYPSCHQLEVSGSGSVVPSA SNAELVGFPGAYDAEDPSILFQVWAPGPYNIPGPAVFGCPAQ QC762_705020 MAEVAGLVSTIFTIIAFAKDVTLATKFYIDAAKGDCPKDIKLLV VEAASLQSTFESIDFIFKNNVQPGQKIEDSPENRRIANQIFKPCGICKETLEELQGMI KKLKIDRDPRDRTTRDKLRNTWEAAKWPFKKESFDAAMSKLHHCRTSIISGLTTELTT TVKEIQTNVRVIKDDVKEVKGAVHAMDDKLDREAIAKWLSKTNPSDNHDKASQFREAN TCRWLPNSSQWKAWLAEDSKSRLLWIHGVPGVGKTVLSSYIVEQYKGFKQPYAFYYCS STDEKGEKWERDETAHCLGWILARLCLQIKSVPAFLKPLKDRGMYPTVEDLLKGIEAV LSFLNGRRAYVVIDALDESRTSNLVKAVATLATDTKYKNLFLAVTSRRQSDVQKALER VSIPVSLDKNKDVDDDIKAWVTAQLQKDEFEDWEEVPKLKEYVAFSLPSKARGMFRYA SWQLEVLKNAKDPRAKLNATQDRPESLDGTWETILANIPDKHRDNVIKAFALILDSGW RYGLATDLLIQSVKQSDTRGGIPFSLRAFTQACGPLIQVVRKVSADGKILVDETVGFA HHTVRDYLNSEKLKNHKTLKDFYLNEPDSRRIPLTLSTYLAVTKTFSGTYNPDNVPVD ERMDAKDFKIHAMRQVRSLLYHPTITRRIIPDDKLRSLTFEVLNPQAKWFNGLSICTQ EAGGDSGVRDMLEWLVKYDSKAGAFEQLVGRLALVCSLKNPKLVTEFLKAHLKTPEEH RKLFTTPLSVTLPVKWSEYKKAGKMDPQPTLLSNIIELYFEGARRGYALKAQVQMLLT VFGSYLPPVATDIYTHLAFHDHSLCASFGGCSIQKALAAKAGKLGESFSFTPLQAATH SLDIHAVHAMLSQPGIDVNQLGEPSAPQPPVKLPRQFASSVVIVPGSFSLDGQMSPLC ILQNGMQHFQTVDRGSFGSDALKAKAAIQMELEKRGGKSIDKSAGKAPNPAARPQGGS QTAKQQTGDPSASPQRPGQHQKSQSPQGQTGGQMQQTQIQKSGSQATAQRPAQEKVHG KAVVNPAVAPQSKASTSRG QC762_705010 MFQPKTPNMSHHLTILQALLLSISALAMASLTQNSHGSHAPGPR KKSIDDRSAAELIEALNLIPNVEQGFFVETFRDEFTSGTATNRSASTAIYYLLEGKVG WSAWHKVDAAEVWHYYAGAPLTLSLSTNDGVGTRNVVLGREIFNGEKPQVVVQRGEWQ RARSTGKWTLVGTTVAPGFVESGFELAPPGWMPT QC762_705000 MTMDTSVQALLSLARRAITFPQIDENDDPRIGAGRNDTDGGGTL TGQLIGAGSDEAQSASLKSLGSTFAPVLIYSAICFAIFFVFRRKCPRVYAPRTIPSLR SPEHPTPPLPDGWFDWIRPFFAIDDRYIINNCSVDGFFFLRFLRVLSVICLVGGCVSW PILLPIHSTGTFGQSQLDKLTIGNVIIANKFYAHVAVAWCFFGFVLFMVVRECVYYIN VRQAYLLSPNYSKRLSSRTVLFTCIPKPYLEEAKLRKLFGDSARNIWIPRNIGALRAK ISDREDSAELLEEAEIRLIRMANRSRRKFWKAQGGVSQGHTAGQTSLAKTLTPASFTT SGDSPSQKDAEKGGPLDSTIQFAEHQLSVLDSPTFDKPVDPEYTHPYGLDPSLPDVRG SVASLWIPAKDRPTHRPLRNFFRSVDTIRWTRARLKLINKDIWKLRRAYRGGDGEPLN SAFIEFDSQASAQVAFQILAHHQPLHMSPRYIGLQPDEVIWSSLRIRWWEHIMRRFFM KGVIAFAIIFWSIPSLLVGTISQIEFLSKEVFFLKWLVHLPSVILGVIQGLLPALALS WLMAAVPWMLRSCARVAGVPSHGLVELYVQNAYFFFQVVQVFLITTLSSAISAAFSQV LQEPFKAKDLLAANLPKASNFYLSYILVICLGAGSSRLLNLGDLILHQVIAKFTVKPR RQYHRWRRLNRVYWGAEFPRFTNLGVIALSYTCIAPLVLIFAGFGMMFVSYVYRYMII FVFDSHHDTKGLFYPRALMHLLAGLYVAEICLIGLFALKVATGPMMLMLVFLIFTGLV HLSLSSALTPLLYNLPRTLALEKDTGEQIARDETPDESTGGGGSQQQHQQPPGLASDY YNMDEDFGDDANLAPNHDLDTDVQLRGLEGSSSLKYMIQEFVTSAIKGKFGKNATTKE SGLTRILTYIKIMITPDPNKTPNFIMTFFHPEVYQDFRKLQPTINPEPSDYELPEDYT RKAYWPPEMWQPAPKLWIPKDDARVSRQEVAHTKDSIFISDHGCWLNEKGRIECDFER SPLHEPRILY QC762_704990 MPYTPPSHRSPATSVPTSPDGSRRPSFHQQSPSSRPVLPRSASY LMKHRRTPSASAQRSTTEPTPESTSEDLQKLVISTSVRQSPPPITGDRRMPNAAIISP PDSSDDDMPQARKIENLKELQDVASQIPQQRPNSPSKGTVTDNQSPDAGDLLVLPAQV NALAQGMHHSFSTSSLDGLLGSNRRFSHARSQTEPHITISKSASSSATGSDEESDSEM QRKPQMVRKKSGELVRPALRPPSRRRPSSMPGTPTFSKAVHFDSHLEHVRHFLQVDRP LAVSAGSSPIDHYDSDTEYPFSGDNRAAVRSPPFEWEITMPTFPVETPARKSQTVRLE RVWLSKDQKCLIGSVAVANLAFQKSVVCRFTLDYWKTTSEVAAEYISDIRPVDTPYPQ DRFNFTIKLSDLANLESKTLYFCIRFSFNGQDHWDNNNGTNFQVDFHKKMLPQNGKQG VIGAASRPLNGLPKSNRRPSSALAQKPKVKLTGTDEFGDGTKINFDQSIHDFLGESRT GGLRLKGVKSAANLPSDNLPSRLAPPSGQAFANRYDFGASLTLAIQTAKDTMASKSDG LYMKPHWRAVPQINTATTKPADVKPTDKAESSARSDKPVKPAAVVTAPPVVKPATVPG TDSPGTSIASASYEELVNKYCFFGTKQSSPLYSHDPSRPGRFDGVDDGLRSNNSSGTS SYEGSPVQMGNYHHSHSGTQHHSLHPKDSNPYFQQHPSFVAMGVSPAESPTMGFPTQR TDSTLVGPAPTASGQKNDRPSGTFASFAGTSSNEYPYQQQMPDRFPFSGAEAHSATAI RG QC762_704980 MDDQPGSCYVRTAVIENKFDRRRGGRLRRKWQEPRRCFDSGIPN VNSSALLEEIFFLVCLSRLVPSLASDPGNCPGCQGLCRDQAVVCAKMTFEELFV QC762_704975 MKLPRQLGRDLLRHRRKASIVGLGRWHGSSKTCMALGTPTHQAE SGS QC762_0103430 MLKLGYSNYATQGGDWGYGITRFMDIRYGPASSSATKKSGAVLA SHINHNRAVPPSISTIPQHGSLVYLKFLFSTYSKREKEGLARTHRFWEEGAAYNGLHC HNPTTIGIALRDSPVALLSWIYEKLYDWTDDEILTWISIYQFASAGPKAGCRIYYHSA TAKKVEEYNPGVKLGVSTFPKDLLMSPSYHYRTLGPLVFENWHNKGGHFAAWEVPELL VEDMRVMFGGLVKSGEINFPGPK QC762_704965 MDEANRENGTSKTKTPAECFKLAGGTSTGGLICIMLFRLSMSID EAITAYKSLSQTLFQPSFISKYLGGAYIRTMFGLSWYEGSSLEHAVRDEVVAQGLDPN ATLLDHSSVPGTEGCQVDNFALRFRSYRFPDGSLPTYSSATIVQAARATSAAPFYFPP ATIGRTEFWDGALANNNPVDELWAEKSLLFPRWITDKPVVKCVLSLGTGRFDPSRKKR SWIASHPAISKGAQLLENLTNVENVHRRFDQLMRAEGVWYFRFNPPTTEDVDLAEYRE EKLAGLEADVERYLERPHIKVMLRLCAQLLG QC762_0103450 MAAVLEDIDLQLRVLELYHDQYFDDPKWRHNAVAGCLSSLLEYF VTMTLNNCDAAVSQGNEFKAFKMRVKRGLYLRKVEGFHCQMQRILGMCLQVG QC762_704960 MAQRSLSDGPSKSPVFQTLTIASRIQFNLSSLPTTCKMANTNRS NETGLLTRWVPDRLKHSRLYRWFLRLTRVLQFLSSVISLGIFSQRMYKVYRLVNSIKT RRGVNSAIGAVEGILAAAVLYTLITTVMAFLLKGGGPRWLRWLWVLFDILFVGAFIAV AVVTSPNGGMAGPRHCYDDRDAADAANVTGETTTGDDSCDLPWGTFILAIVSTVFHAI TAAFHEVRDMYRKHHKAGSDEHAIATHHQQMRHSDGVHHGPTVANGHQQTHMGYSNGR HGTARV QC762_704950 MPFVLITGASRGIGYEFLRQYSSDAKNTVIALVRNKSATEKKVS EDAVLQGRPNIYILQADITDYNALKQAAADTAEITGGSLDYIIANAGLVPKFDLFLPI GELGNQPGELTKTFREAFEINVIGNVHLFNLFLPLILAGHVKKIVTITSGLADNSFTN QWGATPGALYAASKAAMNTVVAKFNAQFKKDGVLFLAVCPGPVEVGHYDGATADDLAA VEPLLSIFKEYSPGYERPKAPEVSVRMMRDVIEASSLEKGDGGAFISHYGNQQWV QC762_704940 MSSLPYRLRSSLASPSPSTSGGVAGGKFVAVGIDFGTTYCGVSW AFSESPGDIHEITEWPSEFHLNAGEDQVPTQFDLASGKWGYEVTPQMKPIKWFKLLLL KDQDIIRDEIRNSRPLKDAQQQIRARGMTPTEVVGLYLKKLWAHTYKKLGEMLVIDNL PLRVAITVPAIWPPYAEQAMREAANIAGITVDRDIGTTTLDLIQEPEAAGLSIFLDRR DFPEIQPGESFVVCDAGGGTVDVISYTVKSLSPFRIGECVNGDGKLSGAFKVDEAFAA YLKWEIKLKLDSLDTAEHNLFVTKDWEMGAKRSFTGNPQPPRFFLTPPTKAFGKIDRL RGKGSFSISREAMAGFFDQSLVGIRSLLTEQIKGVEIQTGRKPRKILLVGGLGGSQYI YNQLDKEYDNSPIEPGMTKEKIILRPFDKAWSAVARGAVIRLLQDKMSMLSSLTPGQH RVISRIPEVVTRKARYSYGIQSEVAVAALRDFDPAHDRVKRNPEKTEVTRRMDWYLKK GDEVSKKEPVLFGYHQYATKQTAQSKCVFVIEYSQSDIPPKRKDETVTELCRIECEWD KPFEQWKPVGNLADGWRKFDEMSLAMRFGGQPKWTIQVGSKQAEHDVKVEYQS QC762_704930 MDSEREGIILLLGVTGAGKSYFINQLKKKGPVAERPVREGHTLR SQTSRCQLVQMVLEDDDGDERSISVVDTPGFDDTERPDGEVLAEITEFLATQHALGIP LKGVLYLHKITDNRMTGSSGTYLRLLQSLIGDSAMANVVLVTTMWYMLRDEYEGEGLR RQTQLSEKYWKSLTEKGAGVTKFEGTPESAWSIVRKLAPKEPVVLDYQRQVIEEGRDL IRTNAGNSLLQKLESTKVEYSIRLKDLEDQHDEAIAIGDKAVARDKEREISAAQDVLR RIDKSVAKLGAQPGPRIKEGVARAMKGQAAGRAVTVLAAILNITLFVVQLVVGV QC762_704920 MDYSLRERSLTGQNYPPLTTHHRSRPLDRRVPRPRTADESSMSA TSWFQKARILPIPTVLPDDDRLNGSPRPSGGNSSQHSRSRDSASYHDISAGPTTHQSA WYRLKKKPKLVSPRVSNKSFDGGIVSVAGSRSSFSTADQPSKLGKRNPNGLVSLDSPP AVPPKLHVETVSTLEVVASRLSMSTNPVIEQHTRKGSVPKCGTVATATTLLQQVDSAI DTSTIPSPQPVPSTSIASDFSWQQAKDRMNYLEQELRQAQHEIEHAHNEITQRDQEIV RLGEELNAAKHVLPPEIAAYEEQLAAQNALIERLRTKAADSAGMAAETPLGQSRLQIT ESRILEAWRELTFEVHNFVRCYLSIGDLGARKMEQWAKARGDKLKEICPGYDRMVLDK TASDWFVEAAIWKVLHGAVFVSSTTHGNACWAGRYQSRLEKLSVALASSIKPSEPDKT RHFHQWKAATASLIYTLGPKATDVQETILDVSDKLEELIDPFRSRLPMVAVRDMLRKI VTKAIAFDETLCGQQSWYYLCYPDLRDNFELDSKLANVAEKECVTGQKAKFVIRPGLS RTGGRRGEGNYAEGQVLDKWLACV QC762_704910 MSSSEPVAPGSAAPHQPPYTYQPNQGYAPTEPIPTELANAAPRG VDEYLWEDSDDDVAYSDELDDDYLEGGEGNSGDITKQVNRQRQLQGANNGAPTALPRS NAQKPKANNSNHLDDLDSELAKHARKLKTDLIIDDDDFWHGDDRTGEVKDKDKDKADR ATNELVMDQRTRMILLKMISRGIVSEVHGAISTGKEANVYGAVLDPLDGTRPLHRAIK IYKTAILVFKDRERYITGEHRFKRGANKKNNREMVKQWAEKEFRNLKRLHAASIPCPE PLELKSHVLVMEFLGNKRGYAYPRLRDATLSDEGTENPWRPVYIQLLGIMRRLFRVCN LVHADLSEYNILYDKNKLYIIDVSQSVEHEHPRALEFLRMDIKNVGDFFRRKGVDTLQ DRAIFDFITAIDGPVEEPELSEAIERLYETRPSIQDAATEEVDVEVFRNQFIPRTLDE VYDMERDAKMPVEQLVYNSMLANTVSVKPETAEEGSEEDSEDGTTADGDDRSESSEID QSIFDKGPPRGKKHEDKDEKRQHKQTVKEEKRAKRAEKIPKAKKKRIIATTTKKRK QC762_704900 MASPGSASSTGAPLRPISTQGQKPPPSSFDADNVPVEVLVKHLL AAKQSLSSMALVLRANGLSTHARQMHEESVVLSAQTAFLRSGINDQIFILRQVRRKLV SVYNSGRKDFDRLIRALDGVNGRLEKTIQMLRDTVVEPAFRPPGEETRSLIDFVDEGQ VDILRESLKSSIAELKAAQTSFDGDLLRFEDDLRFLNKRLPCASSNPSPSSSSSHNQM PQLLGQLSDLSHSMAQHLSSLTQHFDMCVTAVRSTEGGAALARRRAAETTEDSGDPVS ISGVITEQESRMAELEPMDPHERAEIIQVVLEDSPQVEEVVSDIQNVLQRMEDVFGAL KDQADTIRAEHVSTVNAFALLEDIGAKLPSYVGAEDEFVQRWEGEKETIFEKMDEMER LKEFYEGYLTSYDRLREEADRRRVVEDKIANTWRKAKEIVDDLLRADQAKRELFRQED GEFLPTDLWPGMNDPPKRWEVVPVEEEPSTPRPAKAQPNVMRNS QC762_704890 MAAASCFLATLTPLPAPTTDRTDIAPLRAMLRWQYDNKTMTTTS GAATTAMTIAITTDDNHSCTPTVPVNLHATVLMPRNRASMASLPAAQQVITGLGFASS STDHCNCNNGIQYLECVSVALATSSCCGAVGGEPNQEAYEQSWFQTACPTPPSSVMAQ LPQLSTVQLELSPVSTIIPRGPITAPPLPVAPSIIPGEGAPLLEGDCTATSFSMIQRD DKVFYVPFIGCDNSRPECCPFSVRTVAVADGSKQQANRMAAVPGQFPQPQSGDTAKLV KCPMDYYRVPGGLCCSNCYFKYTSTLASVTPFFSSLIEKASPPVITAGDAKNPANSDL PTPAILMRIGVSQAGGSNGLSPGAVVGIAVGVGMLVLFRALVAFALVSHKKKKREAQS FISPTVAPGGGGMAYQSGYHAPPGSPPPASTASPAGSPGAKYAGMVSLQYGPSAEQED GKSNDLFIRLSLRMGMAGNNIQFIMGTHINGNGNVNNTSNKGTLMNHIVDTN QC762_704885 MKNSDPSSKPVFFTYPGQGEALSNGFHYSQAVRIGNRIEISGQG GWDPASGAIPSSLTKEIEQAFSNVELALQAASPDPSKKITWRQVYSARSFNTPASLTE EGLAATAAALKKFCGPDHRPLLTAVGTPQLALPGMNIEIEVVALVDLNN QC762_704880 MDEKAARQSREQSTSAPATLAAEPPSSGSSASSVAHKREATSSP VGLPPQKSFDSGDDGQSRAIHPDIDHEEAEAADPGHELDVELGRAHGIEDIRRIETRG SVKSKVSRVLSVVSRRKAKERERIPFAPVPVTNLDQGIVGWEGQDDPLMPLNFPNRKK YLILCLLSAITLLTPFASSILAPGITYLNRDFENDNEIVGAMTVSVYLLGYTVGPLFL APLSEIYGRRVVLSAANWFFCAWQIGCALAPTIESLIVFRFLAGVGGAGCLTLGAGII ADMFRTDERGFAIGIVTLGPLIGPTVGPVIGGFVSQTIGWRWDFWIVLIISVVVCGLT ELFNQETNPRVLIERKVKRLASESGRKDLRSCFETGEQMSQKRILLNGLVRPTKMLFL SPLVFFVSIYIAFTYGTLYLLFTTIPLVFQETYGWSIGITGLIYICLGIGNMCGWAVV TATSDKGVHVAAHHLLLVRLDDTLSHALDRASYCPVPFFVWNHWNLHPSDDIPHRLLS NIRCISYSSQHGRPQLGRDVASTCRAIHVRESWIGLGQFITWVHLYSHDSRTAIARQV WGKVEKDGPPVVDQEFWVSISLRARFRQVGRWLQRYWVALLYMPVLHLEEFRLPVGC QC762_704870 MFRTSLSRTATRAVRTLSTSATKSSSIPLRICGRGTGTQQTITI KDKPYSIQTDTYPVLGGADSAPSPVAYSLASLTSCNQVTGAKVAEDHGIKLGQWNVRL DAVLPTDVLIKGKCEGNPNWESVKLFIRVQTNILESVKGDNLEADARFRHFVREVERR CPITQLFKRSGVQYEKKISLRYVAKMLSHTLDLRT QC762_704860 MTELQNSIIQLRPKGWEADPEHEYFNLSTLDYCVGQVYTNYALF FKLSPNADKSRIISTIKDGLEVTLSQCRQLCGTLQEQPSGGGDLCFHKTRDSTVELHV QFLDTAEWKPEGEYQTFGSLEAQHFASRALGNMKTWCVSPMTYGEKPEAQPSSHPKCA AFKITFIPGGFVLMMHHHHYANDIMGWAGELHQLAENCATIWANPSAPALPPWDPSCL DLSRITAPNVPEDKKIDGPASPLRHPDHKKAQWLLFHLSKTKTAALKQLASPKDGSYY VSSYDAYNALIWRLLTKHRLAFFADDVPSNTPMVWGEAVDMRRRFTNPPIAPRTQGNV VYVALSSQSPPELKPLAASEVASDASLEKLAWYIRQLTNSVTQESLAAALNGIAPIRD KTALFLRVDSLPPLSIFVTEWRDTRPCDADFGFGKPHAFRFPFDTVTNGLVVVYPVRG NGPCGDDEGNEFSIAVEMGIKDSLLADEEWNSWFDFRGVDADDMQRD QC762_704850 MSSKMTVRGLDDWTFMVSTSINGTSVSLTANLLVIATLVLTLLG YFVVPHLLSPLRSIPGPFLARYTNLYRLYHTTRGSFHLRITRLHKTYGPVVRIGPNTV DIDYPELIKLVFGTTTKQKAEWKKTGFYLSSSTRVKETGEIMYNLFSQIDPELHAKWK RPVAKYYSAAAVAGVESKMDEVVDMLCHELDKKVSGNDGQGGIDLGKWIVYYTWDVIG NVTFSQPLGYLREGKDFDGTLLTADKTLDYFAFITSIPWLDYVFDKNRIMRIGPPSFN HIVGLSVWHIMKRFQEDQGTEQKSRDADYLDMFLEARQKWPEVVDDAMVVRYTLSNMI AGADTTSSIIKTAIYYSMMAEGRWKKLREELEKAGINGEKCPVSYRDARSVPYLEGLV RESMRILPGIALGLERHVPKGGFTLPSGHYLPEGTAVAMNPYVLSRNKQIWGENVDEF KPERWLRAGGENETRYQERLQMMNSADLTFGAGSRMCLGKNLALMQIYKGLATLALLY NVEPADGAKEWKVINSFFVRQEGLEVRLTKRV QC762_704840 MGTTSNPRSFQEAIKQPGLTGIARGKELLQELHGQDASSQLFKM KSVFAFSTLLALASAAAVPERQVRPAVTAYDGYKVFRVAVKNQVNKVSKIIEQLELET WKAPKAPGALADIVVPPSKVAEFEAAVAGMEVTTMHEDLAASIEEESNFSAYAVGSAN ATWFNSYHSYNDHLQFLRDLQATYPTRSAIVTSGNSNEGRPITGIHFWGSSGKGKKPA VIFHSTVHAREWITTMVNEYLAFNLLTKYDTDAEIKSFVDKYDFYVFPVVNPDGFVFT QTNTRLWRKNRQSNTGSTCIGRDINRNWNFQWSVTGGASTNPCAEDYKGRAASDAPET TNLANFIRSVKSSQGLKLFIDWHAYSQLFMTPYGYSCTARAAKHTELVSLAAGAASAI QAVYGTRFTSGPICSTIYKATGSSVDWANDVGGSEYTFTAELRDTGANGFVLPASQIV PSGVETWAGLRYLLLNMK QC762_704830 MSLTLSSITISTFSKGLSTLVHILQKAEEYAASQGLDANAEYIN ARLIDDMLPLTFQVQNATNTVRKTLTRSQGKADQPWEDGEKTFADLYARIEKARQVIK EADAAAIDAKADETVDLALGPTTIKIVSRDSVLNQGIPNFFFHLNTAYAILRSKGVPV GKRDYIGSFLA QC762_704820 MAIKQWMGLLLAGSSLSSALPSSKVAARTTDAATEFVDNLVAEL TAAYNDHQIRAPQCAQESTLVVDTGYAKYRGYYDSASALNHWKGIRYSQAPTGSLRWQ PPRFPALAPSAPVTDADAFGNTCYQHTPRTALGSFLGPPGSEDCLFLNVVAPANATKL PVVVWIHGGGYGYGDSSQDLTQLINDNGKTFIGVSINYRLGAFGYLSSQEVKDNGVVN AGHLDQALALAWVKLHICKFGGDPSKVTIAGQSAGAGSVMHHALAVNGDLGSLLFDKG LAQSPYLPYQPNFNDAIPTSRYYAFSAAAGCPSSGSVFSCLLSKSAADLELASLSVTA SSTQGSWGFWPVTDGVYIKNRPTAQLTAKRVNGNKLLVGYNAHEGPLFVPGPDAIETQ ADLLAWMALWFPNLTSAQLNSILAINPNSALSSASGPRFETDGLNTGGFNAINTSPDG VGQKQRGNNIYAEATFACPAYWLADAYSGNPGKSSWLYQFSVPFAYHGADINAAFGPS TSSLPSDITLAFRKAWGNFIVGGNPSIANTIANGASSASPSAYHPASNWPVWNQNAPQ FVNFNTTGGTLATVELPLVGSYPQYEGPGIKNAISVQNANTWEAYRGDRCAFYKNLGP YMPN QC762_0103630 MKQSDIPLYLRDFYVSIVRYCCALLFLKLTFLFQYYRVLAVQHM RIVYLVAIFIVGGWALSQVLVGIFICTPIRAFWLGVPDIEGATCIPNIPQWYINAAGN IVTDVAVFALPLPALWKLKLAKGQKYVLIGIFSLGFFTVIISMIRIKCLQLYGNFPWE NVTSSLWSVGELTSAITCACLPTLRPFLATYFPRLASAIGGSRAHPTGAMSAGVAVDG TGRIRTVDPETGVYYVGRGQHSRARSGAKKGAAVTVEYSDGTGSEV QC762_0103640 MCTVIYGTAMELNLTTGPVRVLDRYRRTFFRATAGARVLSSADV VNARFGIHCSDAAGSINSNAGTHSARGMSPATTNGRCQSGEVAKKGRRVGRQAQVIAE VSSPTDQREDVTFSQGKFPYS QC762_0103650 MSQTAETEGWAYLEAMYHLCKIISQRLNPDAASNATYSQILDNC KAVEHIRDKCLNQLRNKDACKSALDRLQHFAIRLHTSFILSVCCRPALMRSESTRLDA PQKKFLADRCKLNLTETVRMFLAMHQLSVIPTRSWAFTYHGLSSAVLLGILGDSKGDP EVRQLQGDLISALSATAAKEQTSPQPHIHRSDHDIELSGPLSRALMALKNIYDHGSVI GPGPMKESGVNSGAGSGTRTPLQPGTQSGYPIHGLPLPQDSISRMPTSLDPHQNAALA MAEMQQNGGQLDTPDPFGTPVDSMNFDFLAHPPPGQPQQQFYF QC762_0103660 MSETQDSPGPNLEDLTPEEANRIIHSHRKVRYGTACWPCRQRKV KCDNKSPCENCVKREHPSLCSYKPNRSATSKSGSFGTESLTPGKKRPRSPDDADTRSQ SNDPREAISTYEPDTAETTRYVGQNSIPALLRERTAANEPQDVNEIRQDMRSLLGLDN SAPFPLMSSRHLDRLTSDISAELPSDREVMKLFRTYKEIPQPFWGFVIDIDDLESRLM VYLEDRAKNARASTKATKPVSASWLAILPNLDSIQALLMTSFVLLNDMKAEASWALMG LTCRLAQSLGLHRPQQLDGRPSPEAEKREVSRRKLWYVPRPTTHPRNMYNLS QC762_704790 MPMPVPMPNNRPLIPATPSKNDKVNETVMGLNFMYGLSIQVPDV SPTRAAGHETEEAARHRRIISAIRFLCYKDNEALEHIFHDFFYQAKSASQQWVHKPRA DSATLPSSQDLPPKAVHPWERAQLEQILCNLLAERQSTLLRAQTLTPTASRSFARSRS DAAATAGIYQAVHDVRPRSPSSAGSAKRRSDDEDYPVRSSKKPRDQAFAPPSLGRSSS TPVSAVPFSKLLDTVPTRQRLNGAVVLETSRRNNHAKSTNWSQQPSFEIDQSSSYWKT DQNSLSSHTTAVNESQPLRTSHYTAIKKEDVGVAEVVTMTVPFRLSQNSVSRLSQPSV ISKFNCTQQRAGVHDELCEGQNRAAYHFLQQDTTKRLLFPPSNGAPISNKPQVAPAEV VDLTNDFDTDFSEDVRDAVEAEYEIEHLGTRLQPTPTHTPHKLPVLPPPYRQRTQHHA QHHHHQPQQQQQQQVQQIEQLPPRKQATQPPRAPKAALASLATIRTRLEHTWPRFPTW LADAPLAVAWEVTRILHHCNVDPEHESLVYLPEWATSDITDIRSSLYRLDIFRGKTFP ERPPSDVFAAALNNFELNGSIVLMSISLDFNPDKDKQAPLFFVDMKPLRLDQGCRLTR RFGADRFLEVLLSSPTASSAPDLIKNAEPHGAEEVIKWLTSSAHSLVGRHWRAFFAKD AGFRRPIKEYSLAPEEKTKIVSKERLHFFAETGTNFQRAKALTVPPLNEPMGRHTDCT VSQMLNWLLNLDEPKNQSQPHLKLFSRIQLGLSKTFPTVIFSASQIFVQPQDMLSPIG KVMNDGVGRMSRTVARKIRDIMGLTEIPSAVQGRIGSAKGMWIMDVLDTGDEEWIETW LSQRKWECDPQTVDIHQRTLEIRAYATELKSAGLNLQFLPVLEDRAKDKAQMKRAIGA RLTNDLKKEFDDQKAAFKHPLLLRQWLHENWSGRADRVKNGEVAFLGGLPEKKEEVLN LLLSSGFDPKHQKYIQDIAWELQKQKCDILSTKLNIKVGRSAYIYMVVDFWGVLEEGE VHVGFSSKFRDDADDTSYTLLSDCDVLVARSPAHFASDVQRVRAVFKPQLHALKDVIV FSSKGNVPLAEKLSGGDYDGDMAWVCWDPLIVENFVNAVVPEEPDLSAYLGKDKTTFS DLMLATGEQSPDAAVYDMIEKSFQFSMQPNFLGMCTNYKEKLCYHNNSVGDETAIWLG ALVGKLVDQSKQGILFDKGSWERLRKEKFGPHNMQPPDPAYKGDHWSGRDEPRHIIDY LKFFIAKPAISREMDALSKLINSRGTDTLANSNSAAHYWDPDLVSYFKSFEEAGQGSK TIRGILQWLKNDISQLEKDWKTSMAKGKGRNSKFSYPETVTQIFGGWNVIQPRTSESM PLKLDPKTALLFGGNGPYDPWTVLKASATFKQCYKMAPKFAWAMAGRQLAYIKALNLG SSQIPLVLTPLMYAGLNADGKFVKQFVARLEHDGTQYGEMEDRDSDWDED QC762_704780 MKLSLGSSSNGGGGASSLLSLSLLLTLNPLTATAQTAPRDGAIY DYIVVGSGPGGGVVASNLAKAGYSVLILEAGDDSPGQGFGRYTPTVTWDFFVKHYPEG DPRDNKYSHLTWRTREGRYWVGQSGAPAGSTLLGVYYPRGSTLGGSSMINAMVTWLPS DSDWNFHANVTGDDSWRAENMHAIFKKIEKNNYATRGAANAANHGFDGFFQTNMGSMT QQRQLGQLSGNRVMQTYAQDWGLSGQSMNNLLTRDPNEINPNRDQTSSIYGLVTHAFS NGNRYSSRNYIQDTQRTVGSNLTVSLTSLATKILFDTASKCDGTEAKPRATGVEYLFG RSLYKGDSRRAANAQGTKRTAYARREVILSGGAFNSPQLLQLSGIGDAALLKQYSIPL IKDLPGVGQNLMDNQEMPIVGTGSAGSGLAEVSMFKTKHPAHGERDMFLMGGQGFLFR GFWPDNPVRTPAEPRSPYGVSMVKGSSLNNKGWVKIRSTDPTETPEINFNHFAPGSEL DMEAMKDTVAWIRTVYSRVGITTVEPPCNAGPDANGYCGKEDENWIHERTFGHHPTST NRIGADNDPLAVLDSKFRVRGVSGLRVVDASAFARIPGVFPAVSTFMISQKASDDMLA ELKDGQAVKVCVNGVLIS QC762_704770 MGLCFHSSAYLPPSLPPQFGGERFGDPTSDLEFPETNPSNEQYF SQHSRHPKAKHPHHPFKWSTRRLHTIHQKAHEHWLWSGNYYWPHHDPSKQAPLRSKPH QVRAIASSKAIGPKRAGIEPFARTWEKCDLVKRRGKDQQGHWNQLAEYALEGQECAAV QLPYERERERSERRQLQRRTPSLEREDAFRDEETPKRRREMMMRRGRSFGGAPAPEMS LNVGEEYALGDKIQVVGYEQALYDKQRYKAAVRGEICWPQMVQSLAGEETDMASLHER ELVGLSQNRLRFGFRGRSTGREQENISQQRDSLATAGWVLGVDDAHMREAPLLEDVHD ISERGGGKDEEWEFLGRVELGF QC762_704760 MKLSSSVFSLGMAGLAVATPVPVHLDIRADNYWYMSGWGNPNCQ GSFLWAYQGNGNACVNVPAMAASVSFGISPGVAELSLVNFPTCGLARSALVAGTPDAT TSDFSPTVQLIEVNGDGDSGLEKRQVHTTCHNSNVGVWAFQVRWV QC762_704755 MARNRWYAVARGRQPGVYETWEQTEAQVLGFPDNRHKSFPTKEE AEKFVRENRNTKAEPLGDAETRDAPTQPSTAGE QC762_704750 MSIEYQLARIMSLAMLSGPFVIVMLSPASGRGANRHPSLISAYI ALVLPALLSFVAYPATVDAQITCYGFAGQAYTDNTLCPGSNACCGRKATCLSNRLCHN PNDPEGLWVRGPCAIREWDDSCGQICLYNETAASNGVLPRVVQCRDGSLCCNNDPQCC QDGKGTFLDEGGEIVSTRATGATTSFPPLSETGTVRTTVPVPTTSTSSSSTFSSTSSE STSSSSTTEIVVPPTNAGPATPAPTPSDEDKNGLKIGLGVGIPCAVLVAALLAFLFFR RQKKKAPNGPVAELHGASRDMVEVHGHDAHAGGYYRSEFQSKMPPLYSGARPVGDTQQ KHPIEMGGEMPTELDSGPMPGNVVHMRRAEMG QC762_704740 MASDEIPAAVPGPDASSSATPVAQDSNDSQNNADRSQNRNGKRG GRGRGRERGNDKPGAQGKRKHGGFGSAKGPVPDKREQVRNNRDAKRRKVIDEEVGGKS FMSIEFTPDEIKAEDRRPKRKVAVLIGYAGTGYHGIQINHKEKTIEGDIFAAFVAAGA ISKANADDPKKSSLVRCARTDKGVHAAGNVLSLKLIVEDEDIVEKINSHLPEQIRIWG IQRTVNAFSCYQSCDSRWYEYLMPSYSLLPPQPQSFLGKRILESAKEKGVLEEHLERL DDVTGFWDEVEKNDIEPILARLSPEVRAEVLRKLQDSNDKELAEDGQPAKNDQEEASK DATADKDVEMSDAAEPQPEKPAEEAKPVEETPQEPKPEKELSPVDAAVRDIKAAYVAA KRRYRISPARIERLQQALNLYLGTHNYHNYTVMKSFKDASAKRHIKSFEANTTPIQIG DTEWLSLKVHGQSFMMHQIRKMVAMAVMVVRCGAPLDLIKESYGPRRISIPKAPGLGL MLERPMFTEYNKRAAGFDKEPIDFSKYEDQIIKFKDEHIYRRMFEVEEKENSFHLFFN QVDNFRTDYFLWVTAGGVDASHERSDRTERVPKALEAELGDEADGVVEDGT QC762_704735 MSVNRRKVATISGVRSKLERYCHHGDKMRENNLSHDELMLMCQE RPNYCSLGKVLRQRLLIFLKTEPEEAAIWRRTLTDKFPEWLDLPYKQEDGPQHFLHDW EIFKSLGWIGECPLRPGILPATEQGSSDKMTLPDTQLDRLVAVNILVESLVRDRLKEE RRTADDCRYVNAADHQIQWPSRLSSWHRKQSQAKAPARQQSLEESEHASRRTPESLTC YSCFKTHLNKGFPVCPTSSTAPIRVRSSTIRDPSRESAQASTTDLCVLIIVVIGIQSR FCFTGVVVTIVIASG QC762_704730 MNRDADAAHNLLERKNKLMAELMTYYRDMIHTATQQIPANASNS SAAINSLAMETAMTGFIRATEDLLSLTHEIRELWIIGPLTKPGAGDEEARRNMKQEAE ETFNLVNALRNEQRLAQIGAAEQSPMRYHVKNLEGHPGRTQAGQVPGVHQ QC762_704720 MGCCSGCQPPPAPSFTEQQRADEEQDVSDRLPEQDNATARLEPD CCKGKTSPCCDISCLDRLALRACHDGGSKHKGQAQNRRSSLSCRGRKSKRPCGHHARK TRDSYAATLEALGCICRALLALGQESCCIPDKGPSKERERISTSLSDLAVSVGGFSAR PCCPATSKERDGIENCQESCCDVKQQTPAQYGACAGSSATSHHKDRPTLSSIAQHQVH SGSRPGGPTCCDEGPSMEQTGTTLDPEQGLSTHEHIVLSITGMTCTGCETKLHRTLGT FPGIKNLKTSLVLSRAEFDLENRSLVDVMRHVERTTEFKCERVKKGASVDVMVPGGAS AFMGERWPKGVTDMALVNDTTVNISYDPQLVGARDLVERGWGVPVELASPQPDASLSN GSRHVKHIGLMTLSSILLTIPVLVLAWAPLHGHEIAYESGSLALATLVQFLVAGPFYP KALKALVFSRVIEMDLLIVLSTSAAYVFSVVSFAFLVTGEPLSTGSFFETSTLLVTLI MVGRYVAALARQKAVESISIRSLQVNTAILVDFHGDHGREIDTRLLQLGDIFKVMPES RIPTDGTTLAGTSEVDESMVTGESRPVVKSPGSPVIAGTSNGLSPLLVRVTRIPGDNT IDTIAAMVDEAKLSKPTMQRLADRVASYFVPVISLLMIITFAIWIAVGIRVRGVSARE AIIEAVTYAITVLIVCCPCAIGLAVPMVVVIATGVGAEHGVIFKSAESIEVAYQAKYV IFDKTGTLTEGKLSVVYYDGTDGELVNMSLLLELLESTKHPVSLAVATFLKDKGVLSS STVQDIRSLPGKGVQGRGLDGQILQAGNVRWLDVESDLRVQMPQEAGHTVFCFTIDGK LAAVFGLQDTLRKETATTILSLQNRNVAVHVLSGDDDGPVRAVTDQLGIPCSNVRSRC TPADKKSYVEDILSRSPESVVIFCGDGTNDAVVLAQATVGIAISRSLDSTAELAESAA DIVLMRPDLKGILTVMDLSRKSVHRIIFNFAWSFAYNLFAVLLAAGAFMSLNNARIPP EFAGLGELVSVLPVILAAVLLKWARF QC762_704710 MTPTHKYTQLTRHKSRDVFGRTYSDVYSDCTISYNSGRFIKLKV QATDDVRNGKGGSTWKRKQIKNVGPTPLKVSNWVFGHVDDVNKPIKEYSFGDIAQVIG RTLAITPLMLFLNFAVRPGGGEVRNGGNYDAVPYRYFGRPKTSRNPLDNSIHQGEIQF QKTVTERPAIFAAPPSEKTSRAVSERTERILLPRRLCWLDTKPQVVVQDGEEYQVYQS TDVKQWMKQNAKHCPEYLFVAWRVSQLQTETPQDKEALHELARRATKEAGLSCYWISD NCFTAPEEELSLDVWRMSDIVRGSSGMAIALGRLPDEDNSVDMNSDKDPCYALLSSWS QSIWTFPELLLSKGSTITCYYFSDHEEEPSAKASDPFRDSSASPYGTIRKQIIPKNQF AARCLETSRDRYQVRRLIDHYSGNLKLSDLELTTVALECFSSRQAGTQYLPGDYSYAL MGLLGRRPSVHRSDSAFLAFARLSLENYNNRLFERMLCLLQHEEQVWYDTTDVYGARL WDIEPSVQVAGIGVYNEQEAEMDAVNEREFDQEFFHRDDDGVTSEKVLPPSPLSHSKR QYPPSVASQPRTHLASRSQVSFQSQAPLSPPTSISHPAYNSPIQKSWSPTVTEMTELP SPSTQQQKPKYSHAQLNPETDTIILDGCLAATVHWSEFCTPLTTSYPALFRRILKWLL RVNIIPLIVGTILALIPSPLVSGFGAFILAYSLSILVASPFIIRILYGGKFWGVQPFF FGFEGYMPIGEIERKIWGANMGRLKWSWYASSPLAVHKIEEEGRYIVSEDPTVVEETR RMVEEAKNAGPGDLRVFTLVDTYSMTATLFQARRPPQALLICGSEGGMQRALGCSFEW TTQTFYKETVLRLETRVLDKMDRIRRVRLGVKRGHMEATHRASL QC762_704700 MSLFLNRRLYLERFQQDRPAQGDEYGCTLLQWPGATTGTLCRDD LTRATEIAAFPESELTIVLAPIDDPSPDSLAGFEALVERYDIPGAFLEERTQGVLNSF GYIPAGPGSYCVWTHFLLKDIERNETSGAIEIPTKPQRKPLNTRLVNYFRRMFLSSKA PTTASAQQFEMHQPRMSYVETNKDDADDYLPSWTSRSFFLRVTNHGGNNARITLLCFE PSPFLEEELVNLPQRIDCSQIMANPFILLEMIMYDLYMQLDINLWELRDIFQVEQKHF GYLTANPTLPLADIDFSALHLLADYIIMLREGCHGLLSTVDAIVDHYQKYSTVEDAIL RDKTYEAFKYRRRLVASTSERAGTFEKRINNLTTLFFNHISQQDNAMLMRDSSSVKAI AVVTLVFLPVTTVATVCGSEFFYTRSEGGIRMDPTAWIMFGLSAVLSLVLLWMWNFYT QSLEDKFARGRRRAMNGRGKQDGKLVFSA QC762_704695 MATNIVPHEIAELIRRKKALYCRSADTKQWRRFSEFALPEATFT FVDALTPDIPMTEGGQCMSFSHRDAFLDYFEERNKDLQYIHSVGPGELYFVDGKDGKE VKAIWSVIFHVGDGEETRGFHGTGAGYYHEVWVKVGDDWFIRSLRFERVYWKIVSLGT GVQ QC762_704690 MSKAWKSESVALREISRFNEGVQCRTRGAIWANAEKGEFWIWGG YLPKIADGMNDTFIWKFTADGWGGGSWAKETPLNFEKLPSLQQTKDSAVMVAHDKGYV LGGVGSWLPSDEDAGPGMVTYDFRSKVVDNGTGPAIGILGKPATLTGANLLFMPGYNM PNGLGLVLGGHALATFNGTAKVEESHPLDLHNLTFFDPVTNEQYWQLTTGDIPPSPRS RACVAGPFRTPGGNYDLFLFGGESKLTDIRYDDAYVLSLPGFVWTKVPSPAPGGSRAY HSCLAVGRNQVLSVGGTRGGGFDNDWRSPDPVPQGLLLFNMTSLRWQLEYFVEGDLVS GYERASTIRNWYQNGSLDRVQWSSEKVQRMFGGSGVVKSTVPDVSDSDTSPSNTTINV ATATVTSEPNPSTIPLATVVGGICGAFALAFVVSVLGWFIYRSRQKRAPVKETDKWPR SQDKCIETGPDGYYVYGIPGELQGTTVRDSSTNWPAQELDSGHQYPELSDGRS QC762_704680 MKLFSLVFWAGSFSNAIVFAVGNNSTRSSFIPECILTSQITTKV AGRSQSDTATTIKSFNTTSSPKNGTLATDNRYGKLWKGKYTKDGFLSNLTSGVAPTIL CSSIADFASSCSCVSITRVTTTSTIRSSTATLMITRTVNSKKLGSSLNNSSRLPVTSK TLAALKLSSSLATSSSQETARPQTRLTGASPNTERASPTGRFLNTTVSSTSLSKSPVV YVMNTTTLITVTTAEKGSISAGGSLSHISQTGRYLNTTTASAKPSQGFHLPFWRLNIT ATGFFSRTNTTSLQAHKVALLTGTATGTGLSHLSNTTSSRPLWLNTTRATPTAALTTG PRLFTANTAFTFANTTVRWANTTTTSSIPTPTAIFPTSCGKSSAPFSLQISYPSTPFD NWFVHLSARALLFGSRSSQASRFSIEAGGYLCVVGLVDETEEQRPYVAAIGVKEGQRG EIWMVSKEMLDVWGDDYVALGCTQDGGLNCGAMRNGTDVGEVREWAGCGMQLGLGSGN GTVAECGSVGVRVLEGGDVDGEVNDNDEFGEMRFEKRVVNPWAFTSMS QC762_704670 MAESQELSMDLPVIDLDVFLYKPRDSVEVQAECVKAANALITYG ALVLHDSRVSEDDNTTFLDLLEDYFAQPEEDLKKDERPELSYQIGVTLENTEKPKCAV DEPCLNVIERLAPSERPLDIKGHEPDPKCRFFWRMVEAPPYETQFPGLNADNITPDAP HIKERWGPVMNQWGASMKNAVEGLTQMAAVGLGLPADTFKDAGRYGPHLLAPTASDLQ KYGKVNTILAGFHTDLNFLTIHGRSRYPGLHIWARNTGKKIPVKIPQGNYLLVQAGKQ LEHITGGLIKAGFHEVVVNESTVKVINDRKEKFPNRPLVRISSTFFWHLSSDYDLVPI PELKEKAKEVRAAQFNLGKDEGEEVEYPALKVGEQVSNELRHIALMVK QC762_704660 MSATSTRSATPCGSEPDEIVDESGVQVFENEFSQPSSLSSSTDE GLVPETPSGPDNSVVVQKLYETDCCCGSCPSRLSKHVNVDEERSSLEAEVSEIPIIQR HPDSDQHVTNSITVNCPSMRDVLAKALENYYQDPDTLTAENWTFNASFQPLVHRWKIL NEIHESIQALLSDGDDADEVTARKKASNNLIDFLNPLLESSITSFDETLGTGRVRHEN LWQIFPPGELVVTKFFGVETLCRVSRKHSLSSDSSNMWVMCEYVDWNGQMTGMKETWV TIKHFKGRQKVRNLSVYPLSMAADPEGIKARMLARGKRWEGLRGYRYQQYKGNKIAFK VGEEEDVQLSVCVPDLWDKFLTDWTIFENKPVPELASLENEEEVAKESSVEEESSGSK DEMAATMVNLQTSDRVDDSSLPELSDEHLLLTTPWLIGFDIKAKDWGRYCIENLRDID WNDSAFDNLVSKGGEKQLAWEFVASKKASTQECDDFVADKGRGITILMFGPPGVGKTF TAEAVAERARVPLYLVSAGVLSTTPSEVEAALDHALNLCRLWNAMLLLDEADVFLGAR SDEGLIRNELVSIFLTKLEYYQGILFLTTNRFSAIDHAFQSRVDLFLPYYDLDSTQRR QVWLNFFKHFGAEKFVVGESDLDRLCELKMNGREIKNLCKTALMLSGRDNNGVVKADR LLMLAQKRTAALQLLGQKGDANMVGR QC762_704650 MSYGHIFKDTTGQYLFIRELGTGVSCRAQLVRHLPTGEYRVRKV LHRRVPIGEDLPRNIRYTVDADIQAIIQFNNETAIVDLLQKSGERDQLKIAALYSHST HADEKKKKYSRVSYWSLSNGGDLDSFLADYQHHIPRPFILQFLSQMLTTLQHMYTACH DRLGPMVHNDFHAGNILLHYPSDARSPIPEFHLIDFGLATPLSLASIADDNDFLLPEP GNPPVWDIPRLLRVVDKLLVTWPEEYRAFLHSGGDPIGIAYRLLLDLDRRFQYILQEH RRYVYETKDFSQRLTLPDLRPVIEYVNNCRAILDSDGVETRDHPLCSQTYMRISDAKL AAPQTYSELETIAHTKDLPGPWHIGYLDPERNYQVLDLLAVGNKDTFHRPNEDNENSD TDSAWGDE QC762_704640 MQSGISASQELVSQFSEFLASESHFGLLVTIASEKLQPLQLLTS SPGAAFADNVNSLLKPHVKLNEALYVILRRYSSSPALVGVTYVPDTAPVRQKMLFAST ERTLVRELGTEHFRETFFATSPDELTPAGFDKHDAHSAVEAPLTEEERSLGAVRKAEQ EAGQGTGTREIHLSQNLATPIAENALDALRELGSGSGTSLVMLKINPQTESVELVPEN SNPSSISELLQVISSTEPRFTFYRFNHTHNGEESSPLLFFYTCPASPGTKAIKFRMMY PLMKRAVLAAAEKEADLKPVKRFEVEEVDELSEATVLEELHPKVEVKKAFGRPKRPGR QC762_704630 MGPSVLLSDPVCTRRNSDCEYDAGGDETPGKALKRRFVDLEDKV NIYEQVYAILRSRPQHEANSVFKRIRTGDDPQSILRHVEHGDLLLQLRVVPESRYRYE FPFMSPLPARLQTQDNPYLGSLIYEWEVERPRSPVRSPKRRGKQLETDRSASEESTTP GPGPYVKPFHAAEVHDPLIDSAEPSKWTDVTTDNTLLRSLLRSYFLHEYQWFSAFQKE YFLSDMIADRRQFCSPLLVNAVLALACHSYRELTDRAEFWNPRTLGYGFLTEAKRHWE LEQAGNRGKLTTIQAAIILNIVYNLNAMVKLGWQYTLQAIDMAHKMKLFKKPENWETL NPRLRAGRDFTAWSLFGWQSHCTYTLFEKPLVDDPPEAPLPAITADPSWYGEVWLKYP LEGILYPSHFAEFYKANTEFRVILNDISKTLHWEAGQSRSPPAESKIAALYWRLQAWY AALPESLSPRTIVFTAQMKLHMHYHHAIVTMLQSLMTGVSDITSSAPAASPASVAFPA PSPNVLAISSLLNSDPPSEPTGPPLPPLQATFSLHRTQYETLLRLYYLRHGFEALDVF IMVSLMTLAFITTKELEDTRLSLAQPPSEEQKAHIDSLRATLVLCTRGLYDQGKSYYL SQTIFRLVRSGMHVDEKELLREYASLPDEEDSADAKMREELAQRLWPHPHPQAQNDGQ EDVETEHASGPAAQEQEGWKVLDVLLKKYGDVRMEAPESGDSEDQG QC762_704620 MVPTYTRLSTLAVSLLAVSTTTTAHVLLPFAQHHERGQQLSARN DGTTQLSLVTETYAYAVEAEVGTPAQKMKMLVSPNTGHSWVISSSSSNCMDYTRYRYC TQYNDRYPYDPTEWGDPVGTPCTEGEVVTRPGQCLWGTYNSSLSSTYLSANQRYMNFE ASTAEGSVSGTNMTDHLKIGGLTVEDYPMGLITSSSAHVGVLGLGFNSSGYLYSSYSS SSSYTTQMYTNFIDRLVQGGKSKSQAYSMWLDDAEGKSGGLLFGAVDRSRYTGDLVRL SGSNDYLSVAGNMVGNVFGTVINTINGTKGGKELPALRTHDFPLDVSISPSNIYSFLP SGMADQMAEAVGATWNTTLGGFVIPCDASLSNAVQYQIEVGGPGGPVLHVETSDLIVQ PSVYAGRTSSSARGLEPAKLMGASNLCFFGIQKRTNSYSSSSRLDTYANIGSSLLRRS YLVFDLSNHEIAIARAKFASNGNKPSPDIVPFSRFGAKVPGAKQLPVTCSSSGCNDNL DGGNFDDGDSNDRGSGSGSWSGYYPGSSAAAERLHWRNVALGLGIAGGILCIVAVVAA VVVCRRIRRAEKNSDKEGEASDANSGDVAVGVAQAAPINRRSSPPVVLMPVIEEKQEA SGSTEQPAKGKDSTS QC762_704610 MAITNAITDLISSFLELFSSLFHTVFAITNSFVSGILSLFNGFF IFIGDIFKGVFDVVGGVGRFLAGNIVILGIIAAVGYAYVRFAGQQTGRSGRPVANGVG KGLKTQ QC762_704600 MHGYSSSSETDDYYSYRKHKATVPATTTTNDANKKKKKRKVPPQ QRINRIWKRFSDKRFNKAVAVLPFDPVLPPSISERSNELLSAGYERAASECRRKVQKI IQECRRVNMRYRDPGWDLDWDLKMEKGHTLNTLGRTKYDLSMSTMLNPSSVVPKAVKR VHEIFEKPTFMANLSGNDVKQGSLGDCWLMASFSGLANVEDGIKRICVEYDTRIGIYG FVFYRDGEWIYSIIDDKLFLKSPCWDSPSMQRDLLQQIDREDVERVYRQTYQTGSKAL FFAQCKDQNETWVPLIEKAYAKAHGDYASLAGGWIGEGLEDLSGGVTTELLASDILDL DGFWENELSRVNEEFLFGCSTGLLDGGYGDRDGISEGHAYVVMEARTLKNGTRLLKLR NPWGKTKKGIWEGAWSDGSKEWTTEVQEELGHHFGSDSVFWISYEDLLRKYQHFDRTR LFREKDWRCCQRWIGVEVPWKPQYNEKFHIKLTKESPLVIVLSQLDNRYFKGLHGQYS FRLQFRLHEQDRPDPEDYIVRSHGNYLMDRSVSIELPSMLPGNYSVFISVVGERDSEA LSIEEVVKRECKKRVENEKLAQVGYAYDLAHSKAAAHLEAVKKLRKKADQKKASEARV KERRKNWEKRHLNREITKKQGRKNNKKLEAKQAAREAKKRAEEELKPKDAGVQTDIAP KEEKPVGARATDVPKTDESKAAKSDGKTPGSKTEGQDTQKKEADKAAEDPAKAETEPK REDSTPVVVDDAKSSDEEGEDTPLATPSETPSLEKTEHEASGLSGDETERTPDEKEAT ETSKDKADDKAEDKTKDKDQAKGEDNPEQPKDEDAETPSAATSESSGTPQLTPKSDAA TPDTDKAKPKDEDKAAAAASSPTSTGSPHPPPATSNPSPPPPPVQQKKPPNMYVTSDG ESSASPVEDWEALYSSDDMTRKPRMAAPPPAGQAVVSKYRDETEDENEPDPWNAICVV GLRVYSKDEDLELRVVMEGGELGEGGMGEKGGVDLDNAQANAGGARSTKIHRDEESEK EEAAYEGDSEVEKRKKKERRKKKGKKSSGSENSSGSSSESGEEEQSQKDKKKEDDGMA KYPVIVQKGKGEEEYETAVESQLEN QC762_704590 MTILESPHSIVKTAPHSRPLKLAILLNSYRSPFIHEIRDSYIRS LSQVTHHPDTQLSFFYPADNYKQENDLPDLSRDNYDLIVIGGGNADPRKRHAWILRVH KFILDTVKNYPRQKICGICWGHQTIGMLFGGEVIDLEHPELGVTEAALTPLGQKFFGS RDHHHHHQQQHRASTSHGKVGKKVLSLQQHHRRALGTTPKGFHELLVDNQAFVSQNNA ILTFQGHPEKDARCAKLRVGDAVRWYSLGKNSVSEGETGSSESEEEERVTMLVKIQKD MERQHDGVEVWGRVLDWVREGSSGAGHEILHGAGVHL QC762_704580 MSAPEKVIGDVVGIAADGWSKLQALAICLAESMVGQQTVHRLLI LPIRPTENSPGLSNPIRQGLANPFSINHFDNLAASPSRDFSGTRPSTTTAKMSTPQLS KIAANSPSHSKPSELEQAIAGALYDLESNTADLKAALRPLQFTSAREIEVGHGKKAIV IFVPVPSLQGFHRVQQRLTRELEKKFSDRHVLILAARRILPRPKRSTRSRNLQKQKRP RSRTLTAVHDAILTDLVYPVEIVGKRIRTKEDGSKTLKVILDEKERGGVDYRLDTYSE VYKRLTGRGVIFEFPQTGSAEY QC762_704570 MAASTQSTAPAPKAQKKGGFSLPFLYRFFFLLVEPISALVGAYF ALFDQETYLRLTHAASATSPIPTSTSIVLSQLGNLYFFFAINEALVLRATSDIVVWRT VLFCLLVGDLGHLYTVKQLGVQIYWDIFNWNAIDIGNIPFVCLGATMRIAFLAGIGFS STKGTKSAKAA QC762_704560 MPYPETFTGFQVNGPETWTEFHKNEFQPKPFGDYDVDIKIEACG VCGSDVHTISGGWGEQHFPLAVGHEIIGTAIRVGSKVSLIKEGQRVGVGAQSYSCLDC RQCKNDNETYCQKQLDTYGAKWPDTGIVSQGGYSSHVRTHEHWVFPIPDALPSTVAAP MLCAGLTAYSPLVRNGCGPGKKVGIVGLGGIGHLGLLFAKALGAEVWAISRTHSKEAD AKAMGADGFLATSDRDWNVPHKMTFDLIINTANSFEGFDLDAYLSLLDIHAKWVSVGL PEDDGIKVRNQTFLTNGCFFGSSHLGSRKEVLTMLQLAADKGIKTWVQEVQINKENLA ETLTKLHNNDVRYRYCLTGYEEQFGA QC762_704550 MAPLNPYTYIQCPCSDSASLGRPSDATSPTSADGDEEDNAFDPR APRANFSLYPLEYLLYCEDCHQIRCPRCITEEIATYFCPSCLFEVPSSNLKSEGNRCT RSCFQCPICIGPLSVTSIEAPPSDPSLLAPDRAGTPHTTYSLICSYCSWSSTEIGIQF DKPNSIHAQLAKIRNGGASRLTAKERKERRKELSHRGGEAALAASSEAAAGQDMDLET QFANLKLFYNSQLADPNAGDNGFSSLGDLGFSSPSSLSRIMNLYTGGKLHDPRAKSKL GAMREAITADEGLLETDLDESSLITQLHQSDFLDTASSTQICSQPPNLGETHLYGAAR FNSSLRPIPYLLRTKRSKRCPVCRHIISKPEAKVQTTRFRIRLVAGSYIPSITIRRLN LSGPNGPAAPAILGDLLEPLKPVHFVLTFKNPIFESLKVTLGAPAKTPGRFPSKVTVL CPQFDIDANTDVWDEALKENDRESSGKSRRRAGTESQFQVEVGKIWERGRNWVSIIVE VVPASLKLEPGRDVLKQDEDVLEIPLFVRIEWEAEGGGDDAASGTGRDKDQKEKKELA YWCVLGLGRIKQG QC762_704540 MERSSTPISNLKRWDGDTKGCTAWDSLRRDPELWSRDGSCLVYL YEQGISRRGPSFKVNLDVLFAAKCHPLVARYALRDTPDWTSSDAEEALVSELMGDAIS SDRVELYIPNPISVGRPDVRNHQISIRNLFAWVFRRPVVGEHLGSALISLLNSLREYR CPDEDNMGSILGYIDELGYLDMSNRPFHALALVHFAEHFRLKDLYTDALCHCVGMSDH LSDIPEFQIISSPTRKLIRQTKAEMSTYLGRAGQQLRDFLESDFRESQTKLTPGEHSH LDRFRMFLAAYFTKRLGRYPPASIEPPYLVFERDVYATMHQDLDALYQHLADTTLCTT SMPTLAHLKTGGTVLQIVHGFDERNKFTPLDHPLPLVPEVIPKPATRKLSWLSRSDKV KPRQTLVDHASLIKATNKQRSLMANPLVVAYRKLEEDAVFFPSKADKNEKLTHADTRK ARWVLIYCISQILRSCSYSPPTCRNMEGVRYNIAIDTSTIIHPWGNEPEPPSPAPACP MPKRSNTLANRPSSPILGRPTLSEAKLSVPPSCDSLLTVSPPCYTPVTVRPSIGSLSA RKPHHFRASSSFAKPTPLRAFSTSSQQHHYPHIPIRVGSTRRGRFRRPSCHTFGSVDR FSVEDLKPLPLSLTARGPQANGICSSSTDGLAWASNDASSRRSSNSNRSSSGNSGEDS IGDTSSKVSDASTEVTTPDNIKSTEVSVTLAPDVLSGLAGLRPPPPPPQSALPPLPLS WPPHEGQLHGDGSRSKVSSMGETNGYEQQRDETINDLEVSMQKMASSDSVCTLSSSVC SDDAQSQHSLNQLPLPQPPPLPKKSSRRKLAGLHPRPLRIRKVAATVGLGGSSQQQ QC762_704530 MICRTCLRARLAQRLPSTVPKRTLFAATTRCAPAITTNFAIPAR PQHQFPRIADHAQRLYSSESTASASQSSEPPSALPRPEDLTEGEAQVWDILVAEFAPT QLLVQDISGGCGSMYGIDISSEKFRGLNMLKQQRLVNAALGDLVKEWHGVQLKTRAP QC762_0103990 MSNNNHNLENDVPKKAINGLHVYSIYKPLQDCCDATWAYTFQYR RAKETSGSNWQAFSFHRCSISHQNVALSMSEAEETG QC762_0104010 MAGSRGLCGAMRGALPVAARAGTRQPRDRRHSLLELLQFSSFSK QFQFYSFSSSTGVCCAGSNLQEPLGGAEVHASARRSTRMFGHGNLFLYGFHRRMASAT LPASRILSSRSSSLMHPVNAVGQDSIDSIATTSFRATLQASQACSQKWKPPICTHG QC762_704520 MVRLDLATVLLAVAAAVNAVQVDTPEVLPGAYIVEYENDQDTNA FVRKFGGRASLRKDLRFKLFKGASIQFKDTKNAEEMAAKVAKLPTIKRIFPVRRYPIP QHDVLSTGDDAAALVKRQLGGNVTNSFSPHLMTQVNKFKEAGITGKGIKIAVIDTGID YTHPALGGCFGPGCLVSYGADLVGDAFNGANQPRPDNDPVDNCNGHGTHVAGIIAAQS NNPYGIVGAAEGVQLGAYRVFGCQGDVGNDLLIAAYNMAYEAGSDIITASIGGASGWS EDPWAAVVSRIVENGVPCVVSAGNDGAAGVFYASTAANGKQVTAIASVDNVITPALLA NATYQIDSKSEFFGFTGGDPQSWNSVSLPLWTVNYNTTDEAHGCDPYPASTPNLSGYI VLIRRGSCTFVQKVENAVAKGARYVIFYNNVPGTLSVTARVPGLSAVATIPSGTGELW VKALESGKRVLVNMANPSTAPKFLANFDNPTSGGYLSSFTSWGPTFEVESKPQFSTPG GYILSTYPRLLGSYGVLSGTSMACPLAAAIYALVMNVRGTKDPKTIENLLSSTAKPNL FRRDGVSSPYLAPVPQQGAGLVQAWDAAKATTLLSTSGLSFNDTDHFNPVQTFTVSNT GSSSVTYSLSNVGAATAYTYSSPGALTPASLPSVELTGNFASLAFTPSTFTLGAGQRR IVTVKATAPTGLDVKRLPVYSGYIAINGSDSSALSLPYLGVAGSLHSVVVLNSDNTLL ARARDSTNSPVAANLTFTLPPPGQSNITAVRNRADMPKLVVTLAMGSAMIRVDVVPMT NCSTAAQNAKVVFGTRTLGQPEEFPSWYNPRGTLQYAWDGRLADGSYVPAGRYKLTVR ALRIFGDESKSEEYDVTETVPFRIRYLTEGKTQKRKRFVKGERALWTVGVERRQTPEQ CEADERTLKQ QC762_704510 MKFLNAAIAAASFGSVLAAPAIDARHDKPTATVTVPADCPCNTG SPAGGSSISLPTNLPSVSVPVNIIPSTSCTDDAASSTGAPGTPGTPNIPGIPNVPGTP NVPGVPNVPGTPAVPDYPNVPIVDVDVDVPGTPGTPGTPNTPDIPGDDSDDDNDNDDD SSDSDSDDEDNNGTPIVDGDVDIDVPGTPGTPAIPTASGNPTIDLDVVVSPIVDLDVE ITIPAITADVDVNVVIADLVAIIVQIEAQVQTDIQLILDIIGAVDIDVDALIAVLIDL QAQIDLLVGDITPTLNALLLNVDLLVDLNLAVVLDLVADVQALLGEIEVTVQGLLALD ADILLVISANLEVILGLVVDISVPIVDVVVDIVANVGASVGAVVAGVVADIQVVLDAI VDLTALLRVDLGTIVALRK QC762_704500 MADTIEPVARPPPPPNFNTLAEIQQEAESPTPAPFIRLIQSPVP QSGDIPAATFRVPCATLLRPSKDPARKIHSVFEAKLRNNMLPALGFVELANAGDVAAN VYNSTPIPITIVTCMIAGGTACFSLLFFLIYDATRSWKNICGLRVERRFLQDLQKAED EQQDLEKRSAGAGIARSFSGSHTSGSSKEKRADDAARNWGRTLACFLHINNQDLRSEI VYRFGMDIVIGVGILLVSAGTWMATRGEDPYLFDLSNLLTGFLGNSPLAFYAVINMLW AVYLDNDQRKKTRMVASSLYSDFPPGRSYVGDRLEHMVSTRANYLRIHFLLNGLPGLI AGAMSLAAATQWWAYVVLIPCIVNSVMANRLWRHKIGYDRPFLTNIDLKPLSRDELLE SLLLVDSRRQNLAATRWAAITMPFCPLLQYIVQFNLFEQFCLRLLSKNPDMTRRYFQG GVENELGGGTATVTIDAYQVLKLAELEPCTRDVVTQAARDTIWFDAKRGLESRERWLI EILEVYLVLGAVENDGGRDTRPSTSSFTEKKSGSVNSLSEQEDVNEKLEISFSRE QC762_704490 MTFTHTPTQLTLLWLAFSLPAVTWDFFYVIFRPHTMPGGSIHSP FWVPYALYGEVDGNYGWKQWHAGSGFPAAQSWMNAIETAMYLVYAWIWWTSKDQVTGE IRGKRAAAAVLTGFAAGVMTESKTVLYWLNEICSGYENIGQNDLFRLVVLWVVPNGLW LVFPATQFIYGFGKEIIDGLAGAETEDEPTYSEVVKNGSEKKEL QC762_704485 MFPTMLLHGALLTAALARADNVSLVTVAATTATVIVGNGLTNGT QQLAQLTTVGLSTVTVYSNQQKPPQATVTFTPGNSLGDLEVTLPPIDASQLSELTSSI LANITSVVSNLTAPTANSNLTLSATSQDSATSSAASGTGSPEVSTATQSAPTTTNSTN QAADTNGLFGRSLKAMAVGFLLALAL QC762_704480 MVDSKYRQVDQERSVLAAGLLLLSTTANAHFELQHPSPLTAESS SQATAPCGGANADIDQNTATDFHVEGDYVQLFNGHAQGNWLIRGTLDPKAGGDWEQLF PIVQQTGRGNFCEPVVTVPGEWAGKKGFLGISGNAGDGILYACAAVNFVSGSASAPGG SCVNGSAVTASFQPDDTLSALVGSSSNSGSSGSETTAPAPAATTSQPSAAAPMMGERV SFGSFALTGVMLLVGAALL QC762_704470 MDHDHGSHGGGGTGNTTYPVTNEELAQRFWYIVAGFVGAFLICR VINWYKLERRLRRHTSSSVQSPTRPDTAFLELWATFTAVVREVSYPQLYVPARGFSWL TPPPGGRVIVLLVYWIIVIYMATDGAIFPDVFHWERIGYRNAWVTITQLPLLYLLSSK CNVVGFITGISHERLNWLHRWVARTMLATGAVHGFYFYADWARSELVDYQIKMMPMIK YGFGAWGLLLWACVSGLAPLRRLSYEFFVLQHILTAVLLLWLIYVHIPVDARYNLWFA IAALCFDRFCRTVMLVWQNVKALPDKKRCTGGQRIGHQAQVRAVGDSITVVTIKDVHF KWRAGQHLYLWMPRVGIAEAHPYTIACAHQLPETCICNSIQLVVRKHGGFSKRLHELA TKAQLAGKKERLTAFVSGPYGAPPRWDIYETIVLISASTGASFTLPILESVLQHKGTN CVKRIDFLLTTKQGEEIDFYVTRLHELIEHAKGTGIELHVHIAVTQGPTSFPVSQDGV TADSSSGSSTGANFGRGKKVADEKITSQRPLSSPGDIEQTARAIPVERKRSSHASTDS HVFYSSVRPDIEAYIRGPVEATGGETSVVVCGGPSLVARTRNCVASLSDERAVHKGTG AQGIQLFAEEYSF QC762_704460 MLSLPVRPSALLPCLPLSRSLLLHRHFLAGTRTLHSSFGPHFQT LNPSIRKPNPSTEKLSPSILSRTGITVTCNRYNSWHSPRRYTHSTTAMEQLQQQVEGL NLNAITEFPNCYPDVNPLDVYRAHLANVLTEVTGVDKNIIYPALSWTQSLDKGDLVLA APALRLPKGGPKPDQIVQDWAAKFPENDPLFEKPHVHSYFMSFFFKGAPLVNSILPTI LQKGKTFGTNPSLGLKDPKEPSAGRKKIIVEFSSPNIAKPFHAGHLRSTIIGGFLGNL YEGAGWDVTRINYLGDWGKQYGLLALAFEKFGDEKALEQDPINHLFQLYVRINTEMTE EKEQIAKRKEAGEDVTEAEANSLDEQARRYFKKMTDRDEKALAMWKKFRDLSIVRYKQ TYARLNIHFDEYSGESQVSEADMADIGKQLEEKKISKEDNGAQLIDFSELVPGKEGKR LEKPLVRKRDGTALYLTRDISELLARHAKYNFDKMIYVVASAQDLHLKQLFKIIELLG HKDIADKCQHINFGLVLGMSTRKGTVKFLDDILRDVADKMHETMRKNEDKYNQVENPE AVADVLGISSVMVQDMTGKRINNYTFNMDQMTSFEGDTGPYLQYAHARVCSIKRKAGL SDEEIASADFSLLTEAHAVNIVRLLAQWPDVFSNTLRTLEPTTVLAYLFKMTHALSSS YDVLRIMGSEPAVLKARMALYEAAHIVLGNGMRLLGLSPVERM QC762_704450 MAFALFLLLATVVALVAVHRYLNRQKLPAGVRPLPGPRGIPFIG RVHDIPENASWLKFYEWSKEYGAIYQMEIFGTVHVWISSEKVAHDLLSKRALIYSDRP TIPNLPDNRTSGDYLALLGRTETWKRQRKLCNHLMHTSALASLHSYPTRERDRFLYLM GSDPSKYLEWIEQFTSRTVSRLSWGTAKPAQILRHTTFGLLQTISPSGALPNIISFLQ HVPLALSPWKKKEAARHALEDRLFKANIDFVRRSLESGRGEESFVGTFMKSQLPAEGK DEKERLKWGDQEEAMHVVGLMAIAGALTIGSPIQSYILAMCHYPEAQKALQEEIDRVC EGRCPQWEDREKLPMLRAVVKEVIRWRPPVPTGIPHAIEKDDVYEGYLIPKGATIHAG ITRDEETYPCADEFLPARWVDPAYPTFKEPLTQYPNLNGFSQFGFGRRTCQGIPIVEQ DLFLSMGGMAWAFDIRKKVDPVTGKVIPVHWNDYTPLLIAKPCKFDFDAIPRQEGRME ELRQMFDSAREEEEQQDKAIAMDISQFEKDLGAEQIYRDKACEIRYADAAAQSDELEQ TASEGSSTPLEPGLELGDSSSEADTESDFGKESLSTGMRVVLDGRVEKTLGIEPVVSV TGVPGAWKWA QC762_704440 MGQGPSTSALQQCIQGVANNRANFAAFAGSPLYQIQWVKPYNLD VPVEPAAVVRPETAQDISDIIKCANANGVKVQAKSGGHSYQNYGAGGSDGAVAIDMVN FQKFSMDTKTWYATIGAGNRLGEVDKKMHAQGGRAMAHGVCPGVGLGGHATIGGLGPM SRMWGSALDHIVEVEVVTADGKIQRASATQNEDLFWALKGSASGFGVITEFVVRTHPE PANVVQYEYTIKLGKQADVAPLYSKWQALMADPKLDRRFGSMFIMFPLGAIITGTFYG TREEFLTTGIPNALPQDGNGHLVINDWLGGLAHDAEKEALYLSGLAMPFVSRSLAFKR QDLLGPEKIKDIFNWVDTQKKGTLLWFIIFDAAGGAIEDVPQNATAFAHRDKVMYYQS YGIGLPVTKTTKDFITGFHDQVVQKAGPGTWGTYPGYVNNALVDQQKQYWDSNLPALE QIKARWDPKDLFHNPGSVRPAKN QC762_704435 MTENLSRFRDQFSGRVPNQDWLDQTTTEVLKAAGSELGSAMKRL TKNAKNNEDHALEARVLSFPRTGVYHNILSSSELGIMWQQFYRQLDPLALLAPDFEEP ERMKDDARAVMAILREEEQEREWLKQDEEQTEEAGYWEIDGWVVSEEDQEEEEAGGQE QGDARVVMQEPEEEDQEHAEAESGRGSKKEDSGTEICLANATYTNEPCPHCGW QC762_704430 MAFQSGHHHIHHRIMEDEEYYHMMLDDMERLERVHHHVSHPADY QLAEQHQHRAHNPFISGLRVAINSAFKSGLEKLQAVSLPGPTRTTYQEAQGLGSIWTR RSSASSTRTSSSFGSARC QC762_704420 MDDINGTTMKAHRAANGINGAIKSPALNGHNTVQKRITRSRGPG WPSWLFSFAARLVAWYSIYAILFWCPATLDACDENSPLVCRPYFQLKNTVTPHLEPYY DAYAAPYVELARPYYNAVDEKVITPAWGYAKQHGAPQVEQARVYGKAQWEKSVQPQIT KVQHLAKTQYDHTLAPHLDQLSAAVGPYYEIVRTNSLQTYHEFLLPSYQFAQPYALQA YHATSDFALGTVAPTCAWAWNKTNLFLDSTVWPHLRVIYVENVEPQLVKIGKRLGRYS SSTNGTKKSVPKSAVDSASSFASKTISSFVKPAPSASSTTSVVASSKSSSTAGRPQAK DAPEAHRSKSSVDPITPPDTAEQVENEDPVRRAARETVAADLKDWQERYAKAVDEGAA EIDNRVQEISKRMIRRNARITGKALLDQLQEATVSELVLLRGDILDIINAVNDKELGT EDAQEEIVQAVRQAGMAIKDKAQAVRHWREEYETELQASITQAAETHFTVLQGIRDLA LQRIGMKWAWTDGITYKDWAKYHLLKSRFDEWKGDLEKLIVTHPNLEAAQVEGANIED EAMKLAATAAKELGRLKQVANWKLVAGDVTEEFDSTLTQQAAEAVEAARLAATSVVNK AGESAEKAQHAVVDKVAGAYEKASEAVVGVTDTVSEKTAEAAQSVGNNNLWAEDSMAG EGDEEPAEAISAASEEISSVVEPTSEATADVDNSPEPVADDLAHLAASESLVFETPPI VDNVTQIQEDVKADPAPVELPVDEDAAGEEEEAGDAPDTRPVAEAEAGPTVKPALFGA AAQVVPRHSPILDDEEDDEEDMSGAIQVMQDELRSVYSAAMSRANAQYSEALSAVSAQ IHGTPLPAHQQMLASVTSAYNKAMASASSRMDVALEAVSTQLRGTPTKTKKNIMPTVA IPTVPVPSVDWARIESIASERLEQGRSWALEQYESAKIAAGLATPTPSTPAEHVNKLL DNARHNYYAGLGLAHARYSEFLSAASAAVSSMTATPTPTDLAGTASSLASVASESAAA AAAAVGESASSAASVASESAASAASAASVAAASAASAASESGASAASVIGSGASSIAA AASAGVSSAASVAGENISSAAAAGYEQAGAAADYVADGWDVIVTKISIQVYGAPAPTP WYEAFYSGVGEYASSASAAAGEGAGSVTSAAAVASDAAAQRYEAVSALVSELLVGKEP TFSESVVSRLNAAYATGTNVVGSAASAANEVVGEAGEKVRSVGEKVASVASEATEAVK EKVQGHDEL QC762_704410 MADPQLLGPFPPPDPDRFPNTRLRSHLLRPDSTPQEPQPSHSTN DPDTCRICRGEGTPEEPLFYPCRCSGSIKHVHQDCLMEWLSHSQKKHCELCKTPFRFT KLYDPNMPRSLPWHVFASHMAKYFFANMLLWMRASLVMLVWFGALPYVMRNVWSMLFW FSDDACIAKSTSGAAQASNEHSATSTILRLLFGAPDTSGAVSTEAVTIPTRNNSLLSG VQFLRNLTRHPWLNDKVIEVLEGLIITILVIACFILIILVRDYVVQQQPEINMRAAFA AAENAPHPAPPQEPLARPEPPPVEEEHHDNPAQWEDLQGQWDPAIVEPPPHLALEARR QIALARLQAHERRLQRQWAEPEARYQARYAGDDHAPAHEAAENNPNSLATGSRNSLPI LPSPSFLPRAELDAIVNGGEAADVKSRHLHDFLAIYQRAKGDPHRILEIAREQGAEER LDYWLALTRSLLDRQNRTVAGADDHSDASSAAENTPASSTDARFENMDSPPFGGLRPA TQAMEWTTFSAGEDNFGPRHDRKGKGVLREDSDGESAHTEDSERPLASPLRPRANTDG PKISDTIHPLANNSWSFQTLTEQEPDGMLNDQHRTESTSSGHSTFGSPKQPASRQDAA SAFFKRPRFTEAAFELDQTTQSVPHLSSRAEEELPVDHLTSGQESSADFWDMTSGAEA AQSETTPPPALPENGEDADAQAEPQTPIPPAPRPQPVGIVDRLADFMWRDVDGIDPAE LAAADALQFDVVEGDLANDEVEEDDPEVPQRDREVVEAAVAAGLDPDAIEDAEDLEGI LELLGMRGPVAGLFQNAIFCSFLVSITVFLGIVVPYNIGRMTVWMIANPIRPARMLFG LCMLVQDIAIVLFGLAVLFGAKALLVLTKVAPSLLGSVVDLLTTTSAMSYGTMMSASN RVGSSFFAEIVHISGTEIQNFSAISHEALLQLKGHISLGFAALVTAVDYLSSGDYAEK SSDIVSFMEGLATITMGCLKQLPGILTNPNSWVLNLSVPNSTSMAFDAELAQWSGTDR LWAIVAGYVAISIIASLYLRRGSPFFTGPTGQDWEASIIDGLNQASGVMKVILIIGIE MLVFPLYCGLLLDLALLPLFAGATIRSRVLFTMNYPVTSIFVHWFVGTGYMFHFALFV SMCRKIMRKGVLYFIRDPDDPEFHPIRDVLERSVATQLRKILFSALVYGALVMVCLGG VVWGLALSASSVLPIHYSSNEPMLEFPVDLLFYNFLMPLAVRHFKPSDGLHAMYTWWF RKCARGLRVTWFLFGERRIDEEGKLVLKSDSPDAALPWWRTLFLEVNNDQVRAKQWTN PFEPSPEKPTVMRTEDALLWNTNKKALIESGQLIPDGRYVRAPCSDQVKIPKGKRVFL DVSEDNKRRDEAAPTDLYNSEEFQFVYVPPHFRLRVFLFITFIWAFAAITGIGFTIVP LVFGRWMFRSLLPSHIHTNDIYAFSIGIYILGSAAYAAFHAPSIYRAAHDWVDSFTRT VVNGEAVRPMVDAGIRVAKIIYAHVFYHIVFPLMLASLVELYLLTPVNEILYGALPKK RADTSELAPIMTPGAELNPKHTVRLVQAWTIGLLYLNLINRIINRWFSGTRLAAAVMA IFRRGWLHPDVSILTRAFIVPGLILWSSAVIAPLLLARLGVANGLHEAMLHSSYGAPL VGHAELSHAYKVIIYRLSYPVMAVLAVCAVVLWSMFGVFRRWNMRIRDEAYLIGERLH NFGASVGNSTPKGKAAAWRGAPGRI QC762_704400 MSAPLLDSSDIETNRQDAEYEKFQPQAAGARAPVSHRPAPPIPR RSMRRRPSSVSQQNPYLYEGREQRGEQRRLSRLSISSDDASPSLDQLRNPEKDDLVHD LQLDSRAPTLRGSISGTSLPYAVPERRRLSRLPTDQELKSSPEDIEATAAITAAKNDA LDSRPSPSPTPSPGHPHDHTHPRPPLSLRSRLKHFTWAWYTLSMSTGGLSLLIHAQPH QFPSLTPVLGLAVYILNIILFTLITSLLLTRFLLNAGSFVASITHPREGFFVPTFLLS IATLITSTQKYCIPSHIQSWDGERQGLRWAIQIAFWIYVALSTCLAVAQYSFVFGRRH SFSLQTMMPTWILPIFPVMLSGTIASVIASTQPPAMALPIIVSGLSCQGLGISVAAMM YAHMVGRLMQSGLPDREHRPGLFMCVGPPSFTALAFIGLAQSLPGSFDANMDGLLDAS IMLMMAIVGAGFLWALSFWWFAIAVLAVVQSPPRYFHLGWWASVFPNTGFILATISLG KVFQNEFVLWFSTAISIVLVLVYGFVLFHCVRAVVVRDIAEMKTWKTTDRHVGVHIDK QC762_704390 MDPLNPMGDAQPAFQRRLSRLYNDTKRRSEFVQTSVQHPEADPE VRSLHRRLRIQKDRFVTWGLEWADPSQSAEVLIDSSLNKAGISELVSNIMSNIKEILA EAEPLWMSSRRLAGEIVEPAQPPRRGEKIRMVVWDKSKFEGLIRDLTDAIDTLYEVSR TRSSYASSAAVGGRPAKSSTAAEDLRPFASSRLQTPEQIDPKILTSLRSVQAAPMTEH DEKEKTHEIVFMDKQSYAKLTRTTAGARHTQSPLLLEYAPFSSLYSITGVSPPMHRFE KLFSGLQLEPQRPVQPGSWIGLPRLLGYFEDMENSRFGLIYQFPQKFNAVTFETLTQN PLNNLCTLADLLARPDFEPRLEAKFRLAANLANSVFDLHDRGITHGSIGDENISFCNA VGTDPEVSGITQGEVDIRRPLISSFDLFSETEPEYQEGPREFSLYKHPLDPRNSVQSP LANNADSKTFDLYSLAMILLSIGLWTKLENLVPNMASPVLPESVLTQLGIRCGTLYMK AVQTLWSAVDQELSGTQTTDKIVERVEFKAGRYLEACCILDGVSNLEERLGDDLGDVR PEHARLSTPSIAGPSKDSQSEKPSASVLSAGQVQTEARATDANETSTKPKLRLFKHVP LPPEEVERWNTNIMPQVNQALRHFYRKNPESVEISLESVGESPQKTKPTVLVVCQSTS LVKTILKKRLGNILAAAGLGLRVCTGRVIKSRRDAVGRSMAGPEEEVVAANPEHQQQP LNGASIGAWNQSHHLPPVSLGGMLTVDGRLYGMTVHHMLDEPGPDSGGKSGAPRSMAG PPGMTDLHAWYAQQYTNPSDSDQDTSSSSEYDAYDLSDADSDAFSESAITSDYSEEDD DDDGEYQESEPGDIPGIEPGCGDGYIVTQPALDDVDAGFYPCLETQDEDHLDTYRVGE MYASSGIRRRREGGMNHEIDWALFEFIDERLPFGNLIPSLDPPPTKAQSQTTSSSICP TTVAPMSSLPGLGVQCMARTSGLQTGVILPAMVSVKIYGRVSPSETYQVSGTRPSPDQ PRHPKQSSLPMGMPGDSGAWVVDGANGRVCGHILAWSERKKVAYICPMDVLILDIAET LEANEIRLPGGEVVYSREPLSAQYPMRSLTQRSARSDMSGWQRSEGDVGDDEGEEPST PMARFAARRHSSRRSHRSVVAGKRASYLSAKRQSQQATMESTVGEEELEHDEGVEVDI SVGLANQLKAMNLPPGDSSLSSAEMMRKWGYA QC762_0104180 MFRVVVDEVHLIKRPHTTLFKAACEIRANFGWCLTATPIQNRLE ELGSLLAFLPIDQLQNQAMFKKKIMDASSPDAHTVPWQFTLLLEALCLRRPKQMLELP LIEERYHYITLSQEERNRYDKTAADMSNWINHKAGLRADQRDHFGIFQVQLQLRLVCN QGTFQKPFQRRGRRDKLPERDEFLYALGSSADIECSMCGIPVPAFDATPPKLRHGCGH VICPECIPSDEQRSANKTSCLYCDGHISPKSDALTPNSGDDSNDKVDHFDLSGVSSKI EVLIRDLQQTPRDTKRYVGSARLAEILENQAYINSPSIVFSCWTRTLDLVALHLTRMK ILHQRIDGRQTLAERQHNMSRFVSDEGTSVPVLLMTTGVGAFGLNLKAANHVYILEPE WNPSVESQALGRVARRGQKKTVLVTRYLVHGTVEIV QC762_0104190 MAASVATGLHAEVKLVGNLAESRDRLLNNEHVQSFAVIKHDDYL MLIFSDNHIFAQVQVFEVKAFSQIGKIQSLFDQSHTPGQAKLRVDINIYGSAADADAV GLYLGSTSKLYLQDPEYGTENIEYLNRQLIHFPGFEEPKVFAGPGADFANKTSKALQG LPQQQSRLHYIQYGGG QC762_704370 MHLPTAASLLLSALPLAVATPTPGHGHKEKGLNTYAKEAGLKYF GAATDSPGFRERAGYEAQYPKYDQIMWKSDEFGQTTPTNGQKWLFTEPQQGVFNYTEG NIVTSLAKKHGKYLRCHALVWHSQLAPWVEAKTDWTKDELRAVIVNHVTRVAKAWKGQ CYAWDVVNEALEEDGSYRKSVFYNVLGEEYIKLAFATAAKVDPKAKLYYNDYNLEWPH AKTEGTQRIVKMLQKAKIRIDGVGLQAHLIAEQAPTLDQHIDAIKGFTKLGVEVALTE LDIRLQVPANATNLAQQKEAYKNAVGACVQVKGCIGVTIWDFYDPFSWVPYVFPGEGA PLLWFEDWSKHPAYYGVQEALKNGTKKGKPGKWW QC762_704360 MSSRLPARIVPRATRVARTTKSTPRRFQSTASSTTQAAANAAPS GTGHFASGVAGGIAGAALLYGIYTMTPSGKMMKTMNATVKETNKKYEQLAATLKNKTP STDEALGKVKEVCYSYAGWVPGGRQYVDVAFKDIEDIRDANKEEVDKLVAETYKEFQN ITAAGLTLEAASRSWEALQKLSQRIANLSANASEQLLDNHPQLKEKFGGSFDTLKQMG SQYGPEAKKIVDDTWKQVNDIIKGGFTTENADKVRKLVEEKTQQVRKMGDQVWDKGLE TAKPYLDKSPAVRDLINNNKDILKQGNASELFSKIKSMSESGDSSKLEDYVKQAVNKA KESGDTGKSWTSSIVGGSTGFGVLSQFLGSSSEGVTQKVKDNIGVLSEVYKKHSDEGQ KLLEETQEDLRKLLEEKAKKAQKIADAAAKGQ QC762_704350 MPYHPSSPAPMITGVPGAIVAMTGQAGFSQPKKRYIDVPSEDLL DVGETSSYLMGALEDIIVNFPPRSRYPHEALQGLWSGPTGIAYLLLQVSARLPDLIVS GQPALHWAQCYIAGSRGHNLRLGSHGCGVSDERLAFEAVRAALTKDLSHVRDFVATVE QVAEVAEFPDENLYGRGGTLYLLRMVKHWVGADKCGKIIDPAMAEIANTILNNGLGSP GKNGSPREDGRAKWSWHGTRYLGAVHGDIGIITQVVLSMPNLADRVERVLEKLLMMQQ HDGNWPSSEGHTSPGKGLVQFCHGAPGFVVSLCSLRAYFPRLQDKIDGALKRARQCIW TQGLLKKEPNLCHGIFGNALCFPPGPQRQHFLAVATPENVAHMKCSDTTGTVFERADY GRSYSTLTSYAPCAVWTWLVAGEPDPIMLGYNDV QC762_704340 MRRRGHTKSRRGCVQCKLRHVKCDEGRPACSLCTVSARQCSFMS EAPADSPSSSSLSRRRSLAGISTSSVTPRETSTLLSDTSRALYSPTPEGLLNLRHIHL LVHLTSSKDIFDFGATPHRASENSAALALALQKGLQDTYLLYQLLAFSARHLACLHPS QSETYLEQAIALQTHGVSLFNVAQLSQVDRSNCVSFLIYSGILGHHLLADALSFRDQP IDEFLAEYAWCARLHRGVRTIAASSWPLLMESELTGMLSWSQEYLLRKPTGQECSRIR VMVATSASLTGGERQACMEAIDFLQVGFDSVLSADWEELGKEAGSKGNKHQMTYSWSV VVAPEFMALLVDKRPESLVVLGYFCVLLHYARETWQIRDAGRYVFEMVEQCLGEAWRC WLDWPRRMIASD QC762_704330 MAPQYNAETTGSELVTEFAAQIKGKVILTTGVSPKSLGAQFVQL IAEAEPALLILTGRNTTKAQQTAEVVAKAHPNVKTRVLKLDLGSLASVREGAAEVNSW DDVPHIDVLVNNAGIMAVPFALTADGYESQYATNHLGHFLFTNLIIDKLLKSSEPRLV SVSSDAHRFSPVRFDDNDFHRGENYNKWIAYGQAKTANMLMALSFAEKLGKKGLVAVS LHPGVIGTNLGDALDWTGGDVALLNSVDKTLGNREAWEDGLKWKTHDQGVATHVFAAF HPSLKEHNGAYLQDAHVADPWTETVKPWGTSPVEAERLWKLSEKQVGQEFTYN QC762_704320 MKFTFAIAAAIFTGLVSALPAKEVESRQVPYIPCSGIYGSAQCC ATDVLGLVNLDCGQPPETPTDADTFSAICSAIGQRARCCALPVLDQGVLCNTPAGVQP QC762_704310 MLLRSRRIITQTNATPTFPFDKLPRELQIEVWKNSDEVDTLWRP TVQAQNSLELARDMIGPKDANGKWLARFRFEYFVSRRIPTLAHVCRNSRQIIQAHASA PEQTLKDRNRFWYKNTWNDFYGSVVVLEEIYAENKQSLLPILPKGSYLVLKLPYGHRH GPIHNLAQGRRLLEVILAAKHDQIKVLLPSQKHHKLSKTPINEKLAAQARFWYDDPLL VSIHDLRCWNELRDYCRSAGETWPFIESVLEGRKTRDAMVEEALRPLVGLWNRENKHR EDRGFDKLKPLPSIDVVAEVRFTHSSAGYSRMALSRGGWD QC762_704300 MLLLYNGVLGAPFCHPKSLANFHPFESFDVAPQPSSGSHIFAPR RSSAILALPPTMANPQQQIEGHVSGQANEPLSLPAHCLSASQVAQELQTDTTTGLTSQ EATERLARYGANDLGKEKGVKPLEILFAQVFNAMTLILLLALAASFGIQAWIEGGVLA GLIAINVVIGFFQDLQAARTIASLKSLNSATARVVRDGATTTIEASKLVPGDIIELKV GDSVPADARIIEAVNLEADEALLTGESVPARKYPDEVYQDADTGPGDRLNIVFSSSVL TKGRGKAIVFATGMFTEIGAIAAALDDDGTKKRKLERDENGKASIGAYFSFALGKTWD WIGAFLGVTVGTPLQRKLSQLFHYVFMFAIVCAIIILAANKFKARNDVIIYAVATAIG TLPVTLILVLTITMAAGTKVMVQRNVVVRNMRSLEALGGVTNICSDKTGTLTQGKMVT RMAWIPGFGTYSIDTNDAYNPEAGAIAFTDAEPRNMRRSADNGRAVSPKDESHPSLAH YLDIASLANLAKVIRTDNEDGSNQWKANGDPTEIAMQVFATRFGRSGLGIEAGSGWKQ LAEFPFDSSIKKMSILAESESTGLVHIFTKGAVERVLSSCVSVSVGNDDSTVAPMTEE FKTTTLANMEALARRGLRVLALANKGGVRPVSEEESRRGLLKREEFEHDLVFRGLVGI YDPPRPESRPSVFKCHEAGIGVHMLTGDHPETARAIAIEVGILPARMELVRADIAQGL VMAAHDFDKLSDDELDKLPELPLVVARCAPSTKVRMIDALHRRGKFVAMTGDGVNDSP SLKRADVGIAMGLGGSDVAKSASDIVLSDDNFASILNAVEEGRRIFDNVQKFMLHVLS ANVAFVTTLMVGLAYKDSAGISIFQITPVEILFMLLVAGAFTETGLGFESASKHILKR PPQSLKYGVFTPEFISDLFAYGLIMAICLLTAFIAVIFGMYDGNFGHDCNLRYSESCE GVFRARSTCYTAMMWIFVFFAWELVDSRLSFFHGAFNNTKKWADKLWRNPFLFWSVMA GFFCTFPTLYIPVLNDHVFLHKGIDKEWGVVFGVTIFFFLAAETYKWGKRRYLRKKGE MVRKGEGSSEEDLEKKTFERFYSSDSDYASAGTEKA QC762_704290 MDLLSTAFWAVASPIVLFLAYTYLSLLWNYIVALQIGVPVRAIP IEQTNPFWMIMDKKVLAFLKRYLPFLRGSSFARFNWRGFEIVERYKPHHELGDVYMVS TPGKNWLYLGDPDLVTEMFKRRNDFPRCSKLTESLNVFGTNLGTVDAAEWKRQRKIIA TCFTEQTNAFVWSDAVTRARDMHRYWTSKPSLTTAADDLRTLSLGIMSEVGFGQSSQF QGHEERLGASGTSTTSYKDTLQEILENCVLIMALGPEVLAKLERWLPKKLKKLQHACV VFQSHMTKMYEETKAKVSNRTSSPTSADSRNFLTSLVQASHGMTSEGLTEKQVYGNMF MLAFAGHDTVAHTFTFAMLFLAGSPDVQDWISEEVRAVLEDRDTKDLDYEKDFPRLHR CLAVMCETIRLYSPVALAKWTDSAAQTLQVGQKAIVIPANTMVIPSYSCLHTDPRWWG EDSLTWRPSRWIRKSGPKGEEELITPRKGTFLGWSEGVRDCPGRKFSKVEFVATIATL FKDWKVDPVPLDGENLTQARKRVLEFIVEDAAPVLLLQMNHPERVPLVWKKR QC762_704285 MPLLNDIIPVFCTAEIRGDVLDEFFHAAYSSPEFVNEGIHGDIA VLIHDTNTEGITHPTNPPVSKPTSCPFKNKTAEEIWDFAQENLRYPIFNRAIAILDEQ TVVDKETCLLVTTWENPPSEQENGSALLTVRSDFRSALAILNIKNLGIGGDEHFKNGK DAKGVIRLYQR QC762_704280 MGDRENDGTLAPKIKSTACHRCHSRKVKCSGEQPCTNCKKSELE CIYPNRNRRVRVDERYIKQLEGENKRLRAQLAHRAEVPPSGGESSVSTPSAAQEEQGP SRDRGSTGPFAVPDSTTVLHGSTDAQPWFLDIDLPQTPKPINEAADTAFATRFRQALS DPSDLQFSHVPHNEYAGDDTIMSLAETPWPWPKPSRARLIMNVALMHASRCLYIVRPG EVLRALENSLTDPNWRDPIMAGKLRALFALGELCSSRFVPPGQVFPGLGHFAQASKVL SYLGEHPTMDFIEIRLILSVYSFTLNRIYASYTFAGSAVRMAVLLGLHLNIPPTQLPD PVLREHRVRVWWTAYILDRSWAATLGCLPSIQDEDIRVDMPSNKLVEKDAPTNGDFSD AGYYIAYAKLATISMKVVQSIYGGKDQPADLFTKVQQRLKELKAWVEELPPALHMVTT STTTSSYPNYDMLSLHLKLNAVSASLSSTVEVPYLDRPRVLQTIIVATRPILLYGLRL HAGSSPPKPIPASAKTLIDTCIRCARHSYRILSESWVNGAFPALYHDLTQSLFSALTV LAVSSLLDHEDSASDRECFEDAAQLMSQLKDSGNFPAREYYRHVKLMMETIKKTEEKN SRADMAGPNNDVNAYMGHGAPGHFGVPHAEDRDQMPDMGGLEVTAEVALAEPSLEEFL MQPAMGMQFLEDPSSSDLLFQQGGGIYWPEFHF QC762_704270 MPSSLSDSSELGITKHAEHGGCAVKVNTAPYVNPTKESQSSLLK RFVRSNRWTPPWCRQQQGREFRYNSGIVLLSAFASAVAAANLYYTYPVLNKAADDFGV SYEKAALIPQLLQGGYGLGILFLCPLGDVFRLRPLIITLTLATTCTWLGLCLSSNFEL FTTLSFLTGFVTVSPQILLPLIGTLAPPAQRATAVSLVLAGMMMGLAVPRVIAGIVTQ YTPWRNIYWVALGLQCILVALMWLFFPDYPRPDPNNTQTFSRKYMNILGSIIRMMVTQ PILAYGCLVTFLVNAVQASFWTTLTAHLAGPPFHFGPLNIGLFSMIGIGTTILIPVYA HFVIERFAPWFSTINGLLLTIVTVALDCYTENVLKIGGPILQALGVDFGVQLGSVAYR AAVYKELPANRANVIFTACAFIGQLVGTSIGNTVYARSGWSNVGIFHIGFALVTMGVI FLRGPKEKGWFGWTGGAGLRLENGGSKQKEEGSESDDNLAKDFHVHEGAGSDWLQFDE LQGAYEDCVISGGGIRHLGRILKEVLSDLIWQARPNVEARSQVVNVAMVGGLQDKEQR RAIRVTV QC762_0104330 MKFSTLSGITTVLLTEIGGALAQGGGFFQSCNQDWYMEGDRYMV ATCRTKSGGWRRTRQDMNKCITNLNGNLLAWNIQGGLQYDGAPKNSRLNCMCINAAGQ QDWSELELSKIFLDC QC762_0104320 MVSLQVSIGTSTIEENLTQLKLTPVLLTSGVDAHAVQPTVLWCS VILEAALAAHATYSR QC762_704260 MKLPFRIHEYFLAVLSVSVWFSPADRVGISPLPSQVLCKPTSNL TVGQKEGKFLQKDFSHFGFPKSECYRHPTAFLCQPQFQSIGSYSEVTSNMTGLFALLG LSLSQLAGLVGALLLTLLFWRRYCSPISDIPGPFTASFTRIWHVLHILKGDQNLELIR LHDKHGHFVRIAPNEVSISHPDAIKKVLASPSLHKAPWYKVIAFPDGRFQNPMSATDP AVKNELSRHLAPAYTLPNLLRSEEAIGNTLELLFDWLDKFSSSKKPIDLDKFFTFATS DVIGEVIFSKQFGFLREGKDINNTIANTHPQAAYVSIAGFFRWFHVLFLSNRFITWLG VTPWGHLIDTAMTAIKERQENPSVEKFDALAHWLHMLEKSKGKMGMHEIHSAAFNAAA AGNETVATGLQAFVYYMIRHPTAWARCRRETDAAKVGTVGGRSVSFADAQRLPFLQAC IKEALRVFGPASMGLPRVVPKGTPLVIGDRSIPGGTTVSVNVWVIHHSKEIWGEDARM FNPDRWLTPDAARLEKYFVPWGFGYASCPGQNLAKIELSKICATLVRDYDFVQVDKAK EWRWKAYFTVVPKDWPCYVARRER QC762_704250 MTPPKSFALFCLNSVFNHVAFVRSVMMARKFNISLVVAALTLIT RILPVAVALPDSVLRARHVQTPEELRDSYDYIVVGAGTAGLTIADRLTELPEYSVLVI EHGRFWNPSDPNGDRQTAHLYNLTSVPQIGLNNRTIPLGMGFGVGGSSAVNGMAVMRG TVKDYGIWDQLGNNGSNWSWKGLLPYFKKAIHFVPPNPVLAADFNITYDVQAWGQYND TRLYASFPGGLNPAIKTIYDGLIQTPGIPFPADGHAGNHGVFYYPLSVDPKTRQRSYS RTGHLDGLNRPNYDILTSARATKIVLSGKKNAATGVECILTDTQMRVTVKAKKEVVIS TGAIHTPLLLQLSGVGPRMLLKKAKIPVKVSLPGVGANFQDHPIGPPIRFNFTKPPPP PTSNSTHLPPSEGQGQGLVADLPLPIAAPSAFPAIASSLARQDPASFAAPGTDSSVLR GYKAQNNLLAEQMLSSAPGSLSFLHWVIGYGTAPGANPINFHPTSRGTVSVDPDNIDA PDPVVDYRALTNPVDTDLMIAYLEFYRRFFGPEGPLAGYRAVETVPGAKVTSREDLAG YIRANYIPQAWHPVGTAAKMRRELGGVVDDELRVYGTRGLRVADASVIPILPGGTTQL TVYVIGEKAADLIKETWKGKGGKGASGGKRGDKNR QC762_704240 MASYLPSMEKVQHAVLGPRGGDKVADLQKETKEMSDKARLTTDY GVKQTSADDWLKIVNNDKTGPMLLEDPFARERIHRFDHERIPERVVHARGSGAFGKFK LFESAEDVTFAPILTDTSRETPIFIRFSTVLGSRGSADTVRDVRGFAIKFYTQEGNWD IVANNIPVFFIQDAIKFPDVIHAGKPEPHNEVPQAQTAHNNFWDFQYNHTEATHMFMW AMSDRGIPRSYRMMQGFGVNTFTLINAKGERHFVKFIFTPELGVHSLIWDEALKLAGQ DPDFHRKDLWEAIENGVFPKWKFGIQVIPEADEHKFDFDILDATKIWPEDLVPVRYIG EFELNRNPDEFFPQTEQVAFCTSHIVPGIGFSDDPLLQGRNFSYFDTQLSRLGINWQE LPINRPVCPVMNFNRDGAMRHTITKGTVNYWPNRFEKVKPATHEEGGYVEYAEKVAGI KARARSAKFKEHFAQAQLFWNSMSAVEKNHIINALGFELDHCEDPVVYERMVTRLADI DLGLAQTVAEMVGGEPPKEASRPNHGRKAPGLSQTEFPGSKPTIASRRIAILVADGYD QVAYSAAYAAISAGLAIPLVIGTKRSKIVAAGGAGSTTPHHHLEGFRSTMVDAIFIPG GVDSIRALSKNGRALHWIREAFGHLKAIGATGEAVDLVNKAIGLPAVSVSESAEVQDS YGVVTMRETKPGSLSEAVDIVKGGAGFMEKFFHNIAQHRCWARELDGLHSQVAY QC762_704230 MTMSTTQVPLAFASCSIGLPKHTLHQKIEAIRAAGFQGIELSFP DLLSYATLHFGRDVAEDDYDTLCEAAKAVKDLCSRHELVIMVLQPFANFEGWPAGSRE RQDAFARAKGWIRIMDATGIDMLQVGSSDSAGISSSFSDLAADLRELADMLAPHGFKL AYENWCWATRAPTWKEVWEIVKMVDRPNIGLCLDTFQTAGGEWGDPTTASRLIEGVSA ADLTVRYKDSLEELSRTVPSDNIYFLQISDAYKMDEPLADVVEQGLRPRGRWSHDWRP LPYDGGYLPIVEFAEAVLRTGFRGWVSVEVFDGKFKEKYGDDLMGFAHKAKLVTDQLL ATALASGDKTK QC762_704210 MFYYDTDALESDILVHEGAPKLTYHEYAAFTRPRIQQFQPTSAG SSAGEVSRCALSEDWEGRGMFELALRQNHAVDRDGEFVLQGDHVHPALRGRVRQVIAR RSLNYSSYEKGALDGLNLTRADYEALKLHPSTIQYLRRTTTESTFWDQRHEKLSIILC FSTEPRPAYDFMSFTYSIPDRTATMLLRQSYDPHIHDVDDLEQYGERMQACKPHWAHP LVTPVVLLQMQFLLSERAVAENEKDISRVEQDVERMAGFETTDSRPKSRSNSTSSGAT GGYSHPKRPTELMKNAHDAFKKSIKLLDTITWMDRAVGVILRAGDELEEVRYESENDI DSPDLQGALISASGRTVTGLARARIIEDPMSAHWHEIRQYLESLQQLCKSLETERHML EVRCKSQIDIIYAKMQQEDNILTARMAVTSTRDSSSLKALAVITALFLPGDFIASLLG MAMFEKWNDEEYDGDRLPETPERFWLYWALALPLTFIIFILWRTWWVSQDRFFRQHLS KELSEERYWTEDRRPRKLDHSFIRDFFTLSARRDEKADIPPPSPDLSHSQLAAESSSK TPSPPAPVFNLKRIAFAGTDTRRSKRNAFRGHSAV QC762_704200 MLGLTKVSAVLLATAALVVASPAPSPVIHLLPRQTIIPGGKPCG QNNATNRRCWKNNWNISTDYDNINPPAFNNRVYDFHITNVTNWLGPDGVRKPAMLINN QFPGPTIEADWGDYIIVNVYNDMQDNGTSIHWHGIRQYGESNQDGANGVTECPIPPGS MKTYDFHVTQYGTSWYHSHYSNQYGNGVVGPLIVHGPAAANYDIDLGPYMINDYYHET ADRLHLRAELVSNGPPPDSDNILFRGKNIHPLGASRGGSYDRLTLTPGKKHLLRLINA SVDNSFVISLVGHNFTVITNDLVPVNPVVRSSLFMAVGQRYDVIIEANQAVGNYWLNA TLEANNNCGRSRNLFPAAIISYQGASTTALPTNRGTPRVATCNGETGFSPILTRTVPS TAFAGSAVGTLPVTLEFPNHGRGQVFEWRVKNTPINVEWDHPILEYVLENNSSWPGAT NLIDVPQADQWVFWVIQNDFALPHPIHLHGHDFLTLGIGSGTFNINTMKSQLTFNNPI RRDVVQMPGNSWLVIGYKTDNPGVWLMHCHIGWHVAMGLGVQFLERKDEIKRMMPLDQ LVPNCDAWRRYAKTSPYLPKLDSGLRRREGEEVEKREPAWRRIA QC762_704193 MMAKVTANSPDDECRHYASTAVPIVMASIAWAVHNGLQDLLSAW EIYLIVLFAWVLVLSIATRVTLYTKSSTTPADLAYEVSNVSYEDVRGYLEDGCPRTRC LHNIWSDYELVPTTDQPQCNDEEPKTPSIWDGKAEFSCTSSDGEIIPNDSVTQHGIIG WEIRVLMIQHDKLQKRRLEKAAKNKSGFPEFKYWPRDPSLTYKQNWSNWYAARKEYRR LYPPSEEELAEERQRRKEQEERIEKRWYEKRGIPRPAHLPKICVVDVEGKVEDLDGMI GCGNACRRVEESYRKPGVDEAFLWPYWTPKNAQEEWEGTLIDEGEEVEDLWTSFGYKH DELKARLVWDDLQTY QC762_704190 MEPQPPSPPSPASSALKTIAKYKQRSFDTSLNSHIPLLESAKNP SSPDVVLIGDSMIERMLTTANCGPNLVSPWPSQTMLPKDNSKQFQAGRVLNLGVGGDK IHNVAYRLVGDPTQRLKSVADMLAARRSVKLWVLQVGTNNLSPKKGLGDGDVDALRAL VEALLDIGAEGCKVLVTGLFLRKDIPWEKIKQANEKIHQVVENFASGHPASVFWLPAT EEVKEEHLVDHVHLSEAGYKIWIGRSLADETMRL QC762_0104420 MAAAFIKAANAKIRSNPWTDYFCSTHFWGPASNFTIPLAAIADT QKSPDLISGKMTCALIVYAFTFMRFSLAVIPPNRLLFGCHTINATAQSVQGYRFMDWH YWGGKEKKLLAEKEATAKGQPVLVVNKVQNENHLEKK QC762_0104430 MSISICLKPRTCSTLKNNAQTSDVYTLTRLAVQGRGSRRGLGCA SEPSQAGRMWPAGDPQALPHPGNSNHWEHCKPTPNHPRDGEGEREG QC762_0104440 MVTGKLCCEKLLQPLNPTNHFFRANVGMRGAGEPIAENGGVIRV ASTLVYSTCQHRPGDGHNFWGVFFAFRVIGSTLSGGDFAPRTLLWRPHSTLKQDMFRL QQQLEIVVTALLWCQSIPTARSTYHTNPQQGQYGRGVKSIQQGHIVVVLFGGDCPFVL REDGVERGKHRTVGDGYMDGFIDGEGMTDEMAGRNLEFMIV QC762_704160 MRDLFTRAAALFLLFNPIYGADDGDDGYIGYRLDKRGDPETVNY ETANTGGVQLAEEPDVYLNASVSVGYIGVDVQNLTAKVNLDANVLKLLHFSAGVDASI NRVQLKIENVSAKVELEARLGNVVKMVDDVLNSIDLNPIIATLGQEVTQIINSTTDLL DGVGEGLGGGTGAGEEDAAGGNAKRSFEGSHKLESNVLFSVNDYTGQAHKNRVLAQDG SIYDEYLDNDGNEQSRVVVGSYDKDMEFNGHNRTIEEEGNVVEFELQYDYKPFPGVEV TSWIFVDTTGKVTRTQVIAEAQGGGSSTISNDADL QC762_704150 MRTSSRWSLLRAILLLVALFITLSAAQTESAEPAPSSEPAAEPS TETPSPSATPSSQTASPSTASSGGGGSGTPTTTTTPRPSGSNPPDVYLRVPELSVGRI ELDVDDLKADVNLNAEIANLVSINVGVAVGIQKVNITISDVEAELELVIRLGHLVDIV NRTLSSLDLNPLLINLLNNVSDVVDSVVGAVDGLLGTITQGGSTLRFLIDNLGNIVQE VAGEGTDIVSSIVGNYQKNMTFTGVAKELGNGLTQRTYRYDALGSLVNIIFNTMGQVV QAVVVGKDNSGGGGGGGGSTTTAPASSAPATSVAPAPTTSAPAEEGE QC762_704140 MAPTTEPVKTVEWQGRQVPVWPMRTIKYDLLLSQDPEEVEKVLQ ACLQDGYFQLDLDSIDGRRMLEDREQLLKLMNRFFDAPLEAKNEYGLIDSHLGYEPVG NRTGAFGAGSKDGYEMLKVSRDEIQQGSPRVPSPIKNSGDLHQLEQVIGSCNTITKVI LAALSTGLNLAGKDRFENSHRNDRPSATTLSMMHYLPAEITGQHKVGHQKHTDISSLT LLFSDQWGLQVRPPGECGALEMGFVEPQKNCAFVHVGDSLRFASGMKFQSCIHRVVPF DPTEHRYSIAYFLRAEDDTMFMDSEGRYVTAKDWHDQKFKAFTDPWFYQAQAPKTMIL GGMVEAGADDPEPVTAYAPLPVQMPA QC762_704130 MALTEKHNVRTTLNYWDDPGDGLPPTPIFIGEGKVTNKRPHLPH EFTITDITGDEDDYRLDTHGFQYCRHKSQEEEGFTSEESIKSVYYEECKELLKDITGA SHIHIFNHKVRRGPTQWHHLGFNGKNLANRGPVTRTHVDQSYVGAERRLRWEFPDKQQ ADELITRRYQIINIWRPIQTILKDPIAVADAKSVPDSDLVGAEMVEDGFQGESWVVRH NPHHRWYFKYRMTPEDVLLIKCFDSDTSVARRALHSAFEDPDCRDEESRQSIEVRCLV LYSDSPCVRVHQDPKRGSR QC762_704120 MAQDGFVLVSRESQITKKSHAAANTAPTLQHEGPHDHLAEIASF VDSQSEKLWHLNKFIHSNPEPAFQEFKAHEALTKFMRSRPERWQVTSSACGIETAWIA VYDSGRKGPAVSFNVEMDALPNLGHACGHNLIASASLAGALATAHIIEKHSLAGKVYV FGTPGEEGYHGGKIQLLNRGAYDKVDISLISHPSILNNSPFVRTTAFCRLEVEFFGRA AHAANAPWQGINALDALVASYNSISMLRQQTQPSDIIGFAITNGGGDATNIIHAYSSA VCTIRSSSASRVDTLADKVGACFGAGAQATGCTVEIKVIKGYKDHVPNMHLAASFAEH WSSMPDPYPVGPELRAHERGYTYVKASTDQGDISHALPSINVSFAIPAGPEKGGPHSA DFEKAAGTKWAFDRALRVGKGMAGVAVDVLTRDGFLDTVKGEWRERFGVENKL QC762_704110 MEYYTFSANDGTTLAFQSSTPLTPSPSPVNDSIILFLHGFSGSS AYFTRNFPALSERSWVLGLDTRGHGRSSHSKGGYHVARLASDLRDFLLHIYSLNPGKK YKITAVGQSIGAAILWTYIELFGDAEFTSFLFVDQAPLQDRDLRFEWDEKKAHRGCYD EESMLAAQAAWVERPEETYVGLVDECLGYRYQPSSQDRDRSPEERQQNEEYFTSISRV CDGRWLARLLADHTRYDHREACELITKPTLVMAGRRSGCFSLEGMREVVERVKNGRGV NMESKAQMSVFESGHWLFWEEPERFNQEVLEWVRRWE QC762_704100 MESLTAKFTTAFRSERLIYRAIDMQDIPLVARMLWDPVNQGFGD PTLYTPLSGTVGSVMVQKGLEASLLAVLICLPNPQAQQDVAPGLPGNSLRAAQESAIP IGSLMLGKPTAPQTDHWRWTRMGLGVAEEHQGNGYGKEAVNWALDWAFEFAGMHRVEL TTASYNDRAIAMYKSLGFKEEGRKRETIYMDRRWWDMVEFGILEGEWEALRGKKSGQS GGQRIFQGGF QC762_704090 MLLRSDRANPLLRLSPKTRRRIYEFMGVAATEHVAASLVFNLHR NHHISATDKLVERHLGFHGLLLSCRTIYKEAAGLLYAENVFVIHSSPGPSDPLLALTG TALGSLTCLKVVLNHTSCHQETSGGDNVADCCLATDELPCVGRSWCERTHSKAHQPPL RSTDPSALSLLLKWEAAARHLSQIPQDRLTLFFVCDVDHGDVEAGKLALAPLLTLPRL KGCHVRLGNRPDFELQNLVDEVVQKCLGLVPLPRTQCSAASPSQVASHFLDLPRELRL RILGFTNLVTPIKEVSWDGQAYRANRPTYSMRHQAGYNNDLHISCRRDHHHGCQFNNC WLECTTPGNYHAGCFCRLQHTAISSHCRCWAPPTDLFLVCRTLYYEAQHVFFSTNRFI VHDYGDMLDPDIIPSLPWVDTYPNTRLTASRFLRDQVPSNSLASLRFLELVFPAYMHH SWPSSPDHPAITDWVDTVEYVNDKINGPGLTLRVITINPPGTPPVGHDRLTVDQGRQI EQAYHNILSPLARFQDSRRGPLHRFYAHLAYPWAYTEDTMTMMATDVQKYYAFIRSQE NRLKEAAEKMVLGDDRYYKQMSFNACSTRLEPLEAPEPHTGDDSDAQSPTIDYFHEVS HEVLNKPLEVWEREEREGKEPRISYWHFCHEVMRSSG QC762_704080 MSRPRSLQPPTRSGEPPLIEEPGSSRGWITDDQISYHGASSHAP QPTISQEPSWQYSLQSIMAPPVTSYDGIPLPAPRTSSENESSRATAGYKDKNRDIEGE GELPPEDDVVVPEYSHSAYNYGTWSAADDRTLVLARSRGKHWVELQRTYFPTKTANAC RKRYERLMERRGALEQDSQRLERISHEYMALRKQMWTPLADRVGEKWEVVEAACMSAG IRTIQSNARSHTNRWRKESRASQKGREAPLLLPRGPLNTGMPTLPTSEMGSADMAGDF ESPIPLQGPVHYQPHRDVGLMPPPPFPLSSSGSLPANGTTPSIPFAGYLHVKSTRRPV VSRTNTEGRSTVAEPGWNTSN QC762_704075 MSRQSRTPSPPPLMVTHVDERLEGTDRVSAATWTREIMWDDPYP PTDEQLEAFGRQSYDWLDNKYGSRAFIGQSRRTGSLLVATMYIPFGLDGGVIYQSTIP RGVFRQEISQYGSTYAVNWWHAASSFVTVTNPTTRQREVRSNRDGPHPDHIHAEDVLY YLVERNYRDRFIRGGKFYARDGPIRIIVYGFRPGYDYRPREQQTRDPYIGVQELCAPG HQKNACCQDICARLGCTWIKPRILRVATSSTSAGGGGGGGAGSSRAPPPAGGDGSRRS GPSSGRPGAGGGDPYGDSSRRSDSTRPGHPGGMTELTMKMSGMSVKSSRRDDTKVRTD GRHSSRKEEVRSSSRREDVYRDDGRSSTRRSERDPYRDDGRSSRRTTEAVYRDERDRY GEVRRVIRG QC762_704070 MVHPKHFHMELDEHALLEEFDERVKEGVVIYEGDHQVIRETYNG FPFEFRILKGHASKPEVSSSGSTPSASSATISSNGWTAHRPKTPEYLPGSDISLTGFE VRNSNADVGLGHYMIFNKFCAARPHYLLLTQNGHRRQHESLTFDDFGTLWGVLSVLNK DWEAKKPGARRYMGMFNCGINSGCSRLHKHMQVLAVPDEAEFELWPDSASKYEEPKCI PFKYYKHDIDGRLDELSKEKEAWERYFEFTAAAQEALMKAGYIPNAPDGVDATEIVPH NIILTREWLLVIPRTQAGMNGADANAAGYLGMVWVSDEGRMRKWTDQGPVELLTRLGL PNDI QC762_704060 MAGDSQQSGSIHLLHAPKEGLIELDIIAVHGLNGHYLHTWTHTD APAKPVHRWSRLLRKGAAKIDTDTVWLRDLLPEKLPNARIMTFEYDSSIFGNRSTFGI EENAAKLLEELWNVREDEAEGRSIVFIGHSLGGIVIKQAISTANQNRRRLSQPWYADI ADCTRGIVFFGTPHRGADKTKWLGLVSRIVQTATNQPKSRFINVLETHSAHLLEFSED FKPYVNQYAIASFYEEQPHRLLGSLVVEKMSAVLWLAHEEAVMMGGDHSSMCKFGKND KRFDLAWRAIRRASKGRPDNNSAVVM QC762_704050 MAQPKRMLIKELHTPDSSDLDLVLIHGLNGDPIETWRHQDTKQV WPQALLPDARPKTRVLSYGYNGDIYLNNSAANIRDMARSVLSNLDAGRRSDPHRPIIF VAHCLGGLIIKQALCFARAERRFHNIGDATKAVFFFGTPHSGAKKQDWKRIAESYSVL SPRRGSVAPIVNAITTSAPRLGKLCDDFVELTDRYLIVTWYETVFWPGTKKCIVDQTS ARMMAGGNEEAMPVEADHVNMCRFSGGDDPTLQELLMFVQRALGKEERMVPLGQIPSG EVSGTQGRTARTTIRQPYTIFTETVRERHIMIGADLTGQVHSQQRSVTVESGASEAMT LGPVEEVEDDDSNRANHAQSPSQIEAPPVSEAEEMPWGAKLDASDSGRDLRRPNFLKR FAGRLRSKQTLIR QC762_704040 MTTSIYRPRQEEKAFDDSGGSAIKVLHPKNEPTARVQADVVLVP GLGGHFIKTWQAKDDLKTVWPSDLLPDYVTEIRVLSFQYNTSLNGTMSMLGITEHAND LLNWLYNNREDDEPATLRPIIFVGHSLGGMIIKRALYVARFVQQRYRGIWEASRGVMF FATPHHGLDRNTWRDFARFVLQHDAPVKGAMPTRTMVRQLEQNCDNLRDITEDFKPLY DSLAFVTFVEEEPMPGLKHVLVNGLYGKMHHGHERHDMLAGNHLTICQFTREETGAFR LVWDGVEFLKKDKPNALDMAGYFAKRALYSLSSDKFHAYFLGRPHTPGTGDWIKERPE FQYWCRGEAGNGKLWIHGPAGSGKSFLTKHIITHILQPNDIVRSDSTVPHERQEVIHC FLSNMYASRNNIDALLQSTLHQALRLQPQIIKEFLLPTFEDAQSRERSKGSVWTAERL VKLWPIAMARVIMGYQHTMTLVVDGLDEIPSDDQTAFLQCIKKLDQGLGQSKQLRLLV VSQHNSTIQKHIQSLGINECPIQQGDNEVDIMRSISGLLTRLLEKVAPGDEDFRTRVL GDIKGNRVDDSYLRSILRSEELKRTCVKDKDDILKALKEIPDDTQHLCDRHSDRLLNC YDPDTSRFIKNVLTWAAFQEDALNITELNTALAVAKAMRKFPGAVITEKQLADCLDEN LKAKVDFYCGPLVNFADGRLSSLHRTTKSHIATKLETIENNPEEPTPQGLLASSCTMY LSMQEAERPAGESSETSWESRIRKTLRDRPFMRYASLYWHKHYHQAGEDVDADTQRQK ELLTQEGDSRAKSWTEVWWFMRRGSEQAFPEEDLRLADKIVNSPPDNPGGGDTQVSGS LPLRHQTTRRQADTSGCTLPPHERSRHVKRASWKEDKIRLQSSQPMIIIEREIIEVER EVLKEVPVEKQVVREVVKEVKVDVVREVKVDVVREVPRDVVKVVTKPEVQQIDRIQYI EKKNRKQRGYFGNLKKAVSSVGTSHHSSDDFVWPKLTRISQARQSGARILTLTEIRLL LMTYIPTDIRNATDMS QC762_704030 MDANAQLNPQARLALERVSRLEVITKENTSRKWELEYQCNDLKD KVRRLEAQLRDSIPLSDPNNMNPAMIPATALEVSLQHKIAELGSKVKKVEQRLGAEIS QNWELTYQCEDQKEEISRLRNQLRKYIQVDDTEFTFLSSPTALEKLLEERIRELEGGI RYRTGRTRSKSF QC762_704025 MSETNSNQQLEVYTKPEGGFFSLTSITEAYKKALSVAYPATKDI ADEFSGRIPDIVNKARQRVSDLVFNVQELKWEIEQARRTIVETNDKLHALLNQEEIKD DPLEWDIEEQKKNIDGPPEKPTKRYYTPKNQEKFA QC762_704020 MHLPMQFLLASALLTGLGVFARGPPPPQQRMDPTSDKLEKCGCT KIANAIMKCQGIDWKNTRSDKESRDCVCISNEDRENAWYGYIHNCRACLSPGSYDIND FFDNFSRTFSQLLVSCTNAGGGVTADGSSICASNYYFEGCVSLKPNGNSWASYEVFGR NGDEAQKGNGTRALNLVNSNGDDSDSDSDDEGTSTTLTLTTSTSSVTAPTTDGQDVTT TQTEESATQDPTTSTETTPAGTTAPAGSSAMSGAVVPGFIMAVALGVGSMLI QC762_704010 MSMFFAPAFCAPEEPNFMPLFRFLDEWEQAQQQQQPERKHQQQQ RPKTQQPQQPHLQQQPRQRALPQARPKRFQPAFDVREVEDAYELYADLPGVAKENITI EFPEDQTITISGKVERNYEQLFAPSQETISENPEEQPRPRSPYQATVEDDTEDEEYDA VTPVTSRPASPTTPTAIVKQPAQQQTQQTPTPAKYWLQERNFGEFSRTFQFPGRINTD EVTAALEHGILRIRVPKAKAYERRRITIL QC762_704007 MCTDTEKISAGPPAEQKETLELLRILAAQVDGAVGAKAVEAAAS HGISIGYVDVTDKARLRSGNFVSTAWKEEMNLPQTDSTVAISSLRAGGESRVTAGNTY AEKDSFWD QC762_0104640 MSTLKMKSFNICLAIAVIRSTIVAAIGDTIPCICNSGLLKGNGG DCNQWCGDACLKYLREELLIMDLAHCLDSTIKLEVSAIAAAKAFAKFHRSLEVTRPGR KRIDGNVTFGAEDLGWSSIPVEYSSPHFNAVTSMTTR QC762_704000 MKVLTQAIFLSGASTLVDALPSAGLVSPRAPPAEFTANPNVGPG GTRWKDSPHFRIYGATDNAVADRTIAMLEAAYTCFVNDLGWRSPGLSYKQDHDNGPWH KLNVYQVETLPGAAANTPLDLNRGLSWLNVVKAWMAEPGVVVHEFGHALTYSAGWWID QYRTGAWWETVANFVADTYLTSPHCAPARAKYNQPEGNTIVELKKVIGDSYQVIVDGT VDTANHYQAFPFLTYLHSNPDQIQGLGTSIFPGVWTQYKRYSDETPLHVLERLIAPGG TKIQTVVAKYWARMAFVDINHPKAQAMWRSQRSQINYGNLDNQGNGRYRVKSARRPRY MGANITPLKTNGAVNVSVTVTISGAGKLTSFLAVRQTSGAVRYVELVNSSGNVSVGNG EEAMLVVVNTPANLVLFDPFKLTAETNTGVDYTVQISGATV QC762_0104660 MGPTYQLWLAVALISSTTAQSPPSTTTTYPTTITTTLTTTYTNP STPSATTIDHITLLDPWPLSPDFESIFPYPLTQTEILSRTIIHSPSSTSISPPTSTTL TWSLWAVQAFDMSPYVPPICPGPEGEEGCAYGSIKPHYRCEELGLETRCARQCELKDW IWWCRLPRNDGKDSLDGGLGGAPVGRVCADRVDGRGGEGGGGGLAWVPLVEPCDHTDF KVGCKVCRDEEEEEGRVEWRD QC762_703990 MLRLLLIYLAYCALNVFSAPPSSADHRTDHQSQSQVLKLGDDKP CTWDDTFGEVDCGAFTITFGDGSFLGWKLYTTLRLPNFSVHFDLNCTHMGDVWTTAPN APGLPYVVSIHGGNGCISTDFWWTDWDNLWIKYANQWVDVASDSRCTSVYWNRKGRRC VIETRPADPQPKSGVEVGGATVRG QC762_703985 MWASLLCSALLAAIAFPLIGNSLAIPASSHSFKNQLEGRDDLEK RDELDKPPIYPPFSEDTQNKHLRFDYPWMHWRSRPWEEGYYPIHCVHEAQFNNLWILD FQVRDVWFEDCGVPWTVCRHKDAKEKWYSILDTLSQVPVGMRQYVANLVILPGPIDGG QGFTIQAAAYTRGSVLVFSPTYFKLGVLFHEITHIMDMVALAPFLESQGFPEGTPFSR TKYWKYAYGNDTAVPTPYSRASWQEDFADAGRWAMSDISRYRGLREYSKGWGACRTQI RAFQWWMMPMIFPKKGICTGKVEGGYAVKVVVVEGQNPLVSLFQPGPESREEGRKRPN TKVAGSGVAPIVLPAGAANMFFAYHGA QC762_703980 MDPETKELLDGHPLTDFFPLIEEKTLGFVFDHGDADKNINCINN IAVSLPWWVWPTKASRSKSYKLGRLFGVTVNRTVVFMLIQCVWTLIIIFLYDLYLSLF LGDSAKHSAVCKTELTWSAINGPRVRKCPPIHQNTPPATTACCPPVKHGNFNNFTPAS YAAARSPLTLATLPPEILMGIVECLIPTPPEIGETGPVALAQMFEGEPWYEFILCRRA LASLCLVTRTFREMAHPLLYRVIAITNPRTMLLLFRTLAEFPHYGLHTRFLSCHITLT RNNVIRGVKEAMHEQLGRFKPSDAPGVIAQYVRNSIIQAGSVCSHWMQPYYDGLPQNI FSMVLASCKRVETLLLEVPICDEDGDYNILFHKMHGDLHEFDLARDPSVKNEERPYQH IHTLLLQGDTEMIKHLEIDECDCDIPDNYGVKCNTYFPLFAALPKLATVEVTCDSGDW TNPIVNLNQNIMARLHAIHPGSTQFYKTMASLPPDYPYLGGIKHIYLHNSMVMPHHLH YLLKFAPYLETLYVTPRRIEDDGPVRWPRVHGPEEEDHESLNLGLQQYGKKHLKNLDV GWTSLKNMEKLVGPEGRIICLPELKNLEKLCIQLETLYGNMKNAAVLPLLSLLPPNLM ELTIEDWWWRYEKLYFDMKMWKSKKKVEHYTREKAYRQAAVEMLMRFAKSLDVTTNRL KKVMLVCKIPWTWVLEGAVEADEHFMGVKLAFEEKGVVFEVDCDELEEEEKRPKRDVP VYQPCPRPNAPEYDEFDEFDEYPYDDYPY QC762_703970 MAARPNQRNIKWVEGLRGVTSALVITTHIARALDFPLFWPADSK GEAPRLLQYPYLRIPYQGRIGVPIFAFLTGFVCANKPLKLAYQQGNAPAALKTIARSA FRRPPRLMLPALIATLISFFMSVLGAYRAANRCDAFWVRFDAPDPMPLGDNIRRLFRS SLTTWTNTENVYDRHQWAMRPLLIGAFQVYIVLAATIGMRFKYRVLVHVLLITYWLMN VGHLTETFGAMLALGTLLAELSQHRPTQNFITSHQRLLTCVVAPFLLLVGGYVGSYPQ EHEDWAPWSMSLHKFLLNPVDGQNQGSFLVPKGSNVHRRTSAFFIMCTSISIFISPFI QKLLSHRLLIWLGHHSFAVYLTHGTILRTVGMWIVYGITGEPWEPAGKNEDGSQKQQE YLHPKSRAHKMASILVFTTLTYIAAWAWMKYVDTACARATQWLEKKVFDDDNEEGKAG LAEKGFLLNGNGTSPADGDRPKQAQS QC762_703960 MMPHFGLVRVASPGGWSREFIFCNYCLRQERNEDTTESYCSLHR PGRDQTQATPSLREDHVENAIQTTLLAACEASESDSHADNGEPLVLSADSPLSQPSSS SAEKKHQTDRPAESRSSSPPTDDTPDQTEPSRQSSAQTIPSTAQTKQPGRRGPGRRAD NLRINLPNPPPLPEPGQRPSREVLARHGVIVPHIPLVPALRPSKQPSATATDLAWYKA HRLCTNCHKEVDDLHFSKCSACRAAGLERARRYQAKKREEKAMQMKMTKESQGRNGTQ SSERQSEQSNARTLCLPRLTTTPQPIHLMPDASARDRIYMTFIDTLPRRRSTGSGQRA VLGPSREQDLYLHALDRLTDIRLSTTSSSPSSLPEAAPGPHHTSPPPHERPRGYSPPD PQPPRNKSNSAWRMSSGSLPTPAPSSSLFGPQSSSNAAEQQSSPFRRRGEEGEYQLHP LLANIPPEKWSLLPSSWRPEPEMPVRGGYPSNAGNWGVPVDHGCYNRQQRGLLGDVRQ DCPYRYHQPSTAVDGSGERQYDEGSGGWVDGPGAKRLDMNVVDPALVSVQEGMMMGGL EEVDIEEFVSLSGAEEGVVERVVGGSLGRVKIERVGGLFVFEKEGCIPT QC762_703950 MDPSYEELVKQLQEAERLRAEAEQNAIAEKQRADKQAQQVAELQ EQRRPTTLIEYFQLCHDHLSTKFRVQTDRRFTTQGIWTDPAGKYCPRRLAQWDGFLGE QRAALGKVIAFFPETLQLLESRDFVQGIGSRLAAGSPIGSERDLERFHHTAVELPVQC IIDQFTHSQQHADSIPPLLGVGDGIFFENHMNILNSDTTPSTSAAPSTPPPPPHVPPT NKISIRPDQICVYRSLNGDRTLAYVLEYKAPHKLTAQHVREGIEDLDVVRDVVNCVEI PNNDDDRFRYFARRLSAAAATQTYHYMLQAGLEMGLVATGEVMIFLKIDWANPSTLYY HVAEPTHECQAQPAELIPYCTAVSQLLAFTVMALLGPGSQGQDARDRAISGASTWNED WDEILARMGNTPAARTPPSSGRCWEPRTYVGYDRSPIPFRHPRRKKSPQADEPPPSLG RGQGPPPPDDEDAGPNPDSHPSPPAGQRGSKRKRSATQSSGATAAAVATAPGGTQTQN RTHSSQPQTPPFCTHACLLGLVRGGALDPACPNIALHRRPYVESSPHVQSSSSSSSSS SSLSSSSHIKSSLSPSLRRQKTHSLPIHDFLERLRQQLRATLDEGIMPLGRYGARGAL FRITLLSHGYTLAAKGTTSSSVRFIEHEARIYEQLQPIQGLYVPVSLGTIDLRELGRR YFYAADVHIIYFLLLSWAGRDLREAREQPSSVGIRRNVIRSLQSLHALGVAHGDVRRE NLVWSHRPSDPVMVIDFERSVLTKASCQLGLLGGLEDGEELPPECLSEDDKLFEQDLQ KATYIWV QC762_0104730 MGLAIYLVIFLLLIAVLYYQATKSIPSRLNSDQAPKSRFELVPA CASGLPTRADGIDIIFVHGLGSNPDSTWRATKHATRQATTADIPEEAATDNEQFVNWV SDFLPSDLLPAVSRDVRLFFYNYDSYWKRDAVHTRLTNLGNELLEHIGGIRMSETEQN RSLIFVAHSFGGLVVKRALVQARASRDFGHVAEHAQTIIFLGTPHRGTSFGLWGWLAA KGLQPLGSNPSILADLEYDSFSLHDLHKDFMAVAPDDLRVFNFFEKRPTRILRLWFVR LERFCVHEQSATYEGRNVRNIGLSVDHYGLNKFASKNESYQSILSKLTESIRASARPV KHHYAVPLGRVDTYTERVGLSAEIEQKLHIRHEKASVPYAVSLYGLGGAGKSQLALDY AEKHKHDYNPILWIDATDEETVGSSFKICAAELGLTVEGGENQGSIITDAGVRAVLRW LCDRSEADDEWLLIVDNADDVSWGIQKVMPRGNRGRVIITSRDEQSTKLVGGTCESVR VGDMSPPEGRALLLRHLQLDEELAPGGIKDDCDRVVKKLEFLALAIDIAGAYIGSHSP SDKALQRYLADYERHRDELLQTDFFRGLLATEKTVWTVWDTTLEKIAMENKGLRPDVL LTFLAHFKGGIIQDEMFRLASLGMEKVKANMGEEESEGMPFKLQQFLRLDEDKWDDFR YQQSCRLLLRYSLLQRVDRGWAGVTMHGLVRWRAMLNHRSRPWRQWYMVFVLAACCQN IEEEQPVFRRHLVGHLPNIHGDDGQEREKNILRRRPGRWEEAEKLEVQVIETRKTKLG ADHPSTLTSMANLASTYRNQGRWEEAEKLEVQVMETSKIKLGADHPSTLTSMANLAST FWNQGRWEEAEKLEVQVMETSKTKLGADHPDTLTSMANLASTFWNQGRWEEAEKLEVQ VMETSKTKLGADHPDTLTSMANLASTYRNQGRWEEAEKLFVQVMETSKTKLGADHPST LTSMANLASTYRNQGRWEEAEKLEVQVMETSKAKLGADHPDTLTSMANLASTYRNQGR WEEAEKLFVQVMETSKTKLGADHPDTLTSMANLASTYRNQGRWEEAEKLEVQVIETRK TKLGADHPSTLTSMANLASTYRNQGRWEEAEKLEVQVMETSKTKLGADHPSTLTSMAN LASTFWNQGRWEEAEKLEVQVMETRKTKLGADHPDTLTSMANLAFTWKSQGRHADALA LMKNCAQAQQRVLGNEHPYTLESLATVAKWSS QC762_703930 MVGVPGRSKACHTCRRRRKGCDFERPSCGQCRRLRLQCDGYERK TVFVHSGPATVGTKKDVATTLIRQALSANGTKNNPLESKIGAVTLLPPGLVSSAYKSN YVGLFWDMYDPSSHLRRDLGSAISTTSWLRKVHSDKGYQNSPLLQTSFLAICLGTVGQ RLKAQHLIQNGMKAYNKALGGLAKSIAMQAQSKQIPDDTTIATTRILSLYEVFFGSDP LAHASTSSCPDTTLVKPQGLMEPLFMSYNQADAWRRHRFGELALLESQSPEMYKEGIA HQMLADGRLSITIAAVGIHRSTILAREDWLTVPWTGSHKKTGWDLLLDIFVLLPGCLE DATRIEVALEMNPNHSNFHVTPARIASSVGIQALLMLNKKCKHIHSQLQSWYDNHAPP LWKAFLSSSTYPRPVNLAYPTSHDPPSADDISTAHMMCLYWSTKIKITLLILQVRKSL AGLQVDVSRIDRSDLRMSITQDSKHIMRTAPIFFEKGAGMAGSHIAIFPLTVALKALL MMGEIGVAEQRGMVKELLVRRAAESGLSVGPFVGSLRVLD QC762_703920 MSTKEKMADGARKIYNPLGFKKFYNFVLFFIFGGALLGFTLARF QYLSFDHGLCPEGGGTLDCYYYTPGSLDKIGIQIHLSAILPASFLAVFQFVPIIRYKL LLFHRVSGYLIVLLSAISTAGALMLVRNAQGGPMEIQLAIGVISFMFIVSIGLAIYNI KRLQIEQHRAWMLRAWSYAGSVVTMRLVMMAIAHVLSTYEHLGKGYSHAIPCVKVTYL MFGQVERILEKYPACAQFFDGSVPGQAVAVTADANGDLAELTALYNMIFGAAFWLAFV LHAAGVEIYVSSFVVQQLHLTPAEADRLRNVSYQKQLEAGMKKPGRAGLTADKLGDAP RWIPKSTVSVSAPGSGDAASDENLTK QC762_703910 MDRSSSSTIAYGRPPQPGYSPLQQDGIHLDPNDAGPAYLPVADH TTTPNKTSAPPSPVDLDQDEDPQPTDADTVTPDTAKSCDRLRPEEEERLRPRDSMTNM SSLLIGKTVTPFLREHIPSLYAPIGKPNNEETARAKNPNTRYCYRHRPDSKCRRAADE AKMIMIQNELDKLTPADQQAITHVWSLFSAAPARHRELMLQGVLSQLCFPQLSLISRE VNEALKIDFITALPVELSQKILCYLDTVSLTKAAQVSQRWRQLADSDAVWVYMCEQHV NRKCTKCGWGLPLLERKRLRNYTRQRQMAKDNSNGRIEEIHDSETSVVTQNGKRLADS SDDEPDGKRRRVDESEFKQRKWKDVYKDRWEVGYNWKVGRCTVHTLRGHTNGVTCLQL DDHILATGSYDATIKIWNIETGEEIRTLRGHTRGIRALQFDDSKLISGSLDNTIKIWN WHTGECISTLAGHTDGVVSLHFEGQLLASGSIDKSVKIFDFNSKEAFCLKGHTDWVNC TRLDTASRTVMSASDDTTIKLWDLDTRRVIRTFEGHVGHVQQVLLLPPEYEPDDELLN GLSGPGDNSDSVSVSSGRSGTPTVSFVHTDRPTSSPARDGELRALYGAGFESETTRPL PARYFLSGGLDSTIRLWDSATGRCLKTMFGHLEGIWALAGDTIRVISGANDGMVKCWE PRSGKCDATYTGHRGPVTCVGLNDSLLASGSEDGEVRLYSFKAPN QC762_703900 MATKVSGGKDGQKKPATAATNLIAGGGAGMMEALVCHPLDTIKV RMQLSKRGRVPGQAKRGFIRTGVEIVQKETALGLYKGLGAVLTGIVPKMAIRFTSFEW YKQLLANKETGVVSGQALFLAGLSAGVTEAVAVVTPMEVIKIRLQAQHHSMADPLDVP KYRNAAHALYTVVKEEGFGALYRGVSLTALRQGSNQAVNFTAYTYFKEWLYQYQPEYV GGNLPSYQTTLIGLVSGAMGPLSNAPIDTIKTRLQKMKAEPGTSALQRITKIAGEMFK QEGFHAFYKGITPRIMRVAPGQAVTFTVYEFLKEKLEKSGPSVITGGRYEE QC762_703880 MQLTTVLLGLAGTALAAPALEARDAVSAMAATPQWVVKSFTRTC NKADTSCKVTFGVDTQTGAAVTNCSYTVTGAPASRAPTNGVTCGPYTLSSSWSGQFGE GNGFTTWSLVDWSKKQIVWPAYADWELVNGKAVVPDKSYAPQTLA QC762_0104790 MDKVKDTMRKGFEQATMINEEPAPEKKHHALNTTYPAQAHQPSM VGGTDMHFNNTAHTGQTETGKSSLGQPSSKP QC762_703870 MLERKPSPNLGVSRAVVHRPHWSGVRGVRAQKQQKGMRCKVNRN TKTPHITTTRLVDAGFCFELLLCLSARKPIPVPMDPEFLVKLLRAYDASFDAAAVRAA FNGSSTGNQFVQWATSHLTPDTLLTPDEFAQYAALEKAGMVDKLASSSDLAAVQGLTD ENVRDAIEQLDRSTQAITKQTETLKQQREALDRLVAADRQTRQERRVFESEQDRKYES QRRDLTLAVEELSQSLDSQLLELEQQTTGAGPAIQQTVDTLFRSDDKLLASLQKLGWE LDTKDPEEQNHVVMLRETCARDSREGRLIKCTVEGVRTRLDRIYLETLEEKNSGSSSR VSPGEVSSLQEEVESLYSEILPVAQMSVEQQFLEPALKKVEAKNGQEQAKSKQATGYV HDCLDYLLDHVQDLSARLEAFKAYQLAADSLLEIAQSEVATKVALATPRSRRPTVSQR GVMDSPVRPRPRHARRSSGMGGAMEESPLDEILRSLAISLPHEEEGTPDFPARARELA SILAERRSKTEDIAKNVQESFDYTATRQIADGKVAIQLIRDSILAESPFGHVRLVDPE IESSIDVLSQELEKIRQEKEGLNNSMAKLRARSAKKDELIARWGS QC762_703860 MASQATVPTPLASTSTVMNTDSGRLLVSPPQNSETAPPRRYQEL LSRVLDGGIAVGIAVVAQLLMAGIQGVLNVNSNKVEFPPSVVAMAAIFGLFCACGCIF PGAEDFYRNHLKRPADLLNRHMSIGFTIPFLMICKGSLTDVWVIGPIIGCFVLTGLFN TVLSYVLALPLQCLMVRWDSGSWSSSDIEKGSPTTEKERQNPKLRSPVKSVCDSMDTE DFSLGVTPPQSMTPDSKASPTHPVSFSTSAKLWCLANPMLLLMWTLTLTIGLPLRVCL ALDTPLSTLLLFALWLSTLAIQSSLKTSPYLRPFARTLLSGLFNAVLWTSLTMAAYLL LDGHLSSRPLQAMLTTLESHNPFSSALLNSFSVPLTAGDVALSILNAGLVSWGLKLYE YRLQLLSRAGLTVFTVSSLIALGNVSCGPLLAHTMGVAPQGRALAFAARSVTLALGNP VLDTLSADKSLNAAMVVISGIVYQMSLGLGVGRFLEKHLVNIGGRDDHTNDTTTATTK GENDPRTVAAGVAVGINAAAMGTAYLYETKSEAAPYSALSMMALGIMTVVFSTIGPLV SWVLGSVGA QC762_703850 MNGNQQETGLPPHWEVRHSNSKNLPYYFNSVDRTSRWEPPAGTD PEKLKVYMATYHSAKAPLPTGDAQSGKIRAAHLLVKHRDSRRASSWKEAEITRSKEEA MSIIKAHEQRIKSGEITLGELALSESDCSSARKRGDLGYFGRGDMQKEFEDAAFALQK GEISGVVDTASGLHLIERLE QC762_703840 MASSPAPSASGEQSQLHGQKPLEQITFRFCSECSNMLYPKEDEA DRKLMFTCRTCNFSEEATSSCIFRNVLNNAAGETAGVTQDVGSDPTLPREQRTCPSCN HGEAVFFQSQQRSAETGMKLFYVCCYCGNIYQ QC762_703830 MIQLKSMLNCIDNSGAAIVECAMVIGQKRHASIGDRIVVVVQKQ RDAGAAGMAAASGAKVKRGDIRHAVVVRTKQKVTRRDGSCIKFDDNACVLINKSGDPI GSRINGVVGMELRKKKWSRILSMAPSQA QC762_703820 MPPRSPAQGKKKLTLAQLAAYDDILTDALIDHTYYWTTIPKNRT SYHPSRGVREEEIAKLIQTHLIINSDLKTAEETLLATDGLKRFYNGLKTSKEKDDFKA HMRRYMSIYLPDCPFEVNATNRYTIVTYEASIAARRFIRRNETIKYLAGIQVTITPEE EADMASRKKDFSLVVSSRSKSTSLFMGPARFANHDCDANARLVTCGQAGIEIIACRDI GVGEEITVSYSESYFGEDNCECLCQTCEARQVNGWKQDEGGTSVKRSIEDDLAASQGY SFRRRLRDESVAGSGSRTPSVTPDIRPRVLKKRGSQMVLSDRQSTAESTEGSGPGRKR GATALGTPPITPAKRLKTMQYDLPPTTSGSPISRSSSESELSRSPLASEAGSANLTDV TTPASDSADGLILSPEPTPIKQAIEILRNEQPTAEIDVQQLPGSISPVPQKSYGFPTI LPTTEVTPPAEELNNTEATKATPPVEEVVPVPPPSDAAAPTTPVGKAKGSKKRQAAQQ RSPRPAQKRRVPGDYTLTPLLLSEPETAWIHCTNCNTAFVQKDAYFTRANCPRCERHS KLYGYVWPKTQPAGKNDKEERILDHRVVNRFLDPEDEARARGKKGWRAGSQRESTMDT EGGSNPPQRGRARIRESLVPKAEGATAAALDGVRRSGRARRASAKVIGDA QC762_703810 MADSFNEVIVEETPATQQTGDVEMTEEGDAPAAATETPAAAGST ENADLPFAEGDPDDTPAPRITFLQYLRSPVVTLLIGNNDEETILTAHQGLLTQSPYFA DRIAEFADDGSPRQIELPNEDLDAMGCFLEFLYTGDYFPKKIAGQRSLEKDASIPDVD YTGEQLLKHAKVYTLAEKFGLNNLKNLASSKIHCVNSTAKGEIAYARYVYEFTAKDDT SIRAPVANFWATRSHTLRAEAEDEFRNLCLEFPRFGYDVLTRVLDEKLKRERNEKMHP AAGSGRKRPRHSSATNA QC762_703800 MGDTPRNGVIVPKSNGKASYAEKHKIASHFIGGNRLENAPPSKV KDFVANHDGHTVITNVLIANNGIAAVKEIRSVRKWAYETFGDERAIKFTVMATPEDLQ ANADYIRMADHYVEVPGGTNNHNYANVELIVDIAERMDVHAVWAGWGHASENPKLPES LAASPKKIVFIGPPGSAMRSLGDKISSTIVAQHADVPCIPWSGTGVSQVSVDEDGIVT VPDDVYLKGCVSSWQEGLEKAKEIGFPVMVKASEGGGGKGIRKVVNEETFEELYKAAA SEIPGSPIFVMKLADSARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIA KPNTFKAMEEAAVRLGKLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTEMVS GVNLPAAQLQIAMGLPLHRIQDIRLLYGVDPKTATEIDFQFANPESEKTQRRPTPKGH TTACRITSEDPGEGFKPSNGVLHDLNFRSSSNVWGYFSVGSAGGIHSFSDSQFGHIFA YGENRAASRKHMVVALKELSIRGDFRTTVEYLIKLLETEAFEDNTITTGWLDELISKK LTAERPDPMLAVVCGAVTKAHIASENCIAEYRAGLEKGQVPSKDILKTVFPVDFIYEG YRYKFTVSRSSSDSYHLFINGSKCTVGVRALSDGGLLVLLDGRSHNVYWKEEAAATRI SVDSKTCLLEQENDPTQLRTPSPGKLVKYSVENGAHVRAGQTFAEVEVMKMYMPLIAQ EDGIVQLIKQPGATLEAGDILGILALDDPSRVKQAQSFIGQLPEYGPPVVVGNKPAQK FTLLFNTLKNILMGFDNQVIMLQTLKGLIEVLRDPKLPYSEFSAQFSALHARMPQKLD AQFSSVLERASSRGAEFPARNLAKVFQKFLDDNVTSKSDADVLKTTLQPLTDVLDMYA EGQKVRELTVITELLNMYAEVERLFSGRRSQDEEVILQLRDQNKEDTSKVVQTVLSHT RVAAKNSLVLAILEEYRPNKPNVGNVGKYLRPVLRKMAELESRQTAKVSLKAREILIQ CALPSLEERTAQMEHILRSSVVESRYGETGWDHREPNLEVIKEVVDSKYTVFDVLTLF FAHEDPWVSLAALEVYVRRAYRAYVLKKIEYHTDETETPSFVSWDFALRKIGQTEFGL PLQSAAPSSPATPVDNTFKRIHSISDMSYLERKTQEEPTRKGVIVPCKYLEDADDLLS RALDTLPVMNGAKKKTSGLIPDLSGKRRPPPPPRLDSIDELSAVVNVAIRDAEGRSDD EILKEILPLVHQFKDDLFARRVRRLTFICGRNDGSYPGYYTFRGPEYIEDDSIRHIEP SLAFQLELARLSKFKIKPVFTENKNIHMYEGVGKGVETDRRFFTRAVIRPGRLRDEIP TAEYLISEADRVINDIFDALEIIGTHNSDLNHMFINFTPVFQLQPQEVEQSLQGFLDR FGPRAWRLRVAQVEIRIICTDPSTGMPYPLRVIITNTSGYVIQVEMYAERKSDKGDWV FYSTGGTTKIGSMHLLPVSTPYPTKNWLQPKRYKAHLMGTQYVYDFPELFRQAIQNSW ANAVKKVPSMAEKQPPVGECIEFNELVLDDHDNLAEVSRDPGTNTCGMVGWLISARTP EYPKGRKFVVVANDITFNIGSFGPKEDNFFYKCTELARKLGVPRIYLSANSGARLGLA NELMPHFSVAWNEEGKPEAGFKYLYLNDEAKKRFESTVLTEEVSEGGEKRHKIVTIIG AEDGLGVECLRGSGLIAGATSRAYQDIFTCTLVTCRSVGIGAYLVRLGQRAVQIEGQP IILTGAPALNNLLGREVYTSNLQLGGTQIMYRNGVSHLTANDDFAGVSKIVEWMSFVP DKRNNPVPISLGIDSWDRDVVFTPEQKKPYDVRWMIAGKQDEDGFQPGLFDKDSFVET LGGWARTVVVGRARLGGIPMGVIGVETRSVENITPADPANPDSIEQVSNEAGGVWYPN SAFKTAQAINDFNYGEQLPLMILANWRGFSGGQRDMYNEVLKYGSYIVDALVKFEQPV FIYIPPFGELRGGSWVVVDPTINPTAMEMYADVDARGGVLEPEGIIGIKYRKDKQLET MARLDPVYSGLKRQIADTSLSKEEIDEIKKKMTEREQELLPVYAQISLQFADLHDRAG RMKAKGVIREVLEWRNARRFFYWRVRRRLNEEYILRRLASAAAVSGVHNKNAAAAAQA RARHLSLLESWCGIAHFDKSDREVAIWYEENRKVVHEKVEHLKAEALQAEMRELVRLG SQSTEDAASNPAWKGIRDVLHTMPVQEREKMLQYLKQV QC762_0104890 MSLRGSAREAKGRSHGHAGGARGEKKSYSGKCSGRWWCGCVVGA GLLGCGWLQFWGPHIPPFPPSPGPRLSTRMFRTGTNNVSPETQTRPIHLRTTPPLIPP ETPPPASIIPHHPFPLIGVWFGKISDCLCRPALLDRRFTPPGATPRYVL QC762_703790 MSEPPSSPPSAEANPQDALAWYKSQYELLEQELSEFQASSKELE AELEKDLDAADKRERALQKKAESLSYEVEEWKRKYKESKSEANAAQSILEKEITTLRE TNRTLQLRLRDIEVANDDFERQARNTTSSLEDLESKYNVAIERAVLLEEEIKIGEQER EQLRVETQRLREELSDLKIEAEILQHKIKKQDARHLSTLSTDLSLPGSPPFGSSPHST ASSPMITTPPDTKSLSTADTLSELQDPPSPSISEISQLSRSRLSVTKGPTSQRKSRLP SADSSMASKPRSVGGASSSSTRGNRVATASAALRTPAQRNSTTSKPPSSTRAHKIPPS NSLTHIRTLTAQMQRLEARVQSARSKLPAGSASTPPRGSPRTVPTVPSSVTIRSRKRT AGSTASSTISNGDDKPTPSNFRSSLSSSTANKHVPRLSTSGVSRLSFGPLPNRNPNHQ ADASDFPRPSSRASVSSYARPVSRQESHGGGPSSAAMPPPRPMSRASLTGSRTPLGRP RSSLGMHSGRESHHGHNPSHSVSYSTLELDEGDTGEFRTPSRRGTFSRMDEGGFSAIP GPSGIPAPRRQSGGSGGIGLRRTSSASAAGPVASLLAGGMQGRVLEDLGETY QC762_703780 MQLRGIIPRRLASPALTRPLMDLFIPSLTNNLILTPNKHTTTFT DPHHHHTHHHRHLDLLFHHHSTTTAPAKPRRNSFPHILKSKMTQTLHKKQEPAITSVT ELPTSEARWVTLQKIEYTDQTGKARTWEVASRKTRSAKTGVDAVAIGNILLSPNKAPS TLLVIQYRPPLDAYTVEWPAGLIDEDETAEEAAVREFKEETGYEVSRVLSVSPVQAAD PGLSNANMQMAMVEVEVPELEAGSLPEQRLEDGEHIERVVVPLAELYEKLVEYSKRER FIVAAKLFHFAAGMDFMKTQKYGL QC762_703770 MKSVFVAAGVLAPLAAAHSIFQQAGSGSIDFGTSCTRMPPNNSP VTSVGSSDLACNVGGSRGVAGLCEVSAGDSFFVEMHAQPNDRSCANEAIGGNHFGPVI VYMAKVNDAKTADGASASWFKVDEFGYDAGSKTWGTDTLNKNCGKRTFKIPSKIPAGD YLVRAEAIALHTAGSSGGAQFYMSCYQVRVANSGSGQLPAGVRLPGAYSASDPGILIN IWGDFGTYKVPGPAVIDQSYF QC762_703760 MAAFFRKRALDEKHPDSPPPATAPGAQDVSSSEEAGMVDEGVEG PDDLHRGMRPRQLNMMAIAGAIGTGLIIGTGTALKFGPGSLLIGYVLMGFVVYVVMVA LGEMGAWLPHKKSFSGYATRFVDPAMGFATGWNYFFKYVIVLPNNLTATGIILQYWVK DLNVSVWIVVFGVVIILLNLIHVRFFGEAEFWMSLAKALVIIMLILMCFILSLGGSPS GFRSGFWYWTDPGAFAEYNVRWKDDYFYVHGSTGRFLGVWACIVQATFAYLGTELVGV AFGETPDPRKNVPRAVNQTLLRIVFFYVAGVLVLGMAVPYNSPELLRATRERIGGLAS PFTVAAQRAGVDKLADAVNGMLLVFTISAANSDIYLASRTVWALAKDRQAPEIMERTN KRGVPIPAVALSSIFIALGFMNATKDAATVFGYFVSLVTVFGALNWVAVLVSYISMIR AMKVQGIPREIMPYRNPLLPWGSYIALGVTILVIIFSGYSAFIPQFQIDKFMTSYIGI VVYLVNILVWKLLKKTKRVRPEEMDLLTGRRA QC762_703750 MRSWLLCISAGVLAGVSNAACTNKCGSNKCLGAIAADPAFGESF CSSWLALEPATTTVTEVETVTSTLLNVETTLTTLTVTTATFTVTGSERSTIYQKRAPT ITEADPALPDPTDVIASQCSSNEDRISKACSCILSTATASTVTVLETAVTTAVVEAES TVVETVTDNVVATVSVAAPAVTIPANIIVNGGFENYLETGNILPWTDTQASTGGRLDV VNGVNPCMTGGSYCAGGRVVIRPYPPTTGSKYIAIRETFVGRPSTTYAFSFLYRCLNY DAGTSIDILYKGSVIGSVNQCYNSAAFYRPTGITFTTDATGQGEVEVRFRNSGATPYL YFYADDFKAIAV QC762_703740 MAEEQQKLELKLDGVAVPPAATAAPAIEEPKPAAAATEETTTAP TADAAVAANTPEEAAATEETKADDKKKAKKEKKAKKSDNDEENSSSSSSSSSSASSTK DKKKNPLKKVAAIFKSLFGCLSSVKRADKKADAEGSDVEGEEGAADKKDKKKKAKKTK LEAVPEGEETATKKDDEEKDGVKKEDAEVVKGATTTVITAQG QC762_703730 MATGETTTEQLSPSEPNDSSTSLPIRTKPNAKIAEMEPGPELDS YIESLLAQWRTCIDELSTLANASKDASRPIYGLYPLLKVQQRALYKVVQKRNTKSDDG NNISAAQYMGIRSCCWDDRWSLVKKCHGLVAINKDFPRSPRVAVPTGSGWLAYKDQPF QEKVVTVDAVVDNGKTWIKFLSISARTLEYQVMTEGWESDADSEDERDEAGDDEGKGF GHTEFVDAVSKVILAARWNHCRHLHLILPGLQEGQSAVVDRVLDHIKNKVGGTDVKVD LSCAGSPFLVNDPPPLETAIQTLIHERDLVVSPDDCNTITETVNLDPSALVALVTDLH HGPIPLQPLVQQEIITRSVADHETDNNELVSRQDILATVLFPALRGKKLVCTEFAAKY FRKLISAISTHSEETRASFIIPPSADPTSPPPSADELRQSLQKWSTVPVPGDLQLPVQ VVPDITHDEVPSLISSGRLPPMALGVSSDLSLLNRSVYLYGWANDVTTVTGHRGIERQ VQLSIASHWTRDPDASRKGKYPDHRPPDIWHRHLGGYLIHRDKPKDWRDMLPDGGDVP EELVRWTFPWTTWGRGISTYGLPDTKTWEGVGHQDKKSFGRKMTGRDGTRERNGNGKL KVPEGEVEKGEEREEEQS QC762_703720 MASRCTISQKYFIKTSPWNLLHDGILCILHTRHFAAMKTQRDEK TVDLAQLLLDLDLGAPVNVKGIDSDFHVSVFIEVDENGIRVRMIDNQGNVRVPPCKTT YPFSKRLRWTRKRQNDDIQRQMRSLDPRGLLFFYFIRSLTLQNDAFTGERGETKQWSR RASWRPVEDESHCVELRSCTQVVRHAHKGKGGHAESPQTSENTTRFHVIKKSILDSSA RNCLAMAFPVCQHGVGPWTKDEDSFSYAYRKPSPFKFLIHADRWGVHDHTKPYRRIHQ DHKMTDFHTRAMAKCFKDLSEIPSLRYTWIQFLPSMDLLKSYDARQGNGICRDGGVCA SLPRWLSVLPVLETEDDPDRQELHAMSDLRLALAEGPNPENETSVPDVLNGPLTFPEP SARLPSSCITRRQSGNLAASPNTTPTLASLSLSSKYRRSDLEVVLSDYALNLFPTEAA ITNILESLVKESKTAAWYSRFFFLRPQNEAWHSRTSRFLLLAYTKTLERSRFEAGHHD EWRKLVKSIHFIPLNSGKLQSVEENMRVGLYAPEDKKGRRLVEFGGDWTKLEIPVIAL GAWNNAECRKLIEKVRYVARK QC762_703710 MKPKILFLHGSGTNPLIFRIQSRNLLSLLSPHFEPVFLPGFHEC APGPGVLPFFEGAEPYLKWLDDSSPSEEEVCWAELDRLVAEVEKKGPFFGVVGFSQGA KAGMELVRELERRGREMSFWVGVCGTVPFQGGGDEVREGGWKESLGLGRAERTESFHL IGGEDPWRGESERLVGFFGETGRRVRRFEGGHQMPLDKGVNREVVEWILEVCRM QC762_703700 MLGDAKVHHSTDSSARAVAGGSSTTTTTHSHSRQWLSSVQRLSL LMLVLQNSALVMVMHHSRNSPTGSRPRYLTSTAVLVVEVVKLSASLLLATYDTITSHS SSSSAAITQHLYRSIFAPDSWKLIVPAALYTLQNSLVYTAISNLDAVTFQVTYQLKIL TTVLFSILLLGRTISLRQWLGLLLLTFGVALVQLSPTTPDVNSATSWTDKITSLFTSP SQPPAVHHNALKGLAAVVGASLISGLTCVYFEKILKDSLGSNTSSIWIRNIQLSFFSI FPALFIGVIWYDGANIAQNGGFFAGYNAVVWATVCLQALGGLIVAVCIAYADNVVKNF AASLSIVVSYAGTAVVFGERMTLHATMGAAVVVAATWLYRSRPSTQQLGTLLPVSSRE IAAGEKTPRLPLLSPTTIR QC762_703690 MQKLAGKPAQLLGMIPRRASRWLVRLAVLAVIVPLILQWLVAYV VGSDARILPPELLLARNLLLVTAHPDDECLFFSPSILGALDRNKRVTGGLLVMSTGNN YGKGDTRKTELAGSCKALGISADRCVALDHPDLQDNPREWWNTELIEGFVHEHVRKWD IDAIITFDEGGVSGHINHRAVSAAVSHYTATNPQSPIAYTLTTTSLLRKYTILGDLPY TVLPFLWRIIEALSYPAITAEVREGGTALVANTWHRYLLTRRAFAQHDSQYSWDRHLY MILSRYVWFNDLKRLPHTAADEAFRTAVKT QC762_703680 MGLLPLHHTRHHSTPTSKTPRSSRTSSPSTRRRSGIILQRIACL LITVAIVGLWCARDLVYDSYTLAALPLLKWRQGASSFYLSVENDGFDVTFESYDVNQT STRGEEGYEDRVPGILHHIALGPQENQKEGWQEARERCVELHPGWEAMLWTDEKADEL VREHYPEMLGLWEGEEGYRYGIQKVDALRYMVLYRYGGVILDMDLQCKRALGPLRRFD FVAPAANPTGFSIGFMMAEKGNEFVGELVANLKRYNRHWLGLPYPTVMFSTGCHYAST IHAFFRGDRSKLKILGGTKDNKKLHMLSGPVNTPLFKHLGSSSWHSYDAAMIVNLGKS VGGSRWRLPIMFLLACGLFFLVIRRIRRRRRVASLKV QC762_0105030 MSFRMNISNLLNPTRDSAKCSRSNTTKQSQFWAGPPAQVSYGPR PQPAKYNVQQGRQNLSKLGNMLASLPPVSTPNGKPRYDFQKSQKNIRTLSNMLESMSA PSKKR QC762_0105040 MSGRSAANMTRLFVSVLALFLASTLANKISTPRRAGCSSFTTRY STVTEHFPTATITQTDDGHSPPTTMEMTSLPDSCTFTGTQTFYSSSGCDLTCSTGFCI IDAAATRSCGCSKVEIETVTTTVCPTRTPCYQCYTGWGTFFYDLPCPTSVPVPTGYGV QC762_703670 MNRVILRPVQRSFMAGTGCMPPLLPPIRPVSGVSTKRRMAFVTR KPGSTYIPKFRPGDNKLTTLIGAIFVLGGIYVFQSGRGFPNGDVAEKYLPKDVGNHRQ GTVNKDYKAYNAWVTEGKKSSSVGKS QC762_703665 MKASFSSVLAALAAFNTAFALPTEASASNVAKAGLPEGLPDGIY IEKLADDGSVAFERVADVNITAPAEVASLHKRQGSFGPHCDYNRAGWLDSNNRQNAIN ALANGCGDGWFFNSPRAWSSVNGAVAYGCNYQGDRGTTCRRNEIHNFLGQTTSHCGTT TPGWFSFGQGTVSYGYTLPNVGFC QC762_0105070 MRLLERDDAGEIRPTKDLPSDKIPPYAILSHTWGPDEEEVSYKD LKDGRAVSKLGYNKIRFCADQAWRDGLKFFWVDTCCIDKSNSTELQEAINSMFRWYRD AAKCYVYLTDVSSYKRDADGDPSWKWAFQKCKWFTRGWTLQELIAPTSVEFFSREKAR IGDRNSLERMIHDVTGIPLEALRGSPLSDFSVHDRMAWMKQRNTTREEDMAYSLFGIF DVHLPLIYGEGKEKALERLREKIGKDDGCLADLRVTDSRHDKKRIEAAKGGLLKDSYC WVLSNVQFQQWRDGDDQRLLWIKGDPGKGKTMLLCGIIDELKKSTPPGLLSFFFCQAT DSRINNATAVLRGLVYLLVSQQPALISHVRRPYDHAGKKMFEDPNVWVVLCEIFTSIL QDPGLKMTYLIIDALDECVTDLPQLLELITRTSCTSSPIKWIVSSRNWPDIEEQLETA TQKARLSLELNAESISTAVNAFIQNRVDQLAPKTKYDANMIGKIQDYLHSHANGTFLW VALVCQALADPKVKKRHILAKLQTFPRGLDSLYARMLEQIGHSEDAELCKQILAVAAA VRRPISLDELASLIEMPDDVSDDPESLEEIVKLCGSFLIIREQTVYFVHQSAKDFLLG TASDKASNKASQEAFKLVFPTGMEDVSYIIFWRSLNVMSQKLRRDIYCLNAPGFSIDN VRVPDPDPLATIRYSCIYWIDHLRDLVSSTSSKWVHLLQDDGDIHRFLTSKYLYWLEA LSLLRALPEGIDAIRQLESLLGHTIRGRLIAIVRDAYRFALSYRMIIEKAPLQAYASA LVFAPTNSIIKRFFKKEEPGWISTISAVEAEWNACTQTLEGHGGWVESVAFSPDGQRL ASGPHDNTIKIWDAASGTCTQTLEGHGSLVRSVAFSPDGQRVASGSHDNTIKIWDAAS GTCTQTLEGHGDWVLSVAFSPDGQRVASGSHDNTIKIWDAASGTCTQTLEGHGSLVQS VAFSPDGQRVASGSCDYTIKIWDAASGTCTQTLEGHGSLVQSVAFSPDGQRVASGSCD YTIKIWDAASGTCTQTLEGHSHWVLSVAFSPDGQRVASSSSNNTIKIWDAASGTCTQT INVNSAATHLSFDHTNAYINTNIGRIQIATTTIESPNQLSSPVCYSYGLGQDYRWITC NNQNVLWLPPEYHASASAMQGCKIVLGCYSGRVIIFLFSRDV QC762_703660 MVRKVASTILRVDSNETIIRALGLDSPEQELSRESFLQQWRTYG FLVKTFQESQAFSGANLGLLNGKIVPDTSSLLDDPREHAEVIHADHRNMTKFSGRDDP NYLKVLGEIQRGINSASVKSNLNISEEEKKECLGSLYYEALFSRQRTIKNAIPKTREW FYHSSVFQTWYSRKRASTDRGLLRLKGKPGAGKSTVMKYALGQLECLEGSRSNVASFY FNARGNAMEKSPLGLLRSVLHQLCLQDCQILAKFSQTYQRRQSCDGGSYLPWSELELE SFFEKAFKESSTRRTFIFIDALDECSEESVREAVYFIDRLAQTALEGEVLLNICLSSR HYPAIRIPNCPEVVVEAHNDSDISTYIRDKLRFIPASERNEAHAIQAFLEEMASGVFL WVVLVVELVVRDINSGQPMSKVAKRVKGVPKDMEDLYHQLFRSLTPDELTFSVPLIQW VLLHKDYLPRTGNGYSSDAPPKIPRTRADGGI QC762_703650 MATTPSNKMRPTPHLPPNLPSLVATAFSRSVATKTVNFYPTSVT LLTINSIPFQLRYSPSLASKPKPPSVTTPKLFFNPFASPTQEMLITPFGTSPPSHNLV LNKFAVVPEHFILSTSAFKPQTHLLEADDLAAAYACIDAYARHNKELFVFFNSGDHSG ASQPHRHLQLLPVENMKEGLEGEWDVLAKGLTDPNTRGKLPFEVFARDIEGLGGDGEE LRRVYLELYNQACEAVLGVAEDIKHEGEAQISYNLAMTNNTMAVVPRLTEGGVVRDKD GQEVGNLALNGTVLAGTALVRSEMEWNALREDPDQVNQVLGRIGVPNRAKM QC762_703640 MLFKRGSSQMDEGHWGTSIPNSRAAEKSKAAVKIDRRRREGLRG KKASQRQARQLDGWELNSRGECGLPAKGGLDLFINLMRPQVDMLWPVQDLLPSAAWAA EFKSDIAKTPQLLLPHDMSFNTTSLLNTNGVDESGSQHLPPSGLGIYDQRAFPMRSGS IGNSATFLAADEQTGLNRSSPYVSVSHYSHPQSQPTDPRTNWHQSTTANLLSEQGLSN PSYWQQSGEPAAVTSFVSSHGGDHWDQETGFGANAVMMEYNQSHHYTLGHNTLPQTDH GLGHGLPLQDLPFSAPSAMSEDDDFDYLSNPFPNMLYDSSSGSFSSTGASDMLEAMAL RDSSEPLRSPWPASKEHLGNKTLPCIRPASPHQADAWIWNSNSVHNHLPMFQSCACDS DLPHASSSESIRTSFLADSSSTATSSYPMEIAEQHRPSKGRKTLPDRPVPRLLAAAVL KSNDKPATPQGSKSRRSKSSAEPMSPSVRKTSKLAAKPRHLAALPALTPNPRTRSQSS PGPSPSLYEAGEEVTEAELADRKAKDEFLIASRQKGMTYKQIRQEGGYTEAESTLRGR YRALTKSREERVRKPEWSEMDLILLERGVRELSPPTSNRDNNSEGPQSTKVPWKRVAE YIVQNGGSYHFGNSTCRKKWDELVKEQTALGKDPRVPFFEQNTRVMRAAFGDMLRGQG GGCRYGRE QC762_703630 MALRIFITGGTGFIGSQVVLDALKAGHQLRLSVRREAQVEEVKA RFPQHASKLDFAVIPNIDNAEAIRSALSNDINAIFHLASPVPGKVDDFKTEYLQPAVA GTEAILNAAAETPTVKRVIIMSSILALMPPDSMNTPGLEVKAGINPTLAINPEMSFPS GPAGHGAKYATSKILAHRATIGWMRVHEPQFSLVTLHPTFVLGRDLTQQTSKPNGVNA YLMATLTNPPGGKPVVPASMVDVRDVSLTVLRSLDVELGREELVTEYLVVGDPTCYDD VVEFVKTKYPAIPVNTEGPYDEPFTVDTSRTEKELGITRWHSMEEMVSSVLDQQLEIR GREGMAAL QC762_703620 MPLWLIYHPPQTFTTPESKSALVKSITSIYTSSGLPAFYVVINF IPLSPDDNTFIGGEPSSARSKPFIRFVAEHIAVHVSQNPGRQERTIKRIDDALRPHVE EKGYDWEWHVDETPRALWKINGFVPPPFGSKAEKEWAELNRPVPWEEDEKEGNQRSDR RYVVSM QC762_703610 MDSPPQPSGPSRRHVSPSSLSPSSQTVHISSSTTTRTAPPRNNP LLPTPTELALLSIYPLLLIFGTFFAVLSPDVQSSTYNPLTQSHDQGSLSPSYFARKNN LFNVLFVKRGWGWITFSFFLFIFTHPAVRTSAQKSRATIRWAVVTAWWVFVTQWFFGP AIIDRGFRFTGGKCQVKMAEISEKDAGDVGVKEVFTAAACKSAGGRWSGGHDISGHVF LLVLGSCFLLQEVGWVVGRWVRFVREERAVVMADGAVKGATAEVEREVRYQGEDVGRG TGVMEGLGHGGKVAVGVVGLCAWMLLMTAIYFHTWFEKLTGLLVALTALYTTYILPRN VPALRSIIGLPGI QC762_703600 MSCPRVIASTTPGWYCCHGGPCRACTCVVQDSSDHGAAIHLPPA MNAVSYVQETLSDETWDTWTDTCSIRLDAAGHQCSSRTFFSPSLSVNNRLGLFNYHFF QYPNLTHHHYEIAGTTTMGLSKLSTLLLLAIGVVSPSVAAPAPRQESVWWLSTIKRQG NAAFNADPANYKVFRNVQDYGAKGDGATDDTEAINRAISDQNRCGLGCTSQTTTPGLV YFPPGTYRVSKPIIPYYYTQMVGDAINPPTLKATADFEGMAVIDADPYENDGRNWWTN QNNFFRQVRNFVIDIKDMPFDKGAGIHWQVAQATSLHNIVFNMREDGGSANKQLGIFM DNGSGGFMVDLTFNGGQYGAFFGNQQFTTRNLTFNRCQTAIYMNWNWAWTFQDVHIND CQVGIDMSNGGPEGQTVGSVLMVDSVFTNTPTGIKTAYNSDSPQTNGTLILDNVDFSG SQQAVLNNATQSVILEGNQKVDFFVQGKTYGGAVSGAAAGRAVQSAQQNVRKPASLLD QATGKVFTRTKPQYEDVPVGNFVSVKSQGAKGDGKTDDTDAIQKIFDSVTPDQVVYFD HGAYIITKTVKVPKDIKITGEIWPLILAGGDQFFKDQANPKPVFQVGQPGDVGNVEMS DLIFGTAGPQPGAIIMEWNVKGTTPGAAGLWDVHTRIGGYRGTELELEQCAKNPNVTN TIPEQCFGSFMMLHIPAGGSAYLENTWYWVADHSLEPEAKDGQIDVFNGRGVLIEGEG PVWGWATASEHSVLQNYQFNNASNIWLALIQTETPYFQGNPDATKPFTVNPTYADPDF EKSCANSSDPTCKRAWGVRAINSKDIFIYGAGLYSFFDNYGQDCVKSQDCQVNMVSLE DSAVHFYGLSTKASVNMLTVNGEGVALDKDNRNNFCATLALFTSEPQAQAA QC762_703590 MSTQSSTRPRRNSAHPASSRARGVSMSFATRQDSGLLALEDNGF EDFARLSLEKSRSHGFSGREHVHVDDHDGAPGSSKAPHQGNIKRMLRRASVSFKTNVK GFMHRRTSIPASTVFSTDSHDSKSPFLSSSPPNCDRPATSHSTWHRLRQATSFHRHSR VLYTGHGERAFGHDLATIQSPTFPVPGSGEHPPIIPRNTGAAARQAAAAIACSGRQGY EFMEFQRPHPSWLDDDFFGDSESGIGIALTSSSEIDSGGGSKGELSSYGSSEKTDIVK VDFISQLPTELAILILAELDGPTLGTASLVNKRWAHVVENRHVWRESFLREKTTAYAT GGSIQPGSGLGVPFVHPGNDWKNIYRAKEQLDRNWKEGKARPVYLNGHTDSIYCLQFD EDKIITGSRDRTIRVWDMRTYACKLVIGSPEVVNDPALSIVYDPSGNPIHYAHLPDLD PTPGPDGLPRATVRAHHSVPTILSPSMHHKASILCLQYDDEILVTGSSDATCIVYSIR SGYRPIRKLAHHSAAVLDLVFDDKHIVTCSKDISICVWDRATGALIKQLRGHSGPVNA VQMRGNTIVSCSGDFKVKLWNIDSGKNIQEFVGHTKGLACSQFSEDGRWIASAGNDKI IRIWDANTGECVREMKAHDNLVRSLHVDSVSGRLVSGSYDSDIKVWDMETGQPLLDFP KWHSSWVLSAKSDYRRIVSSGQDPKILILDFGAGVEGVEMLESRRRVVEEVGLGLGCR GEEQQQQQQQQEWL QC762_703580 MATTSSAFPPLPLPDGIIEDYIDCTSSCGLTFHVLKAGKPGKPL VLFTHGYPELAYSWRKVLPAVAEAGYFCVAPDQRGYGRTTGWPRRNFEDTDLAEWSLT NLVRDLVCLVYKLGYTQVRSIVGHDFGAVSAAVAALIRPDMFLSTVHMSHPYHPPSTP PLSSPKGGKDIHTDLAALSPPRKHYKWYNSTAPAAKDWDVPPQGLEAFLRGYFHLKSA DWEKNEPYPLDAWTADQLAKLPEYYIMRQDQTMPKMVHENMVGEDASKTERWLSKDEM EIYCSEWKRTGFQGALNWYRAQTVGVQDNKKAAGDMWLFAGKKIEVPVAFISGVKDWG NYQRPGALQGYENEEWVKNGMFRGATLVEGAGHWVQQEQPDVVIREILKFLQSL QC762_703570 MDARGASQRHGGGEDVAGVHLDHQPHASRPSGPVRSYLSSRATM PVSFGSGPLSRRGVQHNVTTNNGSIRASARTRTSIGHGTKEAEI QC762_0105180 MADRPSRGERSRVVSRQGASRRISHFIELGIPNLTIKMHSRNVL AAAVALAGAPSVHAVLRFSCSELVTERLDPLVFPGAMQSPHVHQIVGGNMFNVTMDPN RHNIGEEATCTTCTFSEDFSNYWTAILYFRARNGTLIRVPQRPNIDFDGARGGGMTVY YTATYQNHKPTAFQPGFRMIVGNPMYRTQAEASRYRQMTFTCLETLSTRTGETTEMPK QPCREGIMSNVRFPTCWDGKTLDPPDHSSHVAYPSSGTFESGGPCPASHPVRIPQLFY EVLWDTRRFNDRSLWPEDGSQPFVWSYGDYTGYGTHGDYVFGWKGDSLQRAMDANCDF YCPQLKTQSIATGNQCRQNQKVAENIDGPFDRLPGNVEITGPQPGASNPNPGNGGGST QTPVQPTPVPNPGNGGGCSVQKWGQCGGQGWSGCTVCASGSTCRAQNQWYSQCL QC762_703560 MGFLKACLDFLSHAAFTSFSPFDALFSPQQPLFVPTPPATYSAA DFAGAYSPRIDPVRDCVYPALRYNGYKPCNNVSRTCWQRRVKFKDYDINDDYEVTTPF GITREYWLTVDQADLRPDGQPKINGVALNGTYPGPIIEACWGDKIVVHVRNKYRDNGT TIHWHGLRQLYSNDMDGVNGVTQCPIAYGEEYTYEFRARQYGHTWYHSHYSLQYPDGV AGPLIIHGPTSAEWDIDNGPIMVADWLYNTSFAAFNCEAYDCGNETPPKADNIVVNGI GAFKQSNGKWTNNYFKTMFKPGKKHLLRLINGSAASSFVFSIDNHTMTVIASDLVAIK PYTTTSLLLGIGQRYTVVVEANQEPSLYWMRTTPAAGCSAFRKNQKGEFTQVKETTSF IAYEDAPKLPYPDSTSVKHKGVNDTCIDESHISQDRLVPIVPWEVSAKPTNDVSRGSF TAGYEKNANPDLYPQLPFKHWLLANSPTSQPLWVNFSMPTILDPFTNPPFSSITRFEQ SYGFVYLIVDGSFLFNPDPNFTAIPTGHPIHLHGSDFVILNQDWKPYDPVNSPRDFKF NNPARRDTALLPSGGYLALAFKPDNPGAWLMHCHIAWHASSGLALQLVVRPREIPGYN GDLLPVQLGCESWRRWDNRDPIVQTDSGI QC762_300980 MSNPDGEILPGDPRFQPRLKSQYVLDLGKPDDADCGRGVQETLD VIAALESSGIPCCVVDTKALVYYGARRIPMNWEICVPTESLDGAITLLTSPPLNEKYE VWHRVLPRPQTLMHTYPRFTLKGVNFFFIIVPEFECLLSPSPDQCERSVSGIPYPKLE LFAQSLIDLQQYADLDDLVDGMNLEEEWGETNLELDKPPPLEHIREKNDMIARSLPED MRDVTPLALLSERTRPARDAWRRSVSTKNRRINDELPRHRYLTRFRKVGSKGPRENTD REV QC762_001640 MTDFGPIHVVEPRAPHTHTIILLHGRGSTGEEFAEELFESKLST GKTLQEHLGHWRWVFPSSAELWSTAFEEDMPAWFEAHSLTDPTARQDLQMAGIRDSVR YLTRLLGQEVDRLGGGSGKVVLGGISQGGAIGLWSLLCAGADGAAGRLGGFVGASTWL PFADELERHLGREAQAQQDTIRAADTGEVDSDAFVEEMAGATRGTLREPNASQHGLLS VPVFLGHGTDDAYVDVSLGRRAAVVLGKIGLRVEWREYSGAEQEGHWLKEPEELDDIV RFLESISAV QC762_0105230 MRLTKRSCCYASSGLLFAGRATTPYCPVRFGHTLTHNTVICQNR SGGKSSNGRWSWRKKAFYPEISTASGFPAEKMPPFHEEQKGQMMERYTESWKLGSGVD MADVPFESRRSSRNSGNSRYYRVHGRRRSRRRIGARRRKRREGELPQTRGRARRGEYP EPTAGIPVHHRPGPGVEAFARSRIHMYDDGEPGATFGDQG QC762_0105240 MANLASTYRNQGRWEEAEKLFVQVMETRKTKLGADHPDTLTSMA NLASTYRNQGRWEEAEKLFVQVMETRKTKLGADHPDTLRSMANLASTYRSQGRWEEAE KLEVQVMETRKIKLGADHPDTLTSMANLASTYRNQGRWEEAEKLEVQVMETSKIKLGA DHPDTLMSMANLASTFWNQGRWEEAEKLEVQVMETRKIKLGADHPDTLTSMANLASTY RNQGRWEEAEKLEVQHRHFGTRAGGRKLKSCRCRSWRLGRPSLGPITQLH QC762_0105250 MQSLFTDWAVLLALDYAEKHKHDYNPILWIDATDEETVRSSFKI CAAELGLTVEGGETKDRLLRMQGSGQYLDGFATEVRRMTNGF QC762_0105260 MGLAIYLVIFLLSIAVLYYQATKSIPSRLNSDQAPKSRFELVPA CASGLPTRADGIEVHPDSTWRATKHATRQATTTDIPEEAATDNEQFVNWVSDFLPSDL LPAVSRDVRLFFYNYDSYWKRDAVHTRLTNLGNELLEHIGGIRMSETEQNRSLIFVAH SFGGLVVKRKALVQARASRDFGHVAEHAQTIIFLGTPHRGTSFGLWGWLAAKGLQPLG SNPSILADLEYDSFSLHDLHKDFMAVAPDDLRVFNFFEKRPTCILRLWFVRLERLCVH EQSATYEGRNVRNIGLSVDHYGLNKFASKNESYQSILSKLTE QC762_0105270 MSSYSHIPFPPSSAPNRDRLSPYDEVTVQNAQSEPDNKAGPITH VPGEPAVRLLPDQVRIHISSHLDTPLLDELYEHLWLIARKCGRNIDPLHTQKVKGRSI VPTEDPRLHLTWHRDRIFIKPVPVFLLNYQFWTTYLQTSTQGSSCGIPEELGFNSSIA TGFLRSYALLVPHRLDFELAKEAHLIPGDVENWLQWSKFISHFYHLSDENVARRYHYG QLRLSWLNWAVRVFRPQHARTWWFYEVPHWSITAFVARATVPLLFLFAGISLALSSMQ VALSVPTDDPWFQGLGESKLQSIGRAFWVFSIAVILGCAAIGALLLGIPTAILVWQVS WGFMREKRRRAGTSAG QC762_703520 MSSTQEKASKNDRPDHEFWNAFIHSTLLPWRHRDYVRAAYMTIL LHENRDRGLLEIASDFAANMLSLKQRASRLNHQPESRTETVFWLYQIKSAIEAAQGSS TDDKHFTPPSFDMVLAKRPELLDRKLIDQYYSVYLQNMCYTHRYYVLPNLKPLEPPRK APRSFFGFGNNSAPEPFEHERYLNMAFAIVQRYLREGETRRRSWFIERGFDALRQQFM RMRAPVDARTGVFAEKPSSGLEPFSETKAYFYVQLVHIALTKLTTGGHHDMVQRMRYQ TFKRIFGIEPNIWKKHYSAKRWTSFKALGGFQPPDLKPLPDTIDASYNKGSSIFLTAL EGSGGPSDTKESVKPKSWDDLFLKEGLEPELPLEEVIAFHRSILLEDAKSIDPTAPLS PSHLPTPAHLLKYIYTTVLTASSMATLPTRATHCLSILLQHAPLPKTHLIFYLNYLLN FCTPGIEIAYPGLFPSNKTPSWQEKIQFRPITTTTTTNPETNKTETRHTRYHNCPCHN GTPLPYYIGPSACEYPINFPYEHPPQLYHGCSCHKEEMIDQDELAEIVAGMYAEREAS EVWKGGQRDRHTGFDKKMVRDREEMFVEWVRRWPELVFVEFSPGGEKRGLVEIWEGRE REIIMLMREEDGGVGVMGVVPVADGEGEEEGEGDEKTLAGDREVRSGDEEDWELLSQA GTLC QC762_703500 MSTSTTLSVSGRGGFSFTEWFNADVDDGSLDPATDAFEEAICIY RDHLTKDQDKRQFISRSHGFAELQATLAETQQKYESLRVKSKTREYLTKFSNLLCHYD NVMKCLIQHNPEYVSLAWGAMKFLFVAFVNHENACSTLAKGLCQIGSILPRAELSLIL YPTKRMKRAVAELYANIIKFLVRSKRWYEEGKLKHIWHSLSRPSELRYADLLENVKER SELIETLSASGSQAEQREIHRKIDNEGSQLTVMHEEVRELKAIILRLESRAINSFIDT NCRLNDLQFAQICQSLRQAAEYHLLPEPETTYRRNIALQRATERAGRGAFLCTFDWAS SKLTAFSSASKLRSLALIRGGYHSRDQTRGLIISTLTLLRSSNIPVIWALKIPHYESK QVPVTSVMKYLISQIMQLPGTVLTELDAANACARFYRAVTAEDYWLIVASLLASVANL YIVIDVDIAEEFPWVEAASRMFGQLDQRGAKTRLRVILVTNRPVVSAEDRRDHLVIKA PSNNAVTGPRSGTQSRRGAGMNCIRSRASGRRALRLT QC762_703490 MASRQRGGFNPPPGRPISQSGRSVSPARATSPDHPTDDEDALHS TFTISRDKTRESNFQTQQLIAAGQSQVPQRHSLRPMTSGEFTGMEPPKHEGEMPYSDD EAPPPRRTTYANGIPAVQSSRFALQPEPSKHYQSRPTKWKTQPQRLEAEQEGLPKTKD ATSTVSPQVCSTEDKKDQSDDLVWEVVYRINNQHLYLAQPYYSVDHNGVSLLQGDLGL TSLARHLARKPNIAFVVFQDYYTVDYLDQASGARRNGPGYPPMGPGMGMPGGGPLVST PPRSTQPSPWINETVGEAKDIETPPIYFESIEPVTKGMREALKALLSSKSVSFIRQSL TNPQKELEAPYFFWYFLRDKQDAIRELAEKHQLHLRLLGKYIESAFGNTYRHVDDLLK LGKIELKYLPFLIRPDEVLVTTIRSYVRGYIASAMVQMGKPPERRQIPGVPPPVMQEN TFFYEVQCWCWVYSGSSFASRDVTLRLTNELDGNTLMDEEIEIRTLLVYPLRFAEEGV KEHLEAVGNFCWSSRVRKLVAYFDGHEAERFMIDTATYQKLHPGGMLRRDPGCFVLGE DPGPEDNDIYAFPPEVKGFNLRRKAWVDLDVSKIIEVEWDKRAFENLVLSDVKTKDLI KALVTTQVAAEKGTDIIKGKGKGLILLLHGAPGTGKTFTAEAVAEITEKPLYRVTCGD IGTSPENVEQYLESVLYLGQMWGCVVLLDEAEVFLEQRGLADLNRNALVSVFLRVLEY YDGILILTSNRVGTFDEAFKSRIQLSIHYDNLNIPQRRKIWRNFLTRLKEIDAESIDS EDIYDSLDEFAKMDLNGRAIRNAITTARQLAKHKNEVVSSSHLQYVIEVASRFDDYIK NVREGMTDEDFARDGGYR QC762_703480 MPISWPSFSPRLVYEKGPLKAIPFPAIRLISILVVINLLVWAGV AVVLHYFPKLISPAVLSYTLGLRHALDADHISAIDLMTRRLIASGQRPVAVGTFFSLG HSTIVIVTCIALAATAGAVRDKFDDFERIGGIIGTSVSGTFLLILCIANGWILYRLVQ RLKQVLAEQRAARLAPDYDSDAEANADPIGDQMGLEGMGFLSNVFRGVFKAVDRPWKM LPLGMLFGLGFDTSSEIAILGIASIQAAQGTSIWVILIFPLLFTAGMCMVDTTDGALM MALYTNKAFSRDIVAILYYSIVLTSITVFVSAFIGVIQWLSLIDHVAEPDGSFWDGVS AIGDHYEIIGASICGLFLVVGIGSVLVYRPWRRRMDRTVSALPAPEATPSANALVPNP DAAVDYGTTNKQPGTTTVENVDR QC762_703470 MGTDSETTPLVNANIDLQSYYSSLESRIGYRLLLGGTRHFGYWK HDTYWPFPLSKPLRAMEDKLAELLALPRGAEVLDAGCGVGHVALHMAKAHGLRISGID VVDHHIAKAKCNIGRSGLPEGTVTVRKMDYHHLEKLPDESLDGVYTMETFVHATDPKA VLAGFYRILRPGGRLAQFEYDHDLMADSPEDMAVSMRKINQYAAMPTNDISHPGVFKK MAEDAGFENVVVHDLTPNVKPITRLFFVLAIIPYLIVRLLGLERHFINTIAAVETYRG LGRWRYIAISASKPGGPLEVSKTR QC762_0105320 MPTLELTALSYVYILVGCQICWFKKPSISVPMRIQTKDDVKIQQ IRMWAKKHTHHELPEDPQAWYRTPVDFISGPRWQIGIHWAYYTRWLEILCVPLYSRPI KSKLWDPFPSDEWLPAEKGSILLFFSFIPVGIIIWFFAGWEFFFATPIEQILWRVCAS YHAVFGVYGYTYYYTEIFKWRKAKTDSDKRCQYVEASPSETEEAVVMGEKTGDPVKNL TPVTATDISPDSKDNTKADNSDIESQTQPASETSKDGYHAPYTLQWLPPMTIAGVLGF LRNNSANRDPQMRLSLRVLIPVSVICGLYIL QC762_703460 MSESTCEVCWGLNASDTDRIHFEIEELIASVKDGCASCILLWKA LEHAMPNLSQEDGRVDLLQDDGRPLEVIYLDHEGSRKLLDIYCHADSPTSCSWIGPST EVSIDSSSDECFALAERWINDCITEHERCADGEPQLLPTRVIDIGAAEQPPRLRLHIP QNESARYVALSHCWGSPEKAARTIKMTADNLSQFQTEIPWIKLTKTFQDAVIITRRLG IRYLWIDSLCIIQGDAQDWAIEASKMTTVYANAFFVIAASGATDGDGGCFLGSRAASV EGVLPIECSGVGGRKSTAYARRVRRSYEDSRRITRSAQSVHGWMTGLGQPLEKRAWTY QEEKLANRILYYTQDELQWRCATFNACECSPPSVNTLAHDLSRTQDGNSEVWCRMVLA YTQRELTYISDRLPALSGIATGWELSENDTFCAGLWRRGLPRQLLWMRSHEMDTSIPV ISSKRHPEYYAPTWSWASITGAVDFILDRLEFHARIVDCETEPSTANRFGPVRSGHVT LMGFLIPVKASMNWDCKSIWSKKMPRVTDNRPDRNNQYLGEMLPDIETETREYPEIEE EECYHILALGHNSVDTWISIKSLVLRQVQSTPNAYTRVGLLEVRHDGTLKTFFSDTQE CRITIL QC762_703450 MKSLFLALPGLAHAALRFGCSTLTIERLDPVVEPGNNPSAHVHQ VVGGNAFNATVDTSVDVGNRATCTTCIFSEDKSNYWTATLYFRARNGSYHKVPQYPNA VFHDGQVGGMTIYYTQQDFWDNGNQKITSFPPGFRMTVGSPTTETREQAQQYKGLRYT CLQDILTRGSETYDFPKQPCPAGIMAIHHFPACWDGRNLDSPDHQSHMFLTGHGGFRV ADPCPASHPVRMPQVAYETMWDTSVFNDKDLWPEDGSQPFIWSTGDTKGYSTHADYLF GWEGDSLQRAMDSNCFFQRCSLGKYPEGVLKVQTPEEQNACKIETTVKEPVDGWLDYL PGKPETALRR QC762_703440 MLRFGCSQVVIERLDPLVNPGQNPSSHMHQIVGGNAFSASMPLE DISALSTCTTCHFQEDHSNYWTANLYFRARNGTYKRVPQMANEFNTGDNGGITVYYTS PAPNATTAFKPGFRMLAGDVNLRKSEGLGRNMQQCYRCYTKENFGGSMYSPCMDPVYD TDHLPKIPCPGGIRSNIIFPLCWDGVNLDSPNHKDHVAHPITGPTSFSVVGGECPKSH PVKIPQVMYEVMWDTRPFNNPEDWPEDGSQPLVLSNGDTTGYGQHGDYVFGWEKDSLQ VAMDTGCYLRNCSSLTELPPKVKNQCQVPVSATVDGDLDECKLPPPLYLFFFVFLKL QC762_703430 MAQAKTTSLVLADADHLIYDTSSTPPTAVYGLSTNIESLTYKDS SLNFSRALHQKTASSSTLESDPATQQEGDRLYNLVHPLNADYRTDIPAHFFLTCHSPS LSPVGNIKLTTSKSLLSSKPDKIKAVHYPNRTASTSPLFPDEDATEKVLFTCSKQTFG KGYTWTNSQNKEVAREEELKDGDAVKGRRLIFTSGQVTQEEQDALVAVWVLKRWVEVA EEKGFKKEALDKLSPAEQAAMDMKWFKRAGGLAGLAAAGGAC QC762_703420 MSFLRSPFVQHLLGILQVVSACFMAWKGACLLSGTPYPAVVVIT NSMAPAFDPGDILLVHRHPAHDGRVRVGDLPVILNPDRPFPFIHRVVGVFYDDNQEEM VLTKGDNNELNDSVGMMYPGGQEYISRREIAGFVRGYVPLLGWVVIFLQDPVRTMERL LSSGDELGPDNFI QC762_703417 MNVVILNYGKRDISLTIMHSTVFTPLWSFSLASLITILSLRQGK GIRKLSLLAIWPLNFLSLNTCHHLTWPGGLNSTYASLVIFYFLHTLKILVLDHQPPKS PGFINAYKLWNNPRGLEAPPVIFSTTHNKNPQSRLRFAILKLVKIALLFTADTHLVQG VISVFVFTGAKPSDFAPDYEVFRFQPLTGRQLLIRAGISVNWIWTAFYLLEASRCALS IAFVAVLRWDEPEEWPSIWGRAANATSVRGFWGKVWNRITIPTFAFYAGLFLGILGVE KKTGLRKTLVPLFVFLLSGLSHGLGGWAVGDGAMGRDVLFFFLNFVACAVETFVWKTA GWKSCKRGVPSWAVRTAGMMYLFGFFFMVVPLWMYPKIYVALGM QC762_703415 MPSFTTKAAAVALALSYFSVQQVQCPPVFIGPILTVVGAVAGFA VEVTGAVLQCELGDCTDDRRRSVAGGLRARMLKARPILTGRQATPPTAPEGVPQFEFD RCFNDINGGSVLLEGPVENNGIRISGLPATCMNLATVLDGDASGGPPPTPCGSDCLLY NNLSAADYNNLRGILNDWAGA QC762_703410 MWPWRGVLTTRLPSCWLCRTRLLFIIHHPSVPKMRSTRSRSAAA KALQSVAEASTPSSALPKPTPAQVKATAAEAAKPPSMPTPQTTTAAQTPPLTPTPETL SFPTSASFTSWLGTNYSTTPLGIWLKISKKNSNIPSISYDEAIDCALCYGWIDGQRKA LDSLYFLQRFTPRRKNSMWSKRNVQKVAALTLAGRMEEAGLAEVKRAQEDGRWDRAYD GASMMEMPEDFGAALAGNDKAKGFWEGLGKTKRYTFLMKLVTTKRAETRTKKIGEFVA LLEEGKTL QC762_703400 MATPKKQLHLTAFMRPVSLHTGAWRYPGAVPNANFSLPHLKSFI QKLEAAKFDAFFMADHLAVLNMPIEALQRSHTVTSFEPFTLLSALSAVTEKIGLAATA STTYDEPYHVARRFASLDHLSSGRAAWNIVTTGNPESAKNFGLDEHVEHSERYKRARE FYEVVTGLWDSFADDAFASRSQESGIYFDPSKLHVLNHKGESLKVRGPLNIARPVQGW PVIVQAGQSEPGKQLAAETAEVVFCSPRDIQGAKELYEDIKGRAEKYGRNRDSLRILP AALVIVGDTVQDAKEKRLKLDSLVHYDSAIASLSVALGSDASGFDPDGPLPDDIADTN ASKTGRAGVIKLAKDEGLTVKQLAQRYGGYAGLAFVGTPQSIADEMEEWLSQGAADGF TVTFPFVPQGIDDVTQRLVPELQRRGLFRTEYEGSTLREHLGLSRPNNRFFT QC762_0105430 MSKRTAARLESTGSESASGDTQPSQPPSAKRPKTSKDDDGTTAG PSPSWDLCLLNLPVGILTIICHFIQEQDTAPPAPPVTTRTPVQNAIAIYPRISIDEVS APKASVVQRTSLAFELCYDLQVSSSSFHANLIPPDQSQPRSCIQ QC762_703393 MADYNNPYEDGQEGWDQGYSDQQYLNQQYSGTNIPRTTYIDQNA LTSLAANAGPSYPYLSTGSASHDDPGSYIPPHPGLATQPWDMGPYQVWTTSSLPPTTT YTMTSGAFSDPTATGFPDIAPLSDSLPPIPEQQLVEYQSSYDYSYGQAPPREPSSSPS QLRCDICNENFANQKNWDRHLTSEKHLSNVGEDDPDVPKYRCACTYSVARKDNYRRHL KHCAFRIDFAYVCTCGEPTQDKEYHEQHIDNCGRKRHKKGHKW QC762_703390 MPSLSTSLLLLGAAASQVLAHSHLAHILVNGVLYNGFDPRPNIA NFPNRVGWSSSNADDGYVGPAEYASAEIICHKSGAPPSAHAPVRAGEKVHIQWNGWPI GHVGPVLAYIAPCLNTADGCGSVSKTNLRWTKLDDSDPVLVPGEPGTWGSPAGKWATD VMIARNNSWQVEIPRGLKPGPYVLRHEIIALHFAKDKGGAQNYPVCMNLWVEAPVPAV PVPQPFKLDSFDARGFYKETDEGILIDVSTSLTGYVVPGPTVAPQARPVGHEQQQQMM SRADGTPVVVVRSTVTQKWTGGAVKRTEAPVVNKGRYFRG QC762_703380 MAPRQDQLRPGIPETPSSSLSLATPDPFRDPSDVDSSAQSASSR RTSGDLPVADVTPPARALTRPPPTQTTRGETITAALPQEEVFNEKAGADVEKGTQPVA FSSTDAEKAGEEAAATPRKKSRNPFKRLYERFRETYCPREEPGLVFPTGPTDEEKMMY LHTNRIPLYIFGLFSFFTLSAGMWLFSVCAPIFAWYGVFVGFLNVYLIISYFVGVVGK DWDYKGHRELVEKYPINDETAPTVDVYLPCCSEPLEIIENTYQHVIKLDWPAAKLKVY VLDDGDQPAIKALAEKYGFNYIVREDRPRLRKAGNLRWAFARTEGEFFAIFDADFCPR PDFLKELVVEHMADPKTAIIQSPQFFRVTDDQTWVEQGAGATQELFYRVVQVNRNKWG ASICVGSNAVYRREALVEVGGTAEIGFSEDVHTGFGAVDRGWKVKYVPLCLATGICPN TPRSFFSQQMRWARGSTTLLTTKHFWTSNLSFIQKVCYLCGLLYYSAVSLGIFISPIP GTLLLIFRPEWFKYYNLAFAIPSIIYGSLLFRFWAKAKYGFNVQHVMIVQSYAYLTAI KDRLFGIELLWAASGDKKAHKKSNKFRNMRLLCWFWTILTIGGVISAVTYRLKNDFPW YHTLPLLILNGYNLYIVHYFLFCSWRW QC762_703370 MQCLGHAIVLVLLCIKQTSASSGSYDATVCNQKTYTSRSGELIY VPNAWNPDGQGFQCMSDSQVRDSPPAFDATWKWPSAADTVHSYPHVKLTAPALPVTLS NISAMHLTGKWSMGAGSTPAPRLSVDTSALADLDVTANVAFDMFADRNADKSVKETMA ETEIMIWLGRFGHAQPLGWNENRPRISLTLGNVDFTLYYGKNQRGTNVFSWVAEGNAL SFSAEVSPLLQYLWREGLVSAGSFVGVVAFGSEAFRSVENVTFSATDFDMVLDTGAAP TLPPEELPKSKSGAARAAPDTRAASWSWTWLVVLNMSIIGSVGAVLL QC762_703360 MDASSSPARPKMPPRLPRSLPSSHSIASTFSPGSSTPGSWTVSP WNRDTPDTSPPSSDAGSPKLQAKQADDSGRISPVEGSLDGQPRFGVVRSICFVGAGFV GGPTAAVIAYHNPQIQVNVVDLNEERIKSWNSAHLPIHEDGLLKVVRTARDGALDKTL VLPGLPRAIELKQRQPNLVFSTRVVDAIEEADIIFICVNTPTKTHGIGAGSMADVSAI ESATRTVAKHAKEGAIIVEKSTVPCGTAQMIQDILRYYRPDVEFEVLSNPEFLAEGTA VENLMHPDRILIGSAQTLAGLRAAAVVKDVYGAWVPAARIVTVNTFSSELAKLVANTM LAQRISSVNAVSAMCEELGLGADVEDVSLAIGKDARLGSKFLQAGVGFGGSCFEKDIL NLAYLARELHLDVVADYWLAVLRMNEDQRRRYARNVVRELNGSLRGKKIAILGFAFKD GTNDTRNSIAVHVIKDLAMEMPREIAIFDPGCASAEIREEVEKAGLTASQLERIKILT NWRDCVQEASAVCILTQWKQFRGRKLGSATSSNKKTRKLAADWATSCVADKADISEMD ILALEELVRDKSSATTGDDPLERLAPLAPCPEECSHCRIGSAEAHDQEPVDWAEVAGM MQEPRWVFDGRNVVNRLELQSLGFRVRGIGKGF QC762_703350 MCLSKVYYNSYSDGQQDVTEKTYACRDGRRCANPEIRKYDRKFP FTKLGEAQPESQRSISERKPTPYFESRGSKSPSPSGRDSRRDSGIYMGGGSSSKSSKH YDPYDPYSSGPYRSSSSSRARGDPRDYYGGRSRSNSIPQIIYMDGRDGYKESGKRSRS SSRDYSRDIPLGPVHLADEYGRRSSRSRSRDSTDLSSKYYSTSGRGRGDAMSGYMFID DQDERRRQRRERRLSTSSAMDEYDPSRYVPRSSRRASTTGGTVVHNGDGTSLYTSSSA PTGLSSSKSGSGHVRWEDEVRAKRNRQNAEIANRPVLGSDGEPKSILKKKGDVKGKGR ESDEDLYDLRRAVEGMGLPSRGRRSSSGRDLMDEYPSSRYDDGLGARKSRGKSGYSDD RYRYF QC762_703340 MIVRDLKRLVLLLCPVVALLFVTVGLWHTQPDYLRGRVGEFLGR PLGSGPATTDSEEDKKQKPDVGSYDRPNPLAPTAAWHRIFSASTTDKKYFEIKFGHVP VFNPNILPHPTQNDTWMLMGQKWTDHQAEGKGFIALEMGCDAKFSGDILTCVGEPKPL PIEPTTGDKCTGKYAPLNLNQGPHDARAFYGPTKPYTIYGSNSAFTCFGQWIQDFRTL VDWPAEPLVQDDFEYGTELQRPPPYGILEKNFFAFWDKDDNMYIHYDMYPSRSFGAVD GKGAVIGSDLAPKAASYDKKCMARYMPKLAPELESIHQATNSLKVTLCQRSDENCKPD DSNTFIMTIIQHKTYYSWHSEYEPYVVLFHQRAPFEMYAMSKKPIWIHGRGRDEGRRR TDMFYVTSMAWKEHGNKYHAYSDDVVFLAFGVEDKGAGGVDVRAGELLKGLGRCSEA QC762_703330 MPSDVIELESRQGKHQYASSGPLSAVISMLASLRVNKIWRLLSP WPRTHGQSDKLRPTAYLDGLRGFAAFLVYIHHHQLWAHVPDQRLFFESSFCYDGYCYF AALPFVRILFHGGHFAVATFFVISGYVLSMKPMSLIQSGDQAKLADNLGSALFRRWLR LYIPLITTTFIYMVFTYVFNIWVLPLKRASSLGAEIWGWYCEVKNFTFIFHTRAEILS YNFHLWSIPVEMKGSIVVYTAALAFARCTRNARLWLQIGLMGYFMFIVDGWYCALFVA GMFISDVEQLASKNELPAFFERLRPYKMIIMYHCFFIAMWLGGIPAHSADVKELRKNP GWYYLSFLKSQSIYDVKWFFLFFAAVMLVAAIPHIGWVKRFFETRFCQHMGRISFAFY LVHGPVIWTVGDRLYAAVGWWEEHRVKNLPQWVNIWELPKTGPMGMELSFLAPHIILL PLTLWLAEIVTRMFDEPSVRFPQWLYKSTTTATKPTKLPE QC762_703320 MKWRPARLSSPLSPLLPTTPLASPTLSVPSKLRNRIPSQMRRTF PAYILIVTLLILLFKAEVFPSQPAPVGSSSSAITRRSHLQKPLGSSSSSHHQSPQQAG DFPKKIWQTWKVDPYNFAKRDKNTARTWTSKNPGYRYEVLTDHNDLLYVESKYGSGPG GLDRPDIVEFYKTVTAQIIKADLLRYMIMYADGGIYADIDVEALKPAERFIPTRYNVT DIDMVIGVEIDQPEFKKHEILGGKCMSFCQWTFMCRPGLPVMLKLIENIMSWLEELAK QQNVSSVGEVELAFDEVISGTGPSAFTGAILEDMNSKRKGKGGQKITWDNTFHNLDES KLVERVLVLDVEKFAAGQGHSNSGNHDARGALVKHHYHASNWPSKHPRFKHPAYGEVE RCNWDAECVRKWDEDVAQWDGLSEKQKKKILDRKQKEYELEMERLKKEKEQAEALERL GRAERERKKREEEERLRKKERMEEVYRKAVEEDKKKKNQQILLEAAGLGHKESTPPGP GFVAPAPLPGPGVFPPAL QC762_703310 MNNRNVYHTYDPAVDGDETDGFVTAYREWEESAHRHNAISQDFA KQFQTLWQKCRELETECLEQKKTVKLWQQEGRKMERELNYYKSAAENAGFAFVIIDGD GAVFDEELIALGEEGGKKAAHELHRHLREYMQEECELHNLDNIFVHVVLNAQGLSSAL VQSGTLPTGDYAAVTKFGRGFCRAQPLFSFTDVGGGKEQADHKVRKLFEMMEKNIQCK FLALAGCHDNGYATFLESYRNNPKIRLLETTPAAADFRNCHFDRFSIPTVFRSEAVPS KPGSSRPVTNKLATVTTQAMMNSPSPKPPSPALTTAFRPKPAEATSNGGNSYAAIGKA APSQTFSIVPSKKKNTQQAFILFNRDDERVDAPLPKADQGVVKRMEEQAKAIGANFCN RYHLSPNGTGCKAGDNCSYYHSETKLSKQEILALKHKTRKIVCNNGSICDDFSCNLGH HCQSPVGCYFGSECRFFKFHGMDITPTLKVYEDGTREVVSN QC762_703305 MSADPTGPPDDPVNDEFDHFVKCQNLADKDSIQGKTHEQTCYAD IKKRQRTSPRHHWHYCMLPCLKGTKWGNTGKGQIFNLPNVKAAKEYVKHPVLGRRLGY MAGLLVRGLSKHKNNPDVSKIIGRNTRGDWQDNPGIHVGRFWACATLFNHVLNEKRVE APGNARTAFQIILGRYLGNAKDRNTERLLDDPANHIDTEDEDDPEDPSFNMTINDDSD DHYGFRSDDDDDNNDDDVGAEPGVSVVGGGVENDAEPGGNVGGGTDAGEAEEEEDISL ELTQVDGAGDSPGGGPTSAKRPRRGRDKSGRGQKKRRGS QC762_703300 MRSSIITSALLLFGAVQADPGAKKNTGGPIDASILAHTGEPAGK EIKIANNLTVYISPPPPKSPRTKPRSQSAILLLTDVFGLNLLQNKLLADSFARAGYLT LVPDLFAGSPAPSDINDPASANFSIPAFLAAHQPPVTDPIIASAISHLRGSLNISSIA AAGYCFGGRYALRVVNPSPGGADVAFAAHPSLLTDEEISGVEKPVSVAAADRDELLTA ARRAELEGLLLGAGGRYQVGVYGGTPHGFAVRANYSVESERFGKEGAFLQAVGWFDEF LVGRG QC762_703280 MAQRPDASATPSANGAPAQPPSKSDTATPKLNNEVELGNLPGDG EPAQSDIMQMARTGDIAGMEKLFETGDYDATYTDGEGITPLHWAAINNQYAMCKFLIS RGAEINRKGGESVATPLQWAAQRCHYYTVHLLLQHGADPLISDAQGYNTLHISTFNGN LLLIVLLLHQGIPVDVEDAYGHTGLMWSAYKGYPACVDVFLRWGASVHAKDEQGFTAL HWALVKGSAGCVQKLLEYGADRFAKTTTGKTPSITAKELNTAGAWHKALHECGYDEDA NAIIPSWPGSSYLLQDRRGFTTKFFFLWPFVLVWATLHIFAGMPVYAGIPIGLIVAYS IQWVGQQVIAYAPPDMRSFEKTPWMTGIFAASLFWVGLNWLFTVFPTTAFGEDGTYLL NFLFAVTLGLTGFFYVRSMVDDPGFVPKMNGIAEQRAVIDELISQWKYDEAHFCVTCM IRTPLRSKHCRRCQRCVAKHDHHCPWVYNCVGINNHRHFFMYLINLTLAIIIYDFLTY RYFNIVSPDASEECSLLAPSICKVVNADAYTMLTAIWASLQLVWVSMLLSVQLIQLAR AMTTYENMFGVHHTSATSLASAFTSTGAPLDPTQQPPSGSAAGEGGHGHKHGHRHGGI LKTMSRLLGVDIFMRTARGKGAATNPANKRKSRNPYNRGCVTNCKDFWCDPAPLFGKR ETGAAMLGGQPVNYTEMYESPSVMDALRGRAGRAGGYEAVAGDEV QC762_703270 MSLQQTLKDLLEASLTPSPTVILFGLAFCLLAPILLHFLYSTAT PYTTLPSVLLLGPPGAGKTALTTLFERGPLDLPLAKTHTSQVTSSIELSINSDDPSSA SYKTNLDEAGATAKKFLLVDTPGHPKLRASSLARLNSAEPTIKSSLVSDGGAKSKIKA VVFMVDAAALADGDALPSTAEYLYDVLLTLQKRFHSRNGSRAPASMPVLVAANKLDLF TALPAALVKSNLEAELGRIRAARSKGLLDSGVGQDDLAAGEEGDWLGGDGSEKFSFAQ MMEFDVDVDVIGGSVTGDGPGAEKWWKWIGERV QC762_703260 MSNQNGANHRGLVSQVPIPIFDKLVAKSDIKMSATELIGNTPLV RLNKIPQSLGIECEVYAKVELFNAGGSVKDRIALRMIEEAEKSGRIKPGDTLIEPTSG NTGIGLALVGAIKGYKTIITLPEKMSAEKVSVLRALGATIIRTPTQAAWDSPESHIGV ARRLLKEIPNSHILDQYTNVDNPRAHEFGTAEEIWAQTGGNITAIVAGAGTGGTISGI AKGLRKHNKNIKVIAADPHGSILAVPESLNEEKANLPYKVEGIGYDFIPDVLDRELVD KWYKTDDRESFKLARRLIAEEGLLVGGSSGSAMAAMLKAVKDFGFKKGDVVVVVLPDS IRSYLSKFADDDWLAANDLLPNDDTNVSGGDAASATPHTPSQNDPYGGATVRALRLKP VSSVLADSPCTEAIEMMRDKGFDQLPVLTPTGGKLAGLVTLGNMLSYISKGRVSPKCP VSDVMFNFKRIDEVVTDPREFGSELKNKKRKFVEITMDTPLSALSRFLEWNSAAIVTE KAEDGSKPVAVVTKVDLLTYMVKHK QC762_703250 MITLVSTGLPLSVIKPSLHKPSNFINKMQFTSKTLLSLAAALSV AAAQSADCVYDVQSAPFHLRLTSDDARLNDTLLVSVHAGPPYRQLVSEVLLEEKYPGN NLSDISINFYYNTTSTNDPNLNCLPGKLHWAPEQSWPSVFGLESSLASNVLPVIVSPT QGEGSGPQFDEEGKLFQRARSFSPVITDENTWSGTNYYKWFVCATVYGNYNYGDALTW VSGGLPDGKDCRAVNVTREWA QC762_703240 MGRQERIARSIVRAIIRTNVNGTKKKASNPLGILLALFSAFFSL YQLLMRKIRPADFAKLRREYWDVSDDDYVDSFRPREGAKDEEALTAIGDMGFSGSTFY ATTDQKYLVKSVPRHSEHSFFRNDLLTPYVQHMATHPQSLLVRICDFLGASGASIGRI LRLAPSHHIVMENIMYGREEAENRGDAKWENWDLKPTSYFYPERDIADGALTSDATKE QLADEFHDKIVLSQEQADDLFARLEEDTKLLAEHNAVDYSLFLVRMKLPREEVQEEVQ PEAAKSDSNLAPPEDGPSVPPQPPTWKTGVASADGKYVYRASILDFFWAKHKIQPRFM TLLINLWNLLISKDGPMSITTTPEEYRERFLKMCRGYVDIKKD QC762_703230 MSSTSTPPPTLPDYVLNPDAVLSDPSTTWRHGQPPDYTKTRQFY LQTTSLPALVENLVKNWEIEASYKPLLPEWRTIASPQSYTFRVNGSPPQTAAQMLSVG TYNALIEPNEFYCPAHSSFDASHKTFKRVMPSFAWEVLEVYAGPPRVVFRWRHWGVMK GDYVGTNDKGEKVTIKAHGGDIDIEGVAVADVNDKLQLGSVEVFFDPMAMFRQMAPDG KTGVTKEARTTE QC762_703220 MHRQTPSRALLLCTLAVLSGKAVAEEATKTATASTPVVTPCVAT ATTGAGAFFDLRPDTAVVVPEGEKPPKGSPVDDYVARGWDYGSNFTLNFCSPVVKEVE DVVGLDKDLWKNVSAYYETKGKIYALGLSDGNLVPRGRKLVLQYTGGSPCGLSDEKNR EKRWEVHDGATYKYHEYDDADDDNDNDNDGEDAESRRASKDKDDDDEDDDKKDKGKEK PKTQRRKSATFSFLCDRDPDTPTAASFVGTDPDECAYFFEVRSMHACSIAEPHKPGSV GPGSVFAIIFFIAVLVYVVGGVFYQRTVAHARGWRQLPNYSLWAGIWSFVVDMFTILT SSCGRLIPRRRGYHTLSGSPSGRRHSRDAENRLIDQLDEEWDD QC762_703210 MGSKAQQPIEASRKKPEYEFFGPPGAALISFVLPPLVYAITFAC NDLSGCPAPSLLHPKNLDLNVLKHEVGWPQDGWPGLFSWEATGWTLAFYLFNAILYRI LPATETEGTVLRSGGRLKYRFNAFSTTMFCIVAAAAGTIAQGAEFPLWTYITDNYVQI LTANTLIAYALATFVYVRSFSVKPGNPENRELAAGGVTGNIIYDWYIGRELNPRVTLP FIGEIDIKEWMELRPGMLTYILLNGAFIAKQYRNYGYVTDSIVFVAVVQTLYVLDGQY MEPAIMTTMDITTDGFGFMLSFGDLVWVPFIYTQQTRYLATHPQTLGPLGLAGVGALL VLGFAIFRLSNSQKNDFRTNPNDPKLAHLKYMPTKAGTRLLISGWWGIARHINYFGDW LQAWPYSLPTGLAGYTILSAGSAAVDGATRMLDGRQVIPGEAKGWGIIFTYFYVLYFA ILLIHRDRRDDEKCAKKYGEDWEKYKKTVRWRIIPYIY QC762_703200 MSDVNIQALLNKPRNECTEYEIAQLEAYEMSNGPLSLLQTAVRS HSQVLISIRSNRKLLARVKAFDRHCNMILENVKEMWTETPVHNGKKGRPVNKDRFISK MFLRGDSVILVLLS QC762_703190 MQLIHAAFWLPFLAARAAPADNHAQLIKRDLAYSPPVYPSPWMN PEADGWAEAYVKAREFVSQMTLLEKVNLTTGTGWASEQCVGQVGAIPRLGLRSLCMHD APLGIRGTDYNSAFPSGQTAAATWDRQLMYRRGYAIGKEAKGKGINVILGPVAGPLGR MPAAGRNWEGFSPDPVLTGVGMAETVKGHQDAGVIACAKHFIGNEQEHFRQVGEARGY GFNISETLSSNIDDKTMHELYLWPFADAVRAGVGSFMCSYQQVNNSYGCQNSKLMNGL LKDELGFQGFVLSDWQAQHTGAAAAAAGLDMSMPGDTEFNTGVSFWGTNLTVAVLNGT VPAYRIDDMAMRIMAAFFKVEKSIELDPINFSFWSLDTYGPIHWAAGEGYQQINYHVD VRADHANLIREIAAKGTVLLKNTGSLPLNKPKFVAVIGEDAGPNPNGPNSCADRGCNN GTLAMGWGSGTANFPYLITPDAALQAQAIKDGSRYESILTNYAASQTKALVSQDNVTA IVFVNADSGEGYINFEGNMGDRNNLTLWRGGDDLVKNVSSWCSNTIVVIHSTGPVLIS EWYDSPNITAILWAGLPGQESGNSITDVLYGKVNPSGKSPFTWGATREGYGADVLYTP NNGEGAPQQDFSEGVFIDYRYFDKANTSVIYEFGHGLSYTTFEYSNIQVTKKNAGPYK PTTGQTAPAPTFGNFSTDLSDYLFPDEEFPYVYQYIYPYLNTTDPRNASGDPHFGQTA EEFMPPHAIDDSPQPLLPSSGKNSPGGNRALYDILYEVTADITNTGEIVGDEVVQLYV SLGGPDDPQVVLRDFGKLRIEPGQTAKFRGLLTRRDLSNWDVVSQDWVISEHTKTVFV GKSSRDLGLSAVLE QC762_0105660 MSIESVDAPLRGLAPELYTWGIMYLAMHLSSQQVRALSGVCNKM IWSTRPHLDTLQVKDMTPAEPKT QC762_703180 MASRITPVSESAAALPEARSHSPWRRSACDRCRSQKLRCTRKKE DDTSTPCTRCLRIRFPCFTSPAKPPGRLAHRRAQAANNASLCDVAQHQPPFVSLPGSG YSMTSGDQMACGNPLYAPWPYTQQGSEHPLVMEDSSMIIPWADASHAIDAFDFSVHSN TLLMDTHTLRGNHHIHPSTQHQTSTMSLPSPPEYQPFNPEDGFTMKPIPTHKSSRQGD PGVLLASLQQSLSKQLLHTKSLPRDFSILDTLLPDSTKPTDGFDPLSSVLSSTSELIE ISQLFHRPTDENSHKRSPSIADDSVQGASRWPSPASSSSQIPSQGYSAGSSTAASSPS SSTIVDPALGGAPQRKNHQLNGANLLTMVSCYLQLITIYDAIFGHVLLEAAFAMTRRS GGNNMQHALAHAMPIDLSRGYHDFGYEQQQYEVNHWQRAGLLARMVDGQLEGVERALG LPRQYCVSLSMQTAAAVEQGSLSGREARGLLGVMLGGTATGVRYEGEMMGEGFVANSV EQEGGNMVTMLREKLGGLLQGLEGGGC QC762_703170 MANVKSTMVPDFEVKFLLDATQVLDPASSKPNDILRAAFKFPEK PIRMDVQFLDTPSREIYNAGWSPRIRKIEGESGYELTYKKRYPIIDHQADGAIDTGDL LTALTVARDDGFDTTETKYDAQVEWGFQKQTLSISRKKKVKKETVGKKEMGLPGEEES RKLLGDEIPGKFDDWSFEKWGTSLLSQAVIYGPISAERYEGRWEGIEVDVEIWPLRNK DTDTGTENIVEISFKSDNGNHAGGTQKVVGEYLTEKGWLVPSDSLKTQLIMDRYGPQE LDGDEGVTGNYTDMA QC762_703160 MKSFTATALAALLAQQAAAHSTFQQLWVDGTDFGSQCARLPQSN SPITNYNSNDMRCNIIGTRPQVKCPVRAGGTVTVEMHAQNGDRSCSQEAIGGAHHGPV SVYLTKVSDALTADGSTGWFKIFDDGWRKNPSGRVGDDDFWGTKDLNACCGKMNVKIP SDIPSGDYLLRAEAIALHAAGGAGGAQPYMTCYQITVSGGGSASPPTVSIPGHFKASD PGVQVNIHGAMTNYVIPGPPVYAGGSTKVAGSACSGCEATCAVGSSPTTSLTPPVSTS TPAPGNGGGGSPGGCTVQKYGQCGGQGYTGCTTCAAGSTCNTTNQWYHQCV QC762_703150 MSRNWPIDGDNSDIPHGFKRIEYDADARVYIYQKGTQLYAARSN TSPMTPIPNRAASNISPEGFRQGFPNADRDEDKNGVRQVLKRRVTGITRSITRRVTGR KPNSYGYQKENRVGRSSSRSCPSGFLPDEKEDPFGDYASYEDERPATTFDEIFAKMPR MNTV QC762_703140 MSTLNRTSSSSLFTRAKGVDCDHSGLFPRFHRAVSLSTYATYQH TRLHLYTVRLRRLKMAPWCPNITSQTFHSRILGTVRYRSPPMLALRRGLDHGELWLCG GGILHGASQ QC762_703130 MQPATMIPSPLVRHKTISAQTFSHQPLAHHHDPGAAQIITIPCK RIGPSSLQSHLEQWYGPGNYSVESEGRDEHGLKSPDTSVQPLLVVERKDVLGV QC762_703125 MDWPLNDPKFIKEDLEQFFAYQEKPQRSKAVGRFWGSDDLDERP LHADCDVREWLELSKDQSQKPGLSFILASCHEATRHNPAHLRKLSFTYEAFQQITSSL PLHGDTARIISRSDLSFFEEIDLYSHAGRNIYYCCRTSGLWAEDLAVSCVFNPKTGFT SGVVFGCSDDVAEEIAGRIENAENSWMHPLLMIGIVAEIERTRHMKLVEDHLFKLLQR VQSMSKSPEILPTSQLARENYSVDLWIEVSQLRIALVAWRAQLCKMDAHIQDLEKIFL EALSRHEEINSSSPCSVELGNSFDSGRTLTFGHNDIYEVNQTSDELKALGSWHQYARE EGRRIQKRLREIIGEYDCKLRECSMVLDGVSLSAQLSLFSMTFFNWDASEGEQIVSPY VWIYSVAVVPITAVVVGLWYYLTKRTRFSDTDCTYG QC762_703120 MAEPLWVRHGPIRLRYIDNDYLDSELRALFAQVQFFVEIEMGVC WVTLPHPEVLTAEHIQYIQSRQPHYSRRSRRPRR QC762_703100 MPDNDDTPPLPEDNNDSPPMPEDNDNTSLIPEEDDPLEKATEYD ARSSQRDSPHYIAMRGETPSVFVNNVASPLDYDRAANNQGPLEGGRRSENPSSLRRSN SHASSARSEITVWDFGEELCDACHRDTLGEREEIPIVSPTSTSPALMVRLWSLRRPKN SPDHKLPHFFPWRSVEKLITPQEVICALQGARSGLADERIERYTDQICRPHKCTDDRV SNSGFRKIFAILVRMSRAVDITHFVDRGICDGDLPLTAVPVGEGQMEMRLRGQENKKL DFLRHWDDELKHDDFEKLQWTMLVPYFAKRPGHSARLYVLPKKVILPWLSEDRQYDGG YSWVSKVKIHPHHHNFNQLEDHMVSSNLFAVKHLKAHSTDDASNTDLFPPANGTPGVA NPQVVVSKATVVSQYDIKTEFEQEIEILNRLSRRPHPHLITLLAAYQLGDECCMIFPW ADCDLKSMWQISPDPGNPLEKIKLKWVLDQCLGLAQGLNQIHHSKPTPTLGESKMLQR VYGRHGDIKPENILFFRDKTKPDDKGKLVITDFGLTRYHGNDTKTYLRDMKPPATPTY RPPECDITTSPISQSFDIWSFGCVLLEFIAWYLGGWPLVEQFVKKRKLQNPLMNNWQT DQFFEILQDNPNYGSAGTVVARVKQEIHEFVSELHSHPSCSDTIHHLLDFIMSEMIVV ELKRKRTGTTHDSSMSKQDHTRAQCGNVVKKLMSLCAQLDQLPDMLTATPYSAKIKPS IVVEMKGYPVGGRYAELPVNRGTTVRATDRPEHGLGHPETW QC762_703090 MSDKFLTVPHHTTGRKLPIPAASTTSIPSRCISPEKLNSLLNEK FPSGNYNVDVSQNVYQIRAPRHLSEV QC762_703080 METNPAVTSTQAALADRESLLSIQRQGHRHRNYAHFSRFRFSSD VKILECLAHIKATQAKKGAVPRPNPSIQSSSQNTNAQNQPDGGDQNSRGNNDGNASDS DGEDDQQRQGLAQVTEDGFSRLQTLQTLLETSDPVISNQIRWLRIEDPDNFVLFCHLA ALEALQQPWDRVLKRIRSNVDESIELVPVIQQYYQKVVGRPRKASDQFINLAHRMERW DSNLARFDEQHRRWKLIPVRGDAPIKQPLEFHIDIRKRFNTICSTENTEFFWWAEQAI LRVLSRFDAHREHQPLRNTPFMPYTAKHLIGVVENIYSQLWMECSSLTWSEDTSRRVF SLARRFIRTSLTEDEETKRMVDVAVVVDCFVNKVWLDVGRGICKALKAGQTTCSTDDL EIPKILRHSRAENNLKDTYKIWLVSSHKSDPNAQAAALIEADLGPLQYQGVDKVNPSE LSRVCASVYDRLGPARLPNATFFSDAFPEPGKPYLLVFEGPWLIKVVKISTEESIHMG WHPLAKGQSGYGRFYAIQMGGVKIPVLTSMEETMVQMMIPDGQLVSRADVAKQMEDGG GTLPRGVDGQTDV QC762_703070 MMDSPLYPPTLSEMEEPDWLDLAANASAENQCPVDSCNAPSSLA PPPPPPVASLITTVKPASHSSTSIQEKPWKHEGYQAYCKLLASESDLFIARRFKSLNV RVALRMQDEVSRLEEQLLYVEKESREHKDVDNGTFRQDMVAERSQLLDQISTSLYRYN KFIIQQTALLNYPTVPLWDIKNLKTWHKNHDNQAISQEEMAYLDHTEDLIPLAPRDKT PLRRAMDKFLFLRTLPFWRDKSRNNTRDIEMGRSKDGTSSADQPADGSETAVNFYSDK TMDHFVSIVTVTSGLVMLVVPIWVLHGLVDASKKLGVITAFVLACLLFTSFAMTPRPL EALGATAAYAAVLMVFLQVGS QC762_703060 MGTIMWLLALFTIIFISLHHLHTRRNRGQIPVSVNYHFTRRCNY TCGFCFHTASTSYIEEPNRAKEGLRLLANAGMRKLNFAGGEPFLYPKFLGEMIDFCKQ DLKLESVSIVTNGSLIREDFLRKHGKNLDILAVSCDSFDEATNIQIGRGTGTQVKKLC EIARWCEKYGVLFKINTVVNRLNYMEDMNERIGELKPFRWKCFQVLIVAGENDTEETL RNGHKFTISDGEFEGFCERHRGQPSLVPEPNSLMAKSYLILDEYLRFLDRTGKQPSRP ILEVGVKKALESVFWDEGAFLERGGLYDWVKPEMEKSCGKGGREELDW QC762_0105810 MVTEARRTRDLIFVIGAPGAGKGTLCKMLAEANNVDHLSLGDLL RQTVSSPNADQLIAGCIHRGELLPTHILHELLYHRVAQPVSSTASRPLLLDGFPRRLD QAREFEAVASTRTGATLLIISGAGG QC762_0105820 MFDKRYSEFRESNPPILSHYARPEGRLITIDTSGATEESYQKLQ AALGSSEEWAALTSETPKFPVEWLREMTDNQAHS QC762_703040 MAIRPPLQKTLSPCILEVERKFHSLAVRHLTQNGGIPPFQSLRS LPLQTIRDTYYDKSNLLSSSGAWIRRRNGIWEAKIRKGGDFTNSRFEELNRVSDIVAC VENITGIQAHEKEDFGLGIMADFVTTRETWIADEEFRIVRDNMDFGHEVGEVELQRVL DGEASEEEKMGEMERMDERIGEFMRRYGWAFRKGRPVGKLTAYFEMMGQKRS QC762_703030 MSPNASSVAPKGIQQYIAARQGGPFQLINAPYPVPGPNEICIRN RAVGLNPLDWKNLHYGMMVDSWPEIFGIDTAGVVEVVGKNVQGFKAGDAVMSLAGHGG RAGAFQDVTTVPANYACRKPTAWTFEQAASVPICYLTAVASIIKGLGVPLPHLRELPN ERIPNLDDLTSPMTPGATQPQVKPPLLTSVLVIGGSSGVGASAVQLLRDALPHLVIIT TNSRAHNQKVTSLGATTCVDRNMKPDQIAKAVRDASPNGKGVDAMIDTVAGAMAGNKE IFGAFREDGPKLYSHVMTGEKLEVSEGVKAATVFGRMAFQTDGGGAAMTKLVDLVESG RFKMPLEIEVVGKGLGVIGAGLEKLRGGVSGTKLVVSL QC762_703010 MSKAATTTYTVELPSDAENASRSTARASFQVDDSPPSEDDQAQG LTKSVLLKLASAAFSFFVSGVNDGSIGALIPHIIRDYGVTTAIVSALYAASFVGWLSA ALSNTHLNHRLDLGAMMVLGAAFQVVAHALRAWPTPPFGLFITTFWFASIGQAFQDTQ ANTYVATEKGLTVHRWLGFIHAMYMAGCLVAPFAASPIASSPSGDGSSQWYLFYTVPL GLGVANLALAMVAFRDTFRLRPRVRALDPGTVAPEKSASALIKETLTTRSVWVISLFF FFHLGVCITAGGWVVEYLVDVRDGDLRQMGYVPAGFSGGCLLGRLLLPDPTHKFGERR MIFIYCLFCLAFQLVFWL QC762_703000 MEDKGQGAHLSGLPTWDDPQISSVSCLRFPADLVGFMAGITGSN VPKWLNFGGQWVSRFNGMAKSRRPAPTTATLPRDHDHKSAPFPDLFPTYTLRCCSSCS NGGNQDRDDQDKRARCCPHERDWMKCPSSDTPQATTMTSPSVYDNFVWREISPGIWQR DADEAEVFYSSLVKQYAGSGRMHFAITGHVSLTIPVLEGQDATAVAPRFDAALQAAWL RLRHQLPSIGAQVHFDSHEQKWKKTYTILPDDGARAAWLDKTFHFITNGQTGVEWANS DPPAPELATLFVVAVPTSPNSGVRRDIVLRSPHDIIDGIGTLQLLNAFVHHASQAMGE CSTMQLAIFDGSETARLSPPYRVAAAVPPVPTPEQKAKQAALQEANNAPQDLAVRSIG IPYRQGAHLPGKHQRVAHTISADRVSSLLAALKAIGATPTHAFHAAIAMVVRDLHTES LSAPPDAGALVKYINYILRNERQNCQPPFNGPHHAAAVYHSVSGAKLAVTMPATVFTD ASKRDDEFLDILAQMRQFYHSVRDDKDHFALAPYIWTAATPSLPLPQSENDKWDIPVP SPNSKPSVSISSMGLIDKLIVSRVGEVEVHNPWVTGEELGTGLGLFLGTFRGEMELSA AFNEAWHTAEEVHGFLDRCERIVFGWVDRR QC762_702990 MPPIQPRGVGPTESFVFPTGTVKDNLRTFFRACELGSTRYVEKC IKTLSPAGRVPLLNYSTSSKNRLKALHLAAQAGHNDIVRLLLEAGAQVDVAAEKGVTP LACAAASGQSGTLQILLEHGADPHRLTEDGLTIISHAARSDVVRGQADTIAMLIEHGV DPNAIDETPKKSALNWACSQGNLAVVRILLDPNVGGVKPGEILGEDGWTALHFAARCQ VLAGKDVTQYLIRAGMDQTVGDIDGWLPIHYAAKHANVVTLGYLIHQKPGLSQLIEIK TDTGGTLLHCACDEGEAIKWLLRHGADVNAQDDEGDTPLGLSCYDGIGDTVSLLLQAG ADPKIQNEEKRTALHWAARGGAVNAGRELLNKCPAVLHIKDEKNLSAMHLAIRKFEPT FAEMLLDEFYPEYATNLHGDLTAVHEPSGETPLISAVKRFQVGVVEKLLKLGAEADVK DKSGKTPLVYASRARKHSEKLVEMLLDHQAAEKAKEAAEKETGGEKMPMELQHMLQFL KTVLEEQERE QC762_702980 MSVHGPDPPVPAVVAASDAVEVKSSERARQREIAAYLLAASFPP DLPAAFAEKATLNSDPILNGLTQLGALRLGCDRAFVSLIDRTYQYVVSEITRSHSLFD LISDPGDTIAIGVCKLRNCDGVCPATMNAFMDETGEWVKTGPDVIANRTRYIINNFTT HPDYKDRPYVKNYPYFRSYLEVPLVSSLGYLMGSYCVVDSKLNEFDDDEKVEIMNEIA AAIMAHLENVRIKQSRDRSAQLIQGLSGFIRHEPLSQRPSRASAIPGPAPSDPDPPTT TEQPGSIGGSNDDGKSTGRADSTDVQSSDGSQRPEPINVISSSSIESGQSGLSLLSEA RQAPSESPPTTPRDEVNENPMEQQLKMAIARTDADKAAIANPPAPSSETSESHGSGFI SSANIKTTFFRAAGTIRRSMDMDGFMFLDAVPSSYRDRSDQPTLGSQPGTLHDYEEGP FCSAIVKSCLGPTGENITHSSQTRLPEVSLQRFIRAFPQGKVFTADEYGPIDDSYGPG KRFQSSRQQPDPATVRLKDDIDALFRVLPSAKYVVFLPLWHFQRECWYAASLGWVEDP TRAIDITDIGLVSAFGNSIMAEVSRLEALAASCAKSDFVSSLSHELRSPLHGIMASSE LLRENMLDSPLLSTLDMLDSCATTLLDTFNNLLDHAVVTHAGRERDHKSPAAQLRVTD LGLLVEEVVEAIRVGHLSGNAFHMQSSMLKKMAEPVNSSVPDRPLLITVHIAMKSAWR MPVNVGAWKRIVMNIFGNALKYTLTGRIEVKLKTVQRLDKTGKSSEYISFSVQDTGLG MSSDYLKYHLFTPFSQENSHSPGMGLGLSIVQQLVNDLGGFVEVYSSVGIGTCVEVLV PLNKDETDSSVAVPVQPPQQLFDAHQRQLAGRTLGLITSEAYSASNNTGTGANKDQRL WTMNVERALKSNAGETLGMTVIIPTHNEPLPTADIYVLDSGASHDTAHKDIDDFMVRH SHIAPLVLLCSGSGPPSCLKSSTTKNHPLHIHHPLGPRKLAAVLCAALEAKTPLNIDT VQPINSAQASAVAKWITPVPLSPGVSALAKLKTKLGPITGPDPRPAVPVEAKTNKPIT SPTTSNAGGTAQSPSAPTRHLLLVDDNPINIKLLTHVVRKLNHTFLTAANGLEAAQLY KKSLEGQGARFDLVFMDISMPIMNGFEATREIRQMEANAGVVDKVKIVALTGLSSDLS RNEATASGCDLFLTKPVKMNVVREVLNELNNDSAGG QC762_702970 MDHPSCLHVGQRPPAPDFYRPPPSPVVDLRATATPENHWEQQPP PPPPYTPLPTLPLPPPPPPRPPRPPPLPVRVNVDPGIGALRIEDPLRPVSRGEDRSPQ SPYFPPPPPPPPPPLSHRLRVQTSLPNLSQYGLKSPSLSPRPTTPTPVSAAATFGPGV TPGPNSGAESKPFWQNALSEARYFAGGLIPPPTESTKHYTILRHSSPLIFYRGPSTSV EISIFSAPDYPLPPDRSIWLQQRGFSGDSGMKIKAFFGSTDDWFNVTPSVAVQPDEVE RDTERGWKRDMDKAARKMLKDKGPKKAHIPRETHVVRIPEASDDGYFRLHLCAGGPPD GQADETSSSSKRKILCSSPIFRIASTSTDSSKFRGATLSTLPLEAAAFVGSKVILSRV DPIIAPIQAGYDRVAPGMVKTLAYEIATDKINENAALRQEKNSYISSSRSSSSSSSSC HDIGPDSGPLPPFPLKFTGLVNRGTGRSTAELGVPTANLLRTSPESARFALRGVYAGW ACILPPKTASSSPDCPPPPSPVWHEALISAGPLPSSRPQAAPEPQVAVHLLHEFPRGF LGREIKVIAMGYLRPCLGFNAQIEDKLVAFSQDVHMVLSCLSGPGRRENWGPENAAVL LKQKKSERGMGERFLDVKQKVASKVPSVPLHKVGIRGFDPEAKDRMHGRGGYWVRR QC762_702955 MTLNLTRNFLLLASIATKIGASPQRHSGWASPDPTGTKTQDGLE HLRISHKPTDAPILATSRELRRGDDDAGVCGYFDNPAIPAYHCLPYETCTNIGNYRAC CPKGDWCADLDSHHTACVDYTHAACLYPTPGTLCCNGEDGYGYCRQYHWSTSATPNRT FTVFACMPGKHTDVGTLLPTPPSGSSLPTESSNDPSYEDLLRFGGSTFSSTSDTPSSK SETPVGAIVGGAIGGLAVIGAVIVAIFFMFFRSKKQVIEAKSGPGSSTSLSDHEQSVD LLPQQSPIARTWRPSSISYAPPLSGPGFTLPNPKATSTIVSPESEDDSLEQQHQPLMS MRGPMSPVNAVPVEIGKAKESPIELAETCGHYELASHPVK QC762_702950 MVTTNHHSDHQSHTCERTTVNGNSPTTAHDDMTVPRPLRIPRHV LLLSLQSPSWFDIEYENLLHALPAESGRILKAEDPEDALEMFSHREVANSFSAVLIAD HGITFPRHSPIWHLLLKNYIRKGGRVIICCEFPCGVIPRDFDTMFSEIEGLDWKFGGC SRGEYGRAEAPGQGKDALPDVVDWNAVKLRAEGMKSGESWYSDSTGASAAAMAKVGEG WLGYVGNVDVDGDTAMIILRMCGFDESEGGQGVYLEE QC762_702940 MASPTCAQDGVQHNFLQWVPYFLSSNYETNLQHDCCLLGVDLST SCVVNFFSNNTVNSYGNITRGHFEANPDIAGYGVWISLASALFVNIVAILFVVREWSY RLRGKKSKILPTTNKSNSKSHPSSMAQKGKNTAKGVYSWLKSWMKELFRVTIDAQLVL AFSYALNFGLESKCTLSAYHYNFAVDTLILSLSCVTLSVYVLDDFWRSKWIGCLRTAA SIIIYAFLCRYLYYQMERNTSPELMFIPTPGRSDSSLLLPMACFLDPDLDPFINLAPE QKDAIGGPGPKVTPAFVFCYMLAVGYVGAHLEKFLTRNRPNYHQNVFMTTAFVVLCSI PCFLSYAHLTILRDWVDMSGWMEVANGGGSPEKEIRSIGQIMPLATIFWILAISFDTG KLARRGMANQQAHRQAGKK QC762_702930 MGESRQELVQWLNSLLQLNITKVEQCGTGAALCQVYDSIFQDVP MSRVKFNANTEYAYIQNFKVLQNTFTRHHIDRSIPVESLVKCKMQDNLEFLQWTKKFW DQYYPGGDYDAVARRKGAPTGPAGGAAPRVTASAARRPGGTTPTTGPRVGAKAAAPSA ASLALQQENTTLKETVVGLERERDFYFSKLRDIELLVQQAVEEDPELEKQEDGLVKQI QTILYSTEEGFEIPETEQLDDQETF QC762_702920 MAPPIEISIPTTSISTPPNGKPFTLYNITLRLPLRSLIVQKRYS EFEALHKNLHSLVGAYPPEPLPEKSWWRTSTANSPERTEERRAGLEKYLRAIAEPPDR RWRDTPVWRAFLGLPAGSSTQSGISLEGRIPAIGLREANLAAASDPGTWLDLHRELKT SLHEARVALGRRDGATENSARVEAGSAAKRALIKAGNLIGSLSDGLRVMKEDGGKLGE GELRRRRDLLAAARVERDGLDKLSSSFAVAGGGVAAGVAVGRHQGVASASERAALMGN NDGGPSSIGIVRTSTGRRVLGAPLPETERTRELDNEGVLQLQRDTMQEQDQEVEALAK IIRRQKEMGLAINDEVNRHIDMLDRLNDDVDVVGRKLGVAKDRVKRLDKASMDTITTT APPLSEGAARNMQEIEQEDNSTNAVRQQQQQQPGGGIIDAVLLLIVRGVLAGVQGYAV LGWLMGKISAALVWTVELVLLLLVQPGGGNTNHHNG QC762_702900 MSQPPPPPPPHGNNPRTTAGSAPSPGSGLPRGKYDVFIIPEHSA GAGFLYLPSLRPQWNSFFAGIACSVIVLLVFINFAPMIAQVLWSLRNLGAMGNLLWAA MAAMLFFFGRMQGESSSAKFYQSRANGSGQNPGAGYGGAKSEWYTPPPNPGPTPNQAP PPPPPPPTAEDEHEPRGGSYKSSWEEEPRPPPQPQPKANPPAPEPKPEPKPEPKARPE PKPEPRRTPTPEPTPEPKAEPKEPKPKKRSKKERRAEEAAKAEEAAKSAEVPKPAEPP KPKEMPRPKEAPKPAEAPKPKEVPIPAEPPKPKETPKPKEIPKPKEIPKPKVQPPPPP PTPPPAEERPKTPVSQPSPTKGTSAWEKAREETRKRIEEQKAKEAEQKRKEEMARRLR ELREREAKEREKREADKREREERDRLKKEQEEKEKERIERELREKLEKENREIREKLE KEMREKLQRELREREARERKERETRERLAREREAREKAEREAREREIAREKEELRLKL EQERQAAREREAKEAQERKEREERLTRLRKEREERLKREEQARKEKEEREQNERRGTS YAYSSVGEKTSMWPNGKPPSVAPSESAWSAPTPPRAASPPPPSPTKPAPSPVPPKHTS IPRPAPAAPTPPPAAQSQYQPPPQKQPTPKPAASSTGTADEYSFRPYDTPKKSRKKSE TDFSESSYAHSASTARTTPPPPMREPYTTNDPNKIVIRAVYAYLNEFSKTPAQQLISG IKPVTDGLILRITSAGLFVDDDVRGVAQREWDVKAWTLKQIEIWCPTHAQNASASSAP GSIPTNHPFFKTMPTRPRAAERGATKVMIGEEALEYLSEFSRCCKGTCRRGQASAGGP PARGLHLVRATQRDAGGKRYLFVVDEEEGWKIADGIAALRGSGQVRALGVAGFSSLES RTVLDSLGFHQ QC762_702890 MDFLPHPVAGVEPLDIPFVADTPFVFGTDFWDFPKLHGFGDQWA SLPAPRLASLAQSWLYFGTIAEFLGRPIDYREYKVSRSVSARPLIPLLNEWLTSHAIP PRDTTKQPPPPSSLGEEGTHDPPISREARKRLIYEHARFLDAVVDLAEDFDRVSQSHV KPIPTIVLSIKVLCTTLRSVLWDLVQVDAEDMPLPWPKHEKVATLDSNGNPDISPSAQ LMLDVLRLRGWCPFYARKVLTSYNYAIAYYFTRLFRLFSTETSHEGCDFEECVASNAD VFSYVPRHIRYGCQCQPMGVGMDQIRGIIEDGGVPLVRLRGSLQRGIRLEVVRMTART RFVIISHVWADGIGNANANAMPECQLRRVFGYLSRLKALREGEDAGAEFNLLGSVDTG FQTAARRRPRYFWIDALCMPPVSMGLLRMRAINKLPAVYQAADRVLVLDPNLEKISIE NSDTLEQCARFSVSPWIGRSWTFQEAALGNVVEVQCADGTFNALSPKLKQPRAVPPPQ GPQQSGSTAGMGRDISLAMVASLTRCLNHEFRSSFANGVKPVKAAHGRETLAPDFCTL FVQVWNELSERATTVPGDKHLIIANLLGFNTEPLMRLNKSADRMACILRSMDGVPMSL FFNMNGPRQRPSKNHRDRWVPLYPAKQKLTFGSTFTNLRNVKNDLYLPNNTVSRTKVA VLVCTGPPDPFSSCAFTVHDTTTGDQYSVEIHREEGETDAFAAPDIGPYCIAIQLDNP LITKQNNDMPGLAASPAQPYPGALFRVRRVVTNVKKLYYHALEATYEKSFELVEEDTY DHSKPPDLSSDDNISTAFQRHPRGLLRTVYDCPLTVTRLPPPSTPISPSFRREEKQAP PPTLASVPLPETWQLIIEREPPTYPHPLPTRPSLSDALTPVTAHLSVTALDGLVASGC VGFGIAICATMFSFLAPLAKMAIIAKLILHSLFLIQMFVFAGVEVRLVWNVLHITLVV LYTFSRAAQGGAQVLDWAFIAWAFVGHAVDFGARVVIHSVVVPELFEQYLASFDEGYD DGSRRRKYGERGVGRWWKRVKGRYGKKKPKVWMPRDAATAAEEEVTGGGRGGEEVRSS DQYDLLSGGTHQRDGDRNGNHHTTTSVEEDVDLDFFEHEHRHHVVSGAFSMADGYGHY ARPPEVHMLGVMDGSKRHQGRGYSTLPI QC762_0105980 MPPLPRNMGAVILSWDFDDYGQKARRLGSVINLFLTHIKFEDPQ LSRLGNTTPPLKHLGYQTNKRPYGEDFVVYATPLIVTPSNACS QC762_702880 MAGLSPELQDKLEELERELEEGDITQKGYQKRRTQLFSQYGISD LLQQEPRAGGLRIHSPDDDNNYYPPPPHVSDDGHRAASLAALNANSYHSPASISPEHR VSPTDNQPQISPYLNQVQSPYLMNPDAHPGSYLGSDDPIADSRPSMRQHDSLFLSAAP MNDTSTRSGTMVSGDYAFNPEQHGVYRDGPPQQAYDGRSRTLLESQAYFSDFAGQQQA YDQQARGEYGGPQRYSSSDAFSPTVAVAPPMLTASDLPPPDVLNHLLPLEPRDVPFAI HDAHDEHTPMSNFENIAAVLRHRGRTIAKAPAYWVLDSKGKETVSITWDKLASRAEKV AQVIRDKSSLYRGDRVALIYRDTEIIDFAIALLGCFIAGVVAVPINDLQDYQKLNMIL TQTQAHLALTTDNNLKTFQRDITAQKLTWPKGVEWWKTNEFGSYHPKKKEDAPPLTVP DLAYIEFSRAPTGDVRGVVLSHRTIMHQLACLSAIISTVPSNGPADTFNKNLRDKNGR LIGGGASSEIMLSYLDPRQGIGMIFGVLLAVYGGHTTVWLENKAIEVPGLYAHLITKY KPTVMVADYPGLKRAAYNYQTDPMATRNYKKGMEPNFQTVKSCFIDTLTVDSEFNELL ADRWFRPLRNTRPNEVVAPMLCLPEHGGMVISVRDWLGGEERMGCPLKLDIGSSEDSE DEKEKEEKPAVPTGFSTLLGQGTATATQQHTKTELSEVLLDREALKVNEVVVIAMGDE ARKRAANEPGTIRVGAFGYPIPDATLAVVDPETSLLAPPNTVGEIWVDSPSLSGGFWA LPKHTETIFHARPFKFNPGEATPTMVEPEFLRTGLLGTVVEGKVFVLGLYEDRIRQRV EWVEHGGNEAVENRYYFVQHLVVSIIKNVPKIFDCSAFDVFVNEEHLPVVVLESAAAS TAPATNGGPPRQLDTALLDALAERCMDLLMQEHNLRLYCVMITAPNSLPRVLKNGRRE IGNMLCRREFDLGNLPCVHVKFAVEHAVLNLPVGVDPVGGIWSAMASDTRSRLLASAE KQYSGIDHREVVMDDRTSTPLNNFTSITDLIQWRVARQGEELAICTIDGRGKEGKGIT WKKFDVKVAAVAVYLRNKVKLKSGDHVVLMYTHSEEFVFAVHACINLGVIVIPIAPMD QNRLSEDVPAFLHLLADYSVRAVLVNQEVDHLLKTKTVAQHIKQSAQILKIMVPNIFN TTKPPKQNSGLRDLGITMDPAWVQPGYPVVIWTYWTPDQRRIAVQLGHDTILGMCKVQ KETCQMTSSRPVLGCVRSTTGLGFLHTCLMGIYIGTPTYLLSPVEFAQNPASLFLILS RYKIKDTYATPQMLDYAMRTVPGKGFTLHELKNMMISAEARPRVDVFQKVRMHFATAG LDRTAINTVYSHVLNPMIASRSYMCIEPIELWLDRKALRQGLIQATDPERDPKALLVQ DSGMVPVSTQIAIVNPETCMLCSEGEFGEIWVDSEACAKAFYRSKDAFDVQRFDGRTV EDPSIQYVRTGDLGFLHSVRRPIGPGGALVDMQVVFVLGNIGETIEINGLSHFPMDIE FSVERCHHSIVPGGCTVFQAGGLVVVVAEVARKAYLASIVPVIVNAVLNEHQIVTDIV AFVNKGDFPRSRLGEKQRGRILAGWVSRKMRTMAQFAIKDMDRESLGPSSDHPDSPTI DAHRASVGSFRSSSGAIQPGSSSLRNVEPAPQILEQRELELQQQYQQVQLSNPFPVEM PADERVPEIMDRDRDQTPTKTRQPRPPFQDHGFELPDFDQFGSEGPSRDRTPAPAGSE HYQGTVSMLSQTGPPQLRLPGVDGRESLDDWNLRPGSGGLQGNGNGQQDNDGDWTRDM SGTLRSPIGR QC762_702870 MDTTLGSEYGHDDLFSNYVDDVYDSIYPDLDSSETTSQPTLTLP EVAQNPPTTETAQRNTFIFGSDNNTIIQNTAQQVWNSNAMNSFYDIFRAPSPVSGNTQ DLVTETPATQNLMGPQNKPRTLSDKLRQGKKIILSCRNDGKIKNEDPADIYEKPPTVQ AWGPLIKHARVTEHLFEYCRYYVELNPAKRFTQDELILFMKGTECPNPERKLTLWIQN SPSQVNGRYAMGGNSAKCRYKHCPGKFTIWKGFLRIAFDEFSDKTGLHLDPFHNAGYM HLHCFEKIFDLAYLIHYGAAQHGFQIKPDVRTFPIESRNPMSLLRDHPQMLNAYYQWL RDNKQRCDLLFMAQADHDGFHIPSPPSHHTTLGYKLTMYHLSHEVSHRVNMRENRGGA HIGIHKGNLERFMHIKAKMRDGKNNVNKRRSRDDDKEEEGDTQDSITYNPRPTKRPRG RKVASIDTSVDSTPTPVNIPLNTDLNTNPIVMGNFNYQIPDFNMMGMEMEMGNLTGFP LNFNTYPVITNPQQRILPTTTIFPTTLQSPGPRTRLRSREMSTSIVGFLNTHPNLTLT QTQEIGAAIADEPSYIQDNILSAVQPETANTLLRDGIVPEVESKIKKLNQRQLKDLER VIERIEKNGNMTRASSMW QC762_702860 MGGGGGTEVANGEEAVVSAMHNNTNAGDDAAQQQQQQPALHVIV LGSGGGPFENNVTSFLVRSVSSKWGRSSVVAFDAGVHLGAIAKILEDTQPMTLTQESL PHTLTTGPFAGLEINNVSPKANALDIVQDLVETYVISHPHLDHIAGLVINTAGMRRPK RLAGLPVTIEGFKKHIFNNIIWPNLSDENNGHGLITYMRLVEGGSPALGEGDTRGYLE IGDGLAIKVFSVSHGHCVEKHSHRGSTTSMRHGSFDASSLGPASFDLRGSMSGRRSPS RSITLGLNHPGTSISLPPFIQLQQQERAQSVAPGRTSSLSDRLPLEESYCVYDSSAYF FQHVPTGREILMFGDVEPDSESLTPRNLFVWQIAAPKIAAGKLTAIFIECSYDNSVTR DRLYGHLAPRYLAEEMTALAKEVLAAKNAPPAPPPPQTYPSSRRPSHASVMPKSRRAS HAENVLYPQSRRGSITSAPAADNKKRKREAGGVSGLEDGASIQLSKRKSTPQPQVKTE GTGAGNTKQPEKEDHPISPRTVQPNRRAVDGTVPQDYFLQGGNNQGGGNQPPTPHLAT PTGELSLSDVEPAGVRPPQEDINMQEGPATPLSNDPHATLTESVEGDGDDENQDKEMM EEENELRGLLRGLKVVIIHVKDKLGEDGVEAREVIQEELREVERGLGLGCEFVVCRQG DSLFL QC762_702850 MAMATATATADTILTRSPSLLTAASGPGGGAVASAPASATTANK CGADFLFPKNLDFFYHLDILTVSYRSTLANPTLSCWCGGQDGKAAVQKLSTRHASPFN GSARLQLNFSSINAPCWFELTSESGDCGDVSDRFVVLTNQRPATGTSPIVSISTPVPV PAAPKRTTTTTRRQKHLQLRAETTSTAPTTVVSEPSEPQAGGDSLSSGAKAGIGIGIS LICVAIVAVIAMVFFRRRRRGQDADHLGVIMDHERGKGRKPEKRSPGAPSIVSARSDE EALFPIQPVYDGFPGSMGYEDVRSLHSLTSHSHSPTNGHHSPTAPHQNSYWSPPQERS MEADELAAARLKSQHNTAIPPVISYGPNPVTPTLPRPTPRVIELERRTSPHSSPDSIA AVPVVPIMPIVPDFPEYPDYTGYSIPAPAPAAVPSRPSSIPEQLPHPQPSPPRHTVSP NVVTSYGPNRVTPTPQVVSPTVPPDDTMINRRYQEQTYHEPNYPEQQPPYQVPAIAEV SEQNHDRNDYHHHHHERQFSWDESPLLGASSPVGGLPPYASQIEFEAMTKGAIRNMPE PQAGAELPPTKDGYYPEFHPMTQVELPGEAPQLPFQVYSVQQQDSSSGRRGTGAGGGA GMVVAEQKVLISADLFSDADMRLFMEQKAKAREKRRREMEMEKGEGTAEGG QC762_702840 MAAENTSNWESKIQRNPHPDFKAVEASRPPFDSTKTFSYTQTPQ PSWTFGAGANHLSTRQDKEKPHRQIDPYSPTRPAHLNYKLLISAIVPRPIAFVSTVSP DGKVTNLAPFSYFTVISHDPPLFIIGFASSLVNPDPTKAKDTLRQLDEAKECTINIIS ESFLEAANSTAINAPYGVSEWDVSGLTPVYDCEHVKAPRVKEAVFSIEGKLESLRGFE SKSTPGKVSSTMAVVEGVKFWAREDAINEEGSLLDIEVLRPISRLGGITYGRVTEGME LPRPDFAKDLGGHEGAAKLESREQVN QC762_702830 MVFRGEDCVSLGWCFWHKACYGCLFCGCKNVVRGTPLKEWFEDD GTGEEDKAKEVDTVPMCINCLVDVQDQQGAEGEQVNEAEVVQKALRKVERAEGDNGLA RGRWEGLRKGYNEKKIHLHRRVNEEGARSDLDEGGDQGNDQTTIYVSLSDPLGEISFR PSPTKGIPSFLQPSPASPVQSSHQVPVSTQQESRIRRHNVRSYSSRVSNNSHASTVRL EDRYFSTSDSNKTQYPHSEMTEVPSLQNTPPTSSPRRFIHRGAPFVSNQTLPFSPIQR EAAPKDSLSHNSTTFSSYETPPEYPSSPVSSSGRQSQSLGADDPFNKIDSRMNRATSY NLFPTASHTGGTSTRKYSSYHSLRSNPSARVEPPVMSIHIHRTNPRMSTEYLQPQRQA HQSRSSPQLQPPPALSITPITTPPAPVVLIGNNTTPTNNPKQGFLRRTVSGRKRQKFP RGEDEEARMANFGTGKNGSLATAPAVKAEPSAGRVQTPGMVATTATTITTTRGHQEIG QMIPIGALEGRNEKKGGSGGSGGSGSGNGGSSSKRRSVQADLLRRFFGR QC762_702820 MARRSARLASAQRAMKPSHEEPVLAAVAERDDTPAESAAVQNID AVVASPAPGPGPTPTKLPATPAGKVSRIKPPATEMHPAKYHPTMAPPSSGLRLGFTDI VKPTSSRSDALPGAIQSTPTKIAVPSSSFTFRQSGHLDKKLGPEAQRMMDQIRDQAAK IKVELVAQREAEKAEEEQINNRKIATAKGKAGRFSSAHMNEFKKMDSIANHPSAFRAQ SSRITPLKQGVKRSQSKANLDEPEHARSKQSTPVSTIPKANQRVDEVESPIKRARQHI EDDATTKRPISRDASAIPMPKSSSNMALPRSKSNLASLMTPTKSSLARSSSTIAKTPV RGSLLRSPSKPALGGLIKSATTSNIAAVTAVEKETTKTVEVKSSKAVLFTTDDLKSPK AGVSTAEPKSPEVAVEVKTPKSRFEQVKSLFRRSQASAAKPKSTIPMPSTLGSKTPAP PRLEKELPPVPMTTPARKLTKRVAFTPDTQHAAMAQNSPSPVKSGVPVPAVRQPLGEV HYPSLDGVLAEQSAEDVSYPDLSTVRPLPDAPTETKAPSAEPSVPGTFSFRGDHTISF GGPSSSFGFSPGQASIRPVRPSILPTENMPGSFPRTSSSTTVSDKENEAPRTVFAALP HGMTNKKRHRVTSDEEEEEAEREAADRAAKKRKQVPEGDALLAPRLVAASAKKTGMQN ASPRKMAALPGRAPGTPSPMKKKTTGGGISLSRLQALSRPKVRK QC762_702810 MSDKMSVDKKDPPKDDHSEVESMASSPEGEAPPNNAPAQAENQQ PKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIKQLEEAIRTHEQNLANLQAAHR HAADECLMLRYKNSLLERILLEKGIDVQAELRAKTGSPNLGPTHMPQNLVQPPPIQRA ILNRHHARRSNSSIAPKLEPGAISPLPPPVHSHVSALSPKSRHTPSSHSASPTATTSF GSQHAASPATSDHMNGSVRPSMASVNGMKAPPPPHLAPIHGLPGPRQMQIPGIHQPTN HARQNVTQSPAAFYPTPSFQNHIEQLGKLSHLFSLSPLQQEYDAAADMMDEGQEGPDT PNGGPGPYPGQPYTGEPQPMSLSSPVTTGPPGTQVIAGQSPIDSPAHTQQSAYPSMTQ LLDPGYDFDPFGLSASMAFPTQFSFDTSNMR QC762_702800 MSVASKNLFAILGNDEEPPIPPPVKTVEKTSTHTAKRNTDGVAP SKGPAPAGGNRRNAATGNEAAFRDRNAGRDSNRGKPTDEVRGGRRGGFRGKRPEGDRH PHKAAPHGGSAKTAEQAWGGEDGEKALNDEKAGEADATVEKKEDEAAAPAEEQEPEPV VKTLEDYYKEKPKFEALKPREVKAEKPEGMVVAKKTDEDYVTASGGKKERTRERKQKQ FVEIENRYVEPERTGGRGGRGGARDGAPRGGARGGRGDGPRGGARGRGGPRGGAAPRG APRGGASQAAPVSINDENAFPSLGGN QC762_702790 MTLGTIPRRILQRLPFSSSTTPLQALTYLLGISLFSISFLVFLN SSLSFVITDLIGVKEGVGDIVGTLGFVDELVALVACPIWGLVSDRAGVRYVAVAGYAV IGLALFLFVQARNVYPQLLLARIFFAVGATAAATMVTAILPSLTDETGPVAENVRKPS TRGRARTSVALSVDSEVTITPETFRNTSSNYASSTEDTAVEGDEGKKQGKPSALAGFV GLFTGCGALVALSLFLPLPARFGKIEGVTTGLAVQYSYYTVGVVSFLVAIFVFFGLRK IKGEEGKGWRMLFGLRGSTPKALPQPTPEADSILLALGDSDICLGYLGGFVARASTVA ISLFIPLYINAFFMRHGYCQGSPNDPSPELKKECRQAYILSAILTGVAQLMGLICAPL FGYLSHKPHHRVNWPIVIATLFGIIGYMSFPSLASPEFKDVDSRGGKPVVFLLVALMG ISQIGAIVCSLGSLGKGVLKTDIVNVLAVPGSDGGETLIESADGEGDTAPLLENEDVV PEDTVSRVRLKGSVAGVYSWCGGLAILLLTKLGGWLFDAWWEGAPFYLMGGFNALLLV AAVGVDVGRGWKRRRRSRRVMLD QC762_702780 MSSSLPGVRPLPDSQYDLSTYWGRVRHTASITDPRTLLVGSAGL TDAKNLLIAYKNGQIPHMTPDLWKAKKIVDSTLHPDTGEAVFLPFRMSCFVLSNLVVT AGMLTPGLGNRGTIAWQVANQSLNVAINYSNSNKSSPLSWSKIAQSYFLAVGASCSVA VGLNSLVPRLKSITPSTRLILGRLVPFAAVASAGALNVFLMRGEEIRTGIDVFPVLSQ KAREAFAAQGKSPSEVESLGKSQKAATLAVGETAVSRVLNSSPIMVIPALALVRFQRT EWLRKNPRWTTPLNLGLILVTSYAVLPLALAAFPQRQKVRADSLEERFHGRGGEGGLV EFNRGI QC762_702770 MSTPTTATATLPPYSHSHFHYPHHHQQHKSFPQPNTSAYRSTNP VLPPASRLVYPSTSGYNTHPATHAVSNGASLMPLEPSRHHPHDSADLASHRSESLYST MPANSQSSRTQVVTNPPPSLSKKRQRDVDWNDFYKNGLPTEIIVIDDSPEPEEPATSK NLTNGHSYASGPTDASVAPPAKRRRKETDAAPYDPVHHIASHTHTPRQYGSPSKSTTS SGRTNSANHTTAATSLGSLSSNGQYDHELQQASQGQKRKRTRAQTNSEAKRREALVTD AFASYIPPRQPIKKSRDVPVQIITDPPHMQTSRVDDDEGHYIVVPDNPLTERYQMVKL LGQGTFGKVVQAKDRQRPGKLVAIKIIRSVQKYREASKIELRVLETLKANDPENRNRC IHLRDCFDYRGHICIVMDLLGQSVFDFLKSNNFVPFPNSQIQSFARQLFTSVAFLHDL NLIHTDLKPENILLCHNEYQTFTYNRKIPSSSSNVARQATQRRVLLDTEIRLIDFGSA TFQDEYHSSVVSTRHYRAPEIILGLGWSFPCDIWSIGCILVEFFTGDALFQTHDNLEH LAMMQAVVDANIDTHLVQSVNRQPRNGTNPASRYFKRNKLDYPTADTTRQSKRFVRAM KTLPEIIPPNNKFLKEFLALLQKIFVYDPAKRITAKEALSHPWFQEHAYPDDGTEAAR IRAEKQRLNELSAIAP QC762_702755 MTITPSPLLAIRSSSLQMLASLLRLPPEMMVGGVATREMPAQWL AEQKQVVDSGVLPFEVLAKPTTTAREVSSPSRWRRVVAPFLLTGSRQRGLCQAHGGLD YDLVREVYGLVRKEVVDGGEGVRSWLRFISRHREEYKRDDWRDVKGFVEDMAGVVVLM EGFEVGDGVDEERWEGYFGRGVRMEDVKERFGTGWERVGSGCLACVLGVIGGRKEVVV GLRGSCLSRAKRRTPRLEGRWLGGWMDGGMVRESEVLAGRLRVVRRLQEGRSGGEGLG MDFVRVVEEVRLADDAGHRAGGNAIYEREGSRQLSTNNPYRPTDPKSPSPPVPPPQEP FGGFDGAFDSDDDHYQQILNTLVPPGNHIQNPIPPPTPPSMSDSRDYHPPRQSWTAPI PPLSLPRRCPPPHHSHPPASLNPQVHTAIIPPPSSSYYADEILNHYQNYQDHKPSART RSSTIFSGRPRPEEYDSLVAMDNDEAALLCHREHQKRLDECYSEKSTKARLKRGLERG RSSPGSDGGRTEWGDFCRF QC762_702750 MNRLRLPSRLKIARPSLKSHPSKLALRTKKVPPGFPSYIGVITR HHIPKLLSDGTSVWFPYPGRSPRGHTLRAVLNIPRELNPTITTINKAVAPEKNQGNAQ TMTSYTQVVTTPTADTPGACVLLHFDRRRYLFGRMAEGTQRAMVQRKVAMAKIHNIFV TGTVDWSTTGGLPGLMLTLADVVAGAKAARADELAVREAKGLKSKDRDIDDDLHIYGG RNLVHSLATTRRFIFRKGIPLSLNEIRTDSPSQRNDRAIPDFEDDLVRIWHLPITSNS SASRPGSRKRKHSDLEEGEEEAETAVTEQAELSDAEAQHIRQAAVAGMFSSTWSLDTL HEVNLRDADPLAKIFFRSEGGMIEEYKGPRPGNTEKLPDIRVLIRSPWPAAKIETLPI TKPSNESLCYIAKCHPRRGKFKPEEANKLGVSKFDFKKLIDGETITLENGTVVTPDMV MEPTVAGRGLAVIDIPAEDMVNSFLARPEWSDSALMSGIDVMYWISSEHFSAYRDERL VEFMKKFPSVQHVLLGQDVCPNTLALQDPADKTLKLNFIDPDRFPLLKFDSRPLAPVD AGDVGAAGARINLHPKPGPSSEFLVSPIDPIGTLKALVGQHREVVEMAREASKKIADP AFLVEVEESQKDIPNRDTEIIPLGTGSALPSKYRNVSATLIRVPGHGSYLFDCGENTL GQLRRLYGNEGTDEVLKDLRAIYISHLHADHHFGTASMMARWNKVTAGTDALLGVIAT GGFHSWMLEYDGVEPLGLDRVVGIVPYRGGQPNADLGWKFPPTLNQSECNTMQERFPK VEICWVDHCQNATAIVMTFAPSGLKIAYSGDCRPSKKFAELGKGAHLLLHECTFEDGL KGDAVAKKHSTISEALAVGRDMGARRILLTHFSQRYPKLPAPEGEGEKVELGKDTAVL YAFDHMRVKLGEFKQAEEFIPAIKKLLEEEMKEKEGEDGEEGEEGGEEAAKKAEKKKE QERKKAEKQKKKLEHAKAAMEQKKGKGKNRGKVAELVKEGEGGEKPEGTEKPVEKLEV TSSEGDAKSEEVKTQEGVEKLEVKESEDVVMT QC762_702740 MASRHGYNYNYASNPSGYGSGGEAGPPREAGARRKKLAAFAGSV YRAGAAAASEIKEQYNNTRIRNVESMDASQYSIPGSFPDVKIIHKGEEQMVLFPTYAK MHVKGAGRHPPGHVVVQTQPQQQQTRDGQSQGQNQERFWKDEWDKNADDNAVVDVDIR GWIYMPNTGPMTRRNRMVIGLARRLSGIPPPTTSQGGSSAEDHEQMKEEKRIAEEAKR IEKQGREEEEVATRGGYSEGPDRSDSARGPRTAARRTASNSPPPSPPLPARTNTGGPA DLTEAELAVANANLMARIGPFMTTPLVQHPITLFFYNDTRSRSRTIQTDDSGHFIVRV FLDFVPTHVRVLASENISATNPIEIIDSTGVSLISDVDDTIKRSNIGMGAREIFRNTF IRDLADLTVPGVTEWYHTMHDLGVQLHYCSNSPWQLFPVLATFFHMAGLPQGSIHLKH YSGMLQGIFEPVAERKKGTLEAILRDFPERRFILVGDSGEADLEVYTDLAVQNPGRVL GIFIRDVTTPDELGFFDSGFKLDSDQRSTLRATRARIEQQSEPRNEEARPQLPPRTSA SSAGSRGPVEGTLIDFSDDSTQVSPSQSRRESNQERPRTAVPSSELPLRKAPPPRPAK PDALRSASASDTAASSRDSPASAPNPRVHALSVSSGSRPPITSTASSPAASRDRTTPP PPPPPRRSGTGSSTQSLPQAQHPVKRANASFDGIIHSTSSSQGSLTPPNVPPNNAAAA LSKKVDMWLRRLARAHETLDGMGVPLYTWRRGEDVIKEAEGIVKEALKEAHKR QC762_702730 MAPVQKKRKTVDDDFILTISDNEEIPNEEEDVEVAAAAARPKKK TKTVKKEKKGKKGKKQQRQEGEDEEEEEEKDDKEAVWDDGAMDSDFEFALEGVNDFGE EFDGAWGFDDAKKNMLKGGENKVMVDLDAIIERRRAKKEAKAGKKKEEEKEEEVEEED EEIAEVDLDDDDDEVLAEDGFGMGVGSDVEEEEEEPKDGSDSEDEDEEKDGEADDDDD AASDNDSVATPINHPDDEKDSESEDDEEDAEEAAKRKEFFADEDDVKQEKGVHSSFLE MSLSRPILKGLNSVGFTKPTPIQSKTIPIALMGKDVVGGAVTGSGKTGAFLVPILERL LYRSKKVATTRVVILAPTRELAIQCHAVGVKLASHTDIKFCLAVGGLSLKVQEQELRL RPDVVIATPGRFIDHMRNSASFAVETVEILVLDEADRMLEDGFADELNEILTTLPKSR QTMLFSATMTSSVDRLIRAGLNKPVRIQVDSQKKTASNLRQEFVRLRPGREKKRMGYL VHICKTLYTERVIIFFRQKKIAHETRIIFGLLGMSCAELHGSMNQAQRIASVEAFRDG KVNFLLATDLASRGLDIKGVDTVINYEAPQNLEIYIHRIGRTARAGRSGVALTLAAEP DRKVVKAAVRAGKAQGAKITSRIIEASDADKWQDQIDEMEGEIKEIMQEEKEEKQLQQ VEMQVKKGENMIKYEEEIASRPKRTWFETQDDKRAAKLAGREELNGIREQLKKKSQGK LSNKDKKKLDAKQEMKEGRTWKKGKEERAGKGAVLNLSKVKKPKTKGPAGKKSFKRR QC762_702720 MAPVTSDLPQVQDSVSTTLTLLFQTLTNISLYDSAGRPSAPVLA SDLTALDDSLLKVSRLANALPPSAVPGIPLPLMEYVENGRNPDIYTREFVELVRRLNH LTRGKMHAFRDFRDVLAREMAAAMPEVKEDAMRVVEETGGKGPVLLGDEEGKTEGR QC762_702710 MPGSRPKPPCVQSVVPCCRSGSSLRPSKVPLQDPTYSLPACAYL FLEALEVRTPARRGFGDTAPGPDSTEPRRSALPIVYIFNLISPVCVSCRQDDAMSDEV SDFLRSVELLKERREEEDEARSRELEERILQEKRERQARRAERARSISPQKSSPANTP SPTSHRIGLPSLADGTSLPSPAFERSGSPLPRGVSSSDEPTDSVTDLSSSPTKENESP LDSDIKRTSVSVTSPSVGVASARSPLSWQRRPRSQTSDRIKMRPLSMVAAENAARNSP NLSEPAPPAEETLSRDQIAQSLAGKDPSWFRQTADPGRGSGAFRKTQVEDEDMVDVTS SARTQLPGMSRPSTTDSPRDSPRPESQTLPMQLGSPLPITSAQRLEPPISDAFGEPDT PTSNRHSIASPGRTSPARTGSPTKGMGGFVQSAMMKRSDSVKRWSVNSPGGLQRADSV VSPRASPRPTSMLFREGSSTPLSRPTSSYGKEEAEKTEAQQEDQKEEKELQQEEDFPA GAKTPQQPKAEQQEESEKTTPPTSPSKTMDPRRWSPTKSSSWLEAALNKPESPKAKPA PTPAPNQPAWMLELNKAKAQKVISASAEPLRSPSFLKKAEVKTDGLMRSTPMGASLKP SALSSFPAVPAVATSDKAPVSDFRNNLRKPSPTNEAFETTAPSLPAKIKPEVASKNDF RANLKHRSPAFDANKSSEAVDELKTVFGSLRRTKTQNYVAPDELKDNIVRGKRGLSIT GGPKKTERVDEFKDAILKKKEDFKKAQEEGRGVIRELSSASEKSLPEGLVKKLELNRT GTFSKPSPPELPQASPGLASSNRTSYFSPKPEISSSTASKFSPEAEPTTATKQTTLPG RLSGRSGGSVGGLAERFNPALAGLIARGPLGASGPAKSPEASASPDSGEAAATEEPTK PGPQLTHMTKGRARGPKRKAPSSIAKAVEQPVEKQSSADLNKEAATAPPPAKPVTSPK PSRFASSATAENDTAASKTEKPVTSPKPDRFSGSKTDSESVAERRKSFILQRRKSVAE VIALVDSSSRASKVEAEPTGQPISLVGSPSVKTRARSPTKVHEQVAALAALSQQASKP AEDEAPPASAPIRNRPRSTTKVHEQAAALAALAAKGQQAAEEPAAVSTPVRTRSRSPT KVFDQVVALAAKNQALGAAEEDATPAPSSPKKLDMKRISKFMDQQDQATILPEPVKSR PASPAKESFTAVASPQPEPLALRSSSPSKDRFSGLASPSAGKQLLSERPQLEPSAPIK STPPVLGDGVGLGLTQSDVAPKPPRKDTKEFERPPRVPPKGARPLPEPPAVTSTPPRT VASPPPLVQSPSFRSPTKHASDVSSMLTDFFGSERPRRKYTTDAAEILVKRPVSTAPV RTQLAQLFQLTPEGKKIPVPPHHERVLFEREMYICPHSFIDSTGRNVNEIYFWAGDEV PSPVVEDTYLFAAREARSFGGKLIKLTQGKESSEFLQALGGIIIVRRGSSNKYDSLAP HMLCGRRYHGQVAFDEVDFSPLSLCSGFPYLITQEGKCTLWKGKGSDMEELGCARLVG MEFALSGDLAEVDEGYETPRFWALFGAGATTNTRPISADHWRLKTNYGRYSNRLFVAN ADASSSTQIEELSPFSQTDLSPSKIYVLDAFFEIYIIVGGKSQSQYAAFHIALDFAQE YAILAAGMEDRPFVPVATVVLEGIPRDLKAVFRKWRDSASPTRTVVPSQQQQQQQSAG EPQRSSSSGSHKGGGLRRARSLKIVPLNVALKAVAEN QC762_702700 MLSILRKARLKDKELRILMLGLDNAGKTTIVKKIMGEDINTVSP TLGFIIKTIDYEGYKLNIWDVGGQKTLRSYWRNYFEKTDALIWVVDATDRLRIADCRE ELHGLLQEERLSGASLLVFANKTDVEGCMTAEELKDGLNLDEIRTHQWNILRCSAVTG QNLKEGLAWVVDDAKARLFLY QC762_702690 MYKQVGPQIPNLDSIESTANFMAAAARFNPRHGIKRLGQQEESN SLDRILADQRAPTDRTGLGYAPEPVASQEKLSGIVSSIPYRDKDGWHVPPITREALEE SARIAMAPPQSQSHADDWGDGCGPAAPNNWDDGWGSTPALKSDWDEDWGSAPAVKIEN STSAANVQKSGSRVIPKPGPGTGSYGFPLAAPSGWYDARGPSTSRGNWGTTVDSPYDP KVNQSRRYIAPHLRQKFVSAGTQPGQPGPTGAASTSRQNPSTAKVEKASLPVSTSTAQ HMPLAHTPAKRPSSERLAILPLKAENISPDLTTYRIPRPDMNFHGSENSPPASVSTID ISDTGVQELPDTRDVRNCIALERDVFVDRQGKFYQTNWAKAFHHRDNDDEYFDTEHYL TPFVTTWVQGVPDDVRPRFLRDEVPDHWKSDVDTNTGLLVEPAHFPDTIIGDNSALTG EEDWRRRNWSSNLLIHRRFAEQHKYKKKKGLKAQERQLAYKPGKVEEYVKPEPQPEDE ATAMTPYDRGVPKIPAFLRPAEVVDMRAVSQIYEAEMRSGFQVVDSEPPAAEDWETVL RTSREFSMPFIVAVYGSARGLRLKEGNLQWSDEPQVPYNEQDAARQGFVKGQILGFAY ASVWQSGIAGSGQGTSRYTARLHVWVDPKHRRNKLGFCLLDKLLAFMSPPHIPRTGID FIDIHNNPIYHKLQDDDLKGTPMEELKKEEARRNRPRKYYNVQISYKFKHRPRWQLNN PTRHPALKDYVKDLDWVKKFLEEKLPFIKLVTFEAVHQSSKLREPKEEDGNKKVFWLD EFVWEYYCTSGLPDSDDGF QC762_702680 MQMWAVSQLPVYAVDKSSGSRQKADKQLKDERTESAPPPPPVVL PVPASADVTPWDHVDVPMDQSLENFLGPAIDAPPSPESIRRQMQRASVRDKHQSHSSG SSSLRSLTSADRPSWENVLEARTLSRKSSGRSTSSSMPSKDRPESVQFFSKSLFNRRG RLRRESSAQSSAANSVYSGEGGSESLLLPPPPTSMPSRDSSIPSLFGLRRGSRADTND ASRKIQISGPYNFQHVTHTQKDHLPDLQRTNRQALASEFSQVRASQAPPTGALKGIRA DDLHFNDFSSDSLPLGDDDGMGVLTEELARSTLTRPPSGIMKRSPRRLLKRSQSQDHL GMIPPPRPPRSPIESNTPYPPLPPPRVSSRMSSRHERLDSMDRPNTSFRYPQPFSQIA EAGSPPPTSSGYVPGPDMGVIPEHISAHIRSPSGDANWPLPSPSANASEFTLPDVPEE EEGAFVAKKSRASVASNSSLRGSQSVPMLRAFSIRNNDDADRRESQASETLGRFDLIA AQRALEAVLNEGTDGIYRDNWEDDIDYCYEHAAEADCDYEWQRPSFELSRDSATPVDD NDRRIDSCDVSPTMLSVARFNVPALSPVSPLSPTAHEAVTPVTGPNPSASNFSLPLAE PKRLLHVRKPSDASSFKECHGFTLSPSLLIPMDFQQQMLACEAEEDEHHDVALRDSHR YRTSASTTGTYESAHSGFGKHISAASTMTDFTQLTPSTTSLDLDNYPLKPEAFPTLES GAMPTLPESEEVTRPTGRRREFRSRGSESNLLHLATEETWPAKTKSFVQAKRGRARTA SLSTPPPPNQYTLFPSVQLTGNRI QC762_702670 MWNDDEDNNPYGGSFERRDSFASSANPSSPITRDYRYDPPQTPS STGDEAPPHHEHSDLESDEEDYGRNQDELVPRRKPGGYDSRVEQMLYEHPDMPILITE AGKSSENGRYIVYTIKTGELIVRRRYSEFASLRDALTRLHPTLIIPPIPEKHTMADYA ANPTNAKQDHQIIDLRKRMLAVFLNRCRRMDQVRTDGVWWRFLDPNSSWNEVLNSHPV ASIPKSIMKAPPLDPANPTPGHNYLPVPASSAKLRTGPATSADSSTGAQPFARFPPEN ANLSEQELDPYFLAFEASIKELETLLTGPMEKVNRRTLAHLSSLAMDLQELGAKFNAF ALSDTNSPLDLSIERIGQAADSSYIATEELANSLGASFAEPMRENAQFAGVIRSVLRY RVLKRVQQEMTTDELNKKRVLLDQLERSEAEARRIDQYLSSSQQIQPPRRSTSTREPQ SQHRRDGSNEDTASIDSDFPPTHADIAAAPSAKIGAPERATPAPGHKKAPSSGISITN KIFGPLRHAVQGVVDADPERTRRDMIGKTRESIQQLEQAQVASAQDVRDASASVLKDL KRFQKEKEDDLKRYMLAYAKSQIEWAKKNKETWEEAKVEVDKIDESWVR QC762_702660 MSTMRDDAGPITDISKPGVKLDHEFVRGLRQDVARLLGRTNDKF PGAQPVSFARRHMEELRKEDYYVCEKSDGIRYLLYLTQDDHGHACHYLIDRKNDYWYM EKRNLHFPLPNASPAEFHVDTLIDGELVFDKVPGGGKEPKFLVFDLLCLDGKADLLSK SLDKRLGYFKEHVMKPYKKLFTEFPQELPFQAFKVEMKEMQFSYGIEMMFREVLPKLK HENDGLIFTCRTTPYQFGTDPHILKWKAPHDNTVDFRLRLVFPTVDPDEEERAEGITK PFVDYDSLPEARLLVFKGSERGQPQYEEFGEGLHLSEDEWEELKSWGDPLQNRVVECC LDEERRWRLYRFRDDKAEANHVSTVRSVLDSIKDGVGEQELIGAAKGIKDGWKARQQG GGGYGH QC762_0106230 MFSHGHGQRIEMIQIQDHRARRSPLPDSRMDAHCYIQDRNNGQG AAASTYRGIFNPSHHAPHLPSVASDFPSVKGLRNAGGHV QC762_702650 MRSASRFLGALAAVASLPSAFGQNNVPNTFTDAETGIVFNSWGI PNGSPQSQGGWTFGMALPSDALSTDATEFIGYLVECASKDAAGWCGFSLAGPMTNSLL ITAWPHEDTVYTTLRYAGGYAMPDKYAGNAEITQIRSSQNSTHFSLVFRCKNCLQWDH NGSTGGASTSGGFLVLGWVQAFPSPGNPTCPDQITLEQHDNGMGIWGAVLDENVANPS YTAWAAQATKTVTGDCGGPVETGIIGVPVPTGTAFDYIVVGGGAGGIPTADKLSESGK SVLLIEKGIASTGEHGGTLKPKWLEGTQLTRFDVPGLCNQIWVDSNGIACTDTDQMAG CVLGGGTAINAGLWFKPYSLDWDYLFPTGWKAKDVAGAISRVFSRIPGTDTPSQDGQR YLQQGFNVLAGGLRAAGWQQITANNAPDRKNRTFSNTPYMFSGGQRGGPLATYLRTAK TRPNFNLWLNTVVKRVIRTDGHITAVEVEPFRDGGYKGIVKVKDVTGRVVLSAGTFGS AKILLRSGIGPADQLEIVKNSVLDGPDFINNASWINLPVGYNLDDHLNTDTVISHNNV GPAFYDFYQAWTAPNTTDANKYLSSRSGILAQSAPNIGPMFWEEIKGADGKVRQLQWT ARVEGSFDVPNGYAMTMSQYLGRGATSRGRMTITPSLTTIVSDVPYLKDPNDKEAVIT GLNNLRAALNNVQGLTWNYPPASMSSREYVDAIPVTPGVRRANHWMGTNKIGTDDGRL GGTAVVDLNTKVYGTDNLFVVDASIFPGTPATNPSSYIVTAAEHASAKILALPPAQVN AKWAQCGGKNWTGSFQCAAGSTCQRQNDWYSQCL QC762_702640 MDDISDRDIPAASIEGPESSTSPYMDSDDDRKMIRNTNEPSPLI QFLAMNVIQPPTPRATTTRIKQSASMDHSNPMYPFTPALSTYVAPSTSAVPSTSAAPS HIVAPSAFHAPSPSVTPSTSTDSVSTTKSNRSTMKARLSALKESHLSVKLIANHFESQ YRLSKFSNITPTIPKWLNLRETARQLARLPLTNGKTYKLPIRRATRETAPLVKTWRAN HATWREACNTNGKMPWLGTRSELFAIEVVEKRSWGGMPRFITRAFEDEGVQLGWIFDH DYVETVEPTGEGWEKVPEFWKGARIFDAVWRVLYMKVTKGKVLPLGFEDDLTQLVREV VVSGSWREVVEEGSVGSENSLEGGDGVVVQEEVGVAEEMEVGQKETQLQERVQEERVQ EERAQEEKLQERRRLDAKRKREKRQEEEKRQEKIREKQDSQSERIGETQNEHRNTSIT HQQTPPAPQPPPSTAVNCWPMLKKEMMNPVKEVLRDIMPSALGKRQLQEDTEDDDDAT SVAPRKKQKAVQHVDTAEQVNLPSRPKGSETPPAKVGKTVETLGDDKGEDKIEKEMLV DLVNRHNAAVSKILKLENQMEEVTNEHGAMKERLRVVEEENRILRNQMTAVFHRLELV EKSYYRESPQSAQGSPARIQPGLRAPRQPVKAEQQRNDGRMRGASFYEGRTYSRPSI QC762_702630 MFRIFVIAVLPLFFVFSSEIFTFLSGALCPSCNCESAKPLHRIP RPQLRPEFLALENGTDARLGCEDVGYKMHVFSREPLVVYFENFVSAREREHLLDISEK VYTPSTITHNGGQTSDRNTKVRDSEVALVPRTEGVRCIERRAREVQGWREEVWIERLR VQRYNSGGHYSHHFDWSSGRGGWGRVSSFMVWVHGEELEGGGTEFPRLQLRGDKKKWC KFIECEDNLDGEDSKDKGVETAEDKKGAVFKVIPGNAVYWENFRSDGTGRGYNETWHA GLPVKKGVKVGLNIWSYGRID QC762_702610 MLEETCTDRDRHDLFFSIHYSFLPIIHKEGYLAAFHRPPHMRPP MCLQYSIWTMAADGHPKYGCYHEALYRRARQYLEADELKGHGEHFITVSHAQAWALIA ADEARRMLFTRAALSSARCVRIVGMMGLHRLDSTAADEEHPIAPMIPPPKGWVELEER RRLFWGAFCIDSYAGISTGWPTLIDTNQVTTHLPASEDAFAKGQEEKSTSLQSLFNGF NYSTYGGNVVICHIFNQIMKHAHCPMPSDRPDDLESGPFWQRHRELDTLLSSAFMFLP DRLRLPKNITDPVAIQTNMNLHAAVICLHNTAYEKADKLTSLPASVKQDSRTRSLMAA GEIVNILKLSHNMKTGYKSPLMALSLYCAASVYLSVAATKDTTTPTNPPSPSFLSSVN TNLETLLKSMEAISKLHYITRAYLNQILLDIDRSGVSLSFPLSEYLSKESHPCEHGIP IVARTSGHRQTRLPVPFSTPGGQQQRVMVPGVFADRNFGGGNIHPGAGISSGLSSMVS GMVAGCGLQVLTEDGVRKQPEQQQGQFVDAFHPCDNPPYARGQANAARAATGERVMMF GFRGGREEMVGLQELPFRLGMQTGLVGAGAGLGFEMGMAGGGTGKEKDGDVLLHFPPG NVGGVLGEGNHGQGGGGKRKEDGNAMDIFDEFQDLGEGTGGGGDGDWGMLDPTDTFYS LLYNDGANTDNNGGGDNNCGNMWSVNDFTGMWGGPR QC762_702590 MSDSLLAKKYVDGIYIPAGLIVLGTAILKREFLHYAVLVAIALG AIKFIRTQPKKVLKPDAFQEFELKEKTIISHNVAIYRFALPSPSDILGLPIGQHISIG AHLPQPDGTTKEIVRSYTPVSGDHQPGYFDLLIKSYPTGNISKHMAGLAVGQTIRVKG PKGAFVYTPNMVRHFGMIAGGTGITPMLQVIRAIIRGRKAGDTTQVDLIFANVTKEDI LLKEDLDALTKEDKGIRVHYVLDKPPADWQGGVGYVTGDMITKWLPKPAEDVKLLLCG PPPMVSGLKKTAEALGFKKARPVSKLEDQIFAF QC762_702580 MLRPTHNIKGLHLLRQRLSTPGPSLAPAQRAIVEQASASFARRF SGGTLKKPQRQPHHRGKGATRDLPGKHIPAMGENTDTLAQVDAPFPLTEVDKWVLSQT DEEFKCHDWDELCVILQNNDLHLLKRKPSDLRRYIKWTTETKAEYGNITNFLITHRLP KAWGKPPFTPASSIPFENPSDYRVLMNDWPYGFAPGISHIVVWTRTPIATDDTVGDMT HQSRKIVADFIKRFFVDRLGPGGEKKVIWFKNWVALQSVRTVDHVHVLVRDVEPQVLE EWSRELECHKA QC762_702570 MAQKARKDRAKSNVATLNNLHLGSLIVNGLFLLLNFIFRSRSLL TWFVLSLPSFICQFILEKSGRPTYDPSTKALKSSGEDLAAAGLTEYMFDVVWVTWAAA ILVALFGNWAWFLWTIVPAYGLYKGYGMFGAARQMAQLGGSADAGAPAVGNRKQRRAA A QC762_702560 MAPNSATVAALLRQTVHYHLDNFAYDSAIFFAERLQAYDPRSSE SAYLLSLCHFRLGDSRSAYEISKPPGFRGVHLGCAFIFAQACFDLEKYKDGITALEKA RALWATKCSIGKHSASSRSPYPDAAACSCLLGKLYRALDDKKKAVPCFEEALKANPLM WDAFTALCDMGVNVRIPNVFRFNEPFARNFDLENSTASEPNGPEPLQRKAGMQSASES DPFDAPRPATYHMDPSRNDLFTEPAPNDLMAKFAAAHSRYNGNQGSRNGSDGMETPTG SAPVGAPEPQVSRLGHPSEPPQAPNRRTRGAQAVEPAIFEPPPRLGGYRLGSKRRERT QEQAADPSTDNWSKPTAISSVTDRKRTASGHPVQPRPANGEEPRRSARLNVLPRPPAS RANAGATALGTTATRELRKARPPISRIGRPGAAVVGRVVSGNRKPIEENGMDVDQAEA PRFKEPPPMMQAPPPKMTLVEPEPVKIDEALRWILELLKKMATGYLLSSQFRCKDALA AFLSLPRSHQDTPWVLARMGRAQYEQANYAEAEKLFRRLRMLAPTRHEDMEVYSTVLW HLRKETDLSFLAHELVDAVWDSPYAWCALGNAWSLACDHEQALRCFKRAIQLHPKFAY AYTLQGHEHVENEEYDKALTAYRQAISADKRHYNAYYGIGKVFEKLGNWDKALSHYKA ALVIHPDHAVLICCVGTVLQRQKQIGQALPYFSRAVELAPRAPEIRHKKARALMATGQ FEEAQQELLVLRDLAPDKAQVHFLLGKLSKLLGDKKLAVRHFTIALSLDPKASSQIKQ EIEGLENDDCIEDSMVH QC762_0106320 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G QC762_702540 MSYYFAILSPLDTPLFEHEFGTSKSGGDGHPRFTDQARHLNQFI LHSSLDIVEELQWTQPGLYLKVIDKFFQNYISAFVTASNVKFLLLHQPTTSVPAANGE ANTAQAAASSRVNSTSVGANPTSPQTEEAIKNFMGEVYENYVKAVMSPFYKAPNMEIR SPVFRQRVAAAGRKYL QC762_702530 MFGAFRATNSLSGGLLWKIPWRLSPTQKYRQRQRLKAVDNVVET LSTALAKKGETVKSLERWKAEMPTEAEMLAKDKYTIFDRKEKRYRKGIHKLPKWTRVS QRLNPPGF QC762_702520 MRGVRATVDRLDRPSAYFNNARHKRRRFDKDQRDGDDDTAGMHP ENREDDDPLKNATTLYVGNLSFFTTEEQVYELFSKCGEIKRLVMGLDRFNKTPCGFCF VEYYTHQDALDCMKYIGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYREDYD EGRGGLGRAIAREREGRDFVDDGRLR QC762_702510 MPRVKSFAPSWLNEPSPGHKLFEPSSDETKTSSLAYNKKPKPGP RRAIAHRGTEVFVAVGKQIRWGDLVDLKESWETKQARTGGVRFKKEPNDFEVYDEEAA NGNSGPEGYRIIKTPVAEDIRQLVMSPNNDFLAVLTSHTVHICILPDSTHLNARDSTP FKPKFYTLGPTTHVTSRSAVVSAIWHPLGVNGTALVTVTEDAVVRIWELSATDRWSFD AATLAVDLKRLADGTCVDQDFSASVSATNKAFSPDSFDMEVAAACFPARNSGGWSPMT LWIAMTGGDVYALCPLLPKRWAPPPTLIPSLSVSIVSRVATTEDSPDATYEDRLLAQQ QLQWMSDLDNQEPKVVEAPGGNGEVEVYSRPARPGIVPKLQGPFDFDLNPEDEQDDEV ELKDIYVVGEKPNMSDLMMGEDEELLMDEEGENGLSLSVVCLLSTSGQVKICLAAEGV EAEWLPSKVKSKLRPSSATPRTQSLLTFQTFDTVKPAELTPDSWPMFSEDATSKYSFY VTNPAGITLVNLEPWVSRLESELSGESEAGADFRIDVLVQSHSSERERVFTQPRGLNV LSAPVVIRDQDIGHLLLSSTHNQPIAIFFDTPELELVHVARDSPIVHEQLEIPEPEVV WHPRPVFHPSDVLVSNKNAVSAWVDLLKTGRRRPLLQQEIRLSMATLEVFTEGHKVAS NEVFEIQNAVAELFRKCEALQFELRDQLIKAGEVKKRIDTITGDDLGEEDDDPISINE LTRSRIDQAQRRQEELASRMERIKKKLGRAATRDLSDKEKAWVEEVKGFENSIFGSEV DTSPIDSKTRQPWKRFEEIKELSDSLLAQAERLQRKQGEASEDGPASPAPSVRIPADI RKAKMAQVMSLLDRESMMVDAVKDRLERLTVG QC762_702500 MLVPSYYFDSAQKTPTRHHLSSSMAPMAPPPAIDLRSQVIENCK FFSRQDADISLVTALEPLGKFKALVLRAEQGEREVLMSELAPSLQEAIHGLHVKSATA VQNYISTNGYVFAASLKKKRSLKPSSDDSEDGSDSDTASVSSTVTVDQSPSTTYEEES FSDNETVSVTSTGLLKKRARGKPARIPNPYTQAPPKSQPARRSRSRSRSPARSRSRSR SSDESSENELDSDVPTVVPLVNRRPPFFNGNGFSQRVRCVPPRGFQPMMAPPPPPPPP GPPNPQAGPPLGWVMGHPHSYITPPPPAVPTTKLNAPPRPNGTNSTPHRPSGPPQHDI LLHIHWRHHGEQRTLEQAPLSVRSLQDTAIAYVRRSPASFSNVTTADKSPARLWHLRA TVVSVQVDGENYDLSNYPGDDLTRFISALGPKGVVRFEVEVVSMGGEGNQGQQQGQGQ GGNLPGIRAVMGGGKNGNGNGGMNMCSWPMPMGMGMPMPMPGGMPVMHMGAAAQPPPP PPPPPPPPPSAGTGQREE QC762_702490 MSWDLLQRFLESDVFNQNPFLSVSYLSRYADHIGIHYVLCNKLR QFPYEDIEFFLPQLCHLIISVDNESMALEEFLLDLCEESVTAALLTFWLFQTYLHDLS SNPQTEAFSTCRRVYNKVQHIVFGVSDVRRQEKIVENALPVTVLGSFVLASIALPMLP GWAGPLAVAQARRPRPVIESIAEPVAAAPQTQNKNVPARAHTVTAGSSRSKRAKESRI ASAPDVKVQPTSQNNSPKKHRTKPSRPGTSGSVAEAPLPESSRPTPVESLENLSLEAR ISSASLPLPDSRPRIVTRPTTPLTAGLRPHDMSRKHSHSVKTMLNQAEMTNEQKIRLL RQNYFRCQTAFLTALEDISNRLVIVPKPARLSALRAELALIARDLPAEVDIPVLCPPT LVDGSPSKSRHHRIVRLNPAEATVLNSAEKVPYLLMVEILRDDFTFDPDTQDNQRLLT TLLVEQGTRKRIFDLSDAPSIPAVSRTPPAEPVVDSVFEPASGDLGASPMLKPSDDDL FGPVPPLPRTQSLRVGNPNIAVSGAVDNKTTPRHSIHTSISGSPSPPARSRTLTISNP RNNSVDQPDFSALAIHMRTASQMLAQLDATSGKRPKQEVAAIRAKIIASMQSLEEQSF DLDDGHGPTFDTIIAKSEVGSAAAASNNNANGTTDMENEGSAGPEPANINANAGIDRM ENDIKTGGLQRKGDRDDPSAAVFGEAWEVKKERIRKSSPYGWMKNWDLMSVIIKTGSD LRQEAFACQLIRVCHKIWVDAGVPVWVKLMRILVTGESSGLIETIANGVSLHSIKRSL TMASIESGQNPRRRIATLKDHFVKVFGKPDSEGHKAGVDAFKRSLAAYSVISYVLQLK DRHNGNVLIDNEGHIIHIDFGFMLSNSPGSVGFEAAPFKFTYEYVDVLGGVGSPDYED FKKLCKQAFQALRRSADNIIDLVSMMGRESKMPCYGAGVTQVTAALRQRFQLQLSADE AEQFVETDLIGKSLGSYYTRLYDTFQYRTQGIY QC762_702480 MQEPEIFTQPITSKDRIDGSQSPNGLELDTYRGTIYSNGLSSPG GNGHGGSRGGPNDDNSSDDYHDFVYPEERKLGTWSTAFLIINRVVGAGIFSTPSSIIL SINSVGMTLLFWVLGGIMTFCSLFVYLEYGTALPRSGGEKVYLERVYQRPRYLATCIF AVQFVFFAISTANSISFSSYLLRAAKGSSGNEEYLRSQQLQPNINDPEDERQWLTRGI AVAAITVVCLIHAFAPRWGIWLSNGLGAFKLVLLSLLVCTGFAALAGKTASPRPEPSN FSSFNGPEMETANARTLDTGPPMAQTAAGYALGLLQVLYSYGGWENANYVLTEVRNAP TTLRRAAPIAISIVTVLYVLANIAYFSAMTKDQMANSRVVVAAQFFENVWGKSVFVQR VLPLFIGFSSLGNVFAQSFAMPRVKQELAKEGVLPWSRFWASDWPFNAPSGAIFLHWI VTTVFILASQTSDVYTFVTNVFVYSSNWIKILLAVGLVYLNFTPSERWAEQRTTFRSS PLLTIFWTVSLLFVQAATFIPNQVFTTERLPYYVVPTLGTSLLAIGTAYWLIWAKVLP AFGYHIQHEIVQMPDGSERVKYKRVRPKKKRKRQGQWQRPRRQSVW QC762_702470 MMPAQGRNTAAPSLLPPVDNEATTPFSDLFNSGFDRATIDATLQ FVDRNIIIAPNGRRYRLEELPDPAPGQLPSPASASASAPFTPPPSASPPSYQAAPTAR TPPIASRAPPVASSLSSSLSFKTFATWGRSPSNANSHSHSSPRRHNPPPPPQPQPPPP QSPIHEASTMMAQLSVGTNHFGVNPLYGQSDGGVQAAEALTDPYMGVPGVAPATPRST TASPRGSISQTQQHPPSSPQQYLEPSSQHAHHARTSSVSSGSGNPHQTRWTSPDYVGY TQQAVNASRQLPPTTTGLPSLLGESIPIVSSSPSASSLSPTLATLPTSSSYSSSNSNY LHQYYNQSHPHPRRISDPLSPPTPSPSEVEKEFYNGPGIRGHHHYPPLYHHVPTSDYV ASPSVVARQPSYASFGATPTQEYGGGGEYDTLTPVVVMHPMQSTTDVAGTPGMAPSMM SSDGGSTGRDNVLPGEDLVFDGPVRSAHSLTNPIWQEGVLKVFRNNLTNDLRFHCKAP KESETYWMKSINAQLVPAYAYDQRLPNVVYIRDRESEQGPGSGYMSSFSQAGTGRPSG IYQFDKPAALFDFQARLTSQKVVLDIQSVKLVTLNKSGSREIEKYSGVRLQIWHESNE GGRRSGGGPSAEVASFVTAGTALSGPLRERLVASSSRLMVYLGRSGEYITLFITDDLD IKLEGQTIVKLKPRKGPGPFTRRVSRWPGVKAHMEKGIGSEPAGLDINGQQGEEDVEK YDLYKTFEIEFENSPSQDNFVQKWKEVLHQRRRERQRLEQIQEEMTSTGGLHGRQARE LFW QC762_702460 MIDDPGEHGRLSSGDAGQTEDLHVRKNGLGAVLGLSLIGLELTP GRPGSAVEPDLKSTRGDSYFIPDLSSKFIHFLLSLPTTMSGEGTYAPRSPDLSSFYSS GPTPPAEQAQTQHWPPLSQSQPPSQFSPQRPHKLPPSSTHFSGQPAANQSSHHYQLHQ PQPQPQSPHQQPQLATPPQALAQAVRPSKNLSDITNQPPLNTSFSGAAYPPQSQTYST YIPQSAAPASQPASASSYTAPSPQSYQNRSWYGADNYQYRPQKPIHQQQQQQTPLHAQ HYTSGAFTTQPAGLPHGLDGTVSRPESHDANHNSNINSGNPASTMPPKRGAAARPPPP TIEPSPVKTKFPTARIKRIMQADEEVGKVAQQTPIAVGKALELFMVQMVTKSADLARE KNSKRVSAQMLKQVVEADEQWDFLREIVSRVETTEEKKGGPSKSKSAAETESEDEAPE KKKRAGRRKKVA QC762_702450 MARGPESHDLMLLLGPVSYLDCLVYCVFLAPQLIWHVGFFETVW CVLQALPFFSVKLPVGLMRERYFLPPENQSLFVQKASSFEDFVIRCVRYAFEHVPAKI GRVFFSKEVALPFMQFRLLRHGYFRCPVPWREHREESFHGIWLIKNPEEKPDFVLFYA HGGGFSMGSSYFYLEFLLTWLSELEALGYSNPAIFALEYTLVPDAAFPTQLNEAVRGY EHVLKVVQDPSLVCVSGDSAGATLILSLLLHLGSQEANGIGYGRQLPVPALAVLISPW VTLVSTRHRNTVSDYLDVKQLHQYGMQFAGGKMPEKEPLVSPGCCKDMSLWKRSSPSK GIYITYGTEEVFASEIEDFIGTVQETVVVKSEAAVGGIHAWPVASLFLSSNVNERLGG LRTISKEIRQCIP QC762_702440 MTDNGPLYLGFDLSTQQLKAIVIQSDLSIVSSAKVDFDQDFGAK YKIKKGVLVNEQEGEVFAPVALWLESLDLVLQRLQEQNTPLNCIKGISGSCQQHGSVY WSHEAEQLLGGLTADKPLVDQLAGAFSHPFAPNWQDHSTQHECDKFEETMGTAERLAQ ATGSAAHHRFTGTQIMRLRHKLPQMYTSTSRISLVSSFLASLFLGSIAPMDISDVCGM NLWDIPSNNWSSPLLDLASGGSPDDLRAKLGEVRQDGGGSMGNVSSYFVNKYNFSPDC GVAPFTGDNPATILALPLRPLDAIVSLGTSTTFLMSTPVYKPDPSYHFFNHPTTPGQY MFMLCYKNGGLAREKVRDVLPSSGSGDVWENFNKHALETAPLDVRKEGDRAKLGLYFY LPEIVPNIKAGTWRYTCDANSGEGLEEVKEPWAKETDARAIIESQALSMRLRSQKLVT APREGLPAQPGRVYLVGGGSLNPAITRVLGDVLGGADGVYKLDVGGNACALGGAYKAV WAFERGDGEAFDELIGKRWKEEGAIQRVDEGYKKGVFEKYGNVLGAFEKMEEEILKVA KNT QC762_702430 MRSSPTPWRTSPALGLVLLGLYATAVVSSGSISETPAVTAPSVT TTAIVTTPTAPATAALSVTSPGVCEFKTINYITHTLPQQCLRSAWTSPRPAATAVVES TAAETVTVSITSVVDNATQQEQTAGTEQKEEEESVAFMSFEEWKEMMLRKSGQDPANI KKAQKQHHGDHHKPDREPGLNNDNMDSLGNDGEILDFDALTEKVTEITSSSSGDAVAE ASKEVQEEQILYDDNKTPYYRSKDAGKTCKERFSFSSFDAGATILKTSPGAKNAKAIL VENKDTYMLLECHRKNKFVIVELSDDILVDTVVLANFEFFSSMIRKFRVSASDRYPVK LDKWVDLGTFEARNARDIQPFLVEHPQIYTKYIRIEFLSHYGNEYYCPVSLLRVHGTR MLDSWKEPREDDEPEQIEGSSTQEVVPEIQEPPSEPTSVMESEQANDTKEASPDTIAI DTGSSPWQPYDSHFVLETCAMRSTTTSDPTPASGPDGAEKHSNSTSKADAGAEKAVPA DKAKETPKAEKIFPSPVTGDTATGQGQPNAAPPASNPPPQPDSGDNANTGNQDNQKKP PNRASDTSPDTTPSQGSAKTPGKEGEKPSNATRSKTTPTSGHPSPSPTVQESFFKQVN KRLQHLESNTSLSLQYIEEQSRFLQEVLRTMERKQLTRVDSFLNTLNQTVFSELRHVR TQYDQMWQSTVIALETQREQSDRQIVALTTRLNVLADEVVFQKRMAIFQSVLILSCLV LVIFTNRGGSDSSFLPPSLSRDPSSAAAAYYRRYAAGFMSNGARSETASPPPISPVPG SSHFDSIHHRMNFSPSPPSASSSSAATLAASALPRQTYSPTGIHKRPAPAHREKSLPM IPPLTPESSREGTPAIHISNHGSPPDDQDELGSNNNNKLQPLLEGIREESPSPSPSPS PSPGETATQRRRRQQQLDQPSTSSLLSLSSTDYHEVSSIETNGEDGGKERAPSSPEVE GQESEDEEDDQENVSQDRRGARKPLPALPDGPN QC762_702420 MAQSRDGTFNPSSPHSSSGAADSYKHDGTPDTRLTAFSPDDGSA RSNKLYAGITGMSLNGPTNHSLFHQKSTEHFGNVAPVAEKDPFISSNATPSKSTLDQK LSPTASAFRPLSVPVVAHGSLNGQQGPSNGPQQNFRSLASAAGPLSGRFSTELGITHY VTIFSSTASVTLSEVETYLEFGSPCQGKRVIFAKDGRVYLFLSNIRDATNIHENVQLG SQDWRAQYIAAAEFHQARNPGENHQQVSDGLLRAIAFPQESANFDVFHTNTVVRTLME SHGEVLAFRQLSGTKDIPFHATVEFCDCDAAITAAEALNGRTFNGVYLNLISALPDTT CGAYQPFDVHAPRAPRSPVQDMTNLFQRMGISKPSHHQQIVPSGHLAHSPASGMQLPH QQMAMYPTVVYHGIQHSLPARYVLDHTPTRSQGISPMSPMTPMTGGMPVMAPLYTPPS TPLAFHHGDYASPRGMQPYRMDGRRQNAMRVNRSPYYNAAGHHNHVDVNKIRDGIDVR TTIMLRNIPNKVDQAMLKKIVDESSWGKYDFMYLRIDFANDCNVGYAFINFVDVSFSF SCRGKPDTDRICSRSTSSMYFVNARGNQRWNCFKSDKVAEISYATIQGKDCLVQKFRN SSVMLEAPHYRPKQLYFTLNGPRPELAGEEEAFPGPDNQSKMKRSCENAEHVGLFTPN AGQHFRDEQRRRRSQYDRGTRLAALEEYDYDSHIQQPSLYMG QC762_702410 MPRKAQTWDDYDSAVAQIILAPSDSDFLDQLIPVLKDATTSSRI GSLAQSLSQYAEDREGDIEQIGLTKHEEFLGSVNQLQKVREGTVALTAEILDLNQSIQ ASTEKLAEQKQALVNTRGVRQNITDVSNALEESLKILRAVNNAHELIRKKEYHRALKS LEDLQNEHLIPTIQNKYATQYKLADMIQRSIPASQKTISESVMADLNKWFLEVREKSQ LLGEIAFFATQQRRERQKKRAEVNEYLKNFKLNSAIELVFDESNEFDVIDNEENQIDF TPLHKALHTHDALGQVDKFRVEYAATRRQQKDLIMPSSSENIFAGGDDETLMGLLENI AGFAIIEKATVMRAPSIRSTIDVDELWDSMCQTAIRVIAKSLQEVNDADLLVKIKMNI ALFIETMESWGYSVTMMNNFQLTLFYKYAELLRRRFGEDFQEIVSTDDYMPMAINTRD EYQKVVDVTWFVDDKPEEELVFPCVLPFSQMYPLCCIDIRNLLNQFLIFTSEHFHNPN VVDETLRKSLDELLTDIVCQSLVERLNSQYLGQIVQILINLEHFETACQVLEQILIRE RSSTSAGGPITLKATEAFRSNKKAAEKRIFELVNSKIDDLVDTSDYDWTATTPPKETS NYMQTLTRYLSNIMNSTLLGLPREIKELIYFDALSHAANKILALPLSPDVKKINPNGV AAMALDVQHLSKFVGGLENAFMLEQNLDELQQTVALMQTENHDEFFDISIRNKKFGRV DAMNGPILLEKLTQTVDAPARTAPLANFSSRFGLR QC762_702400 MDPQNPSSAPHGGHQHPQPPQQQPQYDLSKGGHYGASVHLSQQG FAPSELYTGTWANVHQGLTGSYKDILTAYWQQTINHLETDQHDYKQHQLPLARIKKVM KADPEVKMISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDIASALAKSDM FDFLIDIVPREEASSHAKRTSNQAAAAAAAANQQPPQVPGVGPGPGGQHTMNPADYGV HQIAQEGDYRNPQTMYPGGVMPGAPSYGQPQAQMYNADEMYYGTIQQQQQSA QC762_702390 MMASIASLSEADIDRLLSEAEARIAANNTSKAVAVAAPTSSKAI AITTTSTMSQNQEKKDSSKPEKLSVRVPSLPQDKKKKEEKDNAGDGWFNMPRTELTTE LKRDLQALRMRDVIANGKQFFKKDNRKDFVPTYSHVGTIIAGATDGSNQRLTRKERKR TIVEEVLSSSNTAKYKSKFHEIQEKKMSGKKGFYKKLVAGRKKR QC762_702380 MPRALEALYLEPLRHEAEWGVPTCDLQLRSYSLRNLEFFCDFAL RAAYYIGLPAFGPVPLPRITERWTVPKSTFVHKKSQENFERITLRRLIQIKDGHPETV QYWLAFLQKYAYYGIGMKANVWEFSRLDVAQEMDDGLPKTKKLLESKWKHMGAHDKMP FMEDLEEYLANERRKIPGGR QC762_702370 MNVTVKLGHSAPRLALKSPRNSRTLSTTLIHHDVSSPTKRFRFM KSLAKKLSFKKSPPIKSIIKGDASKPKIIFSGIQPTGVPHLGNYLGALKQWKDMQDNA RETDKLFFSIVDLHALTIPGNADKLRQNRREMLTSLLAIGLNPERSTIFYQGSVPQHA ELQWILSCTASTGYLSRMTQWKSKLSTLTSTPLSSSSPDPTISSPALKHGLFSYPILQ AADILLHRSTHVPVGSDQKQHLEFARECVTNFHSAWSTHIFPSPQTISLDSSSSRIMS LQDPTKKMSKSDPNPKSKIFINDDEATIRKKINAARTDSISATVGEYDPVNRPGVANL LEILGGFTGKTGEKVAEECKDVTLAQLKMLTADAVVAGLEEVRERFLLLGEDTEVNRK RVKETEEVGTQSAKESAERTMEKVREAIGLD QC762_702360 MDVVQAVTGYVTKMVSAGDSTSGTSQSAKMKILLLDRETMAFIS TAVTQSTLLNHEVYLTDRLDKPNREKMRHLRCLCFVRPDPDSIGLLIDELREPKYGEY HLFFSNIAKKSTLERLAEADDHEVVKLVQEYFLDYVIINPDLFSLNMSQPLYRLWGGN PDTWNRDSLQRASEGLIAVLLSLKKKPLIRYQKSSPLAQKLASEVRYHITQEDQLFDF RKVDTPPILLILDRREDPITPLLMQWTYQAMVHHLLGIHNGRVDLSSVPDIRPELKEI VLSQDQDPFFKKNMYLNFGDLGSNIKDYVEQYQSKTKNNADIESIADMKRFIEEYPEF RKLSGNVSKHVALVSELSRRIGAEHLMEVSELEQSIACNDNHGADLKNIQTQIQSPTL LPSSKLTLVALYGLRYSRHPSNALPLLIDLLITVGGVSVRETSLVNKLLTYHQSLHAS ASGAGGITDLFESTGLFSAANSRFKGLKGVENVYTQHSPLLETTLQNLVKGKLKEGQY PFVEGSPSVKDKPQDIIVFIIGGATYEEAKMVAGINASSPGVRVVLGGTTVHNAATFL EEVEGAVDGWPADSQGTRAGRR QC762_702350 MAQDVCPTRSEPSLSFLQGLILGQLSVVLLIAAFIKFFIFGEAP SAEETASIRATERRSRTLAHKKSLLSLRSTATQRQGSQPPALPALNKKKSSILRSNPP TLTIGSILDKTYYKVDSHQPESLDWFNVLIAQTIAQFRSDAQHDDAILTSLSKTLNGT SRPDFVDEIRVSELSLGEDFPIFSNCRIIPVDEDGLQFGAGKAFDPKQAAREGARLQA RMDVDLSDMITLAVETKLLLNFPKRLSAVLPVALAVSVVRFSGTLSISFNPSNPSENT PTKMTFTFLDDYRLDFSIRSLLGSRSRLQDVPKIAQLVESRLHRWFDERCVEPRFQEI ELPSMWPRKKNTRGGDEIIANIEQSINKAHGGAIAKEARQELDTETDGLRYRRRPVGD DTYSVSGSMPGSLPGIDMPT QC762_702310 MIPFINAPFVWLADRIGAGPDELKLVFSFLLSYPLAGLLKRVPD ARPEFKNLFSISISLFYLVGLFDLWDGLRTILISAIGTYAIAKYLRGSPYMPWVGFVF LMGHMSVNHIARQQANSPRSVDITGAQMVAVMKLSAFCWNVADGVLPEADLSDFQKDR RLVELPSLLNYAGYVFFFPSMLIGPAFDFVEYRRWLDTTMFEVPANVDPSKKPPTRRK RKIPRSGTPAMKKLALGLIWTFSFLKLSAHYYPEVLLEDKFVMYGFLRRLVVLHMVGF TARCKYYGVWTMTEGACILAGLGFKGVDPKTGKVSWDRLRNIDPWEVEFAQNTRGYLG AWNINTNQWLRNYVYLRVTPRGKKPGFRASLATFTTSAFWHGFYPGYYLSFVLASFIQ TVAKKLRRFFRPFFLDPKTQQPLPSKKLYDFASWITTQLTFSYAAAPFLILSFSGSVT VWARVYFYAVVGTLVLMGFFASPGVKVVKKALEQRNAKAGVVTDKKSGDLKRTASTDS LSSSREPVMGISADMEEELDEMVREVKREVEVRRARSRENSLVKDMKKAM QC762_702300 MRCLFGSPFPFFFFSQPTNFLFQDFASYLFSNVSLLSFIYHVHP RATAQVEEPREDRAGVFEQQRTIGDTPSFFLPCLLFFFGISKCHYGSRGERDYFLAVG EQRREVYTYFTHKACFFFLIVCITIIIYWGRKLFTTAPYTYYLVFKPCFLVSYFPPYP PLEDREEVLERGRRRVWQLGERGKKEAAKDYAVLR QC762_702290 MSAPDSRHGPPSTPLHERSKSESATKSGIRLVPYTPPRIDGDER APSQLSLRDNAPSRNSNRSSDQTIRLVGHSRSRSSGLAEVTNAGAPLERGRSERVSGM KPLVSPSGVGQASEDSPNITTYPTSTRSSRVSESPSPAGSSQTTTRSRSSSRAPSRRR TIIITNPDKTFTLVRNDPEAESSVTPSPLPARDSLISPTLSYASRSSTHERPSILSWA ESRSETPMTGVSTFIPDHTPSEPPSPALSSPGSSTIHLAEDPNPASSSSPWNYRMVGG LRKVAQTPEPPAIKEPPADSLPSDRPLSPLIEDPTGKEEEVTPTKPPKTIVSKTSFTS AVSDQSIDTVSVSTNYKVYGPGSSPAQESNDSLLFNRPGTSNWEVLGEASPAPAFKNS PLASSASSSTGENDNYVVHGEASPSPSGSVIVVAKKPRPSYSQESLRVAPLRPAKKKS YENFGYYKQRSRENLRSRTNSVHSLKSVGSVIGKPEPALLVPPVTVNLGLLSPRAARG GESSSPQLPSWLAPPTTGSSSRPESSNTQGPSVSMITATPHQWSSQLSTVQSESEVES SPMPTRSVSPLSESSGGHHRRRSSAGWVSSMHSRQMPSVSSSIAGQLEEGANTSSGSD SLHRPQPSLSRASPQIRMVRDQDEHGDGIADLEHRPSRAALSSYFTTTNSSSRGLHSS GSSRSRGNSFTSEVPAWAKVYYGSGERRWLGRSPSFMSISESGSRPGSSRFYNDGDSP TDEQFPPNIYSPRKRAREVPGDHPFFGQSEMGISQAPAQDYNVFRTLRQKTSSIWSPH LRQDRRASRYSMWDPPSINWSADTSLMGKRNIQVVLFIIGFIFPFAWMAGALLPLPQR YSLEKGEKQPEYRYQPQAGDERRFEAVRWWRNLNRIMSVVGLLIIGAIIALAVVGVQQ GWGQNSP QC762_0106560 MLRLCMAIVGASPAEPDSRISIASGLSTVAHHRRTRIPGSILPA VGNVTNCRCCSVAGVSEGIRPVLPWHSPAPQSNCSECSWAQVQPLCQLSNTIDGHHLV DVLMTAGEG QC762_702280 MPALGEVLKKFNPWHKEEEPLPPPFTASTAYLLLALYALIYFIP FYLSPTTRPSPTLSRDAPSVIRARIRSVSLSCAICTLTTSLILSRYASLSASAIFHLL GVYPLALIPAVKILFLTGLLFLGPLYSYFIVEKGYKQWSTLLPLRETLTEWTDYRNYI AGPITEEILFRSSSLPLLLLSQAPLSSTLFLSPLIFGLSHIHHFYEFRLTHPAVPVSA SLLRSVFQLGYTTLFGSYANFLYLRTGSLLGVCAVHAFCNCMGLPQVWGRVTDENGDK KINRLWTVGYYVLLVAGAWSWYRNLWGMSESVETGLVGADQW QC762_702320 MSNKKNEDIEMRGGDDFGGEKDPFLGRASPATLRVRQEPGLLDK LDHSPGASILAYCLASISMTVVNKYVVSGSEWNLNFFYLAIQSAVCTLAILAFKQTGI LKNLAPFDSTKAKRWFPISLLLVGMIYTGAKALQFLSVPVYTIFKNLTIIVIAYGEVL WFGGSVTPITLLSFGLMVLSSVVAAWADIQAAIDGVGISPDKQDALSTLNAGYAWMGI NVICTSAYVLGMRKVIKKMNFKDYDSKFLARLRRSKYSRLTPNSHVLQQPPYHPRLGC LLPATKNKLMIGMVYSGLAAIFISYCSSWCIRITSSTTYSMVGALNKLPIAVSGLIFF DAPVTFGSVTAIFLGFVSGLVFAWAKVRQKAQEALSLPTTNMSASAKSNRDAANS QC762_702270 MDIRSNADTTAGGGSIAGSEAPTTATPIGRDGGGRGEGGNKPKL QLPASCEPPQPGQPPAKQLVWIVFGGTGHMGRSLVKSILSHSDLVTTVGRVFETTPAQ IATLNSDSCLGTLCDVRDYASVSKVMEKTLDRFGRIDCIANCSGYGVIGACEDQDEYE VRNQFETNFMGTLHILQASLPYFRQQNGGRYLIFSSTSGALGVPGLGPYCATKYAVEG LIEAMLYEVDSFGIKATLVEPGLVRRDEPAEITNSLPTWGHFLIKPASEAYSHATSPA LHAKRMVQWLGDRQPTSAVKCAELVWQLGHCSYPPLRLLLGSYAIESIRDRLRSVTEE LEDWKHLNFPVAPSEGEVGGKGGEEGEDGDGQAGEGEGGDIVVEGTSPDGGEN QC762_702260 MSGQYSPPLSRRGSSDGESTTMTPSSGRSTPEFPIRSGRRNSSR IEPYSTKRVRGTDAVESSSATAGEAKKGKRSNQKYTTEQQDFIIYHREDLTKAWKDIE RAYIHQWPAADPQDNRKITGVQCIFYRQNLLVPLMNNTDEKLLVLDSPPFLTTNPAGA DPKNSDLVLNEDYAEYVVYKGVPHRLEESKVRTYGRPRLLLERSPEELVEHRYDWLPK NYLDAAQELASKRDEQRWRWLQQYGPRPDAWIDSCEPIENRFKVAEGSHLYKMLPKSP AVQPTLEYACRPIRVAEGVNLYKMAREVVQPALDRQYHPGAHCQLIYQSHI QC762_0106610 MPLEVIYVARHGFRSNWLVDHATGTYTATLRSPTGGAADPALTS HGVDQARELGERLLKAEPPIERVYSSLYYRCLQTVEPFVRKAIVTDKRLSIRGETGLG EWYGAANFEHPVPASHEILRPLFPGLLDEEYRPLVTPTRMGEGVDELHDRVARTMDEL IAQCDREGVRAVLLCSHAATIIALGRVRFSGDESFFGTASGQSMLDAGQLGVVVEGRG GESTNRGKL QC762_0106620 MEAPAALLSGSSCFGQLQVCVNTCDPYFLPFIPLLETYHPDYIG LGLYEPLAHLTSVPRLPAIVRISNSLDSPRRSDSRLVSQILQRKVLSNLQNCLAELKL PFHHSFTTASHIRTKSSGTGPVPTRHTHTTQHHLIQQRTFKKRGRARHSASAPTREPA QC762_0106640 MSADLFAAFADTSSSTAPQQQQTKPAQDSLSFLDFASPAPQPQT QAQISQQSAPWPPIQQLAPTQGSSFASAQFGHPQTNNSNVWGDLGGSGGFQRQSTTTQ TPPKTSTPVQDDQEDEDDWGDFEAATKPADPPQPPAPLTNITSPPSRTRVTRASTMDL MGNQLFNLGLEDSHKPKRNPDPDVLFDADFEAENGDIDEDDFGDFEAVPAPVTEPTTT KPVDDLLGLDVDPVPSSKKAPPGLSLSNAAFHGSPSAYPKAPKSPYGSSFHDRKPDLV KELQVKPPTGVRNIQEANQASPSPITAWPEVGDGFGNKWEEFKDIPDTTTKPAIKTAS QPKIKTTSKSKPAPAPTTNSEWEWQDWGATEEKAPQHSNPPAQPTSSHEPRGPPPTNI PPPSVLLSLCPQLLDLATTTLLKPLLTLSTTSPGYQRIIGSAQTLAFIKGYLALATVV SRLIAGRKQRWHRDKFLSQSMTISAAVAGGKPGMKLAGIDKTQSIREEQEVAEVIEVW KKQVGRLRGVVAAMNSAHHENLKIPELATNMAVTVAKNVPTAPKACVVCGLKRDERVA KVDYEVEDSFGEWWIEFWGHRQCANFWVEHEKELRQR QC762_0106630 MRSPAETKLRWEETFKHGTRISDLQRAIKFNGPESPCVAGLRSL CWKGFLLFPHAPAEEWPQLLRQLRDSYDTLCEQHLKFIRHPEQLAALSFDPLADDPDS PWITVRKDEAIRAEIQQDVSRLPDDPFYHQEVIQTMILDILFLYCKLNPSAGGYRQGM HELLAPIVYVVAQDSVDGKQSSTVDTLDPTIVELLDASQVVHDSFALFSKVMDRAGAF YEVEQNTIVEKSKYIHEVALLKIDEELANHLRDIEVLPQIFLIRWIRLLFGREFPFEQ TMILWDAIFAFDPNLEMIDLICVAMLLRIRWTLLEADYSVALQLLLKYPAPPPPHGPH TFVDDALYLQKHFDAAGGVALIAKYSGRLPAAASVASTATSTPARSSTPSFSGFGSLR QRTLGARSPLSSTTKLLQQPGGVEAILRGAAKNVIEKSEKLGLNDAVRDAVGEIRRNM QGFQESRSLPRINRSLFPGNTLAVSIWEQRNRQLATMLEESITNLKQLVASDFEGDKQ KQLETVELATAKIQYVKACLEDSTLDLPEEEPPTLATLSISTLPEIRSPTVALDTTPV VMTSSAVEEARSSLSSPASSDRSKQLSSVPEEPHAEPVAEEMVDKMDTDPPEREQTPP PPAPAPVPNPEPSTSVGQTPATSPTPKERPKGPIPTRSTLAQSSFAWMLEPDTTISAA RSPPSRPLSSSGKKKHNPSREKNAFLFGEVVPSDGAAGERTVSPDEIFGLQPIRKG QC762_0106650 MTTEPAANLRTFHHFPLLPFEIRLEIWELTAFPRRLRALRASKT YDTAVGPPPIPAPLHTCSEARSFLTSCHPPVYHKMYLDCTPHDDSQESSSHRKYTWAN WELDTLVLEDSLPTVVVDHIATMLMPRRLAVPCLKGYVSNFLFELIDKLKSVKEVELL LDDVDSPFNRSTWEAGWHSPAMECDFGHIETFWLVHDGGRRRWAKWDEWRAEKRWEFD EDDLDEALMETFKELRMTIPGWKPFGMISAYQEDLNSPPTSPRLKLATHSPFRNEILT TGPGAAYQKSPIEYCFSSEDTTWPCPCSESTHTTTLPTKYTGRYIWENRRVVPHPDSG ITLSDICDFQTTLAPNTSIPQWPRKVFFFWTVSQGSFSPQDPAADPKFLLW QC762_702224 MLPSSPSLLLLLLLLTALLLLLPLTTAQTTTPPTPTAPPTPTVT KIISLFYLNDRAHDDFPRPYTPTPHAIPGRVIATDSLLNLTTYIVTKTQSFPGRRRPP FGNNPPPWAKTLTLPPSSLPTPWWRPNFGDRNGTGQPITITQGPSTFMFTGSRGWEKG QSVVNRCSLGGTTEAVCNLTHVGRAWYTGDREWEGEYSTYSYTWTEGDRFGFVGVTVT AGGEMLSEENGGDDGVGTTGKGDGVRSANGGVGRRGVNGGVMMGWVVWVVMGLLGGL QC762_702220 MSSYDKYVTPLSTRYASQEMMTIFSARERASTWRQLWVWLAEAE RELGLPIPEDAIQEMRENVRVSDEAFDKAREYEAKFRHDVMAHVHAYGEDAPKAAGHI HLGATSCYVTDNADLIFQKKALDLILPKLAKVIQNLQVFALKYKDLPTLGFTHYQPAQ LITVGKRAAQWIQELMMDLEDIETVRDRLQFRGAQGTTGSQATFLELFEGNADKIVQL NEILCKKAGFPSAYPISTQTYTRKVDLRVANAVCALGATAQRICSDIRHLANLKEMEE PFEKSQIGSSAMAYKRNPMRSERITALGRKLARLPADFTATFETQWFERTLDDSAIRR MDIPEMFLLADSILLALDNVTNGIVLYPSVIRSRIDQELPFMATESILMKLATHGVSR QEAHEEVRVLSHQASDVVKQQGGRNDLLERIKKTEFFRPVWGDIDSLVDPKLFIGNCP KIVEDYCNGEVAAKLANYKESLDKASTAQLSI QC762_702210 MESVEDSGGDGSSKKPTKGPRACGTCALAKCKCEPGSGPLGKCE RCERLKKKCTKQIPAPPRRRRKDRKLTRVAELERRLEALTEQIAAGGGRAGAGGSSGK SETPGSASTGEGLENHHHSSLGGSELDGQQPLPAISPDYHHSTSSGPKISVTNHHFTQ APWNGEPFEALLPPSELKFSPILSNHQTPQYGHHHHHQHQHHPQHQQTLGGLAVSAAG SVMPDAMMTSASPPSHPSPMASSNASDSIWPEGQEAEDLLQEYRSHMQHLYPFALVPP NLSAHQMKEQRPFFWKGVMVEACHHDGRRQMALGDQLLRDISVAAFQQSSQKGQPSLG AGLDLLQGAQVLLAWYHYNLVAAKTTNLLFLIRSFTASLKFEVLDAQEQKNAIAGLGG QPRSEEALERMRAFAGTYYLVTVAFTTSHCPDQLMPSPYLMRCCKVLITEKSSPDDEL VVHLVRVQRVSQHISMNLANWYKKPLKERRPWNQVVENLRLFLHGEKKKVPKRIMENF SMKGHFIVAELLIYEGFLKTSGSIGGSSVTASSLPEDEDPSFRTSPSNGLGNDTPSSH SSNSRPASVIPLQDRLDILMKCVRLVKEYMHARTASDQSDYPRFISMSSFDLTYVFVR MLKLMTLSLPGWDVRTVRREFDGYLERHIKDMEHTAGRRKKRSRTMTGASNYNSPSDV YRTPQGVVGGGGSGMGGSQQGLEREREDPFAQLARKIRELKKAFDQDLQDGQSTAQLA ETTAQLAELYEKAPMTLADATATLVQEISQDLGTDSWDGGAMDYDWNPGMWGGDFGFE MDGFWS QC762_0106690 MDRWIVGGLGEVGEADVEPCNCLLLMLQATAARPPFSALSSEDN ALLRICASNVLEEDHAFVP QC762_702200 MDSVLRQSKAMCPFMKKATAAGLRAMTTAARPAASPCGGTISKL QTLARRCPVMGKAMAVQSARIGHAGIPGVAGRAMSTVSAHGKTSKAKIHTSGAQEAQA VEGAIFNGREKVPLPPRIPTKAQAAANTLTAARTAAPVTHNGTKFDYEGFYNNELEKK HKDKSYRYFNNINRLAKEFPRAHMSTREEKVTVWCANDYLGMGRNPQVLKAMHETLDE YGAGAGGTRNISGHNRHAVELEATIAKLHAQEAALVFSSCYVANDATLATLGSKMPDC VILSDSLNHASMIQGIRHSGTKKVIFKHNDVKDLEEKLAALPLHVPKIIAFESVYSMC GSIGPIEEICDLAEKYGAITFLDEVHAVGMYGPHGAGVAEHLDFEAHAQGKPRGTIME RIDIITGTLGKAYGCVGGYIAGSAKLVDTVRSLAPGFIFTTSLPPAVMAGARAAIEYQ MNYNGDRRLQQLHTRAVKEALGERDIPVIPNPSHIIPILVGNAELAKQASDKLLQDHK IYVQSINYPTVPVGQERLRITPTPGHTKEYRDHLVGAIQTIWEELGIKRTSEWAAEGG FIGVGEPNAAAEEPLWTDEQLGIAEAARTIEQEAKTTTTRGGLTERLLEREMEGLQTA SAAA QC762_702190 MSDSSVVNMEGEDVHDPFLWDEEQLIQELCHSNRQWRARAPKRP LDLAALEAKLREGGVDGASFLTYPEEFGIHNMFAELGIKILPHQQFLQHIINELKESS AGYRDYIRQQDDGDDHGSTYIKREPHPPSSFEPPARGSPDAKPRLDMDRAMQAAIASQ LGASGPSGVLSPALSPAVDLRIGGLGDINENPEDNSIAGSEPMDINSVSDNGSPAVVE QQPIAPEEPPRKKRRVAPTLISSEPMHAKPALAPTEGDAFTRLTTIFGYQAPPCWLDP GSLTPAEILSLNPSDEVDDNGDFAHGYHDHPPGRRRQVWGAMKRHYLSVRPQRTLEVP QDEREESPLPVFGQSDDEESMDSDEWEEYQQEEEERERMKALRQQEAASVLSNDKAQA VIADVIKELEARWVDEQQPKQDRRAHNIWESARRNPNREAYIKSIKKLKDEAAKRIPK FRKQILTEKWKSDEMLRKMASDYLEQSVFEKCYQCWLLGVLESPRRPPKPAALPRPMP RPKKQQELAEDEEDLASSDSETDDFLDDSDDKVMISNDLMEVEPISDFVQEPDTDMQD SSPGKIKTKLALAPSTPLGTKQADEVILIEDSPLISPTDGIPEFTDRLSLEKMGEMGT DYWAKANDAERLLAAILCQWTEQKRERLLKVVGPFGHKEIWEEHLKPAIDTNGTVARV GTTELLLCQLFDAFLECSAKRLARTTIRKITLRQLEQSAGVKFQTFYNHLKKLLVLFR TEKPHFGHTRSLAPTTPTKSPAVKTPIKSEPSQVAASEKPVEELVSQDSSEGGVPQDP ADATLTLADEVEAVLPDEEVPLSKKKRKRKQKVNQEAKNLRVTTQQQLVEFGRRRRRL HEEIATNGIVPSTMARLIVNETKKDDEPLIFINAYTGSRIKDHQIDGVRFMWNQVVVS GQGCLLAHTMGLGKTMQVITLLVVIAEAAASSDPAVVEQIPEKLRRSRTLILCPSGLV DNWVDEVNMWAPEGSLGPVYKVDASLTAYVRVEVVKKWASGGGVLIVGYSLFGNLVED EELEKLLQEKPNIVVGDETHLIKNQNTKRSRAAAHFHTKSRIAMTGSPLTNNVMDYYA MVNWVSPGYLSDIEEFRSRFGNPIKEGLYADSNPSAKRQARKLLVILKETMSPKVHRR DVQVLRDELPTKKEFIIMLPLTPLQRTLYEIYIERVNNPTVTGSDKSSAQVWSMVAKL GTVLAHPRIFKTVAERQKDAKGKAKSGKSEDEDELILPQDILSELLTPTTCRDIDNDA HSYKIVVLMFLLGEFRKVGDKALIFTQSIPALDFLESIFKRRQIGYQRLDGHTPINMR QASIKKFNSNDSADVYLISTKAGGVGLNIYGANRVIILDFKYSPTDEQQAIGRAYRLG QTKPVYVYWLMIGGTFEATIHKSAIFKTQLASRVIDKKNPAPYATRLKEYFVPPQTVD QEDLQDAYGQDTVLDALLNSAEVGPLVRKVTSTETFEKEETYELPPEDQEEAKKEVAL ALLRLTNPEAYEAEKLRLDRERYGWQPQPAVQSNTIGMINKGIGTAQPNLAHNSVLPR LRDNFGQMVPSSTTPIPIPGQYLQQYRQLGRLNTLGSAPVRPVLGAAPAPSFTLPNSD LQPVLGSGSFAKYPADGHALPSHATPSATPQVNPSLVAGPFGTQPVETLAASNPAPPH PAPRNTPPTPAQKPAASALAPPNKAPSPMSQKALSHAHVSGSQQPQSTTPSLIIPLPG TSASVIDSARPDLPDLRRIYQALCEEGKEVVFTPDAVMQGVEQALQEKGFSYKTFPAR DKWQYLQKCCRLHARFAEAMLSGYIQPDQLATRERRDLQTMVTRLNGLAEDDFKREVW GSQVVRNNQAATVAQQKPRPSSKVKDESRVTKVKKDKVKKDKEKTSGSMPKRPRDSKG PKTPNIGPGPGGRQQPVRPGDSAASPFLID QC762_702180 MTSNAPYPPALDAGLETERLSQTIKDWSIANGLAVRPPPALVGQ NQDPEGILAINAPVTLFPSPFPKSCFEEAKAIQTNYNELYARISQDEEFLGRLVQEVA GGDDFIAKLWEIHLKVKEEGYVQNLSLGLFRSDYMVHQDGDHLQIKQVEFNTIASSFG GLSAQTSLLHQHLSKTEYPLLTTPIPPNALNLPPNTSAHSLAAGLRAAYEAYGPSILN HPTCILFIVQEGERNIFDQRHLEYSLQQSSIPVFRLPFSQLLTHTTLSPPPLRQLLYH LPHNPSQIFEVAVTYLRAGYGPNDYPTPTSWLARRRIETSNTIPCPTILTQLAGMKKV QQVLATPPGPSTLAKFIPDEEKSSALWRTFTNIYPLDSSSPAGREARRLATDPKECLK YVMKPQREGGGNNFYKGAIPEQLKKVPEEHWNSFILMELITPPAVQNTILRQGKLEQG GVICELGVYGTCLWDQKTGQVKHNEEAGYLLRTKGDQSEEGGVAAGFGCMDSVALV QC762_702175 MENMGGRGGGDRDQKDMRGRSREPAVTVCHVCGDRVRPQLYCPS CGHPLCGRCRKEPSPQNKQEMGSVNGDGGGEKHGRGGVGDEVERVSVAVATGLPSPAA ATTPDGEGGGLVEVVRKSNGEIIAVEKRRPVKTNPFVIADQIARKVSDPQVSSTTIPV VAMPPVSKLPKYDLTTIVDRKRRVPVAPTDAVEHPRIQQSQREPHPERLSHSYASSSR GSSVVPAPSPGVPSNSARMLAAAEEREEERTAALQAKDGPHVHRPDREPDPTTPSPTF QASNDRAHVLQQQARREKERTTPPVLRRVKVLAQTNKSGALQQVLQVDVDSGGSAQDN SGMETGGGRKSSGKSIPRARVTSPPAWLKGTGPLSSSSAASVAAQPGSIAGRLKKVGL DSSKTKSSPQLGQQSLGGKKKEGRGTTDSTATVTVVRPGVDGDARQGSNSQVSPGGLG RRGEGQKQQEQQLRSYPSPPGQQKQQFGGQQQHHSHGSSGFWKVPSSVNMSMAAGPGA GARAASKATSEATIDGSNRLGGGGRRERSSVGGTATGPAGTGTVMVAGDEDDDVGIQG LTIVLHLRGKDDLVISTDLTREGGAGTEGAQEGTGRVLGVVGRWG QC762_702170 MNSLRIARAAIRARPALLRAPVQRRGYAEAVSDKIKLSLSLPHQ AVYKSQDVVQVNIPAESGEMGVLANHVPSIEQLKPGVVEIIEESGNKQFFLSGGFAVV QPNSVLSINAVEGFPLEDFSAEAVRSHIAEAQKVASGSGSEQEIAEAKIELEVLETLQ AHLK QC762_702160 MEQHRYTPHDYATSNVLAWTTTNSANVEPSVEEDAGADTDEPPD CPPPMSPLSNHFKRTAIRRGSEQHESLLTKAFQSHSDEESHDATSLARRRRRSITSNF SIASTAELTCDTGLTTPARTSSPSPRFSSIGFVPLMGKTPVPVVRIERDSDAAVQALA KKRCISFACAAKPKTDDKVSMPPPPKPTDNGTKSQAAPKKTTIKFACPAAPPKTAGAQ TRPMTPVPRDVRVQKPRTPSTVRARPSTPRRTSNSPIAIQSKKWIAANANDLDSENAH FHEFASETPQEDDWIRHDDVHKPKLTIQDTLQKEMEIRKLGKEAEEEAEAEEEELDEE VDEDEDGEEDDDDDESPNGDDGDDDDDLDDEADEEEVEEEEEDDVNVGYNWDDEASDG YKTDNEIGFAESDDEDDGLLLWTTRVGHHQTLSGAVLSAARRLSVGESSDSSAGEGKS NLVRKKTKRSRSRPVPFRPATPELPDSTDFVCGTLDEDRPLEDAYISCVEARKRDKVP VIPQDIDPSFPTSDIEDEPEEPYGKGYGESDEHGWLHGEFEDLHHERDRRGRKSGKGP SPKRFRSPPPKRRLSPAPKMRGRSPLKPTERASPRRFKSPAPISMAYRSSASPSPGQD SDHVAFKALAFRPGLGLPHTKSLPKAPGMFLKQKARKAKVAAEEKDSTHVRGAIDIVK GLEQKRQRRKEKYHQKYCSRARKEKAKEKAHPKRTIPGEGAERMRELGLTMAGKNPGH YVLSI QC762_702150 MWLVNWFYDVLSSLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTLHPTSEELAIGNVRFTTFDLGGHQQARRLWKDYFPEVNGIVFLVDAKDHER FPEAKAEIDGLLSMEELAKVPFVVLGNKIDHPDAVSEDELRHHLGIYQTTGKGKIPLE GIRPIEVFMCSVVMRQGYGDAIRWLSQYV QC762_702140 MADKNKMTTTPIPDSSFSGGSSSEDNHPSSRLKLTSTTHPPTVR PDIVLPSKTYGFPPEAFDWANLPDAETNFLPAEHVEAFIQALSAPDFVDEKGEGQSVR LNSPGLLSRSGSNLEFFDENESHEYGGGGGQEMGTGTFITARNDWAPVNERVVSREET KKKKRRFLRGDRDKGEKKRRPKLGLKGLVGLTGSGEGGRRGGGGRSKDETREGHLYQL LKWPFLLIVGTWLLGLSVAYLATRSYIYLYEQFVAWRGKREKLRRAMRATGNYKQWVA AARKMDDYFGVAEQWKEQDEFAYYDAKTVKRVWEEMRRSREKAERATDGGDEKRGREA VEELKQLMGACVKNNFVGVENPRLYSQTYYGTKNLVQNFVDEVERSMKFLLDTELMSK EEKRGLFKGISANYGRTALCLSGGATFAYYHFGVVKALLEEDKLPDIITGTSGGALVA ALVATRTNEELKQLLVPALAHKITACREPITVWFKRWWKTGARFDSVDWAQQCSWWSR GSMTFKEAYERTGRVLNVSCVPADPHSPTILCNYLTSPDCVIWSAVIASAAVPGILNP VVLMMKTREGKLVPYSFGHKWKDGSLRTDIPIKALNLHFNVNFTIVSQVNPHINLFFF SSRGSVGQPVTHRRGRGWRGGYLGSAVEQYIKLDLTKWLRVLRQLELLPRPLGQDWSM LWLQTFGGTVTIWPNAKVTDFFGILSDPDEAKLARMIHEGQQSAFPKIKFVANRLRVE RLVERGRRENRPGFDAFVANDEGKTVPERRGSVDSLLSDDDLNRLLDRQKQRRRNDDG NFTATEDETTDVDGGLTDGETMPGGGQRNMNIDGGDTEEDFRSGRRAAGKLLL QC762_702130 MSATATTTSKMISQTISTLYSILSTIPPSQYCQSFFLFAAAAVS AIAVLPSDLKQILVDYGARASSPKPSNSAVLSWLSTLTKHTQVPHSWFAIFYVVSVAW SVFWLGQFLYGGQVIKFIAEQEVEYGGNGKGMGSVQVLAGWAMMFLQGARRTCEHLFV VRASSTSTMWVVHWVLGLGFYLGVGVGVGVGGADAILNRRTLELSREEMVKLGVAVPV FFYAWANQYKSHKHLAGLKKYSLPTEGLFRWFVCAHYTCECLLYLSMAVATAPEGVWF NRTLVCALAFVVVNLGVTASGTRRWYEEKFGKGAVEGRWNMIPFVF QC762_702120 MDDNDTSSASSSRPLDLPHTLEDKKIKALPPACYYISDFITEEE EKAILDKVNTAPKARWRQLTHRRLQTWPSDLVKNTLLDARPLPDWLEQPVVARLLSIP LSDSQPENMFHDSPHQRPNHVLINEYPPNTGIMPHKDGGAYHPVVCTVSLGSTLCLNL YKSKEDGALDLEPVWRILQEPRSLLITTADLYTEYLHGIEPIATDVELSEKTIVNWDL LRSPELYKDGLNQRRTRISLTYRDVLKVSKLGNKLGPMFKRP QC762_702110 MDAQNAVEPASTKPAASPKRLATGTDADQPRSSAVTKLSQIAKP ELSVKDNRDHHSSSSRPSATGSQTHSQHQHTSHTYSHSHLHDDDAGDGNSDAETIVLP GKDGQHSPSKVRKIIKHEDKSDGEEAPLPAAPSLNRKPSTLKHDREGESNSNSNRADR ADRADRKDRGDKADRAEKPSRSASAVRNGPSGPSDSAAALAGRKKKHPGDRAKLKDGS SGLSSAPASPPQQRRRRSSNAHSKSDSETAPVDSPKISSREKLPKSAADKLVPHKRKA PKPESDDERESRKVRRQRISGSGLDASRKPHLPSAKTSHHEVHTSTRTRSPSPHSRAS HRRSISTHLPASSSNGLGQKKKRVPAPLQSTDYHSDESSVSGSPHPRSSKLRSLTTPA TAESTISPAKMAPHKKHLDAHGQTHLARACAKGEYENAKARLAARPEDINVADYAGNT PLQIAALNGYDDIVKLLVDAGCNLECFNNEKDTPLLDAVENGHLEVVNILLAAGVNPR KANAYGEEPIARINEDSEHADEIRKALQEAKKNMGDRRLTSEDHHLDHPDTLSSHGNE SPRRSPGASSSIHAIGGRRAGTVRANKTSNHLLYMPMDDKTLRLAAARGDEETVTRIL QVREAFDDPESMVAAARGGHEMVMQLLLALGKANPDPPPIPSAENSEYATPMLAAIGQ ENLNVIRLLLAQNDFDPTKRYKGETYYEIARRRKGPNWGDEEHILKNAYDEYKKSHKD GSKTRSPNRREQEREARRNRAEVKDETVARSSHKRKASSPTREPKKSTTSKLAASPRE KPRSGSFPAHPDDQTSPKRGPGRPKKDDRIPTIAISDREASPAGRAPKVKRVESDMAV SASEGEAVKPRRKLMTAKEYHKDKQQQQQQQQQQQQQQQQRRQSITSNASSMNIPSSP RDEPEKLAKTEKYHDRTKALKRDESRDRLSVSGESTGKRYRSSATPPHPSIMEKDAGE APAKRRRLDVPEGKEKRPKPSPSDDRLLKAPAPRETVSASGSVAGSRMSSKTRDDDDR KPTPKPKKVEEHARRESGKSNSSDNSIHVKSEDPDVEMPDADAPAKEPSQQRRREEEE KKKKLGEAEIAAREARKKEEDKRRKEEEEKEKEKEREREKERSRLAEEAKRRAETEAQ QRAQEEQRRKEAEEKQRREDEERRKREEEERKQREQEERRRHEEEERKRREEEKKAEE ERRRKEEEERRKREEDERLRREQVEREAAEEARRQREEEERKEHERKERVLREEERRR AERAAREAEQRRLRAEQERARLAKLPPLLRWLESAVNPKLPEVAEKFSTMQGVRYDCI RPEANGTLDGREQWLLNTQVALLLGEKDLELSRYTAWTRIPVSQTAKRIIWRLESDRY ALTTPSLYELGRQLPDYYEGHDPEQVGYLTLERLRNEAWEKFSAMDMFFVKASDFMFI IPTIYHLRNVKLSMAYLELPDPDAEPVNWVVHQKWRSDPQAHILGGFAPTSKHYMNGE LLHEDKPILREASTSPVPFRCRRIPRHGLTAIARDDPAYNQFFKDNGGDGSVENAESP LLPNGVHSSPTSTSSRLMAQSIDGAMSPTMAMATAPNGAANQPISPSSESGPAQARPL VNGIHGLVNGDTV QC762_702100 MSTTVEKIKEVELEMARTQKNKATSYHLGQLKAKLAKLKRELLT PSGGGGGGGAGFDVARTGVASIGFIGFPSVGKSTLMSHLTGQHSEAAAYEFTTLTSVP GQVVYNGAPLQMIDLPGIIEGAKDGRGRGRQVIAVAKTCNLIFIVLDVNKPLTDKRVI EAELEGFGIRINKEPPNITFKKKDKGGLNITSTVPLTHIDNDEIRAVMSEYKISSADI AIRCDATIDDLIDILEAKSRSYIPVIYVLNKIDSISIEELDLLYRIPNSVPISSEHGW NIDELMEAAWEKLKLVRVYTKPKGRMPDYDAPVVLRSNKCTVEDFCNTIHKSILEQFK VAIVYGKSVKHQPQRVGLSHELADEDIVTIIKR QC762_702090 MHNQIIASTGMDQESHLAHDNNTYGNDSWVDMNSYHHHHQTTMP DYGGNYGYSSIPPITHGLPSENLNRMPPPPPPHSMHQQHASHTQLPMLMMPHHQPTPT WPSMLTNPNNYSPHSAPPIAIPPITTPLKTTKLPAIQTTTSQPRKTLTDDDRRAMCQY AKDHPNAKQTDIGQRFGVERSTVSKVLRHKDKYLNSEDRSSSPIKRGKGKGADIEKAL TAFLRNARKEGKTLTTEEVKEKAQSFSMVGGGDSFMEHNSSAWLEKFMLKHGMGPGRL MRRASETNILDSRRNSPALSASQPSSAISPASPAGHLSPSPLSANKSDEEKESMNSFM DFTTDNAYKHTNTQSNASLNSAYTDHANSSFSGSALSPAASFGFSPDPNTGGFPPPGA PGGGFQRPRSQTFPTLDLEYMNQSQNTEPLTPKYHVSSTAPSSALEPPSANPSGGHFS IDQAISPQLRHSTSNQSLGGRSSTTPVTANPSSPSSPTQEDARRAADTLLSFITNSGG FADHSEYMTLLKLTEKLRIHQMQLAKAHGMGGLSRIPEGDSEMTNTTSIKLEPTTTA QC762_0106830 MLRTPVSEYILNQDTGPLALPPLLRNVRGALFPGNAPGKGTLVA PEGEEGLRVLKRRCARGLWGLLGGNVAKIYFGLSPFSGGAAAAAKKTTLQKEKGDVSS EGSSPSSSGEDGSGKKKAARFDDDLVSSSSSTQAREGTDRGHGHGQHQRHHRKKANKN DASRRGRRRTTKSKAATPTTVSADEEEATMTPEEEEIFSEIERGILDVFSDAYCNKHL VYGLVELVLVRLMPEVGEKGVLELWAERGVDCT QC762_0106840 MTTAAAQAPSRVQTPNPPPPGPVTPRPKPSRTSSLQSESGPTSK TASADASQTPAQSGGAAARRSARPAFPTTDPLSDKATALLIRRILCPQHIEKAKSAPA PIEELLPPLTSRNDVDLQLYALIAIILREYIQNWYNKITPDETFVAEIVQIIAHITRA LEQRLRKVDLESLLFDEIPDLLDKHITAYRIAHDPITQAPIRTNAREIYHSLCPLPAL TPVPRPEDPESVAQQAENEVAYRQLLVHAVLAILLPTEDLENGCLTALVGQIFSELII GNSVANKLSEPWMILEMIIIATRTLGKRKAIEDENPSGRPGKGSSAGRRGLSSVQGLF WMVVHWCFLATSFIRLAFTVLMTTRSLPPRSSHSTAQRKNVVQHEVGLDSGPLKMPVL AFRCWSAISNLIEMDVRMPWLCGALSMVQWVTMTGPGRIAAVDGKLDR QC762_702070 MALPADLAARSALSRVCSHCSVSFRRQAGARLPNGLFQASQRQQ QQRSVHSKCPPPRAAVDSNVPKPAPTSVTKRFSSGFAFGSSAPAPTKTEKKRVLEADD LFHSFTNSPIPEIRKRAAFMRQYARCNHPDHQPHGNLAPAHVDFECPDCGIPVSCSKE HWADDYEKHLEICDTLRQINEDDHDLRSGRFFPEFEYAGPQIDEALINMTNWDTFLYT RSFLAIDDDRSMRQATRLLTYPVTIASVLHELSPYNLKSGGRLTAEGLKSFSALRYTL HPPKNGGGMDIKGLRVEAPPVRLFILGARAESSLPRDVWVQLAHVFPGSRIHLIFIGP ESMTNRDNEFPLPPRTATNPFGAIVEDRVWPTMKISTIVDYYHTLHKTGHFYPYDPYF DCFVMFHPGLGHPASSHEWVETLPMLLETKAPIIVTGYTQEDMERDVAWVNKTAHGEF DMLLEPGENRFRSLRWDLNDLDPQDVSAGNWGVWAFRGKRYETTRKDSE QC762_702060 MATTSNMFLYSLTIQPPTTISQALLGQFSGTKEQQIITASGSRL TLLQPDPRQGKVNTILSQDVFGIIRAIASFRLAGSHKDYIILATDSGRIAIIEYLPKT NRFNRIHLETFGKSGVRRVVPGQYLAADPKGRACLIASLEKNKLVYVLNRNAQAELTI SSPLEAHKPGVLVLSLVALDVGYANPVFAALELDYTEADQDPTGQAGQEPEAQLVYYE LDLGLNHVVRKWSDAVDPTSSLLFQVPGGSDGPSGVLVCGEENITYRHSNQEAFRVPI PRRRGPTEDPQRKRTIVSGVMHKLKGSAGAFFFLLQTEDGDLFKVTLDMVEDDNGNPT GEVKRLKIKYFDTIPIATSLCILKSGFLFVASEFGNHSLYQFEKLGDDDEELEFSSEE FPTDSRAAYNPVYFQPRPLENLTLVESIDSMNPQIDCKVANLTGEDAPQIYSVCGNGA RSSFRMLKHGLEVSEIVASELPGTPAAVWTTKLTKYDEYDAYIVLSFTNATLVLSIGE TVEEVSDSGFLTTVPTLAVQQLGEEGLIQVHPKGIRHIVQGRVNEWPAPQHRSIVAAA TNENQVVIALSSGEIVYFEMDADGSLAEYDEKKEMSGTVTSLSLGRVPEGLRRSSFLA VGCDDCTVRILSLDPESTLEMKSIQALTAAPASLSIMSMEDSFGGTTLYLHIGLHSGV YLRTVLDEVTGELTDTRQKFLGPKPTKLFQVSVQGRPCVLALNSRPWLGYTDPITKGF VMTPLSYVDLEYGWNFSSEQCLEGMVGIHANFLRIFTIEKLGDNMIQKSIPLTYTPKR LVKHPEQPYFYTIEADNNTLPPELRAQLLAQSNTNGDAAILPPEEFGYPKARNRWASC ISIVDPVSDEPSVLNRVDLDNNEAAVSAAVVSFASQDGESFLIVGTGKDMILSPRQFS EGYIHVYRFHDDGRDLEFIHKTKIEEPPMALIPFQGRLLAGIGKTLRIYDLGLKQLLR KAQAEIAPQLIVSLQTQGNRIVVGDVQQGITYAVYKPEGNKLLAWADDTINRWTTCTA MVDYESVAGGDKFGNVWILRAPERASQESDEPGSEIQLVHAKSYLHGAPNRTALMAHF YTQDLPTSITKTNLVVGGQDVLLWSGIQGTVGVLIPFVSREDVDFFQSLESHMRAEDP PLAGRDHLIYRGYYVPVKGVIDGDLCERFALLANDKKQMIAGELDRSVREIERKISDI RTRSAF QC762_702050 MTLIRPGEGRRQEEEACCTCATLLSTISSRPPPPQDVSSEKQPL SDKDEDDQQLTGGDRKLLLLQQHHRLACCARVICADCISKNPRFLTYCPYCQSSGRSL SSSSSPNNITRSLTPVLSDDTHPPPYSSLHLPPAYTPLSSSSSPPVPKLKQPPPQEEE EEDILHFLTPHDSIPSLSLLYNLPPSLLRSYNSLPTDSLLHARRTLLIPASHLPKGAI SHSPRPYEGEEEEARKGKIRRWMVATKEHDYDIAVTYLEGAGYDFHEAVGRYSDDVRW ERENPMRKGDVMKQGKRKVVRGLVGGLLGG QC762_702040 MAASYPQIVLFGDSIIQGAIDLVDGFSFHAALQSKVNRRYDVIN RGLSGYNTSNALAVLPQVFSPPGPGVPKIECLQFILLGANDACVPLPTNHQHVPLDKY KINLKRIITHPTITAHKPKIFLITPPPLDQIRITELDLASGHPSATRHAKISASYSEA ARQVAAENAGVTLIDLWKAIMDTAIKKTPSFNPNGPPLGYPEGQRGYLEHLLPDGLHL SPESYRIFYDLVSSYIDSNDENRVLPEWRQAPWLEEDGHLKG QC762_702030 MEPVFIVSREEFHDVQMELKRVQNIQHHHSERLRLIEQRQADDA ALKSCWNPPFPSVLGGTPQHGPTHMPSADFSDIDDEQSQTLLGTLQLDAEDEPIRRGA ASRANSVRFDESALHGAGFGGHAIRHSNDFGPIRPSSGMGGHQLERTYSHKSDGRHSS AGHSVHSGISGRASSLGLDTNFVIGGRDDDESPLDIPEPPPVFYVLGSAPSIIRCWIT TDFTSEGLLYAVVCTGSQKSTVEYSLLRDLDLVNNIHRDVDGVHRITLPVFLAEARVT QSNSRGGSPASQLPSITANFEVTGMDQQDSPETKRAIRVFIGSHTLRLLSADLLLSQN CMTLYGNDRNKLSIPFVRPEDDAVFKHLTTANLLPGKAKLNAAAPEFVAGDKTAKRSP KVAAEPERPVSKPMGGGSEGVVSPAAQPSQPVSKPVTATSTASESGAESEKQHLESTS GETSGKDSHATTDAPRREPSLAIRTPWRQTAVGLSENGTPLSGYQPAPRTRSMKVLRP PKASSSTSSSTRTGAAYEPAPTARSGNEQRRKGQSDVQPPIGMNSWGMSKRSMSGPAL SSLNSETRVSSAASTSTITTPTTSSHHDAGKTTPSLPRTANNPLGSASAFSWIASNKP KTPAAAD QC762_702020 MSLRVCFKPTITPTLGRQFTPLASIISTQARSYSILNRATMSSP APAPQRRFQNPAVFVCDIQEKFRPAIHEFDKVISTTSKLLRASTVLSLPVFVTTQNRS RLGDTVAELKPHLARTTVKADIDKTRFSMFIPPILSDPVFSSPAQVAIVGIESHICVT QTALDLLAAGHKVYVLADGVSSTNKEEVPIALARLRQAGAVVTSSESWIYELMGDAAV PEFKGIVNLVKDTGNETKGALRGLVGSKI QC762_702010 MPKPHVNYHLYLVTDSTPAVLKDPSRFFDVVEQALRGGVSLVQL REKTADTGELVSIAKRLHELTKKYNVPLLINDRVDVALAVGCEGVHIGQDDMDLETAR KLLGDDKIIGVTVSNVEEALIACKNGADYLGIGTVYATPTKTNTKAIIGAAGVREILA AMETAGYYDKVRTVCIGGLNKYNIARIMYQSRHVDGKQGWLTLNGVAVVSAVMSADDP EEASKELLTLVKSSDKFRGSSLMGARASKGVLEVAGDIIKKVHEAKPLTHNMTNLVVQ NFAANVALAVGGSPIMANYGEEAADLSKLGGSLVVNMGTVTPEGLLNYYKALQAYNVA VQPVVFDPVGAGATSVRREAVRSILANGYLDVIKGNEGEIKTVWGAVDGEQQKGVDSV DSLTPENKLDLVVKLARREQSVVVMTGKTDYVSDGYSVVTVANGHEYLGLVTGTGCTL GTAISVALAVHHKEDKLWAVVTAMLHFEIAAEIAAEREDVKGPGTFISAFLDELYNIR TATVSGDMKWLERAKVTVTDL QC762_702000 MRLAGTFLTAAATITGAAAAPGTTILGPRQQSSTITVDLTRTFQ KMDGFGFSLAFQRANLITNMSDKTKQKELLDLLFNRTTGAGFTILRNGIGSTPNSNSD FMNTIAPRNPGGPRATPQYVWDGKDSGQLWVSQQAVQQYGVKQIYANAWSAPGYMKTN NNDANGGTLCGVPGASCSSGDWRQAYADYLVAYIKFYAQEGVPITHLGFLNEPDYTAS YASMRSDGNQAADFIKILYPTLEAANLTSQLGIACCETMGWGSAVNMINSIRSQGQEG RLKTVTSHTYTGGPSSPMNSRNPVWFSEQCDLNGQWTTSWYSNGGAGEGLTWANNVYS AVVSYNASGYLYWEGVQWPNPNTNEKIIRVDNRTNTYEVSKRLWAFANWSRYVRPGAV RVGTSGGSGVRTAAFRNEDGTIAVVVISTTGSAVNVSIRVSGGGTPIYAQAFVSDNTR NAASTPATLGADGTVSGQVAARSITTFFILPGARKS QC762_701990 MDKLAQTLDRVRDFASNSSGGPRMVRFRESTAEAVSFLDNHTPR AGSVRESASGAVSYLNKHFAEKRTAFGELNFSEKVVMSWQKGKLLGSALWGRTSRRMR LMGGVFVFLALATYLSHLAIESSLITLPSITLTNQKHHYQVQASRYNASKVALLIENR PDPILAPLMLHFMGVVPPDWRFRFMGSYESVAHINKSSAIRSQVAAGKLDLTYIPSNM TTGSQEEISRFLTNRWLYEVVLQPAEWLLVFQTDSILCANSRRNLNEFLEYDWVGAPW SPNARYGGNGGLSLRRVSAIVEVLKHQTRKDGSEPEDVWLSDRLGHRIGNMMANGSVS SAFSGEQHSGKTVDINNPDPALTAPAPLLPDEELPSNGTFPVNGTAGEPVKPYTEGVD DWRHGFYEPMGYHTGGGGKYLHSKVWGTPELRKHIWDYCPEVKMTLKMDAAKFMPGNC NPRWKRGEYLENGEQVDVEEEGEGDEWVMDTEVIDGREYPILRGLTAF QC762_701980 MATSLTSGAFPGPFTITRTKVFLLVSLALTWWFASLFPHYKPVI QETFKARLNDALLKLPSIQVDWDTSVEVSEAYNASKVAIIIEPRPLPHLVPHILYMMN VVPPEWRFVFIGSKSSVTGMEESAAVKHRQIIGKLDLMVLPEPWEIDSKEKVFRTLTD IRFYDEFLPGVEYLLKYEADSILCANSEDSLNDWLDWDFVGAPRRADDHFAGNGGLSL RRVSTIKRVLSFQARLNDSDPEDEWFGKRVYVLPGAKVASGVEEALAVEDVYREGAMG YHVRDGGNNIADAVWKQPEQRKKIFQYCPELTMIMEMKLERQRCPGDKGTGRE QC762_701975 MKLSVTILAAYLGLAAAHGEHEGQHIPKILGGRKFLSEMGARRR WSQGVQQPNVIKRHPPSPKPQHHADKRQENTSGKCGASGGSCAAGYCCSAEGWCGRGI DYCSAPDCQLNYGPGCDGNKKPSGPDTSGVARPKLGSVLYGGAGIYDCVTSGDIALTF DDGPYLYTNDLLDKLRSYGAKATFFLTGTNIGKGMINDPATPYPAIIKRMHAEGHQIA SHTWSHQNASQMTNTQFTNQMVWNEIALNSILGFFPTYMRPPYSICQRECQNILSTLG YHTIYFNLDTAGYLNDSPRAIQTSKNIWDDAIEGSDPETDSFLQIEHDIHQQIVYNLT DYILTSLFSNGYRAVTVGECLGDPPSNWYRAGPASSSPSSSPSSAAVPTRTTISVAPT RTGASTDGTCGNGITCAGTRWGACCSSFGFCGVGEEYCQLGNGCQAAWGRCDGDAPAV SSSSSRTSISTRYVISSTSTATSTRTSISTRSVITSTARSTSTSTSSRSSVSTRYVIS STSTSTRRSTSTSTSRSTSTSATRTRTTSTTTSTRPTSTPGLAISEDGLCGPENQQTC EGSEFGTCCGPSGRCSSSSIACLAILGCQERYGRCV QC762_701970 MSRPQDIMRFAVAAVALAGAAIAQDVAEEAHSTVYSTEYYTVTS CVPEVTDCPASATVVTSSVFPITTSTIYATSTYTVTDCAETVTDCPADATHVVTETIA VSTTVCPVTDVEPTPIATSSHHYSNTTAVEHPPYPTSGHEEPTKPAPIPTFVTVAPEC PGTSIKTISTSITTVIPTVIYETVEVPCPTGGPGAPGVPGVPAPSGAVPSGGVPSGTT PPQPVFTAGASTVGGSIALAAFAGVLALLA QC762_701960 MASQINAAGVASTPPHVCSHCKKSFARHCDLNKHAKSHSRPYKC LYTNCKYHEHGWPTAKELERHVNDKHSPSPRTFACLYQPCPYRSKRESNCKQHMEKAH KWKYVRSKSNGKRLPTTGQSDIVYRLKTDEATLGVRNFGPSPISPQPPLIPPRGQDFV LYDDDQQEDAFGEEDDEAYSGFQDPEGFQSYLPWNSPNTRVRQAESVIDSCNDTLERP LGSEFYGSGLLDPRLSSYQTPPGSESHRTPDTPCLDIAAAIKVESPTVTMDFFSPPKR KYEAVDGSSQESKPTTNATRGASNPRAHRATSGPAKSTLNRRDSFDEDGHRPTKKARQ MPVEDFSDTSMPDIFRFAHPTIYDRDQKETYSPCHTVHRDISTLVRHLSRPAHRFKVT DRFISSFDQDENFRHPRVGVCRWCWLTFTDRSEFEDHVLNPCEKVSKGKREKWRVLLN SFTPLVDLPVHTQSTFDVVRESEEDGWDRLSRGLEQSPEVDGSTCAPETSPALVYPTT HGEFVSMTEHQRLLKEHQALQEKHRQLLGQVTQAMYAQQVCDNARTQSLDARDNMLLA AMSREAHKGSALAHQQRKLSDRDNLVQHMDSQSTDVDVQGFLEEVESAHKGLSRQDSG LSTTSRSTIHHVPPSPPVKSLDYVDDDQQNAAHGSGSQNARKQPTSHADSGYATEGRR GSLAELGLSTVAGVATSAMIHHPHHSMTTATTTDDTFMKDMFMSTGHDEEQHSQEQTQ HHLLDTSLTDPFLDYGFPSASQLEADLEFGFPI QC762_701950 MNLTIPRLNLLFLLVWLLLLFISYLNSYDDPSSIFYSETASYTP RHSKLLSHQADLYLANPPPRPPPPSDENDNKFLCIGIPSINRTTQSFLKHTIGTLLLP LSPAQRSSVHLVVLLADRPAAKHSAYGEGWLEKVVDEVLIYDDPPPSEGGVYKKVPFE LVDGRERGKTRVENMRLDHSLLVETCMNQGSEYFALVEDDVVASGDWLTRLNKGLGFL EGRKGEEEWLYLRLFHSELLMGWNSEEWRTYLGWSCTVYAIVLVSFLVLRSKRWLCFG RLGRQNADVFRYATAMVFGLWLPALIALWFLSGRVSTSRINPFAWTSHGVREMMNYGC CAQGLVFPRRQLPGVFKLMRYPPYRFPGDMILEGYAGDHGLRKWALDPSVLQHVGFTE SSAGPRRAEVWNFSFERLTPKTTGWGWGG QC762_701940 MITTSTAAPSPSPLLSETFSLLSLLLISITVLLILRHYLPLRTT PAYLLLPVFFALFLPASIGLLVPIDLASPLDSSSSSPIPKGIWLPPAALRVGWRITYW LTFALTWFILPILAEYSDSGFREPKAKVLDSLRANAQYYAVVFGSGLMGFVYVLWSYG KFDASLKGTIMALAYCWGLVLAIYLMGHGLVSIPRRLFRSADVSGRLRRLQCHAPRIY EKMEDAEMELEDLELQVGELGRRKGGSAELFRDWIEELVDMVSLEAQMTPSNARRAVR GTGNERGLPNVITEKWLAELTRDLVRARHARSRYGSEWNRLLEEVVRTQAILDSAGSK RLDFGKGTRTVGSTSLWERASLFTPYTRYLFHYHVAPYLRMALGGGLGLASVCIVWSE LVKDLFPSLSVIRYTVIHHDPLGESGGQVGLAGQAIAAFWLLYMCAAALISITEVKVW RGRALVKRNTAPESAFWYASQVARLSVPLSYNFMTFLGSALYKDTVFYGFLGKLIDLT PLGEWFNYLFPAFILLPVFATLFGLYGKVQTMFGFGAAFGMGGEDEDDGEGEEERFYG TGSWREGRDLIERELNGTSASVRNNRRAELGSSTNGGGGRRGGPILSIPRSSTGSSAR APTTPFATSPTSGGGGNRHQANTSARRVGRDRGQVTLSNDGDDENFFEALGHRMKNTI DTFDTPDWFKGFGEGIKKPKWMGGDDNGGGSGKGGGDIRRWFGGGGDGSSSSGGGQGR IRL QC762_0107010 MAVFSSPRVLCAPSRTPPLLSLSLRSYKPTTLRPRYFSLSTHLR TKMEVELTAPNGRKWTQPLGLFINNEFVKSSNEQKLASINPTTEEEICSVYAATSDDI DTAVAAARKAFKDPSWKSLSGTERGALMLKLADLVSQHAETLATIECLDNGKPYTTAL AENVPEVVNVFRYYGGYADKNFGQVIDVGPAKFAYTVKEPLGVCGQIIPWNYPLDMAA WKLGPALCCGNTVVLKLAEQTPLSMLYLAKLIKEAGFPPGVVNIINGHGREAGAALVQ HPQVDKIAFTGSTATGKEIMKMASATMKNITLETGGKSPLIVFEDADLDLAATWSHIG IMSNQGQICTATSRILVHEGIYDKFTEAFRAKIQEISVLGDPFEETTFQGPQVTKQQY ERVLSYIDIGKEEGATVFLGGEAAPQRGKGFFIAPTVFTNVKPTMRIYREEIFGPCVA IASFKTEEEAVEMANDSTYGLGSAIFTRDLNRAHRVAREIEAGMVWINSSNDSDFRIP FGGVKQSGIGRELGEAGLAPYCNVKAIHVNMAA QC762_0107020 MSCNHVKLQCLGSTDSMAKPFTHFVHTNCLTLNTNMGGTLQIFI HSTQAFTLQYGANPASPSSLPIPLCFTPPNGILKSESLLLLIHTIPASISLATLCALF KSLVKIADPSP QC762_701920 MAPLIPSAEIRLSYPLYALDFDPQDANRLVVGGGGGAGGTGVGN QISVLDTSTKEALQVVSEIELSRAEDSVNTIAVGPRKKNSVPVYAGINSSEDDIKKGK NEHFRVFSADLPSKTKAAAGGPKITEVSRSSFFTTKDTEAYQRVLRISQPYEGVKQIG AAATGVYRAAKDPQIAIFDIPSANGNNTAPKLRGNLELVKEAMDLDIIQISGDEYQLV YCDDYDIHTLDISNKGDSKDLHTVWTMPHDESMGAKARPSFRSIRYLTPTFVLCVANL PQAGGSVLQGFRLPNPADVGKEGKEGRARLALSVHLPKNVRRGTGLAVRNLSPPTSPS QEQGDAQFVIAVTGQDSSITLYTLEHQALAGLTLIANCHIITTLKEVHPGPISGLAFS TFVPPASGSKVPATVKLASIGSLGNTCVVHTIPLKKLPSSITVSSSVKSLVPARYVVA LKSHGPGHKGFLSFIAISAIVIALLAQALMEINGLAPPYLGTKNVVPASWQAPYMKGL REEQARLKKEGGGQLADILGQPREGVPRVVIKQQGEWDSAMAEGAGAVKMVLKQEEGS GEVKMVEEEKLGEGEKGKEWEELPVEQQELWKKALKKAGHWGEDVGEAVFKGVLFGEI GGLVGAFVRG QC762_701910 MAQHFESQPLSDIGDSDNDLDSLFGDGLDPETDLTSLLFEEVTS DPAIQLQPSSSSPPATSSEPRCELTLPRVQEEPVSQFTLPVVPDPLGAPLSLQDGHTS GGLVVSSTRYPHNDPPSTGAAVSGELEFLLSDAHVDLATDADWQAELQKLSEVDWAGL NQDQHVNAPTDAPEDVHQMIPTSYGENMEDSSVISIQKVHGLRYSTTAANSMVKLPRR VDEETQLAKIWEYITLRRNQKYEELYSLLELPVGEGKYLKKDLHQYLEAEQLAEVIQR IRCEKRLNGAHTKMFVVKLAYQILAKEGWGARWFGAKNANASARTRTLFWPEDSTTLL FYFAMFVYRVQDNLKSRITQQNSLARRKRDDTSRTTTPSVGQPQVSTPETECQFDTTP TTSRPCTPPDQRAPAMQTLWDSADLAVSAQRAQRSAQLPSPPTGESSPIPIRQVLETA PPEPEIPPQNGQSFFASLVNEVTINKKRKRAQALAGLQDPDIYEVEIPPNAKLTYRVF VKDGADSSDLVDTPFEFKHTDSIISEGAFDGLMASFEQAQYRRPHMWIQTPYGRRMIT TSEEWDQAVLLIYNLRRAGGVVEMDVFV QC762_701900 MSSEFQIWEVKTPYLNLHCGLGEGPYYEPATQTVRFVDIKNKKL HTVSINDPADLVTLSFDEPVTVTADIAGVDPKDKILIGAKQGLAVLDRKTGEYEYISK MEGEGVDRIRSNDGAVDPQGRFWMGSMTDFGKGDFRPEGSLLRFTQTSPPQLALTSLT IPNSVGWSPDQRTMYFTHSNAREVLAWDYDPSPSPSSVVTLSNKRIFYQHVGSGEPDG FRVDVEGNIWHAVYGESRVLKLSPEGKLIGEVRLPTRNITCVEFVGEELFITTAGDDG AAEGEESKVNGGALFRVNVGVRGVGHDLFRL QC762_701890 MSQGGPAPPPSSRPSSQSPATDTNTSPQHRQQHLGQQDAPRTQS SHNPSGATVVEGNERGWQPGNRPSGVHNILNPAGSQPAPAEGSPQPTMGPTPQYGTTQ GSPSRPYTIPGQSGASTPRATHAQTHPTASPAGGSSSAERGSPSSVHPYPFTARRILT PKSPRVASLSRAALRTVEPQLANLPAPTPRTSSQTNDLSMLVGPPLGAPSPYPGSHAP TRPTSGLSRSLSQPSLSHGHPMAHPRELAHPAPLKREHSGQPVFPGSPYGTPTMSSRG LPASNPHGDGRWGPGPMGTLPPAGSATRSLQISEGQTLLTITPRHGEEIVVPVDVHQA SKQADEKRQRNAGASARFRQRKKEREREQQQGLQKLESRNRELERKADELEKRCQELQ IERDHYRNDRNRLRDIVARTAGISEWAEGPPSPSTSRVPAPYPPAGDSRSHTPHQQPM PVSHAHSQSHSHPYPHPHPAVHPLQHPHPHPHVRPSAYGEPSMLEPPLRRRRTDSEPQ MPTSSFALSTPTTLPPIAGASAFGIPPSPHITPPPGPSRLPPLRFEPQPGGTSSTTPS PAPSGPPSLPPTLPPSLPPQPITPYPPQYHRKSSYETAGWATEPRPSEGGPR QC762_701880 MADSPRSPKRRRILASINRPDHDAGSDSDEYGPRPAGQPSKTYR DMPRHKSTPPGEEPPDAKDEPHQSEKQDDPMPTDGSNQTPEADGNSTKPKPTKFRFKS KSSRSRRDRDKDREERDLDRDKRRSSSRDRTSSQSHRHRHRSRSPSDKHRRSSHRHHH QHRSSRRRRPPSPQQPDPFAPDPLDPETAFRESLFDAMADDEGAAYWEAIYGQPIHIY SNPEHVNPATGHLEKMTDEEYAEYVRQKMWEKTHAGLLEEREKRKKQKEEQAKKDEER RRIEKEMERSFIRGEERRVKRSWRTRFERYLQKWEEWVKDAAPGAEKIPWPIGVERDE EGGFRAGEVRTFFVNGLGLEETGEKEFVARLKEERVRWHPDKIQQRLLGGSGTVDKGV MRDVTAVFQVVDGLWGELRRNMGK QC762_701875 MYGTPRTSWVMRETHISRSSEHLTSLGLSIPQFTRLPHPAIHRS VELLSFVALPRKKPNQHFDHQPFTTVNMRFAPILAGFAALVVAQDTTTVVDTTSIDPT DTAAISSIASSAASVSSVVSSALESVSTSAESELNSISTSADSVLSSFSSAIATATGA DRDSLTSELAGYTSTVASRVSSATEAAGSASSSATNAGPQQTAAVAMGALFGGAALLA NF QC762_701870 MSVIQVEDLVLYQLRTSYLNDIADGVGERLFTLNENFLNSAPFK SAGWRPNPALIKRTHSPPIPTAIASEYFQAPKAAGLTLEDEGEENGLFPGGVPETLGP SLATKRRRRREQMEEDDSSDLSDESDDEPDQRAAQQIKFAKMPVRNRSGSSPLQSSNL RQMTTVSPARPVPRRGSQSALETVKERVRRDTVTSSEVSSENEFESSGYHRHREAARQ AAARAAKLSVKLTEPTEGIKRQGSELLEEEAESDSDASDMSSAFIESIDSASILEAVK NPMNASLREQVVGTPPREFTRRMTIRKSAMPLPLHLPVGDLPPPRPLSTIRPISMIQP KSLLSAALKAKKTKPTLPFDGFASLSGQGDPNPIMLRIYAPFSKQPSKPFEVLIRRTV HEGEGGDRAVTVADLIGLSLWRYNEEKLEPPLPSDKLNVNWWTLRMVEEDGEVDDDFP PLERKKQLTSFTTANNRAGRARSASKVYDIFALSQASQDEFEENQRVTPQFEQEAGGE EEDKDLTPKGTPRPEAVLGAPAPPRENPVLNTGYKAGTMFADVPQSTQPTAPASRGEK RLLRIHIHSSDAAPGQMLTLDVSTETWLAEVLDTACRKRQLDKANHVLKVPNTGTVVM LDRTVASLGNITELDLYRRRFATDGPLTMTGSPSSSSPRPVLFSDNSSWTKAKKSKLL GTHPLAKEVLKQDELGLSTQVRKYTVWRKQPMRLLSEKLFAIDSEHVHIMPASGTKSG DLDSKMTSIHFSNVVGCKVSRKHPTNFKLVVYKSTESKRYDFVTSSPESAAEIVQELK KGISPYREV QC762_701860 MTTYSLIAEYTARATGDDKKSNWMMLGEVYGPLTPQGPRCLAHL SRRQRAPPKPNRDNCEAINCLPPTNPNPQFQSSSSARKRHHLINMGLVDYSDSDSDAE IPQPQAQKPASVPAASAANNKKSFQKLVGGSGKIVVNLPTTSAADKIADNDGPPAKRA KTTTGGSRFGNFGSFLPPPKKTTAIAASSDSSARQPGSAPAPRVNLRTGTEPAFVRGG DDGYGDSSSTSGGGGLNLPAPKKSSGPSIPEGQKPESEVKLVGKPLMFKPLSVARKKT GLNAKKKTTATATVAKTEVPARVSTPTEAVITDPPVPPSPQKKHVSLFSIDDDEDAPP PVPTATTTTGAYEPLFTVPEPSPTLQHAHDVTAPYPVETTQPSYPVPDAFAGLSKAAR RELFGRSDQVPTNMINFNMEAEYNSNEALRQSGEQQVYNPIRSIAPGKHSLRQVVNMA QNNQSALEDSFAKGKQNKSDAAGRYGWK QC762_701850 MAPIMRNRISSPLEAGPSIADSLPVYVNEALDYASKRLAKKGAN ITLLVVRRDYQLPTSTIVTSATFTPGSHVLNTAALRPTLPPVSRLEALKQFVKLNNST TSDGSVRERIVHIHLDRFSDGTVSPAFSEASAISSSTYSSVDSTFTSTSSNRFRWPGS PSHYGGSSVPMTPATPFTVMSDNSVEHSSVAHQTGMRFIHAEPLSPKDERLLSQTIEK TSKKFRLGSEWLSRPVSASSLSLPPDLIRLSLSQNQPLFTSSHLNLLSLDSLYTFRTA FQAYARTRSSYRLEDAVDELRRLYLSNGRRPLLKSTLLNSYRWLDPICDHSLSQVCRM YERAYGGNVIRDDTKDASGAAWPLVAEDKEILFTLNSPTDSETEDDDDLDEDEKLDLK AIEAWYRTTSIHHHHQPPPPPPRERERTVLRIDPLRSHPSNSPHDILLPSPVRPAPVP IPEERRTTTPKLFPAPPGRNPALLQLKLQTTFEKRLPLPPREEETHSPISEKKKKKEE EEEEEEEHTARPQSAVRSFPPPTILTTAKPGNGSAPPSAQWTKTSFSLNEIMLSPDGS GSGSGGVGDNEPEERERVGPLTPNGYDDISPITRGEWGFLFPVVAERQRMVRVETCT QC762_701840 MAGALQPEDSRDRAVSSEPCSTRPNPFDDSDISSRKRRRTSLNS VSRSRSVDTIISSPPSPAAGEVPAALKSDSAMKVDSDPTISTIPTTPEQKPLDLDAEP PSALTSRHVTINVRTPSRLPGASPDSPLSPSFRATTPASPTNVVKLSIEDSELDMAQE DAAQDTPVSSASGHSSPPVEVIAIPPDEDDDFEDGGSIAILDGNEQDPIGVDLYQDPT LNFPCREDHDSWLDAVTKATNYFANHIQIAQKLQEFIEDYLVFAENSSHKRFVISFLQ HLDLWLNLPDIVCVMVGRVYPPQKEFRAEIFQFYKWFAKLTAFFVKKDAYDFEKIVAD EQGIRLREPITPAYVSALAALVRKRGQENYQRAEDEFYTELQQVIGCFQSYNTTPGGT LTHVLNLAKAEVELIPQYPKLADHVTNLSSLATAVLGRCFFVHSHDSPQAAQRARALI ARGYHFFLHMSTSLSTFVDKGPNHFSPENAATLLAALTEIYQIALHVDDVVPHEIIAN FRRTHPMLATSHLPEAIAHLWKFNIFVRLIKSGQMQLRVMAAHHMCNDLIGMYKKYHE QGETPNMTTMRFYGEYLLQTGLVKYLLGPTCHPEIAAESHNIIGFLVVSRTYSNEHTD TWWHTVTTTQDTRISDALILMSTRYTHLLPYDVLLHLCEKLNTVPADSFTEPMRLLLL GILQQLMEKTREHRTIPDPPPYELCTRLIKESSCFGPHSPVAHPLLQEAAIKKFREIL THGHGPTIDIRRAIVVECLNDIAHPTWSAIGSLWVLHLMARNQIQQNFILLAQENDLP RLLVEELEAAIPAAHAAGFPAVLSGAHNLPRRELLGALIRHKNGLVTKELGLKLWQLL VGPGASCQQDRDVAWELLLTASEQTQIENRFTSTCFTDYLPTLEPEFFCQGTLDFVRA KVLPLVNDPGSIVLDDEESVDHAGIELLWHIVLTALPNSTVAKHAIRTLVRDVYIESK SILTFSYYRARKVHLGLVRRCLRQLSGAASRLKSFAESSIASDDADAMDVVPSYQEVQ EQDLIFVRSLSVLREFHRLHQEKPAFCAPDLQSLILDPPRDVEGESAELKYQSFDETT QTPVQPLSIGKLNTTASLLASIRQVTGFNNYRMYYRGAPFVPTEDQICKSLEDLQIHN GLILVKKDSETVVSARARPGASAVDVEILGHFEELWGYLSLEEKLAREIYVFLVKLPA DEGILKAIDTPSLSYQDLFPVGQSFKSLYAVYALREYLSDRRKRLNAAKFDAGCENTH ESLRMYADSLTRVMSLVVPAISHDVASQCPSHELRTELETALLDMFVSLSTEQELPEE ASQYLDEALLLRLLTNLAAAVSGCPPAHVRRCFQSILEACCLSEAFMAAFCAKPEVPP LIERMLLHEPCSPVSKKTAALIRQKCGMEDSFEGHPARTRATAFRKLFWPLVCGLVEP AVRNRSIEVLNLCLDLFRAVRSAQPELLEVGKLSRQWFDLLAAYDTTEDVTKPHVTDQ VASLLVRLVDALINSYTGAQRRELLPAEPEMGLDLFWKHLFNSMDEIRDYVSGPPILT PHTRANLMSIILATLDAHPGDLQGILDAMHDLVLLHPRSDEDLYHYELPQQFEREKAI RAPCGYQGLRNLSNTCYFNSLFTQLFMNHEFRRFMLGAKVRDPRYAQALLVQTQKVFA QMQDSIARSCNPEECVASIKTYEDSQIDVGVQMDVDEFYNLLFDRWEGQFSTPEDKTR FRSFYGGQLVQQVRSTECPHISERLEPFSAIQCDVKGNNSLAKSLEAYVKGEVMEGDN KYKCSTCDRHVNAVKRACLKDIPDSLIFHLKRFDFNLRSMQRNKINQHFEFPDKIDMR PYTIDHLSNPSAEGEGLEDVFELVGVLVHSGTAESGHYYSYTRERPSSSEQPTWIEFN DDVVTAWNPANLEHACFGGPDYSVQYQSNGVQYDKQYSAYMLFYERASSLAASQQKYL QQAKLSVPLRVPVPDRLEGSIHEQNTLLLRRHCLFDPYQIQFVISALIRLRTIQPLCS DGHSLETTALWMAIGHLDQVASRVKDTPHFSELAARIQNLVQSCGGCSHLLIKYFDET PETFRVMLQRNVDPDVRQGVGNLVLRTIQTVKEQIPSFYYGPGTEVGEDDASDEGGST DIETILTLINQLWLHFHTNLRSWPEVFGFMLAFVQLGPKELAGFVGHGHLESLLWIIA ADPGLGDLPPQIYRMAGAVSRRQQTRAPSYEAILALLDYVLARVPITEPHDRTRYARQ QADWGSMLTMTNAEAKVLWMEWPKYGCNIFVDRLILIGQNEKATHSILTNLMKQSVQM EEAIYRTLRQGISGSTSHGTLSAPYLKVAGQIFLRYASGFGMVNDLIRHVTQECKNLQ TAEGKAFLDFQRIAFDGPRECSGENEEDIHLIGLENLVEWAPSLLGYYEPAVGAEVEQ FLQERLFTHRTYTVQAENIISEEDSDEDEGMGMAERMNQTARALGLQCLYYLRDNYVI RNADVSQHVVASLQRVITKCRKYFDLENPAEDKQGQEFTELSTVILESVTRLTVVEEL EEDGSDWENSSICSGQLDSFGVFAANAALQDVDLQ QC762_0107130 MERKRIVHTLDTPFSVVEWPQISQEDQDVILELLCHLLSPLGTH RRLFVTPSKGMRDRKRKRAQDEDPVPPTPELAGYVDVGLSHISRTLQTMSGKDAKPYS VVFVARSGQSSAFHCHFPQMVALASRSQPPEKAVRLVGISKACEDNLSAALGIPRVSS IALREDAPQAKGLVDFVREHVKPVEVAWLKEARAGQYLDTKIDAVPTKIGVKKKA QC762_0107140 MWVGAVVLCSSISSCVINALNRRTRGRRLAVPGVPEGERQLTWA LVLVEGVKEESAIRARLAGFYCCRHPGTLGNKSCELAGQSIGEGYLWSANLMNDESPT RQCLWKDNMLSPKMTVLGLLQVTSTSWEAKKTSLLQFVLASNITGRSSLLLDAYLGRH GVNFGVEILACSGLFEPCNLNWLDVLAHKVHKTFGLRSIFSQSYAGNSRDAQGCAQVV LTCLGDTNQPDSLFWRLRPRSERNHLGKMAVKRGRLTRPGHEDDAVRLRVLARHGLES PGDMRQAHVYVSSKLRSWGNRVFILGTLAFSISHAF QC762_0107150 MDGRPPTEGPNQAKSAGASDARRTSDDPDKPDPELLALMRNSVR YLTLTESQDDDNNRNRPTGHESRSPPLPPQPEPTPTSTAAPTPSGTPGTASLLPRVRR FKAFMTQEEIDEHKTTAPTHIAGFLPPPNNHPEDDNTPKSPHPTIPPGSGGISIPVSL PFRPITKKLDAVEEQQSQEPKQEASTPAPHKRNKSHGDHIDSAIRTRLPDSASPKPRA NSEGVSIPTPTRPPPLPPVEAQLRSSVGTMDTDKTPKKVVSPSFRRLMERELSSGGPS LTLAGPSSAGPSSAGARGARDTVIKDPSGRIFDIEFMRKKWQDAAVAETKQAAAGVEK TLIGISETLKSIRLDHADEKDEKVKVQKADKGEEEEKKKKKDDGDGKDDEKKLQGPKE KDGGGDATA QC762_701820 MPSSLTRSLPRGLTGSSPRQLFLSTSLAPTSLVSSRNFSFKKGP PTKEKVIILGSGWAGYSLARTLSPTKYDRIIISPRPYFVFTPLLASTSVGTLEFRTVL EPVRRLPGGNINFYQGWADDIDFSRKTITVETNAAEEAASKTVVPPPGGQIPLRDKGE VIEVQYDKLIIACGAYSQTFGIEGVREHAHFLRDIGDARRVRLRVLSLFEQCSYPSSS SPNSNGHVLTDDDKRALLHFAVVGGGPTGIEWAAELHDFIRDDLGKMYPELMRFVKIT VYDVAPKVLPMFDKALADYAMGHFARQGIEVKTEHHLERIRLADGKLGRRHGAVRIKI REVEENGGEVGAGMVVWSTGLMANPLIAKLAEKEVVVSKSKNPMTGEVLERRRLVRDK RSGGLVVDGYMRALSVDADAKPEEGNELEKKPLDGVYVIGDCSFIENDPLPKTAQVAA QEAQYLAKELNKGISPGQDGKEFKFRNWGTMTYLGGWKAIHQSKADELKGWVAWVLWR GAYLTKAMSWRNKLLVPIYWVISWIFGRGISRF QC762_0107170 MSKMEQEDPVQPLRLSKGSNGSAPSPQSTRPSGIPRPLSEISPH ERRRNSPSWNQATQKPATMTDSSPFQSSPLENVTSPRLFWQNRSFNSDERTGSPTRRS SIERLQKASRVKNSNLRALEQKEEYDPAKIPDIQRPLSKIQGNNFGGGGVNGFQGRPL FGHGKSQSTTSIPILNPPSLTKAATMPISTSPIRPTTPSKESGSPLKSSLSSNRFKSS FDHETGTWSDISGDERRLPEGKSLHRHAKSVTFDQAPPQVNEYEMATPAPSSIGSNSR EGSYDSTDEDDDDDHYMIHNMDQDDSFDASLEDTDKTPVVGPDDWRHSNHEDPFDRSP MPDDLPPVPRPHHQRTDSSASNGESRPLPPLPGMDGTSRSLPSPPSASKLEAQGLGNS RMPLEERLKLMMLSDDGKSAAEQQRERRMRRAGARGSQTPDHESKSPVVQPQEDEEEL DTVGELSGLDEYQLPPRISRESILRRVNGNKALDRESDYNFSSPAVGMSPGRQVYDPD VPIPSTEDNVSVLGDEPVSVLDDESDYDSDQGSVIIKRDPADQESDLYSIPDTYERSE VTDDYSSDYDSDSRFDEESQYSEGTDLHVTKSSQTQEDDQVPTPRATTPVDEPTPLVQ EAEKALPEAPKENRLSRGLEASLLPKPEEKEAVPGPEKASQPEPLPQPETRPLTPELQ LRRSLTKPEYDGTGWGEDEFEESDPGTPESVIHRPMPDSDDEEARASPAIPEQIATIK SASGSKLKTRPSATPADLATMREARRQVSREVPPIPDRHRNRLSVNMDNELAPPAEDD YMDRHPSFKKRSLTLDLDLGLSLDKDFDRVIEAQKRGYLMRQNTKVITASDKMTEDFR ARSAGNSPTKAAQRPQSWTVEPWNSQPARKRSFKKKPSNLGASGPVPPLPGQESNAAA VATQSGNDEDVGAELSPGDENGERGRLFVKVMGVKDLDLPIPKNERTWFSLTLDNGVH CVTTAWLELARNAPIGQEFELVVPNDLEFQLTLNVKLEKPVEKPVAKALPSPTKLSKP KTSAFSRVFASPKKRKEMELRQKQAEEEERLAAQREAQARQMKRQPTAWDLLSPLAAD DGSFARAYVCLKDHESKCFGRPYLVDVAAFNEWAMEDAGFASSVKSKRGNMGPGSVVR RAPYKIGKLELQLLFVPRPKGATDEDMPKSMNSCIREMKAAEERLARCWEGHLSQQGG DCPYWRRRYFKLVGTKLTAYHEATRQPRATINLANAKRLIDDRRTLMEKETLGKGGKR RRSAFAEDEEGYMFVEEGFRIRFNNGELIDFYADTAEDKEGWMKVLGEVVGKEVVPGD GVDDIAGSVVAGGGGGSKMKGKWCELVMKREEQLKRKESASQGGGGRRVHSRTKSALT QC762_0107180 MYPSSSSANALLLLLPPFPSVSFSISVLRSSINLLAFAKLIVAL GCLVASCRDNPHPAG QC762_701800 MHHHRRKSGNTSMTDVRKATVGASDPNYRKHSSRPTTMTRRITP QSAPKLGRSREDRERELDDERWWDEERESFPQYCMVCEKQFIPADDQNLYCSETCRYD DQVSASTAPSRGSHPPTQYPFYSGAPEPRDIVPRASPSRPSSTHFSPPSTAVNALKSS LYIRPPSPTSPMMGTSHSGVWSFGRGTATSPDNSYTKPTSSYFSTTYDGAYYDHYGSS VDRPLPSRRPGVYSRPKSIELVTPMLASGR QC762_701790 MTGRPPPGGPAPPRNDLLLDLDNDQPVYSTGQRSALTDDDLLNS YAYDQDGAQARPSVSYDDFVGSGQARQQPGGRGPPPVGTSASAAGPASPYGSQPVNRQ YSQTSDLGNYQRYADDFDDYPEDGTSYYQAGGAPGVDSAAAANARNRNSVLSLGGGLL GRVKNKLGMGQGYSEMDLPLTESRTGPRPEPGGAGGGAPPPKDKGNFKFGFGRSKPDP STLGPRIIHLNNPPANAANKYVDNHVSTAKYNVATFLPKFLFEQFSKFANIFFLFTAG LQQIPGLSPTNRYTTIGPLIVVLLVSAGKELVEDYRRKQADKALNQSKARILRGSSFE ETKWINVSVGDIIRVESEEPFPADLVLVASSEPEGLCYIETANLDGETNLKIKQALPE TSTMVSSSDLGRLGGRIKSEQPNSSLYTYEATLTMQAGGGEKELPLNPEQLLLRGATL RNTPWIHGVVVFTGHETKLMRNATATPIKRTRVEKQLNTLVLVLVGILLVLSAISTIG HLVQQSVQGDALAYLYLDSMDGAAAVARLFIKDMVTYWVLFSALVPISLFVTLELVKY WHGILINDDMDIYYDVNDTPANCRTSSLVEELGMVEYVFSDKTGTLTCNMMEFKACSI AGIMYAEKVPEDRVPTMEDGVEVGIHEFRQLRENIKSHPSAQAIHHFLALLATCHTVI PETSDTGNIKYQAASPDEGALVEGAVQLGYKFVARKPRAVIIEANGERLEYELLAVCE FNSTRKRMTTIYRCPDGVVRCYTKGADTVILERLNDNNPHVDVTLRHLEEYASEGLRT LCLAMREVPEHEFQEWFQIYEKAQTTVGGNRADELDKAAELIEHDFYLLGATAIEDKL QDGVPETIHTLQEAGIKVWVLTGDRQETAINIGMSCKLLSEDMMLLIVNEEDADATRD NLQKKIDAIRNQTDATIEMDTLALVIDGKSLTYALEKDMEKLFLDLAVMCKAVICCRV SPLQKAMVVKLVKKYQKQSILLAIGDGANDVSMIQAAHIGIGISGMEGLQAARSADVS IAQFRYLRKLLLVHGAWSYHRVAKAILFSFYKNITLYLTQFWYTFQNVFSGEVIYESW TLSFYNVFYTVLPPLVLGILDQFVSARLLDRYPQLYNLGQSNSFFNKRVFASWISNAV YHSLLLYIGGSLFWINDGVQGNSVPAGKWVWGTAMYGAVLLTVLGKAALVTNNWTKYH VIAIPGSFVIWVVFVAVYGIVAPKLNFSTEYHGIIPLLFSSPQFWIQMPTLAILCLSR DFAWKFSKRLWKPEAYHHVQEIQKYNIQDYRPRMEQFQKAIRKVRQVQRMRKQRGYAF SAADESQTRVLQAYDTTQHRGRYGEMASSRPVQ QC762_701780 MVCGKCQKLSSTTLATPGVKKKSEMYYGSAASSSASSSKSATLG QNGISKSKLLSKAAKNPYAQYSSSCTQCKTKVNQGHTYCHKCAYKAAACAVCGKPEKK KSAAAPIVDGTKRSLK QC762_701770 MVDKLVPNDPRVKHHTTTLPSGHTYHYLEALPSSGTPAATVVLI HGFPDLSFGWRHQIPFLTSLSLRVIVPDMLGYGLSSAPSPIPPYSYKSLSTDLASLIQ LVSPSQPIILGGHDWGGAIVWRLALRHPALILGVFSICTPYNAPNSQGYIPKKVLIDQ FLPNFAYQLQFEDPTLENKIQAAGRDGIRKFLNILYGSKDSKTGKGHFVANVGIDLSL FDEGVKVEKSELLSEEELEFYVDQYERNGVHAPMNYYRTWDVNYEEEKADLVKEGKSK VEVPGMILTATRDTALPPKMADNMEQFFPRGLIKREVEANHWATWENPEEVNKAIGEF VGELLKGRAKGFKASI QC762_701768 MAELEEFPSSNRNKRKASPSPPPSNAEAKRSRVDDLPDYHDDYR GHPHQGDPLGYDNRSRSPFHQRHPNSSYPRRDSYRQPAPLPQEPLAPPPKKSVTQEER KRGQRLFGGILGTLSGQTREGSNLHKRRQDIERRQHERVQRQEVEDEKHRRERLERGR RERMMNQIEVDEKSMHARHEDRMERARCLMTRSYPRILYRPWELTREQSRTVSDQVRD AEDRIDRELAVWKRERERRYRELGAPVPAPVRPREDDHRREPAHTRKEDGRRERDRPR EPAPISENDSGDDGRQQQDSLPKDTQMEVDDHQPKKNEQVQEKEKDSEQKRRSSDQHH DEVMVQDAEDTVIY QC762_701765 MSRHHPDLVMCRKQPGIAIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KTNRTGF QC762_701760 MAPSSNRSSVVSSEPNGNSNGTNLMTSSISSLSSSMNSPRPTSS SSHITKTYRQASTLFLTRRLPEALSTVLPLVSPVPQTENDPNSPFDPAPAVKASRSSR IKVWSLYLTILNAILELDSEEGKAAFGTAEWRALCHKVKNGEIWEEVVKNGYHGVEGD VDADVVINLATLLLAHAKTQTHTQTRLETYLSSPPSPLPTSADFSRSSSRPPHLRERS NSVISRNGGNGTDTPRDLASRLKLLELYTLHVLPRNQEWVYAKEFISVSPVLDEERRE AFLQALDSLQEEQKEFERIEELKRKENEERIKRDIEEARRLRAENEERERKRLEEERV RREREEKERKERIAGKSKTKGKGGVTEGDFGLDDGGSSTSSGGGGVKKRKGGLAKVPP SSIKKGGGAGAKMPVGGPSGGKARRDSEGTMTLASRATVVLGNLKGVVDQFAKAWQMN PFAMYRFLAFIIGLVVVFGRKRTRERVMRLVGVAWGKVAATAGMGTKVSYI QC762_701750 MTACRRFSRDDTAFRFLIDSLLPQIWSAISQPPLDLSVINAVLL LATWPFPTIRFLSDPSMIFAGIAMNSSFLMGLHTGRGTHSEFKHATEVNDTTDEEATF TWAGCAIISHRVSAYMGCPSASSLFNKTVDQLLDGSSQFPLPRYFYLHLETARFANRV SRTMCASLEEAQGVSHHLVAYMEEEYTKVQRLLYPDNSDLDHFTLLSTLLEIQTYYFM PLPGYSPELLKRNLIKCYTTAESLIHQAASRLHRETAFLHYAPHFVFRTLLSAICVVM RVHLSSYTKGFQADTVDALIKEAIRALRICSVQEGDLHVRCASMLESYWEIRKRSNHW CRTGVSVYTHRLGASLTFDCLRRWKRDVEDARDSGRGVIGPGGTEEGEDGGKKVENNN VNVGGVERVDEGPRPTANADLGLADPFQRFDWSVFMDDFDWSFTNTSTSPAFMGPMGP T QC762_701730 MYSQQNAAMPAPQKPETFMLSSEAQQALPHDAQVALQQVDNLKY FLISAPVDWQADQYIRRFLLPTGEYVSCVLWNNLFHISGTDIVRCLSFRFQAFGRPVK NSKKFEEGIFSDLRNLKSGTDASLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHD RLFLDALERDLKREKMGQEATTVAVSEPALSFQYDSSQSLYEQLTKAQQANSSSFNNA QQVSFSQSQSTSPVMRAMDSMPPPQMIPQSMAPLADGMDAMVPYGAMTMAPSMAPQPM IKREPEYPRAQYNQNGVPIAQTHQRHASMPAYGLEYSPAPSFVSSHFEDYSQRGISFE PLTPPQQALGIPNEPAYIANEETGLYSAIPDHMNVGLNGMMQLPPSNLAGPSFSRPYA ANNSFSVIEGSPTYKQRRRRSSIPPSLSATTAAIATATAAHRPSDLRRSVSASVGPVA EGDESADNSPPGLTYSNSAISLNSQHHREMLEMSRHGTPLSTVEGSPALNPVNLQQDF SPLNGDELGPLNERPMMQGAPGVVRRARSATVMELGPYPQKSHSCPIPTCGRLFKRLE HLKRHVRTHTQERPYICPYCSKAFSRSDNLAQHKRTHDRGDGVDGNFSLSGEEEEQYS GEDQLESLDDASPTSENGYVAGSLDSALHNHQNQNAMPPSSGPNMHQAPQQYNSLQTL SMPMTISHPQAINAGGMM QC762_701720 MPSALGKRKVPPVEEDVVDAQEALRRHFEARFKMPAGIAPCAAP PSKRQARDEDEDDEEEEEDDSDFDSDESDAESWDGVSSEEEEEDADSEEKGESHVVEV VDYSQDPSSTAITSLMSKKELKAYLSSRPPSHTSAAQSDTSNPKKKKADESQAEDSAA FLANDLALQRLIAESHILSAAGGNASHWQSSAAAETAANTRAFAAGRTAKKTTDMRFQ ALGAKDSILGQAKMPMAMRKGIVSHAAAKEAKRRKEAKENGIVLEREVKKSKPSRGRR GGGRGEPREVGAPGVGRMKGATLRISAREAEVLNRPGPARRGGGRGGRGGRR QC762_701710 MTRFRPCIDLHSGQVKQIVGGTLDSKTTSLLTNFISPHPPSYFS KLYKENDLHGAHVIMLGPGNRTAAIESLAAWPNNLQIGGGINETNAQEWINLGASKVI ITSYLFPNGTFSQERLDKVLVALGGDKEKLVIDLSCRRKGEDRWFVAMDKWQTITDME VCEESIRQLEPYCSEFLIHAADNEGLQRGIDEKLVENLARWCSIPVTYAGGGRNLDDL EMVKKLSNGKVDLTIGSALDCFGGSGVTLQECVEWNKKQ QC762_701700 MAETLSIRPSKRRRVSPTGETADGSNGNDSKIQKQFFKTAANWN LEQSYEERSRKGKKKDARKAAAEAGRLPSRTAAGTWTVKEDDAASVASDSEWLEGEDN EDAEPEEAEEAAPEEPQIPVKEQIRRAQEELAKVATQLNEDPEEYPGAFKAMSRIGDS QIMAIKKICMVTQMTVYKDVIPGYRIRPSTEEAGEKLSKEVRRLRTYEQALVTGYQGY IKKLAYFAASPSTETSTRGQPISTIAINCACTLVNSVPHFNFRGDLLRILVKKLSTRK VDAGFVKCRETLETLFKEDEEGNASMEAVSLLSKMMRAKEYRVDESVLNTFLHLRLLG EFAGKASQDRADRPTDGYNGKKLKQKKQFRTKKDRKLMKEQKEAEKVMAHADAAVSHE EREKMQSETLKMVFATYFRVLKERVPHLMGAVLEGLAQYAHLINQDFFGDLLEALKQL IRYSEMPEEENEDEQMAEDGDEEEVIRDTSRESLLCTITAFALLEGQDAHNARTDLHL DLSYFITHLYRGLLPLSVNPDLELGAKSLHLSDPNDESGGNRKDTRINVQTTTVLLMR CLSGVLLPPWNIRSVPPLRLAAFTKQLMTVALQLPEKSCQAMLGLLAEVVHTHNRKVN ALWNTEERKMDGTFKPLAETVEGSNPFATTIWEGELLKKHYCPKVREQLKTMEKELKS L QC762_701690 MSSLLEQLKAVQANSRVILDGKLAKAAHSKSLIFEPQAAASQTY ADIYRVAREGFDELCSIDSRFRPFAAHIFSEESQQADRTQMTADENATLDRRVESFLQ LAAARLQLMPAIKAIEWLIRRFRIHEFNTRTLITCFLPFHTIPVFVTLLSILPSNLPH EYRFLDPYIRSLTNPPIEVIVTQATNHRELLTAISEYTLDLGDKKHDYHAATMLWFSV MTQSVNAMIDKGRSGNKAIQLENTQKLLQQVTPALSRGMMMRQSPELQMASYMPVLVL ARKGRLNDAALAAFMDQLVAGWQSKTVDQGLLTLACLAYARAAKPVTSRVAKALLKID DLVGKFKMVNQTQCVRKLANGTALAFVDRLSKKGNFRALQGVKAIILGGFLDQMQVKV VYKSLLLAAHKLNDELDPQGTIRKELASTLVSLSQLKDKNGDAIRAAIEEVDFNIEEL ELKLGAAIRPKLTIAEGSDDMMEGVEAMAIEERPSLDATLQQVEKLQPSKTSCLSQES GVFNDLCAVFLSAAIEQVDLERFDAAPVLSRDQALNDAFYFSFYMRVWCGPHPALAKV VALERVKNRIKESESTKTDLQAIALYAAVALADPSKKVRRAAADLIAVLKATFKASED VWGAKDLYGASGVSMDSAAFKCLLDSVLVPSLEEAVTHEDQIGIIISSALENSKLGDK KTRLAIFKFFCAHITETPLLTAKLRLLEGINKIKSISGTYRTELLLAMLRSWASLTST EAAERAALESLDEKALDLAAVGTVVPSQHDGLDLLFQLIKDPQTRPTLVVAIFERTTK MWPTMKSQAKLLTANVMLELSQSSGQDTAAVEAAGFLRNVDLTTDILLAFVDSLQYED TRMATEVPANKRRRTSTAAQPVSSNTISLEVQKTVKKMNFVLELVQGSKPENHPELLP SLFTTLSDIHHLRKLVGSELGYMQTLVLSSIGAMIPAYDTNKDLTIDASVGYGDILAA CVQNSSSTQVTNEALLVVASLARTAPDVVLQSVMPIFTFMGSSVLRQADNYSQSVVKK TVQQVIPPLIATFRNKGRNLVASTKDLLASFVTAYEHIPPTRKREIFISLVENLGPDD FLFAVLAMFVDRYGATDEMFSFTSYIMSCFSVEIQLHSLIKFLDLVSDIFKPKPALSN SLIGNDSDADKTALKQLTLLPHLLSNSRLKQEITALAERDDMESVKIRDSYAKLLEGI LSMASNLKAKKALYSRCGDALAKLLSLLSIAEFIKSVDSLLERPDIGLRRKVLQALEL RVDKESTGDPKSREALLAFLPQLTAVIRESDDMNYKHTAVTCVDKISEKYGKKDLDAV AAAAQTIAGDHCLGQSSQALRLMALLCLASLVDVLQDGIVPVLPVAIPKTLGYLEESL SGDKPNTELHNASYAFVAALAQHIPYMISGASLDRLLACSNASAVADLDAESARNRSH CLQLLAKLVDPKVLYTALNNNWATAAKSGFAAITEFLDILGLALDKHARPAVTKNINI LFEIFTKTLDLRRVVATGEIKTELSVEQLGQIDSLIIQTALKMIYKLHDAVFRPVFSK LVEWGWSGLPKSDASGRTLRLVSLYTFLDAFFEALKSIITNYASYIVDSASSILSGTN FARENEKLLWQRVVRTLTTCFKHDQDGFWQAPSHYNAVAPVLVEQFLHAANFDAMEEL IPAVVELAAAVDSQEHRKELNTSLLKHLESPVVAVRLAVIRCQQGLTERLEEEWLRGL AEMLPRISELQDDEDEGVERENARWIVGIEEKLGESLDSMLQ QC762_701670 MSSTPYSPLDGISHPYYPPDATVPFYTANTTPLLTILLSFAGLI SLFVLICLTLSRYANPKLQQSDLAVIAWFAVCGFLHLFFEGYFVLNHKTIPSSQYLFA QLWKEYGLSDSRYLTADPFMVWIETLTVLIWGPLSLLTIYLITTNQHALRHITQVVVC IGHLYGVALYYGTCHYIEKYRGLQYSRPEWVYYWGYYAGMNAPWAVVPVLLLWGSVKE IKRAFRAVQEDDIAKKGL QC762_701660 MTTAHRPTFDPARGKEALRGPAYHQRLLPAYTQLKFRQPGQGGD ADHQSRDLRAELEAAEAAHYAKLRGAPIPGQSTGSQSEQQALPSNSSSSKRPLSIEQE DPESKRRRILEETRSIDADDSDASSEEDDEEDDDDDSDSDSDSDDEEAELQRELERVR RERAEKREREEKERLAAEEAEREKDIALGNPLLNNNNSGRADFNIKRRWDDDVVFKNQ ARGTEEKGKKKEFINDLLRSDFHKRFMSKYVR QC762_701650 MMMTGRQVAPQERALTARLGAAWQNPPKNWRRWLAESCPSTCDT TSPIHNLDDLELISEIHISIEGQNAQRSRTIPQREAVRPASPPRQRPPRRPRARTIPA LGAHLWRPTWRRRRHTRQDESPRQSLSRTNSPLRRPPPRRHLQHRNRALPHDLPRLRS QPPDRDRSPHIPPPRKDGPPLRRPRHRVPLPRRRPKVLVHPRGRPRPLPRRQPHRRRL LRRRRRPPPLPQAGHQHGGRRLDRLHPCREGAGAPQLAALAVLRHVELLWGGGGCRRF GCDVDGGAGQVGELHHQSEQAWRDLPDCKAGRGAGGGGQFAAMHKRCVGKGKGHVVKT GQVVGRGCEEEG QC762_701640 MYHLAKGLYRLATSKEEYSLLLLGLDNAGKTTFHEQVKSIFHPD GAASAPAPNLKTVPTVGQNVSTIILPDMYLKIWDVGGQHSLRKLWQSYYASCHAIIFI IDSTDIGDGNLEHDSTGRLEECRLVLEDVLNHSETEGVPLLVLANKQDREDCVEVVRI KEGLVKKVFEGEKSGSIRDSRVLPVSALTGTGVKEAVDWVRSRVRWNREGRPPVMR QC762_701630 MNHRITTTALRLRPSPFFLTRPRLLSTTTPKMSNNLPTPSSCYA DFCLIPIGTPTPSVAKEVASVQSLIRDSGIKHTMHSAGTTLEGSWDDVFRVIGQAHSL VHQSGIVRVQTSLRVGTRTDKKQTAEEKVKRVEDILAAGKE QC762_701620 MDRLKNVFAPVKADPDEYSPLTDSDDDDSRSGTLEGEVYEEQSP FSWIEYSIFAFIGVAMLWAWNMFLAAAPYFQSRFVSDPWMQDTSQSAILAVSTTTNLV TMLVLTNMQSSASYPFRINTALFLNVAVFTLLTISTSHFLDASTGAYFAFLLVMVGIT ALASGLMQNGAFAFAASFGRTEYTQAIMAGQGVAGILPPLTQMLSYLAFSPAEPALDP ARRTAEDDGPQESSTAAFIYFLTAVIISGITLLAFLPLVNRHNRIVERRLAEQQDLSQ SVTSIEEAERANRRYVSMSTLFRKLRWVSVSVSMCFAVAMFFPVFTAKILSVHNADSD GKLYAPGAFIPLGFFFWNLGDLTGRVATMFPFSLRHRPKALFAIAMGRWLFLPLYFLC NIGGRGAVVKSDLFYLVAVQFPFGLTSGWLGSSAMMAAGEWVGEWEREAAGGFMGMCL VAGLTVGSLLSFTAAGV QC762_701610 MHGGSSSMKRLLTWATLVGSMSAVTKAACPFADPNYHLAKRDEG ASQQTENILEEYEVDDSNAYMSSDVGGPMEDQNSLKAGYRGSTLMEDWIFRQKIQHFD HERIPERAVHARGAGAHGTFTSYADWSNITAASFLGGAGKKTPVFVRFSTVAGSRGSA DTARDVHGFATRFYTDEGNFDIVGNNIPVFFIQDAIRFPDLIHSVKPSPDNEVPQAAT AHDSAWDFFSQQPSTMHTLFWAMSGNGIPRSYRHMDGFGVHTFRFVTDDGNSKLIKWH FKTKQGKASLVWEEAQVLAGKNADFHRQDLWDAIESGNGPEWELSVQIVDEEKALAFG FDLLDPTKIIPEELAPLVPLGIMKLDRNPTNYFAETEQVMYQPGHIVRGVDFTEDPLL QGRLFSYLDTQLNRNQGGPNFEQIPINRPVSPVHNNNRDGAGQMFIHKNVYPYTPNTL NGGYPLQANQTHGKGFFTAPNRIVDGKLVRALSPTFDDHWSQPRLFYNSLTRVEQQFL INAIRFEASHLKNEQVKKNVLEQINRVSNDVAKRVAVALGLEAPAPDPAFYHNNVTAG LSIFNGTLPTIATLRVGVLASSFNDGSLAQARELKEQLSKEGVVVTVVGEVLKEGVDQ TYSAADATGYDAVVVTDGAEGLLTGGKNSPLFPAGRPGQILVDSYRWGKPVAAVGENA GQALEQTVGVSRGQGEGVVVGEGVEQVVKGVEEGLRVFRYLGRFAVDEGAE QC762_705060 MSRTTTLRKYGKSERKTKAEQLFEALPTSPPTTRKAKVEVESHE VTRITKQLVSVTLDEKVVIQDKEEIPSTTPTPPSSPPPPPPPEQQPSTPKQPQSPPPR RRIAPTPVPKDEPVPGRLPQKPSQPYSEEEDSTFHSDTSSTSSTLRTLTWSEICPPSN TIEKIAEASYAEVYRITNTLGTSIIKVIRLDSPIKPQTKAQSRSGLVDEEPHTDEDML GELQISEWLADIPGFVIYKERYIAQGKAPRSLLETHQAFYRREKRKDPDRLQWYPSPS RYLDETRFLVVELGDAGTALEDFEITTSEQLWDIFLHTAIALARAEDLIEFEHRDLHE GNLCVRQARPPLSPGSGYRFNNSGLEVTILDYGLSRASDPDRPGGKVFMDLEKDLSIF TSEHAPQCEVYRGMRSFLLRGDRGILGPKYHTTAYELPAGAVKRRKGSKRRVDWGGYY PYTNVLWLDYIYGWMVENFRGEAKVLRGWEEETRELRVHLDPGQPREVMSFGGAGEVV RFAVEAGWIGEGQLMDGGGSYLSGAGAGDGGEDKKEESESEESERGSRGEEEEEEEEE EEQERQSKRSVRPCRRRQA QC762_705070 MANVIGNLFGGQKPLNPDPVKGGDSDFADFKEGAEPSPIPFTPV SNTLTGAQPAQTLRPYTKWYRLDERHSLSEFKGEGIVLSIIGLFLLFHLFGAGRNRTK AKKWIEANNAPLAAEFASVGFDGVPSSETKVAEIREKSLFEFATYATGRANVAFVDVK LTLVKRFNPLTSIFEAALGFFWDSFPAPKDVCDATIYPFDGRETQIVPSIPGAGELQA KDKSTYDNFVWALVHKDQMKQVRDDRYDLSLTVTKDHPKLPQWLTVMSESAEITDLLL TPELIKAAENAGDSFEYLIISDQPVEQPKTLDETVPRKRIFLRYSLPSNNDYTNLVPI FQYFLRISDQLAKSAHFRPEVVKKVKAVREAMIKKIQKAEEEEKAEERAIEKEKARKA KRDAELNALDAKGQKKYLEKERERELKKGTKKMTTRA QC762_705080 MCFGSSCPNCSKQSWRGCGSHVPSVLGSVPEDKWCTCEPKFTVS GKDYPPQAGQGKPAGSSSADQQEETPSGSAALSWFTSFLGGGGKK QC762_705100 MASYGQAAVPAAARPAVPYGAPVPGSVPSAPPAGTFSPGTKIQV GNHRVVIQKYLSEGGFAHVYLVKLAAPVNGTDLAVLKRVAVPDKESLRGMRTEVETMK RLKGHKAIVTYIDSHASELRGGGYEVFLLMEYCNGGGLIDFMNTRLQHRLTEPEILNI FADVAEGVACMHYLRPPLLHRDLKVENVLITMVGSVRKFKLCDFGSAAAPRAAPQTVV ECRLMDEDVQKHTTMQYRSPEMVDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFEEQG QLAILNASFRYPSYPAFSDRLKGLIGWMLRESQQARPNIYQVLREACKMQGREPPVKD IYSGKSQGDPHQHKQSSSLQQKITSPPLVGAVFSPKATQEQQVIPEIERMRRGRVPAA QPSAPTTATVTSPKVTNGDPFAALDARPVIKGGDELSSKFPSLDQFSLLHDHGSKFDF DQGSPQLPKDLSTRVAEKLADEAFQIKPSPSPIPTQPSQRQSIDGSRANPYPAAADPS RVSPPLKSASAPPKQPGASRASTIISNTPELQAISSPPQPLYQPTPKPTMVSTGTMTS PPPAEGPSPYLVYRFPPADSHRAASVPRAPETGLGLAPSALGDPAARSVSRTPSYQGT SQAGHVRHPSSSRPSLEGGRPSLENLASRPRPISTHLESNLDFLREKEQAPSPRFSLD RSRPSTPKLEEDKNIASNVDFLRSLEDPEPAKKDKSHKHTKRGSLSSIGAGAKNILAG KFGDAFKRFEGGNSSSGPIVRTPSPLKDLDRHDVLTPITGSVATGGHSDDDHDQDEMT PEMRREQEAQMLAQEEARVAAAQAEYRQRVAQRGSGSGGGGGPVPPPKPAGGGGGGGA SRAQAIQSKVQSLLDESNRGAVVRTAQGYGQHTDRPSTSSSGGVGEEKPPYVPRKPGS IIGDKGGRPGTSSGGKALPAPPPKPVHLGQQQAGGGMINRPASPVKREALLAVDLPGN GGAALLKMTAGEKEDYIRDFQKRFPDLQMVERDLGREGGR QC762_705110 MTTPDEAGTKVIARDNASRSSSRDEEMGILTPVENNQLKKSLKN RHLQMIAMGGAIGAGLFIGSGAALSAGGPGSVLICYTLIGIMMLFTCQALAELSVVYP SNGAFFEHCLRFLDPTWGFAIGWGYALTWLIILPFELIAASITIQFWNDTINMGVWVT VFLVVLALIQIFGVRGYGEVECVLSVIKIIACSGFIILGIVINTGAVGRKGYLGGEYW SDPGAFRNGFEGFASVFVIASFSFGGTELAGLAAAESENPEKSVPKACKQVFWRISFF YILNLFIMGLILPSDDPRLLGSEGANSKASPFVLAIQDAGIKVLPHIMNGVITIAVIS VANSSSFGFTRTVQAMAQVGMAPTCLAKIDKQGRPMRCTIVLLLFALIAYVGLAPNDA GMKLFDWLLAVTGVTYFFIWGSICLAHIRFRKAMQVQGLSLDLVPYKPSGGVWGSWIA LIFNGVCLAAAFYVCAKPKPGATAAETAEKFFKGYLAAPVMFVLWLGWKVKTGEWRLQ TPLHAIDLKTDAKFRDPVNFEPEEKKPLRKRMLGALF QC762_705120 MSTTAVSTPRTEFFQKLKRVCVPLNQLAVRSQDKTADAKEILQH VESLTELWSTQASQDDSILDDRLADYVFVPLSQVLRDRDQYPMRVVEGVIRLLRELIQ HGWKVKTSAALFRQLLILLSFTIGGVPGQERKKDVPEETIIEGFKTLGALITSVDPAR LKRSEIPGEDSIPALGHSVTVMIDAVTEDLPSLIQLEAVACLRAVFISTRDNSVLAQF LPGTVSGLGKRLSPPLERQTQKRVLVACLEALQLVFVNVLGDLKVRGLLRQLETATPA AEETKSEGDSESRDIELTPSWLKATAAQVKIALSAVLKLRSNESEEVQRALYRLCIAL LDECHASLADSQSILVETALMLQEDDAAQSRLETSLQDLVSIYPELSNGIKSALYSWV AGLPRMMQSSNEKTKQLAIRSILRGSKLGVAMQMDSSTLDDSLADSLRDSIITLMKAS KPPKVIDDLGAVDLSQPTALIRSGYTELATYSPVILGSEGQKATRNELGSLISQIGPS SLQVKLATSMLSYVRDSDEPTTQIASFWLAFQLLKSSYAQSADMDELFDFSALGETQQ QDEAFQELYDFSTSVLASRSDSVEPPPWQLEALALEVTAYYATRLEEDFRPELIDVLY PVTTFLGSEKPQLRRHAITTLNILASSCGYGSVSELIVDNADYMLDSVSLRLNTFDIS PASTRVLTMMIRLTGPKLVPFLEDTVQAVFAALDNYHGYPVFVEGLFDVLGEVVTQGV KSDVLLLAGGDSEPAVDHKKRGYRPQGVEGILETVGKHLARVEGSKSRAEEPFRPVPR KAWGPGREKRKEKSFVEELEAAEDDDDDEEEEKKEMEEEKEAVQTPTYQLLTRILTLT QHYLTSPTPTLRKSLLDLITTVTPALSQSEDAFLPLVNVIWPVLTTRLHDPEPFVAIA AAKALGGLCKGAGDFLGTRLRSVWGEKGQGLRAWMIKVKAEAMGQKKRTSNNGRGLIG GGGGGGGGNRSEGGGIMIPTSTASTGLLSSSIGTGGLLNLDFGSGPTTSPDNGGMKMV SSSSYTTPSGAGRFSQSTRLWEAAVGLVRDVVSYVKVEDEMFDEILELVVDVLPGQKE LKDAMETVNGDAVWLGLYERGLLRGLEVKQPVMEGFGFVRVEVGGR QC762_0107450 MPPTTKRKSPASDREEETSPEPSSKRQATPTTTEPSNPTPSAEP PSQTSTQQSRLARFKALQARAKSSSTQNLKEATKESQRLASDPSQLTAINRKHAVASH KLLKAEIEDSGGDFERKRAWDWTIEESERWDKRVKKKDAHRDDTAFRDYQRQSEKSYK RQLKSMGAPDVEKYTRDKMAAIEKAAAEGTLEIVETEDGEMIAVDKDGTFFSTADSTD FARHKPDKAAVDRLVEDLRKAEEASLKKRRERQARNGEDNGDVTYINEKNKQFNQKLA RFYNKYTAEIRDSFERGTMVYPSTTASATKSTLRGLIAAAPSTPLSNPPVSPSTVLAT RPPAAIGRLTALELSHMNGAR QC762_0107460 MSTATKRLIVFGGNGFLGSRICRSAVARNWEVISISRSGQPHWP SSSPPPSWSSSVTWQKGDIFNPQSYLPFLPSATHIVHTLGILLEADYKSLLSGRPSPA NPLDRKPGDPSPLNTRRQVTYETMNRDSAILLAKEAEKAGVEGFGYISAAAGAPVLPS RYITTKREAEDIIQREFPKLRSVFYRAPFMWDSSRAVSLPIAAGGLVASTVDGLTGGL LRGVLGAAAIKPLKVDLVADAVVEGLGDQSVRGVVEPKEIEELGTKGWRKTML QC762_705150 MADKKSNKRIKSLSIHRPFIYGTTARPFDPLRNPKPPGIPDDHT HSWEVFVKGVDDTDITYWLRRVQFKLHESIPNHVRIVDAVPGKPFSLKETGWGEFEIT IRLYYVSESNEKPQTLYHHLRLHPYGRTEEEKEEMKNGPDGGVVKSWNYDEQIFNEPY ESFYEILTSGAMPASSMKGGKGGGKQKGEKEVKMERSEGGVLARSAMIPLTNRGPEYP FSRETEQCEIEKLKKALVKVEEMTRRTKEEMEKKEKRLKELKAEGAKA QC762_705170 MAFNFNWSPLTADAGFYERARDLLTTALNKSPKPPIIVDDIFVT EFNLGSVPPDLEILEIGDLAEDRFRGIFKMCYSGDAFLTLKTRVQANPLNTYLSGKPG FASPEPLSASSSLTIPLQITLSEIKLSAFIIVVFSKQKGLTLVFRNDPLESLKVSSTF DSIPFVRDYLQRTIEQKLRDLMMDELPAIIHRLSLQIWCPDQIIKEDEEQSKETVDHT VNPLSTPPLDAVDAEGHLLDPAAISELSLEAGTETQSLFSQKALFKLSSLTSTQETSS LFTPSIKEAMFRAWAGPSDRVDTASTPGPATPSLHRTTSYTNGTAHTYTFSDTASQDQ GHLPSRPSMVSLNSATAGLSLGSGRHSKAGRKKKTRVVNLRAKTSSEGGSEAGESSET ASTSTTPASEPIMSHPILEVPEEDTATNINKVRFGGAHPRRPSFRNDREPAKVSEAAV PSIEISAPNTTQKQPSRQASPVDMKVPADWSRPRAPSEISPSVILEQAWVMKMAGEIA RRVYDEKNRNPQFWEERDDTPPPAYQPRP QC762_705180 MSQSASFSSDHIPDISDFYPEKWTIKFVNEGAANLVFEVRLPPS PPSTTGSHANGHSDIFQGHLLRVPKAGAKTFPYPELLQYWDSTITPLFPPENLVQHQL VKLGQSPSKVITILDELLAKAESGRRKDFRGTRVLPDAQYGMLIEDMRSQNPSDYFVE FKPKWLSPSPSQRTRCRNCAREAYRDHLDPSHHKAHRLGILCPLDFIACRSNRGSLEN VLKHIVPASASPSHRNHLADWLRTNSLLPLLQQAQAKHDPSQGVNLELAMTLRDCSLF LRISTPQDGTGQPTRIEAKLADLDKKNAAKKKKHWEELERDLVEGGFYEGKEQPREET DCLLERAAN QC762_705190 MTPFPVLVHSYPTTPTKTLPLYEHPSPSPSPSPPNALIFLGGLG DGPHTVPYPLTLSHSLPPTLGFSLFQARLTSSFSAFGYSSLSQDCAEISSLVRYLRSE LKKQTIVLMGHSTGCQDCMFYCTKLGELEPEEKVDGIVLQGPVSDREALVMSCCPAQL ENSIAVAKKMAEEGKGEHVIDLDDMPDGWKGSPVTAYRWLSLATKGGDDDFFSSDFSD EELKTIWGELETPVLILPSEKDEWVPFKPAQVQSTVQKWASFCKPGVVSEFSGLIPGA NHRVDNTEGEKWLVERVGKFLGSL QC762_705200 MEKTATASAHSTAPSFQTHVEPDLHLQSKMKSLGTINTLRLGAT ALALGMSLTVLGTAGNTLRVYEETHVLTPHYLPLWPEHFNIRPTISLVVGSVFVILAS IASLACSKITTLRNKTTLHTSTTLAAPVVGLIAALISVVFFYAANSSDTVDTFTSWTC RWRDVPMGQQPHWGTLCGQSYAGLYLAILLIPVEVVGLGLGVWEKRVGGYVEGYQGAR KSPALS QC762_0107520 MIGKGGSCAYVLQDIGVVVATLSAPIAPASALLVQFWPQNLRLD FKSACLIPQHNAVQANPTHFFLISFSFSVFRRATKQGDGCLTIHPSERTP QC762_705210 MSRSLSQQAAADKAPVMTPRRLAPGGQRELSFAERKAKDTPPST SSPATSTGDILVRPGRKRHSRPDLVKQQNTVNFFEDAFAVSEGNTARERIHGDAIVMA EVKTNVIISDEFTLITELSYHLSTRYQRPVSSIVVTLQHGACMLFGGTFDPAYVMSIY ALPSQLLPTTNKRNAALIQKHMEEAIGVVPARGFLRFVPTKEEHLACNGKTMAGEIEE LEKTMNGIGLGGANVTLDEAQGAISRGLKARGKLSARPMASFRSLSAAGFHARELTPP TSADEALPTIPGSPSTSPGAAQPGVGDKEGLPREEDQPRTARKKKSFVAAIFGRSGSV KKPGHRSSLPVIRDSG QC762_705230 MSSTGGNGGGASRDNGEDLDSQPLRPRPIRFISPAALNLSRVYE QNRQRIQKKDGPKVPDPMTPQTKGKPRLLLMGQRRSGKSSISSVVFHKLPASETLFLE STARIQKDSLNSFMEFQVWDFPGQIDIFENPAYSFDMDAIFGEIGALIWVIDAQDDYL EAVTRLNITILHVQRSYPHINIEVFIHKVDGLSDDYKLDIQRDVTIRIQDELSDQGIE NAPVNFHLTSIYNHSIFEAFSKVIQKLIPRLGQLEAMLTNLCRTCRFEKAYLFDVNTK IYIATDSTPEDMASYEICSDYVDVIIDFTEVYGSWPRTQQWRDRLEGEPWAQPLEDQV ACEWAESGMVLADAQRPIMLREVDRFLALVAIMKEGSYEKMPQINMNVDVVVKGLTEF FEITKPRGGGGGVVGGQGGKGVVSPGVAPAL QC762_705240 MMSLPLFSPFDRYRGLERGPQRQTISLQEPENGSPPPGQKKNPS TRQQYLRYLSIRKIHPSFYCCINKPGMMGLPSMCQYGASKMATYHLNAAASRLGRPTG KGVNLERGSRMIVDCFFFHA QC762_705250 MPPQLLPASAAAFAPRASSVNVVLGSKVEPWLTQTLKRINRIKR PLNSVPQHQRCLTETLSSPNAIWTLASLMLPKAPESELRKDSNPLIEAIFNYQLIHLE AYIVHVDMVLRNEVAYKLTPDTIESLIEYHKDIHCVNAKANTYDWSEKETQCKKLHED FIQAINKFVFRTHVSALEGLEEDGGGELLSGKSEEVKNAVMNLVKPLLPPPPRIVDVV RQPPLLPSSPAGANIWSQAPTPTTMPAPVDSWKILPSSPSVTSTPPDSTSPTMWATIG ISESYTPSPPLAYSQPYTTAGLFYGSQLVSSPIPHLPLPSMLAPQCGVTVGYNSFGWD SRYQDYATTM QC762_705260 MPCLLLSSMTRDRREAGIHWRCFPPLLHSILPVWGCQWDASGML QLEHLLPEPTPGRTIRQRDQSVSNIAIAGRVAGWLGPILLLRHAQIGTYWSSAGPKKV ERVASSKISSNKTGMFGRGGRDLLLMGRQSATEGVETREERLQQRACVFHVSLWPS QC762_705270 MVTDQRSLRSAGARPRHCSQLTCRPSQQLPTYLHDQPIKGVYAY SMQTRRGGPVGIPGSKGRFFGNAVSAVVPRPVCLMLVVVVSTLVGTAPHSLLVSVPTQ GKGGSAQRFSTGTLWSRGR QC762_705280 MPPNTMMEWIMGRNLTRTEKERLTTPEPERRRSEEKEYRGRDSR RRDSRPRPPSRHRSPSRDRYYDRQGSTTAQPPTRHRASEHREHGESRHPREHKSCHKA RAAQAAQAETASVDIEATAAAAAALKKASVAIARAEARTIATVHAAQDRKVAKEKAKE EEAKRKAEIEAATTKRLSEIFSDVDSDEEERAMAIALAVSNAYKQQQTKGKPSDPKSS PSKEEKKADSAKPKEVKKTQETEKPVNTTAKKPKFQVEVYTGSDGEGETFVAKVPLQS SKTPAEAKLAPPEPDEKGESSKTMEAEKKVEGNKKAEDKKKADDNKTEDKSRDNKSGQ NNTKKQDAGAPDDFVTPDLEPHATCGCENCIQGRRLLGRELSKPRTRKEIAAALLTDC LKKKGGSAGNDKGKKDGKKDGKDDKQDDKKGVNKDAKKDEKQEGKKDDKKDERQEGKK DDKKGDRGKQDNPQNNKKAAGDSSGTSQNQNKSDQQKPDGGNQSSNNAQGSATVQHVP SRSATVQPPRNAYYLDGHVQVFDSPAQQPAAPAGFPPAPIHPQFQNYGYAGDGVVHPV TNIIHTDCPNPYWSHQSGGPAQLNAVPPIWPNDSASQGQGQGRGTPRAQQGTPNVASG SGGNNGGGNNGGGNNQGGSNSKGGKNKKGGKKGKSASPAVQFTESTKAGSDDGNNDNN GGGWGNGNDNSNENSNDNSWGGWGNGNDDSNDNSNDTFNNNGGDDSWGSENNTSGDNN DTSNDNAGGGWGNENNSSGDNNDTSNDNNNNNNNNTNNNGDNSWGNDNNNNDTSAENN NNGGGSWGNDDNNNSGDNNDTSNDNSWGNENNNNNNNNNTWPDPNPPSKPPSPFTFPK PASLTGTNTSKNSSRKKLTFQPSPQDQFDSDNENVDPHPWDKKRPNNSEMSMPGSWNE TPGPNSPEKKKVDKGKGKEKAEGEFRTPSPVGKEDDGGVKLGNKLGGFGWGLGGLGGL VAAVASSVTGGNKSDDGKKKDGGDKGGNDGGWDDDKKEEEEKEEEEGITGLGDW QC762_705290 MLLIGLTGSIATGKSTVSSLLSQPPYNLPIIDADLIARQVVEPG TPGYNAIVKHFLPSTPDLLVPVSEDMPENGPNGKGRPLNRPALGKRVFGTSLERAKDR GVLNGIVHPAVRKAMFWAVVREYVKGKRAVVLDVPLLFESKLDRYVGRVMVVGVRDEE VQMERLMRRDGHLSREDAENRVRSQGGVMKKVERAAFGGGVVVWNDGDKDDLKRELRR VMEEVERGSPRWWGWVLWVCPPLALVVGGWGLWRNWRGEREWEERERRERAKL QC762_705300 MKSEQDDQNGNNGARPTTPLRSMRAFPAAPPVLNPNGIHFQPQL PSTQFGPMTQTYIPRRDPPSMAYFAGPAAGFPQVTGQDTHLCQMGGYALQGGYMMGPQ GPVVSSPASVTMPAMPAMPGAGMPAMGMFGPQGGPIPPSFPGAGIAYSRMQPGMNPLM QMPNGHGHQAFPGSPTPDAQPPHVHFEPALGIGLTQSERLAADIEHAKQEGCFEPQDF MPANRDPYKEWWVEEVDGHWGLYQRRQIDRLKHKWYVRDGWFYAKRLEAPPDV QC762_705310 MCLPCWPITKTTHERGSNQRSNPQRYRWHWSRTRNMWILRDTVR TRC QC762_705320 MSLWDTLTGKKSSSQTPSSTAPPPTTQSPPTSTGYASSPAPFDP TQAQGVEQFLGTSSFADPTQLHAFAGIDKDTLDYLSLEDSALTDLPGGQSVLPSRGFT DDLCYGTGVTYLAGLTLGGAWGLQEGLRRSADQPPKLRLNTVLNSITRRGPFLGNSAG VVAIVYNCVNSYIGYVRGKHDAANMILAGGISGAIFKSTRGLRPMMISGGLVASVAGL WAITRRTFFPTSQPQHKELENL QC762_705330 MEGQTSDGSIDALISGLGPEIFENANTISKWLLSRPEETRPQLA EALFQKLFQDASRARQYHGNGHACVRLCSFVQQCFKSSDQTLKKWSTTEALTLELFLF YLEWYEHDPHRALRLILDVLVAACTDNPCPETGRAIKGRVLETLVQIITRKYPKQLTK SGLQCLEHLLSKRVVSLDDIALTYKQVEPAVASLPSLELWKSFVFHLFSWMELTYVCP LAGKCIVHVFRGLDSAAALDPTTGFDVELWRQWLQDALVQNPEILEDIKNYVLVAIFK ADKAAALRLLHVFNKQQLSNNQQGSDQGILLQLATLELGKKTGLVDEPASQPEESKYL ALDSKVLGTLLGHPTISVRCSALSLVVSSQATTKPLSEDAFSLLRTYLAAFHADYDAK VRNEVLGLTKNLLKRVKSIITVARRSLADFANRESQLAAGGNAEPPLKKKKAGPEAAL RDASEAKFVLTSHQNFLEWYVGFLRDELIPTASYQRHITAVKAALLAVRVGKHAAATD DTVDEDVIRLIANDPTWTRLLFDLLLDPFDDVREAASTLLSLIPQELIARPVAQSRRS ETLLDMLQSFCGRANALADRTGRADHGDGAARAQGLSCSWLNAQQLQIQYLAEIISGI EAKISKAEQDLGHAAIESPVHADFAAIRQVNEHDEARAFYVWQVLAKQTYAETELEAL RQLQERIFGGAQRIWLAVKHVLCDDSPEGHLPEEMEDIEGLDTKDLLSYSFRAVHESS NLLRLIMGTLRLKAVAGVPFPPLEVFKKTGYLTFEQLAQLRHRGAFSTVSYTFTNCCQ LTQNLKTVYPNADEEADLLRDWYKGAVECIMTQASTTRRSAGIPSLIAAVLSANASSP SFDEVYGNLEQIGKKPVTQAETDGSNLPQVHALNCLREIFRSSLLSKRAEGYLARTLH LASTSLKSEVWAIRNCGLLLLRALIDCLLGTGESKAIIESGWDGNSVRISYNKYPELP DIILGLLEADDVDAGLANSAAAEAVFPALDIIRRAGPPEEHRGELRKRIENYLGSKVW HVREIAARTLCSFLLREDWVSEIGKLLEQAGTSSNRLHGALLTARFVIERKSDLGSDL KTENAWAESLVEILATKSEVFGRCPEVEAGYLEILNLLIKLQCLTEDKLASTLQISTK TGSPASALLEMEIALGLVHETASSGDVAGLRNYLVELLARDVNVASRMLEAIPAAFSQ AQDDNSRVRSEICQLCVEVCSTSPAPEVRAVSLANLGALMSAMLSNGHTSELPSLSQL DTLWSDLTKWSINPELSWAITETSGTIMAILVSCHRDNLPDLDQRLRNWGALISDSLH VDNSFDTRHTAAFSLHTFFSLIPAPLFRTAYLPAFSALYTALTDDDDEIREVAALAVS SLTAQPATANISSKTLVTSLLPSHLPTPEFFSLAISHLTSGNLPAETKLGKALDFDDA LFAAEEQNLFVDEVREAREWRRGLVGAIEANKNGERVLKEWKEWTVQGLKAVVKVMKE KREDGPLGWTSDQKVFAVVARVLISARGVLAVDEGEEVEVLLGEVVEMGRGGLLHGGL VGLALEEV QC762_705340 MVQFKRKPVQFLPVPDIDDEQQEVWHIPQTGEVFTTYEDYLNRY VNHVHCLSLTPLIFKMLTLCFRMDFYKQKRFICTITGHSGLSFFDALESELANAAEVD DIFPEALKGPVLRRVQFSTVSRIDTLVDQIYDEFKNDYYPGEAVLVEVIGHTEKLAGI VRDKTRFGGKMLPDGTLSKPFATYFVSLTMSPAEEAVVDDAHIFRDRKIFTKQVLRQY IKKTVTREAWNGAPWLVKDEVAEKYHIDTRVPAHLRFDTKLQERKQLQAQKRMSNHDS SLLSGSISPTGPVRLPELKPAPKSHKSKAQQAAQAERALLRAKQQAMQANGNTHEPGQ FMHLPLPGNPFQFPISFRGQIPPPMVPQTPEPPPPPPPPKYPIEDLQLNLRGHVRPQL KFMCKDTPVEVDAESKSPLSEKILMKSVGPLLETWDTLNVYCEIFKLDSFTFDDYVEA MLVASEEVPVELFTEIHCSVLKILVSSEAEGGKVQIQLPELEEEEEEEEPEESAAPTP EPEPQPSGRATRSSMAKLEAERLAAELAAAEQEEAEMDDAPGHRAEEVLQGFDWIEQL RKRSFKDGGWELIIVGLLHQLSKSERLKASCEELLEQLVPVDIEPSRETVRRNYATLD INYRVQALQIICMLTAETRAIRGYMEDCSETMTAYRKEKIEWQRKRKQLIEELKSLND QRKILLPDNLPPSPPLEPTKVAIINGDVKMTDVDDLQANHTSDEIPDSEEDGRKLRRG HDRAVERKRKAEQEAERKEKAEAAAKVPKQSKQFTKVLRDIQKKEDDIAECEKEIAII DNDLREADCPRTRVLGKDRFWNRYYWFERNGMPYGGLPDSSTAEAEYANGCIWVQGPD ELEREGYIDTKEEYQEEYKAKFEMTVPERKKLEEGKTSVFNAHQWGYYDREEDVDKLL TWLDPRGVNELKLRKELVNYRDKIAKNMVNRRKYLGIDDTTAAPATPTEESVTNGATE KKEEETASVKEVSPEPHKGKRSTRVRHSVPAAPVEEEEEEEEEEKEKPKYRCLNWTNT TAMEEIGHLHSLEPPPARSRKPTKKKEAAAAAAVVAEVVAEPVANATRGKKGGRQSMK QC762_705350 MGEIYPTLAQCAVVAAAFKILLFPAYKSTDFEVHRNWLAITNSL PLWEWYYEKTSEWTLDYPPFFAYFEWIMSQVAKLADPAMLRVRNLEYASWETVYFQRL TVIITELLLVYALQLFVDSSNGTSKRAAQAAAFSILLSPGLLIIDHIHFQYNGCMYGI LIWSLILARKKSTLLWSGLLFAALLCMKHIYLYLAPAYFVFLLRAYCLSPKSIFRIQF LNCVKLGGGIAAIFGVAFGPFALKGQIPQILSRLFPFSRGLCHAYWAPNVWAIYSFVD RVLIVLAPRIGLSVKSGALQSVTRGLVGDTAFAVLPDITPQVCFASTLIFQVIPLVRL FGQPTWDTFIGAVTLCGYASFLFGWHVHEKAILLVIIPFSLIALRDRRYLGAFRPLAV AGHVSLFPLLFTPAEFPIKTAYTIFWLILFLMAFDRLAPASPRPRFFLFDRFSTLYIT VSIPLILYCSLLHGIIFGRSYEFLPLMFTSSYSAIGVVGSWVGFLVVYFTS QC762_705360 MRSPSSFAVLAAALAQAHAQYLVNDLSFGYGPRIAPEGQHQIPN YGMQGRPGLPELLSNKIILTPVAPGNQRGAVWSSNQLNQQNWIADVEFRANGPERGGG NLNIWLARDGAHVIGTESIYTVGRFDGLALVIDQHSGSGGMLRGFLNDGTTDYRSQHN VDSLAFGQCSFGYRNLGRPTQIKIRQTDQKFSIEVAGRPCLESDKIRLPPGYNVGITA ASADTPDSFEVFKLVVLTDDSHHYGGSDNTQHHDSYASHQENTHQQDQHYQQQQQQEE PKRKMNFGRGGQAKIEDPYDNVIPDQDASTISTQQAQFADLHNRVQSINHHLSSIFRT LGQNHGVGEQRHAELSSMINDVKNFLHRLDKLDVLEHRMGDVERELRSLRSELSGKLR ESENAIKYHVSDKHEALHEHVKEHAGTGHTKLILVIILSQAVLAGAFYMYKKRKSSPK KYL QC762_705365 MPLHIVLLAPQLTQPSSASLEEEWTTATALADKHSRTLLSIDCS EHPTHCEIQPSMTFPSIFLLQANKPPVKYLGPLLSKSLNNFLSRSTRLQPTPLTQVDD LAKFKTSDETVFILFLPRPQEFVTSVYEDLAQKYKNEFTFGTVVGVDKLLEKERVDLE LKGVLVVYKPLDGETEKLDLTTVNSGVDEIEKFIKESSRLTITDLSPWNHPRLLELQK PLVYLFAPTPSARDNLRSQYLRFAKDYHQQFVSILVDPHLFPDLMPQLGLLDTTRFPA GAVRLVAEDKIYPYPQTEEMTLGRVQGWGMRIWQGGVEAWVPGGGEKKGGDSGKKEGG GDSGIRIQGKVGTKRKVTVGGGGWGKGKGKIPTIPGVRINIPGVRRDEL QC762_705370 MTPLLVLLALALLLIYLLSPLLSRDTLPGPLYARLTSLILKYHE FTATRTTYIHSLHLRYGPVVRIAPNEVAFASAAAAKEIYCSNGSGYDKTEWYDLFKVY DRRTMFTTLGKSEHAKRKRLLADRYANSNIMKGGVMEGIKQRARTFGELCGQGGKEGK VLHAYAFDCVTLHLFHPHSTNSLTDPKDSEIMLEVTFDSSLQNRLLSHYSPLLHRLLN TFMVSILSLKPRETPLADSFVLNTAAQPHASPFTLLHRLHSQSPLGQIDIAAESLDHM AAGIDTTGDALCFLIWELSRPSSLIYQQTLQAELRSASPDTPFDQLPYLDAAVMEGLR MFPAIPMSLPRYAPPGRTTIIDSYKIPTGTIVSCQPLSVQRLGPEKGGTFPEPDRFWP ERWLKSEGETQAEFEERDRGMKRGFMAFGMGGRGCIGKHLALAEMKCLLREVYTRYRT LPDERMRAGEMEQADLLVSSRPAGQRCLVRFERLEA QC762_705380 MSTTDTAPAEIPSQQQPIADLPSGDPIPSPSKPLTTPPNTLPLT TLLANLPSSTNLFLSRLEKCMSTPSGIDTVMLLLCYTSKLAASVLTSGHITTLLSKTS QPIARKTLATLLAKRLNNLSALMSESRVILRLWALLGIYSWGRSIVSSPTTSITAKTL DYIRLVLCVLLQGLENGAYLSFRGVMGWSPEQQGRAYKWSARFWGAFVGIEIGKLLAE RVGRKVQKKDGEEEKKEAREWKRKLAKSLAWAPLTVHWSVDGGLPGVTEGVVGLLASV PGVIQMGDLWETVTK QC762_705390 MSSSSRALAQLAVPRSGAALLQSSSQVPRLFIQSSRKTVAARPA FGSLQGPVSRQFRRGYADQAAPAPQPPKKRRFRTLRWAWRLGYLSAIAGVAYIGYGIY EDRHPEPQTEPDPTKKTLVILGTGWGSVSLLKRLDTENYNVIVISPRNYFLFTPLLPS CTTGTIEHRSIMEPIRTILRSKKASVRFYEAEASSIDPDRKVVRIFDNSEVKGDMTET EVPYDMLVVGVGAENATFGIPGVREHSCFLKEIGDAQRIRKRIMDCVETAAFKDQSPE EIDRLMHMVVVGGGPTGVEFAGELQDFFEEDIKKLVPEISDRFRVTLIEALPNVLPSF SKQLIEYTESTFKEEKINIHTKTMVKKVTDKTVEAVATRPDGTKETIVFPYGLLVWAT GNAVRPVVQDLMQRIPAQKNSRRGLAVNEYLVVQGARDIWAVGDCAVAGYAPTAQVAS QEGNFLARLFNNMARTEALENKIAELSGSLNLQPGNTAEISREIEEYERQLRRIKDVK PFHYSHQGSLAYIGSEKAVADVTWFNGNVAAAGGLTYLFWRSAYISMCFSTRNRLLVI NDWLKSKLFGRDLSRE QC762_705400 MAPLRRQTKPIARGREGGAPMLDAGELHIRQENRDDEDEETRRR RFRFGNNRNNNDGDGDGDSNRNGRFGGGNRGGNNDDNDDNNGGKSGNNRGGNNRNNRF GGNRGNNRGGNNNNNGNDNGDDDNDGGNGGNRNGGNGNRRFRGGFRNRIGNGNGNGNG NGNGNGNGNNGNDNNGNDDSNPPEDSPEADPSVTPPPSGDSPPTEPPPAEVPAEDAPA EDAPAEDVPVEDVPVESVPSADFAAEPVPAEPAPAEPAPVEPSPPEATLVEVVPPEAT PAPSPPPISGDPGAPPNPGVIVLQSQIQATPTVEAVPTVTDFVPVETATNLDGTTGLL TEIIGNGSRSDGAVPFPTDPTPTSLPVPDIVNLPGGDRDASGPTSTVIPDDSNRIEAP QGGMDPTAERVLISVGSIGAFVLICFIVWMARRAMKKSRLSNSVASAGSGGGLPFFGR KNSHKSAPSTVTLSPPPKYREKEGVPEVPQVGEYYPPEKTKEEPQPSLQPPPTQVQQQ VAEPQVLQAALPLQQQQQQQQQQPQLPLLQTSFPAHQPQVPFPNYYQPTYNADMTYDP TNAFNIMPPNLRYSHQQQESFSSTNAAQFGAFMVRTDHANVTYPNGVSPITTYYTPSP TAQQSQQPQQPQQLPVPYNLVYQEAGRRSLVSSLSSGFGDGAEIVTSATLLAPPPPAA TATRSSSHYSTRFSYVSPVQQQPPPLPQQPQGQRDTVYTQASEDQPTRFRSLNSWVEQ QTGRIVRTQERDPTWQMQQEQMPGHPGIPGIHNPPDEQNFGMMMQDDEVPRRVESALA SMSPTERSRLVGP QC762_705410 MAPNTIIPARAVIPFLVGMMLLTGVCNTLLTKYQDNQCVRDCDN PDPKKRRHFEQPVIQTLQMFVGEMGCWVVVGIMSLYNRYIAKSDTTTAYQPVRTTADD EEPAVDNASIHSRTALTGGGGNRTINDPAFKEETHSVLRGWRVCLLALPAICDILGTT LMNAGLLLVAASIYQMTRGALVLFVGLFSVIFLRRHLHLFQWLSLVGVMTGVAIVGLA GAIQPDKKHAASAHSATGVESDALRVIIGVLMIAGAQIFTATQFVLEEWILERSSIEP IRVVGWEGIFGFSVTLLGMTVLHFAVGRTEAGRLGPFDMVEGWRQFWEYRAVFVSSLL IMISIGGFNFFGLSVTRSVSATSRSTIDTCRTLFIWIVSLGLGWETFKWLQVVGFALL VYFTFLFNGIVQPPFAFLRVREVEELLPEEPIEHN QC762_705420 MDNPPYSGRGGSKTPSLVQRLSEEFETSRVPEGFMAFSGDLAST LVAPHIPRGGGRTASPSGEFPTAEQVALENETKRVPSSDEQTIAAEPVESTDKHPTRR PLEHTRSFDNGYHFPPKYPKSEATKQALKSFWKFFTTPMGFFWTIYGLNIVAWGGMLF LLLCNAAPAMCYPTCDDIDSPRRKWVEWDSQVLTGLFCVTAFGLAPWRFRDWYYLLKY RIMGDFDGLRRLAGIHRSWFRLKGSEELAVDVGPENIPEGVPREVIPTPEKNIPNAPL TGTRAPATAVWKLDFVIWSMVMNTFAQCGLCGVMWGMNRFDRPSWVTGFLVAIACIIA MVGGYVMFLEGKKVKSIEGVPCNDRDLERLARDKEMGIPHWNNIKDKKPKEKKKVKDV EKA QC762_705430 MTTTTSPPLDLTTLPGPYPTTTSPMIFSSPSYNLPQIRTLHKSL HHAIDDKSSRLRTQVGGSYRELLGTADTIVAMKSEIEAVHQTLSDMGYKCGRGVVGHK MDALGKFTSATMGDKAASGRERLLAGCLGQLDAILRVREKAKRGERLETGARLFVLGR LLLAAAAGGQQQGSDEKEMGRRRRVLEKGHKIRLMGGIDADLRRYHKPGTGDKQQREA TLVRALSAYALATSSGARDVLGYFLRVRGEAIALALEVDEEERVARSPEDVIRALGLY TQTLQDVQALVPGKLADALLRLKREKLLENEELLKMEGLRLDVYKRWCGDEIQFYTPF IRHDDLDGKAAREMLFGWADKGRKVVLEGLENTLKEMGEFKAIVELRTEVLKLWISEG GKARGFDPSEVLDEIREAVNKHMLRVLEQKVAKLRLVGSEVSAAVEAWKEGRSDQHES LWDMDSYDTDLSNGAAQFTQHVVSRLYGRNDDVSKAVASYKSWFRVIDDVGTVVDQLK RQRWDNDVDEIEDEETIEERQQLLAKDDPAALGKHLNESLVKSFKKLDENLSTLWKEQ QDSPDRGHIAMYFLRVLRDIRSRLPENAEVKGFGLEAVPSLHQALVSTVAISPLDELA TVALVRRTVVGRSLWEGEPALPSSPSPGAFKFLRNLVGAMGDAGVDLWSPTAVSVLKE TLRKQLSEVWLETVSKLTEGLEPEVQTETEETPKPEAEEGASDTSDPKEESLEREAAK TEAVPDIVRHRDLLVQWLYDIYYLSSFLGTDDTFKQLSDVVLSKTDLEHSATAKQRLQ KTSQDYFKRTSLLFGLLSS QC762_705440 MASTSPIQVEYRGRLAIITINNPTKLGALNGQGYYDLAQALRQV ATHDEVFITLLIGTGRFFSAGADVTITRTTPSTSSTTPHQQWLSSFVANNLNATHAFY THPKILITALNGPVIGLSAALISFSDFIYAVPHTFLLTPFSSLGLVAEGGASRQLVQK LGPARSGEALIMSRKIESAQLEQCGFVNKVFSEVGKGDGEDEKFKRLVLAEIDDKLGE HLVGESLLGIKKLIRRPEREVLDSHNVAEVFAGLERFVSGVPQGEFEKIASGKKRHKL QC762_705450 MAPPTAPLNLDVEAISGICGSISIACWVVVFSPQIIENFRRSSA DGLSIQFIVVWLLGDVFNILGAVMQGVLPTMIILAIYYTIADIVLLGQCFYYRGFTLS DDPIAPAPAPALPKTTSTTARNGADELGERSGLLSGSEGVYGSAGELERRGSWTHLSP AVPMIDVEEGAGGQGQKVRASPPTRLQSLGFNSSAVLMVCAAGVGGWWLSRGYGGNEE KGGKGEEDPLQMDFWGQVFGWLCAVLYLGSRLPQLLLNWRRKSTEGVSILFFLFACLG NLTYVLSILAYDPVCGEDGECKDGEAARIYWQYVLVNLSWLAGSAGTLFLDMSIFVQF FLYSKGDEEDEESDDEGSVSGSEDEDDEESIAGDQWDQRPVLERGVSNYA QC762_705465 MAGRFTRIAAAGLRSLARAGPVPSKCLQRRAFGSSGPGYNKGPV SGRIVEGLELAIGFTALGLVGAITYDVTGEVLHRHRQHQLDNHTPEFLSALENKLLGR PVEHVEEDPDHTEALIDNAGGTLSDAQEELAFHETDLDAQLHTDGTQLDNDGTQLEAQ ETELESDATQFDVQECIRQNQT QC762_705460 MPPSYPPNFPATSAAHQQPPQLSSSRRRPRVDSYSDPDTNDNTT RTAASNNLGKRPRGEYTFSPSAQLPTPHQQDPFQPIMSSKAQGKRPEFIDLTQNSSPG RPSNSSYGGGGGGRPGGITGLQPHLGPRKLVIKNLRQTTSTQRAGADEYYTRTRADLD NALDAIFAGRPTGEPMELLYRGVEDLCRKGEAESLYNRLKDRCDRWLTSDDGIGQLRK EVTANTTHFNVIEVTAAVMGVYRRWNARMLIVRKVYSYLDRSYLLLQSTIGKEDKGRQ GVNDMAISLFRKAAFGPRSATKALPLGVMVLRGMITLIMQEREDQEREQIPGGLDRVQ LLKDSVTMLKVFGVYGKFFEPWFLEHSYEFYKEFAEQKSENCGLRDYIKHIDALLKRE EHMCDFYGFDSTTKRQLLQDAHGVLITKYSEKLLDTGSVAKLLEAEDVPSMKALYQLL KLSGLQNKLKEPWDSYIRKTGSAIVSDTARGDEMVIRLLELRRSLYVMIRDAFDQDEV YSYGLRESFGGFMNDSKSTSAWGTGTSKVGEMIAKYIDMLLRGGLKTLPKSLLSDNKD KAIAERSGLAAAGDEDSELDTQLGHALELFKFIDGKDTFEAFYKKDLGRRLLLGRSAS QDAERSMITKLKGECGANFTHNLEQMFKDQELSRDEMTSYKTWLAGTGKATKGGVDLT VKVLSHSAWPTYNDVKVTLPKEVLEQTTSFETYYQAKHTGRKLTWKHNMSHCIIKARF DRGPKELSLSAQQGSVLMLFNDVPDDTPLSYSQISQSTSLTGAELDRTLQSLACGKSR VLSKAPKGRDVYPTDTFTVNRAFADPKFRVKINQIQLKETREENKETHEKVARDRQLE TQAAIVRIMKSRKTMGHAQLVAEVINQTKARGAVDPGEIKANIEKLIDKDYIEREEGN YVYLA QC762_705470 MAGQIVTSSSGWARRSQPSWTNILTLFACLACHALASTPDAPES VETLIIDTRSPYKTDNGWVMLSPRDAEDWKQWKKRQNNKDDDEDEKSSSSAKPSVTTT FAIVAGKPTQSSTTSSETAPSALPTHLDSLASGFKEGSNGDPNACPKFINWFLNTPEF KECYPLSMLLDHSKSFFDAQRSPVTITRTLDATCAANATRCSQYFAQLAQNFTSTENC GNDYTWGTPAIVNTYKAMVAYAPIYSVGCLRDEKTSAYCFANAVGNTTSRGNTYLYTL LPFNKSLPGSSVTTCDECTRQTMNIYQASTADRRQQISLTYEGAAKQINLVCGPGFVQ ETLAPEAVRSWAGRKGRVVEWTGFGVVMGVLVWLI QC762_705480 MTKPGLWDRSKRRLKSYVAPAALIRSTNDSEISEDDYDSDEYDD DDSDDSDDDDEDDEDDEITFSRRISPAPVCGTARNDLLWPSDKRQLKVRFLNGTTQEK DYVKQLVNKHYNSLPLRIKFFFFTDGTSGDSDIRVQFNDKESCSYLARDAERYPNKPT LWINRSTTIKSAETRRQWLQRTILHELGHELGMEHEHGHPDCRADWNRRVLQAKTGWT AEKVQHN QC762_705490 MVAAKKHVPIVKKRTKRFTRHQSDRFMRVDSAWRKPKGIDNRVR RRFKSNLAMPSIGYGSNKKTRHMMPSGHKAFLVSNVRDVELLLMHNKTYAAEIAHNVS SRKRIEIIARAKQLSVKVTNAKAKVTTEV QC762_705500 MDSKEEDVDLYALLGVDKSASPNDIKKAYRKLALLHHPDKVSED KRVESEAKFKAITQAYEILRDDEKRELYDTHGMAAFDPSRGGGPGGPGMDMNDILSQM FGMGGMGGMPGGGRGMPRRPRRSPDEEQAYKVTLEELYKGKTVKFAAEKQVVCSQCKG SGAKEKVAPNPCEKCRGQGVREILRPFGPGLARQEIIRCDHCEGSGNYYKEKDRCKKC KGKRTVKEKKALELYIDRGSMQGDRIVLQGEADQLPDQTPGDLIFHLVEEPHDVFTRI GHDLSADLNVTLAEALTGFSRVVVKHLDGRGIHINYPRGKVLRPGQVLKVEGEGMPHK RGEAKGDLYLVVKIEFPKDGWLESDDDHEALKKLLPPPGPPIAVDEIDDVEFTDDADI EEMGAHQGDPRYSGGEWEEDEDDGEGGPQCATQ QC762_705510 MRGNSLLGQQLWSAYGRWKALTSLSRSQQASHIFQRRLCFSCSS KLSHNPDRQARENRVQLPDTPCRTRFAPSPTGYLHLGSLRTALFNYLLARATGGQFVL RIEDTDQSRLVPDAESKLYEDLKWAGLSWDEGPDVNGPFGPYRQSERLPLYHQHAAEL LAEGKAYRCFCTPEALEEHKRIANAAGQPTLYPGTCSHISPAESEERAHKGEKFAIRF RSAKTPTAVRDIVYNHFRKKEFEDDYIIIKRDGFPTYHFANVVDDKHMEITHVIRGAE WLISTPKHVELYNAFGWAPPQFGHLGLLVDENRQKLSKRHSGVSMTWYQEHGILPQTL LNFVALLGWRGRDAAATGGAKKKSGPNGDVMSLDEMVDIFNLKFSKGDIIVSLSKLSF LQTQHLKLLPQTLPSNPSLLADLQTRHITPFMDFLSSVESLRSRSPSPEFPSSLGLHL LGDRIPNPRIFSAPYVADLVQIILKSQAHLAKSTTNPPTTPEGNLVPCEHNHPRTPPA FFPLRYLYWTLSPSALRSSLETSCIDISTVTVNSQPCSLSQAVDWLIGELKGIPEEEW TKEYISLFLAQRKEAIGFKEGEKVTRNTWKICRWAVLAGEEGLTVPLSMEVLGKEETV RRLEAAGEVAREGDGGDGGDAFAAGVVGEGALGAAGAGTGKGGEVEAGSWTDVLPDIE MGDVILEIEKKKNDCVRRKRDGKSQKGRGELVGSAWSRRCKASVCHRRQYSVSCIKKK KSTPVSGFQSCKRRYSKPETGVLFLQLTECCRR QC762_705520 MELPLTDKLTSNHLDALYSYTHFTSNQPPSHIGDHASKPWVQDP QYFKSVRISPVALLKMVMHARSGGSLEVMGMMQGFIDRSTFVVTDAFRLPVEGTETRV NAQGEADEYLVQYLSGCREESRQENVVGWYHSHPGYGCWLSGIDVETQKLQQLQGPMV AIVVDPDRTVAGGKVDIGAFRTFPENYTGGSGSGGSGGGGDKSVPLGKMEDFGAHASK YYALEVEHFKSTLDNKLLDALWNKYWVGTLAGNPLLTNRDFASSQMRDLGGKVREVVG GSGGRQGGGRLAGTGVPLGGGGGGGGGGGGGSSSKARGQDLDKIIRDVGQVAVKERAG LMAAEVKESIFGGLRERAKMGGCGCKHE QC762_705530 MALLNPTFLFGIIVLLYLSTFVLFAVIRIITGLSIQRIGYFSFR RLSYTPRDGIRIDIRGLGLNVHRPTFSQPTWLSIVVSELAVIVDIKELEGNKAAGLAA GSEDSDSDSDGDNEKTKLNGAASTPPTAESMKVPKITRRETMSAGRSKTWKRLTKIKE KLKLLHRKVNWLRMVDVVATNSSVNIVDVGNVQVGSFTVAVDTRSKMVDRARFFLQGK AERSRQKQQAEWIVTLRSVLFTANGGESLEVLDSATLNIHGYLYEALDGLRDAAISLK LGRLHIPYDDVSHSAKLSKQIKSQCNQEFAQSDPMGVIVDQVMQEIDVPGSTTNHELM QTVSDSKELVSSILRGIKEVQFAVSYVGLTKKIDHVRPGGNPVLLNASMKEVGIDLHR LDPKSSAHRMYFPSKDTAHEALAAALSVSIGLDDGHGKPERIMYIPMATTTVRTTLPS KTVELTHEGTAEQRNANILFANSVVTSPSVDLDPRHLPLLIAMLRRKPKAPKSEPQTR HMLISRLLPKANIKFSMHEPVVRIALPPVHKGADPDDFDLIISSISSISLDMESFHST VDQLHYSLAATMRLQTHHLYYQTSAGNEKIDLLETDSFDLKIQLSASPNVQVVATGNL QTFSLKMVKDEIGDGLRQIVRQLRLDVEPDKRASSKTARHSNFLRAMPAWLLHFQLSC SDFSVEVAGVDKDISDETRGLAIQLDTWTTEYRAQRLDNLQRRPSRRRASSRGMMSPE TDLLKTIPMSPRKKYHHDGDGRRISLHVRGFEAYMIEAEDKWEVEPFLNIPKLELAFS TLSDNQGPVFHVHSQIRTVLLQYSLYRHYAVAVAVAVLRKAFVRTNAAGGASPATPVV MVPSTPKMGAKRLVVGHLSPPSAGGTIPELSLDGEVARSFVQELVAVDFKATLIQIKA DLPSDPQVMFQICNLEAGRHRWSPPFLHARLIRLYAEAPRMRRVWARIISVKNVRLDY RESRKKFTGGQVQDERMFDVVSETIRVAVPHDLVVYKITDNFVNSIKSVQQLHHRFKT GTNEYILDKGPEGPRNVPKVSLRTKTLLFELEDGAFEWKLGVIYRAGKVEMMQRQARE EAFRVKVKKIHEEESKKESAKLRTRSAASRGKTRMSGDGGPRSRSIGADQGRSGGGEG RFRGRAPRYDPEGGCLGISGDARISINEARERLNIHNARSWKQRIDQQYELSKNATKD LHAAFWGHNEVPEDWNDSEKILEVPQRPALMSALLSDLHIMLDKPSFPMKDLPDFLHK VGKGMPSDMKYALLVPMSVRIEMGEARISLRDYPLPFIHVPSTKSSQSARMQALSMTT DFVIAEEYRGPESMRKIKVQIVPGRNLDPMASNQGSFAVDVRRTIGPVKTFSDMVIDI HTSNPTRITWGPSYQPAIQDMMMAIESMTKPQLDPSEKVGFWDKIRLNFHSRVRVAWR EGGDVHLALKGSRDPYQVTGNGAGFIMCWRKDVRWNIHADDDPKRFMTVDSGEYVLAV PDYSHHVRETRRQHGEDESFTSDSSYKSGAAFKKVVMKLSGKVQVMAGLVFEKAIVNG ERSFEFKPHYDVILKAPHLAKAENGVPYDAFRGFRSQHIHLSVAVRAPVDREWTGSVT EPSRSYNTVHLTPRFFTHFFAWWSLFSGPLSLPIRQGALWPGREKNSKKFGRHLATIK YNVLLAPLFLSHIYKHKDVEDYAENSVSATGIKVRFDSWMLDLHMRREEFTTPDRGRN TQSRTSGMRIHAGQLDLVSADVRAVSASIRGTTADAVTKASLSSYIGDQEPDVADVSR FTIPDNDSRWIDMDDFVELDWILPTELNPDTKILPLAFAPRVTYFRQTDIGGLIDGDP DRTTHFGKEPTHFCIMSHDDDPRRVQSQLIRRRLEQIDQQAEIHRRTLGEIELRMVRG DTTTADLGAEYEILSRHTAVLHGRKVFLEGMLREMTPRAASCNDEDEESTATEGDLNR PDYLRGDDSDESEVTGEFASDFKNRFVVHNVQLKWNNTLRNIILRYMHQVGQRRGFVY YLSRPAVKFILDLVDEQTKSKTAATPTGTGKSPDANGKDRDIQKDIEDRIRKILGDGK KFSGSTGNIDAQHPAMADLSGGIADEFTTQNAYHVRLIAPQIQLQSDKNKKHVVLTTA KGMELKVVDVLEKSRISDNVSGLVQRRFLVNMDSTQFFVTHQKWFSTQLVSMYSGNTY GTPAGSSWPPWVPMEVMFDFQADPFGFKRVVQKTSAMLRYDKYNTLRLKYNDEVNTSE AAAVDNLSQAGSAQESRTDNLWVEFPQAHALCNSSQYYAIYVIVLDLLMYSEPLEKTR NERLEKIMLASDFSDLRGAPEMVIKLQERVRQLEDIKTHFQTHSRQMDEKGWQDRLVL ERDLAACEDELFFMMKAITTSQRKFDHSTSSALLKWSITAKEIVWQLIRDNNEPLVEL QLKDVEYDRTDNSDGSHINLIQVGRILGLNLLPDAIYPAMIAPYFEPSAGVVDIETKP MIRVYWNMLEAIAGIPIMDHFEVNLFPLKVQLEREVGKKLFEYIFPGIDGDAPAEGKN TSPFMIKQSIPGEEEDEGDNMSISESLANQITDHLTVPGEQEPEVPQFETRAGSLELR LRPTLTSDPDEDPPKHKALSIHSGEGTSFRLFRSGTGFKAVNKKRSYDSLRGSTPRPG VSRTGTGFSSNISISGDSRKGSRFGLRHRSTATDEEKAKARSDDLTKMIDRASNYMTF AYIKMPSVVLCVSYKGKGERNFEDVHDFVFRMPTIEYRNKTWSNLDLALALKSRVIKA LISHTGAIIGNKFSKHRPNIAQQSKLREVATSSVLLATPVGSSIAGGESRENSGDDSS SIYGVGASPVDFSRSPPQSIRRAATAASSIPVPKSSASRSSSIASSQRSATVPQQQQA SAAGHVVANTQATGAIPTFLMTQPTQSTISSGSSSSAQEGGKTGFGSLLRPASSGWSK PFGGGGGSNGQQQQHQQTKRPGSSGGLGMGMGNNTVAFSNYNAGVGGMGMEEVMNSGG GGGSPERKRTGGHMGFRDKLSAIRHKLKEGGGGGQREQSVVAVRDQGGEMVLEEGGED GEGGGGLMKTLSHRSSRRQ QC762_705540 MKRIALSEVDESKTNPSSSSPEQMPPRDQMPSKEQRQLLPQEVM DIVVPSLKVGGSAGACGLFMGGAAGILRGAPPVFFSLIAGGQWFALGSSYWAARLVAF NALGGEDKITPGDKLKGSTFAGAVSGVVGGSIRGPRNIIPGAIVCSLLGAGGQAFANR REAKQKEAEKDPSKNKRFWHSSWSPITALSDQDYVNLLEEKLLRVEADIALIDDRIRE LREADSKKMGNTPPPTEQVPLVQEVKEATDNTPIQADKKGQSQSWWRW QC762_705550 MAPKKEKAQKLSLGEFLGETGGVSSWADEVEDTYGTQSFPSTDR RTGPSSYGNNTSYGNDRGYHSLRDNLPQELPTKPPYTAHLGNLSYDATVESVTDFFHD CNCVNVRIIEDREQNRPKGFAYAEFADLEGLKTALTRDGQSFEGRNIRIKVADPPRGG FGDRTESFRELDWGAKRGPLADTGGRSNRDFGDRRPPREFNDERPVREAREINWERRG PLPPAERPESREGARARNTTDFSAARRASPAAWGPGEGRQGGDGSRPPRREFAERPER PERVPTAAEKEINWRNNMRPVEPKSREGSEAPGSPAAAPAAQPAGRPRLNLTKRTVSE APDVISPAPTSKSNPFGAARPIDTAAREREVEEKRIRDKQEAEERAKAEKEAKEAAAA EAAEKAKAEEAAAAEAAAEAAEKAKADAEAATAAGTKPEVQEGEGEQKLPVRTREPRE PAPKSRAAESGSWRRAGDAPARGPPSGPRRSGGAPRAPRQDGGRPPRSNGTTDARGPL SPTTEKAPASPAVDDDGWTTVTQPVKGRRGGNRPLA QC762_705560 MGQLLSTSSSSRRSHLLPSSASKAPLGKTEADILLSDSSSELSH PFPTEKNNDNATNTQTPTLLPLLKKAKGHYYYPVSGPKILDACGGAGVACLGHGKSNK SVIKAITTQISTVQYASYAHFRVDPVLQLEKFLCESTDGKMGKMYLMSSGSEAVEAAL KFALEYHAWNGQPERVNIISRSHSYHGTTIGSLSASGHTTRRQPFTSVLNRTNFHHLP PCNPYRSPLPREEYLSSLLTSLESLITTLSPSTIAALILEPIVGAALGCVPPLPGYLS GIKSFCHTHGILLIYDEVMCGMGRTATSPSHHLHAYQSFPEPDISPDMMTIAKSFSAS YLPASALLISTPLSNFLTSHNKVFTHGHTNQSHPVVASACLAVQGTIQSRNLLSNVAA QGGLLLHLLQKQLSQHPNVGDIRGRGLFVGIEFIADKTTKQPFERELDIASRVHKTAL KNWQVLVYASQGCADDQGRGDVIMVMPAYDVTAKEIRDMVRRIAGAVREVFGSLWVA QC762_705570 MTAPVTSSLHMSLPPYGLPRGRRRQAGNPSDAGRICQCHAFSGC QHNPVSACVTSSRSCHGSRCPTSSCQRRSTSKTRVGLGM QC762_705580 MAHNSDSMSPPSSSQLIRSGRKGSKKVRTGCITCKIRKVKCDEE KPFCMRCTKTGRRCDGYLDAKAISQRRRRSGGLGQNAAGEPHAPLATLFEWATGDEKR AFHFFQHVTAPCLAADHDGAFFRVLVLQICQTEPAVRHAVLAVSSLHEGMVQAAMMPQ LLHNNDSENRSSFALFQYNRAIACLLEQMRTVNARPLVPLLTCVLFVCIELMQSKDKE SLIHLEQGRQILSQLGPRVTGRSPEIDLIKQHLVPMYTRMSLTSLMLGCAPTAIPEPL KTLTEVPMVFKTIDEVRYALYDFMDQCLRFAKKSHAAKISKVPEEDMRAFELEQDVLL RKLAKFNVAFSLYRSTKAKEAPPGSIALIQVHVHTTFIWVSTALSRHETVFDDYVDTF SAIIPLASEFINTLLSPQGQGPKGPSGGPDTRRLSAMFTFEMHVIAPLYFVAAKCRHP MIRRAALELLRRNPGRRENLWRANVMATIAEHTMKLEEKHLRSRGERSVSPPGAVGGG SMQQHFPYQFGPGDAWGGGGLEGVPFPDGFLVGGHHHPGQQQQQQQQQQQQGFGLFDS IPVGNNRPMSSSATAVSVEYGGMATGQQQQQQQQHHMPIDPSLLFDASTAAEVSSAHS FSVAPSIASSLDDLGSSQTIFVGGAGKGSTNPAPGGSQPTHSQVSSWGGSTGANVAPG IALEPPTPRDDSPFGHILSRQSQSVGSPSVGSEGSPELSAIDSFGGYQLPYQNNGYGM DFVLGGGGGGGGGYGGMGGIGGMGNMGGGGGMGGMGGGNNNNGGMQFWRSGDAPYDVP ERYRVRESILGPEKEDGSSWVMMFRKLGGLDGEWDVLTESVVC QC762_705590 MAPEPSADHPEHKKKVNLMDASGAERKDEDDTATAILKKKKKPN QLMVTDAVNDDNSIIALSNNTMDTLQLFRGDTVLVRGKKRKDTVLIVLADDDLDDGSA RINRVVRHNLRVKHGDMITIHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVFLAPYFR EAYRPVRQGDLFVVRGGMRAVEFKVVEVDPPEYGIVAQDTVIHCEGEPIQRDEEENNL NEVGYDDIGGCRKQMAQIREMVELPLRHPQLFKSIGIKPPRGVLLFGPPGTGKTLMAR AVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKRD KTNGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGVP DPTGRLEILQIHTKNMKLGDDVDLEQIAAETHGYVGSDIAALCSEAAMQQIREKMDLI DLDEDTIDAEVLDSLGVTMENFRFALGVSNPSALREVAVVEVPNVRWEDIGGLETVKE ELKESVQYPVDHPEKFLKFGMSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGP ELLSMWFGESESNIRDIFDKARAAAPCIVFLDELDSIAKARGGSVGDAGGASDRVVNQ LLTEMDGMTSKKNVFVIGATNRPEQLDPALCRPGRLDSLIYVPLPDEAGRLSILTAQL RKTPVADDVDLNYIASKTHGFSGADLGFITQRAVKLAIREAISTEIQRTKEREANGED VDMEGEEDPVPELTKRHFEEAMQMARRSVSDVEIRRYEAFAQQMKNAGPGAYFKFPEG GVEGAAGNGGAGNSFGDAGDDEGLYD QC762_705600 MSNNNNPSTPVKIPPSAAGYTPATLDPELRSSINGTLIKEGHVA KIQEILLHTLHANPTNWPTLVENHARDLLRSGEVTTFPVLLKRVMDDIRHDTALAPSN RAANGTPGQGEEVNVNGKNVNVNGNGIKVGGGGRGENGNSLALPQQVVEDALRVTRAA LEGVVEIEEGNP QC762_705610 MDALLQTSGPEHDGQQYVKAFAQVTYETTQKCYPVVLLLAFIIS AGIHSIAKSRTEEELLIPTATGPGGKPLPITKRKREHRDQQSLYSTDGCNGGISIQVF RYLVGALILSFAANAAATALHVWQSSKVGGELWWCGEERVVYVVGAAFLYIYVLITLF DWDDSPTPVHSIIWVLGLFGEAIILLSFVCTLATGKYVLDGEEMGALRENPLGFWDLT DLGIGGVRLLIVVFLVSMYALVASKRRLEERRLLDEEANRSDSDETSPLLSHSGTATP YNRGRSRQNSDYGGTRNVNKNANNDPEGGYQQCEDNAAFYRPDKLPHKTWFEYCRGYS IFFPYLWPSNSTKLKLIVLLCFLLEVSQRVVNIMVPEQIKTVTDTLAKNFDHPNTGTG AKIAAGLTGIFSFSGGKDNGENPGNTGAPWFDLGLFIALKLLQGPSGLLGSARSILWI PVSQHTYRALTTAAFEHVHSLSLDFHLGKRTGEVLSALNKGASINQFLEQVTFQVVPM LVDLLLAIGWFYFRYGAMYALFVSIITFYYLYLTIRMAATRSDQRRDMVNADREEEAV KNDSITSYETVKYFNAEQHEFARYRNAIVNFQTAEAKVTWGINHMNMCQSIVFMCGLL VVLLTCGYEVSQGTRTVGEFTSLVTYLAQLQGPLNFFGSFYRTIQQAMISGERLLELF KIQPTVVDSPGAVPLQESTGHIKWENVGFSYDNRRTALHDLSFECKPGTTTAFVGESG GGKSTVFRLMFRYYNPKAGRLLIEGQDVQDLTIDSVRRAIGVVPQDTILFNETLMYNL RYANPSASDEEIYEACRAAAIHDRIMSFPDGYNTKVGERGLRLSGGEKQRVAIARTIL KNPKIIMLDEATSALDGETEQKIQSKLISGKFGQGRTLLIIAHRLSTITHADQIIVLH AGTMVEKGTHEELLALNGRYAAMWEKHCRAERAAEHARDATRKAKKLMGYANISRPSG ANGYDSMLSSAILPTAMNSPTLAPKHDDSRSISSNDSHHSGSGSDGTLQEEDDEQPEK LQPNGVKQDEERRPLLYSFPSGTTAGRSTDAPDRV QC762_0107980 RTTLVCLFFVPIPLSSPLQPCPPPPDLPTIVPWTGSAEIGPAFH PSAHRRQQPSQGHLRKLQPFWEATSTFQGTYNNISGFPSPGERFGEGFFSTRRLNEKK EAVSHRPRAAFYSSS QC762_705620 MPTFLYHMRNTSPHALAILAHWTRTYRECPTSYPFPKAQPKQAL FTSRDQCHPELHRSGTTPKMRGISPSCPDSRSLAALLPQIYNSALDNRLTQQFVSIPS GLIEPHRPKLTIHRHLHIQLHLRHQPRTIVRLLLPLTLPLIGHNRPNLSSISLIPPTR RDHVSIISTQALKAKELCRSRVGYAICRG QC762_705640 MSLSTLRTGLAALVLAHGIRAAPTPSELDLNTIPPPAVNLTAVT DLASYSDFTVYDNCDCNKNNGNEYRNKLNCDTKVADYRKSVYVDGLAAAFGGFEDKHG KFECGGQYFGILFKGCYDLEKKYNGRIRCLRPVPPGWIEKHGGKGYGGGGYGGYGGNN YGGGRGYGAGYSPSGYDSEGYCDQNSHNYDNCDGRQRGYGGQGGYGGGYGGYGGGHGN NYGPGGNYGGRGNNYGGLGNYGGHGDNYGNGNNYGGHGSGYGGHGSGYGGPGGNYGGS GHGGYGGAHNSHGNYDGYGNGFGNNYGGHGSVYGGNDGYGNKYDGHNSGYGHDGHRFG QGGYGGVSHGSGYGGPDGNNYGGHGSGYGAHESGYGGPGYGNEGHKYGHDGNKYGHDG NKYGHDGQNYGGHQSGYGGPGYGNEGHKSAHNGYGGPGYGNEGHKSGHNGYGGPGYSG HGGYNGYNGYNGYNGYNGKGNYIAEVKPEDLVAVVEIQPSAVVEATVESTTTASA QC762_705650 MGLTYNTYLNSDVIYGCKNCKAHLANAHDIISRNFRGQHGKAYL FNTVVNVETGDPSERNMTTGRHVVRDIQCRQCKDVVGWKYDRAYESSEKYKEGKFILE AELLCKVT QC762_705660 MSVSVPGNATAGAQAGGGGHGAAAGGATSSVDHAVVNKMLSHYL LIVLGAVSGALLIWRVTTVLVRYVRTVTCLHNDQQRYFAKPSHNYSWFQKNILYAPIF SKRHNREFQISSALNVGTLPSRLQLFFLLAYLGTNVAFCVMTIDFSGPLGQVAALIRN RTGYLAVVNMVPLFLMAGRNNPLINLLGISFDTFNLLHRWFGRIVMLESVAHTVAWLV GNASKNGWSAAFNTAITVKFLMWGFISTCAMIALCIQASSIFRHAYYETFKIAHIALA ILAVLGLWYHLDLKKLPQLKYLYAVCALWIFDRAARFLRVGYRNIGAGGTKTLVEALP GGACRVTVSMARPWDFRPGQHAYLYMPSIGFWQSHPFTVAWSDEVEQLDGEKGLPMDR HDVLYQKKTSVSFIIRGRTGFTGALYSRAAANPDGKLVTRCLVEGPYRGSHQLHSYGT VMLFAGGVGVTQAVPHVRDLVAGYSNGTVAARKVIMVWVIQTPEHLEWIRPWMTEILA MEKRREVLKIMLFVSRPRSTKEIHSPSSTVQMFPGRPNIDTLLGMEMENQIGTMAVTV CGPGALSDEVRRAVRNKQYNGAVDFVEEAFSW QC762_705670 MRASAPVMQGLWTAKQCHRLLRPLLAHIAALKKIKERKAAVRRN SDSTQPQQSQITRGRPRKSVVLGKRDRGYPESDEEYSTKKKVTRKYSRKGSQRSPAED SSSATTTPPRDGTVRQRNLPGARPSQDTVFPTPHLRRIRNHEQSSPVIQENRIEITVC GHWNCVKRCRFDEELARLRSSIDPERLALFYHVVKALDSLLKKTRPDRVENIAAPRSL MAMCLRKIPAYIRWEKKEIEKDQDSQPEPQDCGVSFEIYDELEALGPADGWKNLCLAV RAHSIQIVHEAAEEGLFDDNIVALLIRLCHEYLPSNEFMPLIDTFIFRQYPRPALRDN DFDHCQALHPIRVIQTLGHSTDLTPNKVASLLSGGFLPSEWILTGGFRKLWHSTIARI AQMKPCDDVVELATTTLTLLCDHASPTRPRGVAQTRIRGKPQSQLVDAIGALGAVVLL SQEGKDQGAAASSSTDRTSTIRRRVQYVVDTCTKQLRHRKKKGRKLGTYLFALCSFLC VDTTTSSAVIESSWRGVLNCKGNASLMLQYDATIALLASIVQYCVRGTRLDTHMYLLQ LCDKLQKLDLPRGALNNIQVDAAFRVAESTGNMRDHAFAEGLRAKMNAAATPVPEGGR RRGNFSGIKWDDVISEWVAATPGTVGGKKGVGRGSMAARSAGIGEVLDSEEESQEDHH GDEVSDENDVDAEDLVDFGEHDSEHEEPPFATEEDSIEQGTAPNSSSASEDDDDDVDP TSPITEPSPSEAQQNDQSEVEDNNKENFFPENDNDDGFDQPPSSPTKPSRVVPKCLLS ARPRRLSRPITRGGDELGMDLDGSSSSGKKVLVTRGSTSSWLNRNKPARFRPARPSRV SLGVGDSATDDSDDELSIL QC762_705680 MADATSTTAAAAPPKEPVADEQNVEKPAETQTEEVVEEEEEEQL EDAPFIVKIVLPHDDKTFELPVSPLEQIHEIRQSIIEHPIAVQYSCFHLEHNGQRIND FINVSDVEGLANNSELHVVEDPYSEKEARIHFIRVRELIGAAGDRTDTVQGILSGASV FDDVVAEADKQAGAEVAVQEYNFEAGVSPSILLPKEHEAAPKTVKLITLSSWNPPPAP LRQKGHLLYLTITTNEGEQFQVTSHAGGFYVNNSSNSKFDPSPKQDKKAGVISAHSLY TLLEKLSPSFAESYEAFQKFANRKDPLATFQIGNTVPSAPWLVPSTSSPLVAHIADPT RSQETFLLGGAENTDSLRDWNEEFQSARELPKDTIQDRVFRERLIAKLHADYNDAAAR GAVLVARGEVAPLNPTEGRDAQIFVYNNVFFSFGADGVGTFTSEGGDEAAKVATGKDV FGVKLVNQLDIDGLYTPGTVVVDYLGKRIVGQSIVPGIFKQPEPGENQIHYGAVDGKD VVAADEAFAPGFATLASYLRVKKHAVWDKENKRHDLEASVEMKGLLGTDGRKYVLDLY RITPLDIQWMEEASPEGAEYPHRMTVLRPELVESLSKQKAREYLSAEVSKRDSKKTKE VKEETKEEAKEETKEETKEETKEETKEETKEEIKEEEEKEREAKEEGAEEAEEKKEEE KTDRIDMSGFKFALNPDVFSGQVPQTDEEKEEMTKDEQDVRDACKYLTETVIPTLLRD LKESDISFPMDGRSLSALLHRRGINMRYLGKLAQKSVPETTDKEEKVDGDRLKCFREV CVREMIARAFKHIAAKYLKSLPLSLTSACFAHLYNCLLGFGLNPKPEAEIDEAYRALF SDADLAFEKVTPESLREEVQHEVARRFRYTLAENWYTEARPVQLLRETALKLGLQLQA SKFHFTQSEADAAAAAAAPAPAPVQTNGQAAAESTGSKKKKGKKARDASPSSIVSTTV PHTFSPDDFVNVVPLIKDSCPRSALAEEALEAGRLSIYQGQKKIGEDLLLESLSLHEQ IYGLVHPEVAQMYHTLSQMYFNLDQKDAAVELARKAVIVSERTLGIDSAETVLNYLNL SLFLHQRGDSKIALVYARHALDVWKLIYGPDHPDTITTINNYAVMLQSIRAYTESRRW FEESLRVCDQVFGRNSINSATLLFQLAQALALDQDAKAAVDRMKESYSIFKALLGADD KNTKEAEHWLTQLTHNAVSIARQTKELAAKRARAGYKFTSSGVGVGSVTGAPAQLPGA RGAAPSTKDSRNIDELMKYIEGGDKKTKGGSGGGKKRPGKANPKRRGGASGTA QC762_705690 MPSPRAQRARRETRSEAVVEHSSPSRPSKRRKKNDDVAEAPDAA VEPADEGDSSHVADQSTTPLTDEELITKVSRSLHASAQPNQLVQASRDHSNLLHENNN EGVQAYAKLAASDWTYFIRQLKVNIGRCPDPPAAPAPAPAPADSQGSSAPPVADDNAV HVDLGPSKMISRLHAVIYFDGDQEAWRLTVKGRNALKVDGIPWRNGQSGVLKSGEVIE IGGVEMMFVLPVELSPLAIHKQYLERAGIGKPEPAAAPVRPVRHPLPSGDGLHSSSPN KSSRGHGAQKTLAPAPPDYKRPGTPPSIRRVGLGVKGPNVDSTPGPILMTNSDVDLSL DENAHIKPQYSYAQLITQAIISTPDHKCTLAGIYHFITTKYAYYRHQPAGGWQNSIRH NLSLHKAFEKVARHSDEPGKGMKWQLVAENREEMIKQTWRVGRGGHRGASSNPSSPSS AQLNYITSGPRDMAAARDPISSARKRKVSPSGSPQPRTVLRTTEAAAHSTPVRATRKP LPDEPGKDLDGSPLPRAARKPAASSALGMVENAPGSPTLTSSYDANEDHLSQFVTPAP PRVHPRLAPPSTAQRPSQHMPTSSPAPFWRYADFGSTPLKAPAGFEVGSPTKGGPVGG LGGDVKGEQQVASSPPPVVQSRRERSKSPVGGVPPQSPTRRGGSVGAVVAPGGLEDEE GEVGVGMPEEEGFDLTKGFQSISSFHATVVKPGSNGESQSQSQGTNANGDPETQESV QC762_705710 MWPSSPPGPPPQAHSHAIVNPLRDEEQQALPPPSYYSSVLQPAG GNNNQQEQPPPVDNKELETQPPRIGDRAPSLGQSITFPTDKPLLVVFLRYCGCPFAEQ AFTNLTTFSTTHPSITCIAITQSPPSESDTWIISLGGLWSISTVIPDPSLSLYHSWGL HQNRSWYDWFVENLKVPITKPVNVTNLEHKPHGRYEGENKWQQGGAFGIDQDGFVRWA WVGRKVDEVVDFERGGVVEALLGREKQKKKKGGEGGDNKDEGGGNGQLM QC762_705720 MTSSLAAIPDRGHPAGDSQRQQTSTPTNQSAKGKEQAKLSRTGN PSSGGNDRQPVFDTDSLNEQSPLLSPSSGSGEDDGFIRAQALDNGEDDHQATKSVWYL ILLTISIGGLQIAWSVEMSNGSPYLLSLGLSKSLMALVWIAGPLSGTLVQPYVGMLSD NCRLSWGKRKPFMLGGAAATIISLMFLAWTKDIVGGFFGVFGADPESAFVKNSIIVIA VLWVYILDFAINTVQAAIRAFIVDCAPSHQQEVANAMASRFVGIGNIAGYLAGYTDLP SVLWFLGDTQFKDLCAIASIALGVTVVATCIFIRERDPRLEGPPIKDKPGVLAFFNKI FTSIQRLPPQTRKVCEVQFCAWIGFFPMLFYTSSYIGEIYAEPYLEANPNMTPEELDR LYEDATREGTFALLIFAITSLATNVFLPFFIAPTYTTQPGSAVVSPDEGGPSSLKDYD EEKSWLDYLVIPGFTLRRAWMLAQILFTCSMLCTVFVRTVTAATVLIGLVGITWALTL WAPWAIISAEISRRDEERRQQRAQRMLSPTRGMDGYNSDEARELESGEDAEAADQAGV ILGIHNMAIAAPQIIATLLSSVIFQIFQKPRGEPGDHSIAIVLALGGITVLISAFFIH RIRDDPDVPADIMSAVEDGDAPSRPGTARSRTRSHEQLPRASLERATLVRNKSFGGME Y QC762_705730 MLSEVVASSLVELAKREEEDPAGSDPPHQEKYSSWAIFILLSLL IVSFCTSYTLQQRKITAIHETVVSIFGGMTIGLILRVSGFDSVRDLVNFNYQYFFNLL LPPIILSSGYELHQANFFRNIGTILSFAFAGTLISAVVIGVLLFFYTAISPEGLDVSW TDAIAVGATLSATDPVTILAIFNSYKVDPKLYTIIFGESILNDAVAIVIFEASQAKHS SGSGGIGIFSILHGIWYFLKEFFGSLAIGCIVGVMTALALKYTYVRRYPAIESCLVVL IAYASYFFSQAIEMSGIVSLLFCGITLKHYAYFNMSRRTQLTTKYLFQVLAHLSENFI FIYLGLALFTEKNLVFQPLLIIVTVVSVCAARWLAVFPLSRAINWFRRYRAARRGGEA DELPYSYQAMLFWAGLRGAVGVALSARFTAKETQALQATVLVVVVLTVIIFGGTTARM LEILGIRTGVTEDADSDDEFDIEAIGGGLYKRPDNSGIGYNPRSRSRGSAVALDNVGG NNSNGAGWTSGNRRKPSHGRKGHNSKDSNDFERSELLGVNNGGSSNTDSEFGSDIDIS DLPPPAPRRRSSPMPGGDIPPASTAATTLGSGQNSESGNHSTPLTASAAIRQLFRTDD PSALFRQLDEDYIKPTLLLDGSHGRGGSGGGGSH QC762_705740 MASSSSSVTALPTTPPSPTPKPDMESQTDYNTTSNSNHVPHWRL VLSPSHLTPAILSHPYPGDGTESSPYIVDFLPGKSDPFNPMTYPDYKKWIITLLQAVA TLAVAFASTAYSGGVFEIIRYFQVTPTMATLGISLFVFGFAIGPLLWAPLSEFYGRQP IFALTYMALMVFCAGAAGADTIETLVILRFFAGAFGSSPLTNSGGVVADMFDVNERGA AAGVFAMAPFLGPSIGPIVGGFVGESLGWRWIQGLTAIFTGTLWLLCLLYVPETYAPV LLQKRAAALTSHTGKIYISKMDLLDPSTKSQKIKTTLTRPWFLLFKEPIVLFTSIYLA IVYGTLYLLFAAFPIVYQLNRGWSPGIGGLAFVGVAVGMVFAVTYAMIDNKTRFMPLL SSGLATPESRLPPAIVGSIFLPVGLFWFAWTNGPEIHWMVSITASAFFAAGLVAVFLS LLTYMIDSYTVFAASVLAANSVLRSLFGAAFPLFTTYMYQDLGIHWASTVPAFLALAC VPFPLLFWKYGARIRKRCKYAREAEEILGQMKMNHERKESSGEEGKMGGGVLSEDETV RGEVTAGEEEKGVKM QC762_705750 MSLYHEAAALLTGPSTHGGSLKSRVFSNKDLKSPPAQVYALALE TCKWSSVLKEVVENAELLKHERKLTPILSILLVHDHLLAKGGIALPASHGLRQSIDRH KARLTSEFTKARIRRKCPTIETFRDLIEAEYAGPVQHPRWIRVNAIKSTLDAQLETTF KGFEVVPSITQVMTAPRKKKVLCLDGHIPNLIAVPPSVLDFTKTEAYKKGEIILQDKA SCFPAYLLDPRPEDGDIIDACSAPGNKTTHLAGILSERGFARGQTIHAFEKDRNRAKT LQKMIKIAGSDAKTVVHPAEDFLRADPQAEEYAHVGALLLDPSCSGSGIVGRDDVPEL CLPEALASKNKPGNGKSGNKKRKRAEGEEEMKPAPAMMVDDDGQETVVSSEKDLQQRI EALAAFQLQIVLHAFEFPNARKVTYSTCSVHKGENEGVTIRALGSEVARRRGWRVLRR EEQVRGMWEWDVRGEVEGCEGDKEVAEGCVRAFRDDGRGTMGFFVVMFVRDGTVDGEK KQVEEDEEGPYVRDQQGRVVRDENGIPTLKATGRKAVEVDDTEGDVRFGSGSEGEGPF VRDGDGRIVRGPDGMPTLKKGADEESEDESEDDWSGFDD QC762_705760 MHFTTILATMAVTVAAAKERRTFATLQHKGRGPLTTCRADPIVS PGGPSAHVHAVMGASNFGFNVTGESLRQSKCTTAKPKADMSSYWVPSLYFKDPETGLL EPVEFFYMVNYYFFDATDDDIKAFPLGLQIVSGNPTLRSKPSHVSDGALQLDPSKPIQ AAQITCPRPNYNPPSWPDNSDGSRAGLGDPANKGAGYGFPFQNCDAYASPMRVDVHFP SCYNPAAGLTNYKNNMAFPTPVGNKLNCPKGWIHVPHMFFETYWNTPKFLPRYQHLLG KESPFVFSNGDATGFSAHGDFISGWDEEELQHIIDTCDAGHAGLHNCPGLKHGVNPDS ESCNIECPIQEKIAGTLDKLPGNNPIAGWKYGGGNVSPAPAPAVPEPEPVVEKPELET PKSSSAPAIKVEPSTSAAPAPAPSSSSAAAPPPLSTTLVTVPAPAPTTVEEPPVVEKP EPTSEAVLPPAASPKVRIVYDTVTVWQTRTVYEAPAGPTQSAKSGAEISGFKAAGCYK DQSDRVISGKILPNIGQVSNTACVEYCSSKGFSVAGTEYGGECYCGNSLNIVEKLDDS KCNMTCKGDASQKCGGDWALTVFTKGGAAPAKAEKRHVRNHNHLAHHARIPSRHLHRR QC762_705770 MSANWNSRSSPLNRQNEAKGLQYEALLMDGKRKDALKHAISAAD FYMKAIQDGAPGVDAAKLRNKISYLLELGETIKANQKQAGLSSRPPELISTRVLSTSE RTILLRSSKLHGLIFPPWTADTESKVFSGTSLNQSPDPYIDSTPFSLSPEQRAIFSGW KRPLELVPGVDDDNLEWMMTAENPIDLAQDLATDCSVVASLCAATPHFTSEKGSLLSS LMYPFDYEHKRPKVVKNGPYLFRLNFNGCWRSVAVDDRLPATSTDRTLYVVDRRNPKL LWPALVEKAYLKIRGGYDFPGSNSGTDLHVLTGWIPEQIFLKSDDIELDQTWDRIKKG YDDGNAILTLGTGNIPPEEEEALGLVREHDYAVLDVRSDGNSRSLLIKNPWVDSLVWT GVGSSATLKTHTVGSRPEHATNQFWMAFEDALQHFDSLYVNWNPNLFQFRQDHHFKWD MPDATEELVFTKNPQYSVVSSSNSPIWVLLSRHWQDGELEILRERKAERDRHNASLAH VSKQLGFMSLSIFAASPPGTRLPLPENHRCLYQGPYVDSPNTLLRYDPTPNNPQTLVV AQGELPLPTYNFTLSFFSNSPLTIRQAPEPLSHSETITGAWNRRNSGGSAAHPSYFIN PQYSITLPQTTPLSFLLSTDVKDLPIHIALIYSSKNITSISGRDILVSSPEYQRGATF CQTHGSQPLAAGTYTVILSTFEPGQLGKFVLRVATEVEGVVVGQVMSSQAGKLRSVFP EVAVFKNGEERLRGRVGIGRLTRLSVVARAAVAGGEAGNGGGGLRMKLELGTGVGRRV VGQSKGGGFTQEWGVLGLDEGEVDVDPEVVRRMGGLWVVLEQIGGVSRGGGKGGVQVE VWSDGGVVLEGGWECVDED QC762_705780 MGAQSKPAPIGPWGLATAGAAGAVFANTLVYPLDLVKTKLQVQV KANSEKGEGASDEPHYKSSWDAISRIASAEGIQGLYAGMAGSLLGVASTNFAYFYWHS TVRTLYLKHSKHTGPTSTITELSIGAVAGALAQLFTIPVAVITTRQQTQNKEDRKGFF DTAKEVIEGEDGVCGLWRGLKASLVLVVNPSITYGAYERLKETLFAGKKNLSPMEAFA LGAMSKALATIVTQPLIVAKVGLQSKPPAIRQGKPFKSFVEVMQFIIQNEGALGLFKG IGPQILKGLLVQGILMMTKERVELLFILFLRYIQLVRSKQLRRSADLAAAAKLVSPVT VK QC762_705790 MAKSKNSSQHNQSRKAHRNGIKKPKTSRYPSLKGTDPKFRRNHR HALHGTAKALKEFKEGKRETA QC762_0108150 MATNHPPSALSSGLFSPDSWSLSNTASFAIFRSSFEASGLLQES FRKSFRAFSKIALFRSRLRNRELCFILATGTEQSLEKLL QC762_705800 MLNMSNIMSNRNSTPEASNVSSLRPPSSRAVGANPHPLRASADI STLSNQAAAARIRPSSDFYGQVQGGQGQGAAELDPQDKLTQQWIADIDQYENTLEEMA AATLDQDFKDELSAIEQWFQVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMGKNHP MSGVLSPANFDKDPMSNRLSDAMSKLNVDSARNSMSRASPAAKRQSGLDPSTINAMFP DAAAAIATEKAKFTQQTGQPPSTRNSLVDNRNSLAAPTISAPAEDPNGQNPASPWGPN DANRPKSSSAGQPPMGQFVQPPPSSGALRSPRPNITGNTNIQSTTLTTGDKGVGDLPL LSPYTASGNWASMVNTPMVPTFNQGGNNADMVANATAMKLAALSTVNNRFALDDVRKY RRARSNEPDPGQNPLSPGLPSIPGAIMVSQHGGGMALGRDGMLNLQQPQQGMGFVNHR SRPNSPGVPLQNSYVPAMAFASPQNNGFLSAYDGSAGLMNNGLAPNFPPHIQVGFEGG GYHSDHSDMVRGRSPRGRRGTSKPPEDPTDPTLLQDIPSWLRSLRLHKYTDNLKDMKW TDLIELDDKQLEERGVNALGARRKMLKVFEQVKEAKNEGKLSS QC762_705810 MNTFSSGLGGIPMDMLDPSLFPEGHRPLPPAQQQDQDAEMGDCP APGDDHLEDDGEESDVSDMSVDSDAMELAAEVARFDKQQEEFVARQRADAAGVPYQPP AKSSAAAGEKKTKGGVIIAKGRKRKSKTVKGPRQAVKPSPDILFRLNLAQQAFQRGDY EATMTMISEIIRINSEVIHAWVLLSSVHEALENRSQAVMCRITAAHLTPRDVPQWIAT AEYCLEGVDEMEDDEEGNQTAEKIETLKRAYACYSQALEVDRTHIQARIARADVIMMM GNQQSRALGEYQKALGYRPYNIRTVRNIADVALDVKDLKKGAGIARAAYRKVINYLME RGTFEAEEGRFEWSDLRIYLEFFGTLELWREGLKELKEIARWLSGRREETYWEQFDDG PMGDDDREWDIGEERRLGVQGFVPGKFPRESYGLGMPMDLRAKMFVYRCKLGFQDTEA RRHLELLDPTRQEEFLDFPDCLKDIAVALLDQNKAAEAKQYLDLFKHIAETEGTGSID ADFLVCQGRYHISLGEKQTAEECFIAAIEDDEDHIEARVQLANMYEGEQEQEGREEAF LLVHEALNLQKLGPRKRRGPYGPRKNTGPRKPRKPRDPNAPKSKYVPRRLLNAEKRRQ QEIEQTKEATKNYQIMQEMEGNALLGDEEAKSKWMAAAKALVDDFRAYKQFYPWDKYI KFLGYVNPSEGGQQAVPARNLKLAAMEERLRQNLAPADGADPATAATVNKPHFKIPQE HRGIPFTEWLNIFLNYAFALVRAGQHREAYAVCHAARDSVVWTSIDNTFLIHVAWASC AVYAGDEETCVAIARYFMRDYRPGTDSYRMFSAMCRVCQTPVSWYTSGPAQKFILRQI KTMDNIVMRQQQSPSGEKDDSIGLDVSLLTIYGHILFTTTSYTYALSYFARAASIDPT NCLINLSTGLAYIHYALKRQATNRQYLLNQGFSFLFRYYEDRLAEAERNGSAGQRQEA HFNMARAYSLIGLGNVAVEFYKKVLEEPPVPKVDRSDEERWKGGLAEEDLRVEAAYNL RSMGYLLGDLEGAAGVVSKWMVLE QC762_705820 MNTDSDLYDPDEVLPRNSPLLQPLRPTLRPPTPSPPPITSPQSI SSASSFGDKKPRVRMPRPTLGDGILISYLDNHRQHDIALQASVNGLPCEPESPADTDL IDDTGSLNSAVSPGGRRSGRDNDKGGGTYTSSRMSVDPPGLESLGGFDLKSLAAGALA AVITDAQPEAPTATETKLPDTEAGSGLQRPQPPPAPPAPPPPVLKAPVIPVRSNSFRD ERPAAISAPPPVPSYGPISPREQGHHSPSNSITSATLSEGLAPLKLNSLRFENNGPTL PSIRSTFGDINQLRTNVAAEHERMRSATFPRSPPATTPRLPSLGGHGSPPPLSPVDSF RGPLSPSHSLVHPAASPPGTYGGYYAQMGSHPRQSDYASSSTATPGSEQSASTPGANN SNHNSIDRIGSIPLEGVTHIGTYVCKFSGCNAQPFATQYLLNSHANVHSSARPHYCPV AGCPRSEGGKGFKRKNEMIRHGLVHDSPGYVCPFCPDREHKYPRPDNLQRHVRVHHVD KDKDDPLLRDVLSQRPDGPSRGRRRRGGPG QC762_705824 MARQQPVVVVLSCTLLDPEKRTRGLWKGGGEMLTVGLPDQDREP RADASLQISRSESEFNFSCWP QC762_705830 MAESIPETMKAVVFHGPKKVAVEERPVPKINHPLDVLVKVTATA LCGSELHVYRGHQPSPTGFIMGHEFVGHIVALGSAVTSLKIGDKVVSPFTTSCMNCFY CNLGCSSRCAHSLLFGSEKLDGAQAAYVLVPFGPGTLTVAPPSDIIPDRALILMADIF PTGYFGAKNAFSQLQKQEATEATVVVIGCGPVGLCAIISAMEYKPKKLFAVDGVKSRL ELARGLGAEPLDLNELGREGIVKRVREVTEGRGADAVVEVVGLSPALRTAFEVLRPFG VVSSIGVHNGEIPWGGDEAYGKNLRVQMGRCPVRSIFEEALPVLAKNQDRVSFMFDKI MPLSDAVEGYDLFDNMKVQKVIFEP QC762_705840 MVKVLQIPRPCPHFCSGDQAPTIHSGFPPGRSSNVKGPITTTTT KKSTKIHYLYSKMTTLNQRTSALTTIVLNNLQHQHDWTALHPHTQPNLPRTLLYGLPP KRLYVHPDEQVEIIRAEKEMGNGGRIPQEAELEWVLPLHLSEKWSPAQFAAVFDALDA RPPRSMEITKEEEERSPWLAYKGSRRGKRVLLATVQDDSTVTYYWMHDGLVKPRQN QC762_705850 MEVDHDLVMVDEFDALAIEVKLPKAHKNSSSGSSSPTSPATRGN NKRSASWTDYGTPPNAAWLHEKIKFPAKTHVTKVASKLTPTANGIIYLPGAEDKYYED SDQGPAFRQRRHFYYLTGANFPGCAVTYDLHKDHLILWIPYTDPRTILWYGRTPTLEE VRASTDVDEVRYVAGVNRYICASLTPGASIYVLHPDQAPQLESPKGVVQIDTHSLRPA IENARVVKTDYEIAQIRRANAVSSAAHRAALSRLSRLGNERELEAIFAGYCIAQGAHT QAYPIIAGAGPAASTLHYDSNNAPLKPHQFVVLDAGCEWNCYASDITRTYPIPGSFSA EAKAIYNAVLRMQRECVERIKPGVVYSSLHLHACKVAIEELLRLGILHNGTKEEILAR GTIAGFFPHGLGHHVGLEVHDVSGRERLLLDSKGSLGPAGGVKSARCRLSPSKRELVL PETLAVMFRDEMKEGGGGDGQVKGVVQMQKAKRSAGGGRQRLEEGMVVTVEPGIYFCK EYLRAYFLDVPYHAHFINKKALEQYWDLGGVRIEDDILVTKTGYENLTDVPREIEEVL KVMNPS QC762_705860 MTEPSVENKTRRRTRPSSQAPQPNRRSANSPPGMSDDESADLSQ EEHGSPTESLKSAAQDTGKVDSEAPESQDGAGDAPSMPLQKRRRVTRACDECRRKKIK CDGKQPCTHCSVYSYECTYDKPSNRRRNPAPQYIEALEARLQRAETLLRKFMPDVDLS DPNLDPSVQQEFRNRQNTRAQAAKAKSDTPPAQEKTESQDAQIMSMIESIGQLDINEG GEWDFHGNSSGAVFLRRMKEHFEGLLGNDYRIPFLPRPSRPAGMFSLDSPRSNAGSPW EPSTASNTPAPPNIYDLPAQDRARTLCYYSFNCATCLLRVVHQPSFYEKFDKLYSTPQ EAWGNDEHRFLGLLYSVLALGCVYDVSDVEAGDGPSSYKAAVEQGIKYYTSARMILQD VAECRDMVSLQGLVYMILFLQATSNISGCYAFLGIALRSSLRMGLHRHLAHEKITPIE DETRRRVFHVVRQMDIYISAILGFPLLLHDDDVDQPMPTEVDDEYITSEAILTPPPGT PSFFEAFNAHTRLMAILTKVVKYIYPVKAVEDCVNQGRVNSRYMISYARIKEIEAELQ EWHEQLPTHWRPSPDGPIEVIRVRTLLRFGYAHVQMMLYRPFLHYISPRQTAGKKIDD RYYNCAAAGVSVSRNIVHIGIEIRKQAVLIGPYWFILYSQFFAILSLIYYVLENPDKA GAAEILADAKAGREVIASLAQRSLAADRITNALNPLFEQLPERLKKASTRPMPSKKRA APAGSKSSVVLPARPGVQDDVPQRRSEEVIRPPTGALRREARLPPQRTSSFDALGLPQ GSLASQNFSNLQDLLPMDLTLGRGTPEARAAHMAPAPRHAQTFPQGHPQGANPVYKVD AMMFPTGDPFAYPNAPLMDPAGHHARPGHPHGLPGQPPAPSMQFYMPNIYDDIEGQLM GPIPPYLMSQGQGPPHTMSPATQMYNTANMIAMQPSPRHGQPHASPQGLASHAGPHHQ GQPQQRDMMEDIMGEPDFTTGEWDDMLQQNSGYR QC762_705870 MADPLLEFFTQMDIQGGVVVQDSPKFDLDLYIQNYRGRTRFDRL LLIGRSSVKLCVEALKAALAEAKRGRDTQRYRDVFEYLRVAAPNDPDAVFDKKWVDRQ DVANHEETQRLLTELKGYKNNLVKESIRMGNEELAKHYEAIGDLNAASEHYSKMRPDV STAKHVIDVGKHLVRVAIQRREWSMVAPHLVKMTLGGQYPEEERNAQPFIRAASGIAL LGQEKYWEAALSFLDADPNVPPKAYNELASRNDIAVYGGLLALATMDRKQLQSMVLEN QNFRVFLEPEPHIRRAVTMFVNGRYSACIEILEGYRTDYLLDIYLQKHVSKIYAKIRS KCVVQYLIPFSCVSLDTLEKAFGSPERPIEEELAVMIEEGVLEARIDGIERLVNTVKI DPRAQMQASALVSAENYEKQAIERLRRMAIAAADLELMPTKKQGLHLPLGGDISFGDQ EIVMG QC762_705880 MDFASLMAKEIAKKEPEKEKPQKYISRREAEAQRQAAYLAEQKA LEEQRAARAAAKRKREEEAAEEAKAREEKRQKLAEESRLRREAKEAEEERARRKRLGL PELPPKTDDDSSATPKPDSDASSDDEQDHTDIPDDELKSKLRELGQPAILFGESHVSR LRRYRKVTRPKSLSAGPIATKLLPVEEKDMKVPDKIPPATDRKARKYLYRQLASYFNM ILREWEAALAREDNADTTAGQQAINAMVSSKENMAPLFRLFEKGGLDESILEAIVEIV KAAQEKRYVDANDGYLRLSIGKAAWPIGVTMVGIHERSAREKLHNGERGHIMGSEVTR KYLQSIKRCLTFAQVRWPPEDIRQLMG QC762_705890 MSSSNGAQKPIHSRVKLFYRINVPHEPEEFIQDPLPAHVELDIP MTCTVKDLADIMEKNSRKIIPSLSVGTRLCFRTMDRSIYSTKPKYLPSPYGSYVIGRG YPGIDLPARDENDPASPKPKLRWLDGAYIVCTIFPPLPSDEDELIVPPALPHKISRLG PDQPGKGIVVGGDRTANGKGKGREDEDEDPRPPRRGNTRVSKGTSRGPTSRTRDNDRR AN QC762_705900 MAGLQHHKVAMDPAFVRLNNMQINRYKYFRWTPRTGFLTTLYVF VIPGIVGYIGWKWDGAWDLRAKRRGDLLAER QC762_0108280 MPIASRLSQQSPMIPPVKVPRQPCRVVSLQMRSTRKELVVGVGK SCENTRSLALAPVAHRETKGSIPSLIFQKIKQFIVEPQCRCIANGTFQRSSAWVSKRL EAWVGELSKACDGLAKALCREQPNTEYVGKRKGFKESSTSFNFEAPTRDPSETSFACQ LHPA QC762_705920 MVAAAAILVDVELEVPSYAEASATTFSTRTMTAMAAAPPVSASL ETDMARMTLPPVVTEFPPPAHEQNGGPASSSSDGAEDDDDFEDDQADAQSLMQPAISP LATLFTSPKTPAAERMAAFDRMSGGGIFERIEDDQRPGMASLDGTSSHDKLPPPPPSH SSEPMARMAGATEPASSQAPPQQQPPIERMPTPWQAGPKQFHITEPGRWSSMSMAIRS QSSRHKRASSVSENAMLKRLSKALPSISIPSGFMPSIPTPPFFSSHSSSNNTSPQKDE RTPKTPQTGGIPDSSSQRSGTPRTSSLRRTTSDDSLLYHTLSRVSSLGDDTRFAHVRE QVNVRMKAILDSFEGPSFKMPQMPNLLNTPLVKKSATEPISSSTPNRSGSLSTTTTAP QDPLDKVLETLTGDIVIMGGYRGSILRSAKAPHRRLWVPVKVQLNIRKVKLEVGLDPQ DELDMEKSIYADGMLKNIGPVDISKRLFKRLRECENARSGKLRVHDYGYDWRLSPHLL SKRLVKFLEGLPSNRVGTPPSERGAWVISHSLGGIITRHAVNSSPSLFRGVIYVGVPQ RCINILGPLRNGDAVLLNEKILTAHVNFSLRTTFVFLPEDGFCFVDKETGEEHRVDFY DVNDWVRYRLCPSVSEAALPAVGKGSNGTFSSLLNLSDSLGSFQPLRSRSNTQTKRDS GVALAGKDRSLAPQMGSSGGDNSPVDSNSQSDKAKNLAYLARTLAEIKQFRAELAHNK AHQQSNAYPPLAVIYAKDIPTTYGCRVSGREGIAHADAYDDLMFRSGDGVVLAKEAML PEGYEVVKGGRVCTDRGHITMLGDLAGVGRALEAVVRGRQKGIGMGVLEGKGLK QC762_705930 MAALVNVRRDVSDNFYRYKMERIQTKIEGKGNGIKTVVVNLSSV AQSLARPGSYLIKYFGFELGAQTNIDPADDRWIINGAHEANKLQELLDGFISRFVLCK KCKNPETDVHIKDGHITLDCKACGQRTDVDLRLKLSGFILKNVPKKTKKDKAERKAAR KAKQNGGKDNGSGEENGSDQPSPNGDIDIASDDDALTRKIKKEAQNLDSKPVADKEVE WAVDMSEEAVKARQQVLPDEFKAKLVLNGEDEDEDGEGGNTVYDQLADWIQAEANAKG GVDNVDDVEIYLKAKELGIEAKHRTLIVLVMTLFNENIFSQIPKRQGMLKTMITSERH EKALLGGTEKLLAELGKEHYDKIVKILQLYYHFDLASEDFLKKWGAKSSKRYVDGSTS KKIRKAAEPFMTWLEEAESEEDSDEE QC762_705935 MFNHNRIPHLLLTILSLSSLSTAQDDTSSVTSTSFLSLSDLQLI PSLAIPISCILSYNAPILNCRKFDIAQGQCTIACRRGIRDKEDSLREKCSDVAVTRGS WLWLALQGGLGQALCRAPGQGTVVTSTIRPTASTSSTMVRETTATVTRSEGQGDEILT FTTVRPPTSSSAVVETTTTTTTTTTTTSEAVRGDETTTSLPTIIPTFVQSAGPSATSS EEAPAETGGGDEENVVVVPGGGGGSPFDTVLAVNAGESLKERSNLGLVMGTVVDFGE QC762_705940 MPPDSDSDTTCTSTSTSCLPSTFSISTLLALTPFLLTFLLVFSI SLTKLFPHLASLQSRVSSSASSGISDGEDHFLPASAPLSLRQAHEEHASKSPRRKIAA YTFAVTLGLSAVLAELILAEVAEAIPTARTVGLRVTVPTLLVLLVGVIPFLEVQSVVG GGLGLRLGRDGRGKRSRVAWGLQMGVFGGWLVCFWWLGRVVGGGDGDAGDAGGWMGRI TGVVQRTRVDGGMPGGGESGGGLSRACLERIGVIGILSMALLSGFASVSSPWHIFSDN RAYKRRPITDTDIARKQAGLDATSELLVTKKHRLRSLQRKAQMAEGVGGGQQQHHQGS NGIMGKVLGGIKAVTGGMGTTAEQAEIKALQMEISGLETMEANLAGSLAQLKARQKAH ARDGTVVGRVLAVPQYVFAGYCVYRILATVLTTLKRNLSSYPSSLYSPSFSSSDPISR FLGLLAKHWDPKLDQLAWARQISFLLSGVILAASANSVLQTFRLFTKWMPGVLYQAQA NLALLIGQIAATYVISAALLLRSSLPKEVGRSVGDALESALEPAFVDRWFEGWFLVAS GLTAGGIWVGRRVSGGGGLGELGEEWDDFAGEEMGQKRS QC762_705950 MAGKTFKKNVAGLISSSKAAKSPDSDVSPRTTTTTTTTSKAPTD YFAANISPPQSPQTSPGATIPFPPLATASSGASTNTLTSSSNSAEDGVDDLRQASNAS SNRPGVASRKSSTASVTFRPPRNPSLPQGAHRKTDIKTRLREASPEPVKFRSHVGFDN LPVGEATKNNPASLTLHAKHDGYQASRRSRTFMVGLDEHSYSDYALVWLLTNMVDDGD EVICVRVVETPFRVDKNYKEDAEKLLQSIQEKNEHNRAIKLVLEYAVGKLHDTFQQLL SMYNPSMLVVGTKGRSMGGIQGLVNTRNSFSKYCLQYIPIPVVVVRPDEKRAKKKEKR SHDPSRQSYAQMLAYNSGKHEADSETSSIYELEKAISADEEAHRVAAAIGLPARFDPT IKPYNPKGSNSRRSSPSALATPSSAGTASVSPSPAAPANESDNDDNEDDDNNDDSGDD DDDFEVEAVSSTPHLNGARKQTEIIKEQEQKKRLHDMEVGEAAALLKSTKVDEEDDDD ESQDRTSG QC762_705970 MSAFQQGMAPIRAMEDDSDVEEEALVADYQEQVQYGQDDDLDGL DQAALAQAADDLQARLLQAAQPLDYQATLEAKFSSYDNYCSLFHYILNSEGPVDLEPP SYYWAWDVIDEFIYQFNTFSTYRARIARQGNNEEEAQLLKENPNTWGCYSVLNVLYSL IQKSQIQEQLQATKRGEDAALVAGPYGSKALYKMLGYFSIIGLLRVHCLLGDFSLALK TLDDIELNKKAMFARVMAAHFTTYYYVGFSYMMMRRYADALRMFSHILIYVSRTKNFQ KNAQYDNINKKSDQMLALIAICVAFQPTRLDDSIHTALREKYGEQLLKLQRGGPESLP VFEELFRTACPKFISPVPPNFDAPESNIDPIEHHLSIFMEEVKTNMFNPTIKSYLRLY TTMDLKKLAGFLDVKPEELRSILLVNKQRNKQIRWNEGALLEGEWVNTSDLDYALQGD LIHISEAKMGRKLVDWYLRNLSRTYA QC762_705980 MPVVSPEKLAKLQQNADDVRNICILAHVDHGKTSLTDALLATNG IISPRLAGKIRYLDSRPDEQLRGITMESSAISLYFSMLRRSSPEATPEPKEYLINLID SPGHIDFSSEVSTASRLCDGAVVLVDAVEGVCSQTVTVLRQTWIEKLKPLLVINKIDR LITELKMTPNEAYIHLSKLLEQVNAVLGSFFQGERMEEDLNWRERMEERRAQAVANKE AQLVDQQSDAGDLQFQEKDDEEIYFAPEKNNVIFGSAIDGWAFTVRQFAGLYEKKLGI KRSLLEKVLWGNFYLDPKTRKVLGPKHLKGRNLKPIFVQLVLETIWAVYGATVGGDHG KGYPAMLEKITKSLNITIPPHILRSRDPKLLLTTVFASWLPLSVALLVSVVESLPSPR TAQAERLPELLQEVPGADQIDPAIKEAMVLFKKEKSDPMVAYVSKMVSVKESELPENR RKGPMNGEEARDLARKKRAEALRAQKEARGDREDDDVQFITDGLASASLETQTPEEEE KPAETEHLIGFSRIYSGTLSVGDEVYVLPPKFSPANPLAEPVPKKVKVEALYMMMGRN LELLDTVPAGVVFGIRGLEGSGLLKSGTICSQLEGSVNLAGIANLHGKPIVRVALEPE NPSDLDKMIKGLQLLVQSDPCAEYEQFSTGEHVLLTAGELHLERCLTDLRERFARCEI QASAPIVPYRETIVRAEEMRPPVNKDLGRGVVVGVTSSKQVTITLRVRPLPAEVTDFL GKNAASIKTLYSGQKNGDDEGSVAQDDSSEADAVEDNDLTITKALTAEELFKELQSTL DKSEKARDASIWKDAVDRIISFGPRRTGPNILIDATKDQFFPKAFAADKEAVARAVKI SGDESLDARHFSDKIAYAFQLATHHGPLCHEPVQGIAVFIEDVSVNIEGNVTARDHIN RLTGEVIKTVQQSIHKGFLDWSPRLMLAMYSVEIQAGTEVLGRVYDVLTRRRGKVQSE AMNEGTPFFTIVATLPVAESFGFADDMRKRTSGAAQPQLIFTGFEILDEDPFWVPFTD DDLEDLGEFGDKEIVAKRYMDGVRRRKGLLVEGRNVATDASKQRTLKR QC762_705990 MATGSEPFPPLPGTTTTTESATATRRRTRKASILGDDLKVGDTG SPSLATSIAHIQGAHSKEPPSPPSTKRTSKRRKARTLLHRVKHTCVKHTWVLPLFLLS CFLLGYAINPTSSNPLSHFLFLSYRLPLSETPGATHVQYGKGLWDIAFVTFYTVVLSF TREFIMQEVLRPLSRWVGLKSRGKQARYMEQMYTALYFGIMGPCGMWVMSRTPIWYFD VVGMYEGYPHKTHDGAFKFYYLFQAAYWAQQAIVLLLGMEKPRKDFKELVGHHIVSLA LIGLSYRFHFTYMGLAVYITHDISDFFLATSKSLNYVDHPITGPYYFLFMCSWIYLRH FLNLKILVSLFNEFKTVGPYVMDWEGGSYKCDLAFWITGGLLGSLQALNLFWLFFIVR IAYRFVRDREASDDRSEDEGSGDEGEQQNGKKK QC762_706000 MEARQLFPGNAGPVPTDAPLVSKGRNCGALNLPVGGILSMPNGD VVTLTASAAFRPLCTPVTPPAIIANAGGGSVELDPNVGAMPDDDGWTYSDFRDPFYAS TFPQCYALAATTVVAYMLVIMLFVTPRSFLDGGVVVLGRRGFTHSGSGPTIGGRPWFQ KVAALSVVISLTIASAATFQAAEEQYIYQIQNAKALQEEVLGGNELKAIRIVSNTFLW LAQAQTLIRLFPRQREKVIIKWTAFALITLDVIFQSLNSFRYTDQTGSNRPGSFSDAI PALSYLFTLALGVLYAAWVVYYSLMKKRYAFYHPQMKNMCLMAALSLMSVLIPVVFFI LDIAKPDFTGWGEYVRWVGAAAASVVVWEWVERIEALEREEKRDGILGREVFDGDEML DVTTSDGRARRRRKGSGSDGGDKEMYVENPPKEKAVTQGERGNTWPSVIAMKAKYRSR LRPKKDQDPADQTTTQTTPQPSDHDRVRSLQPPLWPARPAPTATPISRTDTASAASTV YAVRYHQNTDSASQATPPPPHNTSALSRTASTSSSRSSDGRSTHRTEPPRRPADEGQG PQGGGSSRWQTLTPSFSFKRSRRSDEENAVSSEEKKSPREEGKWDIRGRFEEFTANQA ERLREKFRPAPDTDSLPVTVIPAPPRRGAALAQLLEDEELQYQRATTPVRAVSDLRSP TNDSSPTTLVGRSASILTSSRPQVTPSGTQISFADTVRPTEPSSGRHLGGPEGGGPSQ DSDSTPGPDNGPDSGGRAPS QC762_0108380 MLLRWSYSDEVFPGDTGRYWEILCCTSYTSWCGRKLWEPYTSVS WLGLGTVGSRHSCHAVIGDLGEGLSVVEKKLGGPKPMTPSFGILRPSRTPGRRELKPQ RRE QC762_706010 MVPYSKALTVTVPYWLSQLSALSKGHEADQQRPGRGNGLFSRNV PHFDGGRLVHQFVRLVVIMPSPQSDSFHVSTLPIAGVLASEHCAPTLTEIGVRGLSEA IGMPCCPS QC762_706020 MTRPEIIRADTIDLQAHDNPSAPRHARPTPDGSLAPHQAETLRE VAAEAAEENHRSPPGLWNNDADTDTLYSVSDSQQSGGYGTRHDDAGSMQTGTRQDALA IAQNGGHSGHEVDEAVMDGETEVDVDDDMMDRISSSPSIEDEDIDFEFVYALHTFVAT VEGQANATKGDTMVLLDDSNSYWWLVRVVKDSSIGYLPAEHIETPTERLARLNKHRNI DLSATMLGDQTDKVRNPIRSAIKRKKAKTVQFAPPTFVDYSDIDYSSEEEDVAAEYFA QAAQQGQKTQQASAAADTEDDSAKVEPLKPRTTQKDSKPDETDNSAKPRSSEEGGELK VDGPKKTSDGTVRDSFFKDDTVETKKITLTPNLLRDDDGTRLSSESKEMRQRPSLDRL LDKDGLLGKDGKKGKDKKEKDKKPSAIRSFFSRKDKDKKASHEDEDLSRDSHEREPEE EEVSQGSPEKTGPQRQPSKLQKQQPRTEPSPTRKPGSTRETGNGVDIKAFLSESKVNN VANVPPATMRLVEASPKGSPQGSPQTSGRPQKATKAKTRMGLDDFDSDDDDLDPQPVQ SPPSQDPRRQQPQQQKRAAPNNTNPFITQQQAQPASLGGQAVRGAPTLNSPPQQHEER LSESPVQVSPVMSSNPPPLMVDTSSQEEDRSSPRSTPSPELIEHEDADTSVNKETITP STSSRSSWNDTNLRAFFDSGSDIRDLLVVVYDKSNVDPVSSDHPVANGLFREQNAKLA EITTQLDNMLGDWLARKQRLRGTV QC762_706030 MSFLGLDLASTNYSYYSIPAALLITMAPNVYAMVLAGKNYDLNQ PRRTEEICAKDTSMPKPTLQKISRAKAATANGFETLSLYAASVVAANASGVVPVSKLN TLTLGYVVSRAAYNFVYVVAQDNKKLAGIRPLVWAAGVIIIMNLFVSAGGKV QC762_706040 MKTSTLLAAAFCGVAAVEGAVLWDGRFNDFTSSADLNKWSWANQ VGPYQYYIHGSGTVNRYINLSPEYKNPNDTVSKQGARFTLDSTAYWNGQTMRRIELIP QTKAAINRGKVFYHFSISRRDTNAPSVNKEHQICFFESHFTELKYGWISGEQGAANPA LQWMTNQRTQWKLSEWKANVWHNFAYEIDFSGNRVGLWYSEGGADLKQVVAPVGGVST SSNGQDWHLGVLELPRSGYPNTNEDYYFSGVFIEDGAITTKIGGPA QC762_706050 MSSYASHQPQAPTPQGGEDSSTSSGGGVTPIDPQFGKKKP QC762_706060 MRITAEITASWPPSNHVDPETRGPSNIIVGLLLLSLAGVVLAIR IYTRVKISNGFGHDDVLICLAYVPAVAFVVLQAIGHFRLDTDRHTWDVRPELVMLSLQ VGLAEQILFALATGFTKLSILALLYRVAACSRGRTKHVVFVLSGVVTLDTIVFVLVTI FQCSPISDIWTVALGPQRCINQGLHVIVASIINTILDFLIVFVPVKMVLGIQLPLPQR LTILLLFAGGLLVCIAGSVRTYLTWVMVTSPDGDITWRLYDTRFPGAVELFLGIICAS AAATKPFFARYFPRLRILEKPSAADSDSKPNRPSHQANKSQQSGYLPTNDKNHFLPNL NKPLPSPPTNAGVTRHDVQPIHPGGI QC762_706070 MSKISVAGVRQHVAELLEYSNETKKRNFLETVELQIGLKNYDPQ RDKRFSGTVKLPTVPRPNMSICILGDQYDIDRAKHGGVDAMSADDLKKLNKNKKLIKK LARKYDAFVASDTLIKQIPRLLGPGLSKAGKFPTPVSHSDDLSARITEVKSTVKFQLK KVLCMGVAVGNVGMTQEQLIANIMLAINYLVSLLKKGWQNVGSLTIKATMSPPRRLY QC762_706080 MLLFLSPRTRTRFLKLTQSTTTTLTFRYAHTERRSPSPASLLAS SISKYKPAKMAATTATPDLPILPPEDSHLTAKFGRETANYFSGSPLNRLSFLRTDHAF LAPAFKHPSASFLLLDSLAPLVKKDDTTQLAFVSLGEIRDGLGGEDIFEKTEEELVRE FNSEDEERIVVFLGMDERGVLGGHGGQQGGERFRYKDFEGVPYFAVDVSRWEGKEGLS EKLEKERGAMFYGGGPRHMGLVAGQAAMYGYARALVDWNARTPFCAQCGQRTLSVNAG TKRVCPPTDRGVERKACATRGTVSNHSFPRTDPTVIMAIVSADGSKVLLGRQRRWPKY WYSTLAGFQEPGESIEEAVRREVWEESGVQVGRVVLHSSQPWPFPASLMIGAVGQALP GEGEKIYLGHDAELESAKWFPMDEVKEALAKGTHNMGDEVPKEYVEGALRLPPQTAIA NRLINSVVEGWWVASKM QC762_706085 MRKALSKTYFVPAFIVDVVSAYECDGSLLRFSFFSLHLNSHHKI NRYGWLFYAMLVILSARGEWIYLCHCFLLEPDRVRMVFVDW QC762_706090 MEKPVPVVKVEGIQTQSKIDQMWQEALVTFRSLTGKNLHDVAPA SSEELRKIIEARAKEQDTEEFKNRSKARERGLRILACINKFGEAAVQGVSTVFGGADI CFKGLSLLLELPKKMKEFHEVVDKIFIRIAPILQGFKVYARGEQIQAMDEDLIISIHK VMIALVTICATALNIEHARKWERFKNFTKRALCDDTELDEELEKFQMLVEGQQHVQGA VTLVELLDVKATVVANLKVTNEINSDIKGIKDRVQKKEAESSKQTLLTKIKYALGIDP KDPDTSKATFEDYLKKRAPGTGSWFKPMTDYQGWVDTNASAQETSSFLLLTGGQGFGK SFSVASIIDDLNQRTSTPAAITQTHRSLIGFYFFPARSGKAGDEQYPVEMALKSIAIQ LAEQDEVYAKSIGELDQLKDAKPSKLWDALRLGSPMPRTTHFILLDALDNLLPPEQDE LLKVFQAVPRTGTNGSSIRVLATGASSIFEVFQATENLSVREVKADRTTMESEFRHYI RHRLQAPIMLPGPDLSEKREGIENKIMHSECSFQTIQATLGAAESYIASSKSDEELNK LLDDSTRDTSVLLVDTVEKLQAGLDVGQIGWVNELLMWVLFGEQSLTIPQLEAAFFLR GKSLPIQGLENFINSRLKKLITSGPQGISPVDDNLDKVITKPRELSQGGPDNKTISLE IKINNASISTVQRFLWDLTKFSTLDGFSFKADDAAAQIAQSRGIIRVNKTDASLAIVE SFFKFLKDDPSEKSESIRQYLIDYLADHLRTLRTAEGDDKLTPSEAGSIGKRIFELFD YPDASLKKHWDFFNSNWEFIEERNMSEFWEWIQMDTTLAELSGKERDHIVELKKDVRW ERKLLKPTMKMVAKMWLRERGNDVYYLLAWIKRALIRIKQEDNRAATKASKSPETTSL SNTAKPPATAGPLTPSETATSNTDTPASTADSDVPDRPASPSPSSTSTEEEFDPPVKV DITEAARWCQEILELKDEELDSLWYERLGQTYKDSYPVSFKDAIEQYRKAEKCSSPYP SWEVLIGLSYSLLAVSSANFDEARAIHDRAMNLYKDSPFVSIEQKVSQLKDLAWWYDN YKEPEKALEVLEEVLVLAPEHGEAQNDIMSLLIKLEREGELHELVSKTAASKEAAGKE ADKGLTPLGSLVKAVAMSNAWSRADPLFWRIISILEATGSLEDLMGALDAGISLSKGD KENEYLQSVLITYKGMVHMHRSGSGDIHHVMECCELARKLAHERLSRTMPWWTDRIYG KTTVLADRFHFNQASGKGRLPGSTALTTEDHVEALRKSMTEFEKSLRDRFDVQLSIAK SYLAAYYALKSPQNLEESRRVFASDMVRVTNMLSDGNKHNDADSLRQLSAILLHTGNV QNSLACMRLVPPDITNGSAASTAKVLTRLLCPEGGPPKEGSVAHRVLSSFDSNSKNMF YIRSDMITTVNDLMDKLIDGRSASLAEAEKEKEEEDVDATLARLRTVRDALHIYGYRD CEGCIGSNGRTWDFENDFYACRYCYDLFLCRPCLDALKKADPNKPQPFCSPGHDWVWL PKWTVESWIEGFEGKVRVPKWEEGEKSGGCWVEGDEVVAFGKWLEGVLKPWGIGKEWE AEVKWDVERGEESFVDEMLYDGLLKAGLEAQAEEEKEKEEKEGGVSGGKVDGLENGGK MTVGTVMMEKVAVEKVAVQEVTERS QC762_706100 MDSRRPPLNPRDELLQGHGIELAERPQFTEHDTQPGLEVATHST LEVAPGTGPVPIGSPVTGFEDLKTWEKVGNLSLGYGKVFDNTNSPPPPPIPNYGFGGP LPIPGTEMVTPGMHRPSRPGTAYSSTTSRGGPNGRGQGSVDSGNPFVTGPSLPPLPGE GLDGRRKREMICGVKRQSFWIILATGIFLAVIAIAAGVGVGVSLGNKNSKEAQPPTPS QSTSPSTPVRTLPVPLFNASTVTNPESTNKSELIPADISCPVQNLTLYSSPMTPSNDR KFLLFCGRDYNVVNGATDMYDVRVDTMAECIDSCAKQEGCVGSGWGEQGGKHVCYLKS RLGEPNLAGHWMFAVEDTEFNGGGDLPLPGGT QC762_706110 MATTELDRDPSKAITAIRDARPPATDRFTYLTIVESNLSPEVLP TLNEVLQDAGLTQEIGWDLVYNLVSLPGSSSCLETIARLGNPREVILKVLETLELLGE EEGYEADDEAETTKEKKEGGVTKTEKFITLMGMLAILHGRIKTKYPSRFLAQTLQTVL GAYEAGSEEMTAAVINLVHSLSGKRARPPLPSRKSSVNVANLDARGDRGKNAPDPEAD DGKEGEAKTEDPDETELQQKLLLSFATCVLERYANGNDLAWAGRLVEFYEPERTVPGR RTLMGAFREEEGLLAKDGIVGNLVALIGDLGLRSCSRTFLKHVCEGPLHENPLAGSED FESASQVKLSTGGAVCLVAYWVFSSTIFDADHPQPEMNIFPDHYAVLDKFLQDDAHAQ IQQSPGTVEALVTIGLWLHANKFVSANPNTPLTNPTTSPEDPTSDFMRYIHLVTLIAL FHPKLHVRNAASTLAGQVLHSDPSDDDRLKVLYDLLENCTFASLKARAITWLREELIA AFTAKLHQATAFSTLQALETVQYVVFPNLDFLVEDTNLEEVVEYLVTNAPFLMQAVNL GLFLWSSPEKWNAVLPTNMDATVRQRWFEPLLESIERVQKESKSSDVELGPLEGELAV LKGRLEDLAAKEGFTGGKGV QC762_706120 MTGDFESPSPGGHLPNEAEAKDGNLRLVTETQNGQQVTVVRDAD NHIVYPTYVPPATRSPVSGDSPSTSPSPKTTAMSEPNQDREKGGQREAKGKQQEEEPK VEQPRKVQTEPTDRTASPRPQARNWATEFPQPDKTFTMPAPPRRAGTNLSAIPPGVDY SSSSDSSSSSSDDEEDYHTQPSSKKKHKKKSKKPTPEEEEDRYRRFKIGNPHYKTRGK VSKRDGRLSISVKDTSQTGYLAKALGTAAKHMVPLKPPPGGSDSESSKTVAAHFKGVP TRKRTASTIDIKSLKPPKLNIVIMVIGSRGDAQPFLKIGKILKEKYGHRVRIATHPAF RDFVEQDSGLEFFSVGGDPSELMSFMVKNPGMIPTLSSVKAGDIQKRRAAMAEMFQGF WRACINATDDEHDVRNIKMMGKRDPFVADAIIANPPSFAHIHCAEALGIPLHLMFTFP YTPTQAFPHPLASIKRSNVEEGYTNFISYPLVEMMVWQGLGDLVNEFRVGTLGLDPVS TLWAPGATYRLHVPFTYLWSPGLVPKPGDWGEEVEVAGFVFLELADSFKPPGELERFL GRSDQGGGEGEERKDEKPVVYIGFGSIVVDDPERFTEMIFEAVELAGVRALVSKGWGG LGGDKLDVPEDVYMLDNTPHDWLFPRVKACVIHGGAGTTAIALKCGKPTMIVPFFGDQ HFWGSMIGNAGAGPEAVPYKELTAEKLAEGIKFCLRDEAREAAEKIARDIEREGDGAE NACEAFHKGLLIQREVKGGGRSSMRCSILPDQVAVWRMKETGLRLSPIAAEMLVERGL VSWKKLRLLRHNEWNDFEGPGEPVTGVAGSIMGTVGNVFGGIGGVPYRVAKSAKKRKD KEKGKRKKRKDDKRQQQQEQQNRNDESDGEEPPQVETATTHAIDDRRSDSSSVRSNPA EEVAHHVGRGALKSASAIAKAPVDLSLALAQGFHNAPRLYGDDTVRRPIRVTGMKSGL KAARNEFAYGIYDGITGVVRLPYRGAKEGGLGGFARGVGMGLTGFVLKDLAAVIGPVG YTLKGVVKQAERGKQPIKYIRRARIVQGERDTEALSEEEKARLSKEAVKGWSVMWKLW DEMVKQEKKKKRGRSIKAKLGGKARRRRKRKEWDVVFESVEVAEKALEALRKGEDMDV VLERVEKERGAGRSPVAVENGQSNMLESTENKDFAGVGKREADAETASTKVPGPLEMN GVGSGSKVDGEVVMGEEKKEEEEEEDMKENPFSVATPAIAKNKEENRMTMEAIEVRA QC762_0108520 MISSSFHVPIQNFGRIWLANRWVLFLLFLFRVMACDREEKFEEL AKEFADNTNIQILRNGHLISRASNYIIYSVEAAAIGRVVEQFGPSTRLGAIVGGQTSC KDPEIKAFESYLPSDVDIVSCHSLHGPNVDPRGQPLVLIKHRASDESFQKVESVLSCL NSTHVYLTAKEHDRITADTQAVTHAAFLSMGKAWHANRQFPWEEGSRYIGGIENVKIN LMLRIYAQKWHVYAGLAILNPEAHKQIGQFARSSTELFYLMLEGRSDELRERVYKAKE KVFGAEGSPKWAERPLLKEELLDRFSLNKPSGERGGGRGLPNNHLSLLAMVDCWSALG IVPYDHMICSTPLFRLWLGVAEHLFRTPGLLDECLRVGIEDKSFRRDDLQFAIAAAGW AECVSLRQFDTWRERFAVTQKFFEPRFKGAVEVGQAMIKAVLESEKE QC762_0108530 MATASEFAWSKDFTIGLIGMGDMGRM QC762_706140 MTRPWSSGLSFPRHHHLHSPYQSTTSLEMTTLLSFGSNGSGQLG LSHQEDVSSPSLVFIPHPSSTLPSSVAQIAAGGNHTLLLLTNNQVLYSGDSHNNNKIT PTFTPPPLPTPPPTPIRSISATWSASQIATPSQIWVHGHGTKGELALGSGITLATSFQ PIPNFPPSNTTIVSISASMSHAVAVLNNGQVYGWGAGRKGQLGPEPSPAVSSPRLVSC PFPVVKALCGKEFTLLLGPPQTGEFLVIGSDKFGIKSNAPSDLKGWKDAGASWGSVIL LKEDGSLISWGRDDHQQLAPSDIGPVEKIAVGSEHALALTRDGKVLAWGWGEHGNCGS LEENNKERANVIQIPDEYNLEDQEVTALGAGCATSWIAFEKRR QC762_706150 MVVLNFTLSEDGVAVLHDALACMFKFSDEVCLEARKEKLMLTTL NISKSAYVCYSFAATRFFSGYKFEGSPQYREKFSCQLYIKSLLAIFRTRQGGGETAYG RDASIERCDVAIDDGVGKKSRLVARVSFRNGITASHILPYEVKAPTHAKFNKQEARNQ WAISSKTLRQLMDHFGPGIELLDINTDEDSNLVNFTCFTEKVQKRGGANSETVLKKPL HTNIAVEMDEFDNVQVEDKLHIIISVKDFRAILQHAQITSGELATSYSNPGRPMKLYY AADGILCEFILMTVGEKDAREPRQKTARPNASAKTPRPELDAASHRASSMSNSACQQA LPDPTPQTVAQPPQQPQNPTSSRPRPPPAFHLRPPQQPPPGTAQSEDSLFMGPAPHEL DDDRQWEPINPDEDEEEEENARLEWNSTSDPNISTLRISSYLQKSSTSTEDTPSDRLV SGLDPTQRLSQVRGFGLFTD QC762_706170 MASVASAPGTSPLSSDYNLDPEDEQAFWAAVHTTIGDGTSPSPV GTGAGGGSFMTSPASLSNSLGSSWAMLGQTSIGGPVSPINSHEQLSQGQGSSYSGSYV DLGGEFLGAQVGDGLGQMGGMGTVGNMGGGFMFDTDFGLYNSAFAGVIPVLEQQQHQH QQQSFLGMEGLGEINFDTSAQSGPWEPFNLRAGHTTQTVITTTPELINRPTITTGPPN NNNNLVTSANSSPGIFVIEDPSFDFETVSPSPPSYIEYTPSLSPPASTPPKSPGMVIR WEQGVVVPEQQQPKSAPIPVRKSNKGPSGSAGNSYRVTKRKASPTDSMSSSTLSARLA ASQGQLQTAKGKSKSPSPTPSSSGSTSGQAKFLIVTPSTINAHAQSQSTNPNQQSNPF ECFEALRPSQRGRKGPLATDTKQSALQVRRKGACFCCHARKVKCDMERPCRNCVKLTH QVPQAVCWQFPDFMPVLFPDFIRRHFRKEEITQFIETNVSSFTLDGVERPCTVELFSG LGLSARLQIKAKFFTPRSLSADVLRHYHLQTGHNTVDLQARGSAPIGLDVKATTGAQR EELKRKIKEYIASIVSEPEYASLVTSNLRHTDIPRKILSIIHTYAHKTDSAIVKRALA IYAMHFVLTRHLCLTPNSITSLSPLLPPLDPSNPWVTPRLLNRQLKALIDDILTREMQ LLFESFSKSLKPKLRKEWAPCLAAFLVLCLFMESVETAADNFVISDNEISLRNGERPT LKRGFALGVNREIEQLPFRQFGIMFHMVYQTYSREVSQRSFNPLEEGWVDEANKVEDK LLGREEEEMVRGLRRLMSLEDYDAWSELDFLTADPILPNVEEHPYPRDVGFNYTGRLV AKFLLSFQQEKYIIGESP QC762_706180 MAQPDFAYRSSAAVSNDRWLQSRSPIYSDQYHNGRFETGSNPYL PQSESNRQPLSSVTYTHGNSSSYHLPANLSLGNVSQVGRAAAESPFAPATAFNPRMTQ PPSQYSSPAPPSQVSYKGMNGMNGMNGMNGMNSQPWDHQRQYQGAPPQHQPPPQGPYQ GRYSPSGSMDDLMTSPNMSDYSLENSAIGMSQPGNKNKLNGKPRSQRRPSNRDEFDGP HQFLKRPPPEYIAMSERDLPTLPTHLLVQEQDSVLTQVNDRLSQCAYDFVAKYQFPIP LTQDMRPVERPQDREWTEWVYLLKRLATKRRIPARVLYNGQIKQFVTILENSLEMRHA AKHQSRPLKDDRNILQLISAGIQVAKILKDAQAMDYLDRLYVSTEQQIQERAAAAAAG RFR QC762_0108580 MPIQLSLEMSCTTSSQAMRRSGLQDTCRRHPINVRSPIDNIAAT ADRSKNQSMHIFEGLMHSRPDVAVAYSRGISCPVNELSNDVDKKMHFCSSM QC762_706190 MNSTLTICSSDYSYSAQPNMLSSSASGIFSFCQTGPADLSGSAW DTTVEGPQNFPEFTDATDYYAGEAEDSFLPFGQITPKPDQDDFHARWAPSDNKALKAE PMRRGTSRSSTGSLKNRNTKPSATSVKKTRSRVQSILTQTSSQMSKLDMAGAPYSDGP AVAAGRIMDVQQYLAQDLDTLSVSGAGYYPMMGFPDGLTYSNDLAPMAQHVNPQIFDA GLISHSPHSWGSLSPVDSRLSSPGLGDGAEDLWSAVPSASSPGESQSSNSPVLPGQSP RYVATTNAAELGALSHTSDFRMSRKMDGQYVTSDDLHLVPTMGEDAFALPPAFGARRM SGEGESARDHYLYKNAYPHADGLFHCPWEGQPSCNHKPEKLKCNYDKFVDSHLKPYRC KVEGCQNARFSSTACLLRHEREAHAMHGHGEKPYLCTYEGCERSVAGHGFPRQWNLRD HMRRVHNDNGTTAQAASPPASGATTSTRGRKRKSDVQEKPAQEKTSSRKSKSEASKPV EPPVNLEITQWWEHQRALQDLVSAGYQPDDIQTFHYIKEAQDHLTAMDRITHNLTAKP EVRRGWRN QC762_706200 MKFTTLLTTALTALPILADSSFPWERLNKNDSLLIIVDLQEGLY NLARDYDPTLFKQNMLAHSALGLAFPTLPVILTTSASTGPNGPLPQEILDMYPTAPVI ARQGEVNAWDSPEFRAAVKATGKKQIILAGIVTDVCTAFLARSLRAEGYSVWANQEAS GTVTKEIRDLANDQMMRAGVNVVSLFSIVCDLMRDWRSSPGAKEILPWLDTYMPVYGM LARGHRAAVENGTLIPGEADLPL QC762_706210 MRLLHRLSELSVLASLVLAHEGHNYDHHHHPDHSHDHEDLGAVK ICGDGGSLTGGCQDGLGSMGAADEKEFLWKENDTAQKPVTSTDDDSKPKGYPWTHTTP CFTSPQPDTSICVFTDNNFANGRGASFITTPRRAEYLATTPAFVDQDLVKNINQDLHR TAPSKYEKHQIPGKGMGLIAKVHIHRGDLIMANTPSLMIDYRAFEDLPKEEYRQLQAA AVDQLPDLHREHIMALSTHDGIERTHIERIDKICSTNAFDIDPDSDDETQDHGFYVVF PEIARMNHDCRPNADYYFDHETLTQYIHAIRDISPGEELTLSYINPIMKKRARNKKLN RIWGFQCACPLCTKEQAQVEASDVRIHQIKELVGEFSDWSSDSKATPQLAELVLSLYE QEKLWGSMYEAYTWLALEYNAVGEPWTAVKWANRAVEWGIPVVGPKDGDIEQMRRLIK DPWAHWSWLKRVKVRGGWGKGSEREGDGDDDEE QC762_706220 MEGIMVEPVLASPVDRMRRVSILTIKTNLPMDSFPEYQDPFSSS DDTMTESPSTLNPANRLVEKTRGTEVSRHPSPQPTHVSYPKMNGNGHRVLRSATVGYV APEFKGKAEQMKQVREIILKGGWIPEALVDAQIIWFYNELAIDDVYFQLESPEAISNH ITSLYAAKVAAFSREDKREEIRLDMEASDHAIYIDTSEPGKTSINGPRYEHRLESKYL DGGDTSKRFRVETFRSPGVLGQDANSKATLRCYFVYQCQFVDANADPMETRLEVISDR MFLAKATKNTKVIYQEIIESAVRRAGPVIEVYDIEGTPEKRLVVAFRSRTARGIFSAL SDLYHYYGVTSSRKYVEQFSNGITIISIYLRPASNLEGKFPSIEESIHQITKEISLLY CIPQTKLQDMFASGELSLQETIYAHSVWVFVQHFLNRLGSEYASLVAALNPKNNSHAE ILSKMKKRLRTETFTPDYILEIIKSHPGLVRALYASFANVHLKVGPGFDRHFIAPTPA FEVLSDAKLKDKITKDVNNEHEEMVMTAFRVFNNAVLKTNYFTPTKVALSFRLDPSFL PEFEYPNRLYGMFLVISSESRGFHLRFKDIARGGIRIVKSRSKEAYSINARNLFDENY NLASTQQRKNKDIPEGGSKGVILLDPKQQDKAREAFEKYIDSILDLLLKPETPGIKNP IVDLYGKEEILFMGPDENTADLVDWATEHARHRGAPWWKSFFTGKSPKLGGIPHDTYG MTTLSVREYVKGIYRKLNLDPSTVRKMQTGGPDGDLGSNEILLSNEKYTAVVDGSGVL VDPNGIDKDELRRLAKSRQMIVHFDLSKLSKDGYRVLCEDANITLPTGEVVNNGTAFR NTYHLRDTGLTDMFVPCGGRPESIDLVSANKLIKDGKCTVPYIVEGANLFITQDAKLR LEEAGCIVYKDASANKGGVTSSSLEVLASLSFDDESFVKDMCVDKKGNAPEFYKAYVK AVQEKIQENARLEFEAIWAEHQKTKVPRSILSDKLSQAITSLDEQLQHSDLWENEALR NSVLADALPNLLIEKIGLETIIKRVPDSYLRAIFGSYVASRFVYEFGSGAGQFAFYDF MSKRMAKVQQQQKA QC762_706230 MTSAPVLPAGAAGSGNARERARQLDRDDELGFLRDEFNIPTKGQ IASTSLPEGSSANNNDSDTTTKCIYLCGNSLGLQPRRTQARLSQYLSTWATQGVQGHF KPLTNSPLPTWLDVDERAAEMIAPIVGAHVSEVAIMQTLTANLHLLMSAFYKPDANKR HKIILESKAFPSDHFAVETQLRHHGLDASKSMVLLKSERSRDNILTTEEICGVIDLHK EDAFLLLLPGIQYYTGQLFDIKTITAYAKERGIFVIWDLAHAVGNVELRLHEWGVDAA AWCSYKYLNGGPGCIGGMFVHENNSAVTKLITDERPEEGYNHRLAGWWGNDKKSRFGM ENRFHPVKGAAGFQLSNPSVLDITSLCASLEVFEQAGGMGKLREKSMRLTKFLEEQLE GMGEEEKGLFRVITPLDPKQRGAQLSLGLGSGLLEGVMKELERQGVIVDERRPDVIRV APAPLYNGFEDVVGFVEAFGEALRVARRESDAF QC762_706240 MYTYDTPYEAVQGAVRRGCTWCGELNRLIKMLGYPPGETTVTVF VGLDDVDNHSWTPRQSQLEVRVVINGKGITNLYHIYTVADDPAAVHFSAREQITDLCT PESFALARECIDNCVKFHADCQSPDLFTLLPDRVIDCSDPSNPRLILTHGTQPGLYIT LSYVWGIDQPLKLTTSNIEEIVGHGIPISSLPRTIRDAIFVTHSLKYRYLWVDALCII QDSDADKLKQICQMSRIYRESYLTIIAASAATSREGFLRQTRLQRNPSARVPFHNNNP RDPTGSRVGIVSLLNTHEAYSPHGKSGLPVEPIDSRAWTLQEQVLPSRTLIYDSETLK YNCQTEAVSIGQALCGPSRVRLPRILACSTSGQRSKELSPQEKWEARVAWLEIVASFT LRWHSHEGDKLPALAGVAEQFSRVTGDSYLAGLWKKSLVPDLLWRAVREKELDPKSSR PARYRAPSWSWASVNWGVYYDNATFMVNASKYKQMEVVDCWVSLAAVEAPFGEVTDGS LRIKAAVLREPFVREKAGRWELMVLNEDGKHSQIGNVHFDDIGPRTSGIVFVFLQWDE DWNGEGLVLEELSNGRFRRVGMVDAYQARWIYDLEAVVIEIV QC762_706250 MANSVALLHFGTPNSVEVEVVEYPAEKFPELAASLHQPHDDTIN ATITCIRVWFQDRRVQTLKSLLEEKEEQLQEARWKEESHAEVLQDWTAQMKELEKENE DLQGQAAMARKALQEQAEGAERYRESLQAGQKNLEIGLDTSRRIVANVELERSVLLRK VDELTSQNQELARAFLSQRGRRLSMDEDAQSEEPPDRPGSDLPSRLENETLRTSLSHA QRMIQDLRTNIHREKTEKLELKRLLQDARDQLEPECSAVDNAGLTRGDPSIRSTFGSG TD QC762_0108660 MLDAYISLEEAVLGFSSLELLEELRVWLNIVDGECTSLLHHVLT ERNAGRVDASLRRRDVPEDVPEWDDVANSMEQAISSCKRCASITLSPAPTTGDLSTGD REFQKSHGKQGPSSRNHNSAGNSKDGGGVRPVAGLYMEYLESREPAANHTFHDIKDGI VPLRPLSVTFGRMRHNDPQFMGEYMVRYGHLAC QC762_0108670 MEDVEAICSMVNSSKTRSSSTRIGRKGIGFKSIFKVAESVYIAS GCYSFKFDSGERLGMMILVWSTFPEERIAGWTSLLLHLSPECDASEILQELERLDSSS ILFLRRLRRVHIRIVYDGLHDKEVTFDLTRPIITCKPGELQTLELLDREQSPLMLYRH GVSGLPSTDSHGTNEQSDIVLVFPQPRSLSSNITCFTFAFLPIRDYGFRFVCHADFDL VANREDVDMSSARNQVFLHELPKAFLRAVHALNSGHLRYTWPYYLPFPTTDSFFEPLP GDIITLLSRTPVLESDAGHLMAPEKLTWVSDPYTDDHNRSLIPQDYSTFLHLSNKYHA DLQERIMQLGVNVLSRTQFIEKLN QC762_0108680 MSSSANPDSIARLYNEPHNWVRRVSAPVRGLGQADGHGDEEGVD SVFHLEAGADGAAVDGCAGHGLDVPPAVVIEVLRCWLKGTETEEVLTRVLLRRWGIRS GVRMERMACSLKSIRKMRSDWWISWRGFAAGLAVLPGWRRGEYFEGERFQTVRGKWWD RLRAMGVPIVPRPRKPRRIVGGYWRLGWEV QC762_0108690 MRLGFLGLGTMGTPMALNLSHHFPLTVWNRSPSKYSPLLQPGST AKPAANPLQLIHQSDLIFLMLFNEQAILSILTPDLIPHLRNKTLVNTSSVSVPFSQHL NTSITTAGGTFIEMPVSGSKIPAQQGRLVGMLAANNPSLADSIRPYIQPMTSAAIYCG PVGSGLKMKYAVNTFLITVTVGLAEAANLASAQGLDLDAFGQVLEAGPMASAYSKLKV DKILREDWSAQATIKDCYNSTQLITAAAEGTGAETLLTQLCGSLYKRAIESGFADEDM ISVVKCLPKRGDEECCDKKGGFQG QC762_0108700 MADAMSYLASAHSSSGGACRGPQSRAYRRALHRYAKQINALPSC KFNFLKPQEFFSHLGPDAKAFHKNNPQCFGLPRSYSVRVVQDDNAQAAPAAAQAIEGK TIVIGQHGSDSSGLQLGSVGVKPSDVNWFSSTNSYVFDTVLEQPSAQLSPQLKASRVS GYVSLEGNAPAASIAIGSDVINVELDKKASWYDVEVAANAGAYLDTHKSTLAWDVKSD KWTNASWEEGKFTWGYDIANRGNDLQEDWVAENMFFDNYSDPPTEFNLTMDLYGPDYT CIIGESTDDGAFINTTITNRRFVPPAPAQRSQSAIKSVFPVKWKIQMTPFADSFVGAY EDSEGVIYAVKGSLRMEESADESVVAASMTEDLSVVEGTEKSFSAMSVAEAPSFIMAA AADAPPKAKLAVHQLMTFDPMVQDKEDPSGYRDSVATVAMKDFQNIIIYHMDEDLRTT FISASQVHLEPEVLAVANDDPGNAAWYKTLQVPLITSVLAQGTTEWGKHCNGARAAAR LKSIPAESEVYKRHSAKLYRYRYFEKFPTMKEFLSDQRNGGHKEDLKKFADRMKEQFK AKTSGLGAGNPDFEKNLASALAEIDNLAKWARDKNLYWAMQLLYWVQNSAVKMWYGQY MSGSVSSSVAMRLKQLNTLFGILEDNANNTKPGGKSFMQAFNEEVRLYQMTTIIPQMV DLTGNTKQDIDDLVKECLDQYIKQYQNIDMEAHKEAIEAALLLYQQDELRRKVFKMLF ALSRTSSTLGSWGATIELWHRELYNRPWFQNVAKIANSVRVLTVLTSVVVLVQPLLTG EWRSMSPEQKVKWGFALGGLAGMLIIEVAQGTLRLYQFWGDMAAKRWYEKLGTAFGWE GAVNKIENGALRVQKGFGSWFTRTAEQTKDLAARIAAKEEGLLEFSRFEKIFGRNVGE FMGAVLGVVLGIVSIVLILIELDSNKDGLLQAMDWIMFTSSVIQVAGIILGWVAAGLG AAYSGLALVAAWSGPIAIVFAVIGIILFLVWYFTTDHRDPIQKFVEDKARPAGLWVDN PMQASDYIRTVPAVDQNPSLPGLTFKGPMRRAGDIGQEDTSSIDAKYLHLGKTEGAVD LGDALDYTSDTIWSFETDAYGKSLIYTKRIIQEKDRKRAVLWYLSTDSSNNAIVKQRP GADPEWKALLPSLQWEVEVLEPPKVDGKKNVLASKARIRRGNLDLGRTFDRGNKQPGG LVLVDVGKYEEAVKKYRLRDIMYRQGHGPRPELPGPAVPFYVWEVEMEAMGPGNLEYS SPKWVLTNKLKNERNYPKFDYEPSDAMRWSISPPLDANSFELITAAGQDGGIVKQKDG VEPPLMSPTTYTVTCTVTRGGKDLVSCQANFTLEVITEEELAKRGDEGDDEE QC762_0108710 MAIEAAAIGRREPVHIRGLDANTAQLQAAAVRTMLTDHNGFAFN SLGSGWSGLGIVVLDDTHRVTPRESEALRIVLVKSLGIWSQMRKELLRFEKIELTRRK SVDLLGVTVQSSPIGPALRAPAGTTRRAVCTGQV QC762_706310 MAAFGAFSGYEDIIDNVPDVGQFDPAPSHGSTPTPGNLPTVTRA GVDFLDLGKLFEKLFGGTTPVNPDDPTPPARHPVQVRDIFKMSQVNTGFDFSTHPCIK MTVAYLQKTLDFRWKNGNMRTLVFPDYKPDLAPSELTADGLDKDKFAAGAAALLIKAF YNNVRDRQETIDIDKANTAVSEFNNHINNQATALMIQFYRTFFGITEPSEDLASRYRK LLVSAAYRNLKQGQAGQGTWRDADLEMFCHFAKLAACGASDNTIRDVYNELTTTAPQL SGSTFGSIHPDTWRQYRGWLSSGFLDWGDLGATHLDDYDLLVIPGMGRFPISRTISYY LVDEFAKNKGYYKPPQSSSCFSSNVKVVMAGPAGEKLKKICNVEPGDVVLSPDATGSG GPPGTRRVAFVSAPRRGTRPLYSLHDYPGLQFTATHPILLPSASTGEMSLQFVDKDRA SSLNPTWQSLSKKNIIPDLLQAHQADSEDEVVYDLVFEPTATSEDQTNSNNLPLATYV VEADNGRRLTVASEAPALEWFGPELMFISSAVRQILGGSSDIDVVVELLGTNRVYTRL VLGEAAEKITLSDNIGGGHCDESTAGAWLLEVCAKSQAVQDLVERMIQYLGRTLSHEV RTGWARCLPVGNEFSVSSNSGEESQEALFINVVRLLDSDKACLSRPPAIGPRHQLKVW RNDVLVFDDLVSGEVQGQSTLQLYCPVNIGEEGDLSGDCQTITIQLEDGTSGSVWRGG GPVRKGLHTIIGLGSLSRGTCGSAQHAVAEVELRSGVNIIAGPARGEGQAGGGGPKLM NLASLSHKTAWEESTMGAYAGCLGARFGDAIAELTRFHCGETGKTG QC762_0108730 MRQSIDNADDNNRVPVTIMATPDSVFRAPDDIGSTTPHAIPDHQ DSRSPTVEEKLSADGVVNGNTATLDGVTDDSSGLEGIEPPTLGAVLDHHEFTQVTGGT LQGKRRQTLSTFRSNISVMTNVSLQQINPNYANPDRTVSRHDTLCHVGRADAWRRGFD ETRDTLPLTAQEQDRRMYSFAGKMIKRQESVSRRELVFCRFERLSVFNILRAQHKLVL LSEKLHYDEACDGFDDPSFDGLGEALQEYVRAIESFQVLDSKKAPEPSSVKPMAANLA HKLHEPHYWDGPDALNLFDIAGAHEASAQQEKKSAMGKQAELLPPNDVTVLDQVTSSV GGMRLWFQMRAPVFMLDQEGKKQRLLLRKKGLEGGIGNGVSRPS QC762_706320 MLGFRIWLLLDQYWPGRCVSAFSGSRSVLLSLLNAQGIQNRYGE KPREILPSGTFCLYVCKLSRASPAAETWPSANQRTYQSNPIQPSGIFFVVNALIIVKL TDAI QC762_0108750 MTRTVHPVVVKGLISQVPKVPRRGSIAVHGAGPISPAHSKERKY TVQQRAISPLRSLSGNLQNAPLPSTHGPSGRRRLFSYFKCSSTAAGNSWRPIYPLTPW PTSPALPYCSEISRLPATPPDTLLHYYPSCYPLTPDRAGTWYQTRLSRAES QC762_706330 MWLLSPGSKWLSLARIPSSIHPFLIPPPPMSTVVDFAPHDFGRH PMLDVDSMSRPHHSYHEGYAPGHGHYAPEHPPYPPPQPSHLTQPPRLPSMATMISTAG ASHPPAMPNGRDVSYPGGKPGYYSDYATPSPVGQTPPQFPGPSNDPHAFSRRAVDIRR SPTLSSTASDRSSDEVAHEMRLRQMNSFRDHHSQGLPRQYPHQSPRSRGSSLHHDSAL PPVLMGGPSASPYVPASAYMNGRPPPHLPQSPSNSTQASASPRQEGKSMSISNLLSSD SATTTTTSSSSTSHPNTTTTMNTTTSHPSYPPPAPSPIPSTSEYRISVRQQPYAARSC GFGERDRRVIDPPPIVQLTIHDPSLSPEELSRRLRHQFSVVHCSIYDDRGERDMSAMP EDFRQQRRLMGTLVASPFVGQDENGEEGCFFCFPDLSCRTPGSFRLKFALVVLDPMSM RMGDRSKIVATAMSEVFCVYNAKDFPGMKASTGLTKRLKEQGCLISIKKGNEKRETPG GGGGGWGWWEEGGRGG QC762_706350 MGRTHQKFLWWVPASCGCERASRHLQRPKHQLSAMGSLKRKEGP DGSASKTAKPTNDTRPSKRAKGSDSTKDSNKKGDAKPSKPSTPATSLIKEEEPLFPRG GASVLTPLEQKQIQIQAKNDVLFEEAASKKSGGEKSKKKKARKSKGGEVEPVKDEDAI KVESLNFKRLVKGSLVLGTVCGINLTDVAVALPNNLVGHVPITAISDIFTQRLQAIAE KDEEEDQADEDDENIDLQTIFRMGQYVRAYVVSTHDDSVDGKPKRHIELSLQPALANS GMSEQDIVENTTLMASVVSVEDHGFVMDVNISDSKLKGFLPRKQLDKGIPEESVQPGS VLLCIATSKAASGKVVQLSTLEDRIGSIKSFPSEATTIDSFLPGTAADILVSEVTEHG LVGKVMGHLDVTADLVHSNAGPGAVDIVDEYKVGSRIKARIICNFPTARKPKLGISLL PHVLSLKPKIAKTKNDIESLPVDILAHSTIIEKCTVQRVEPEIGLYVDVGVEGVPGFV HISRVKDGKVDSLFETSGPYKVGSVHAARVVGYNPFDGMYNLSMEKSVLEQPFLRIQD IPVGVVVPGVVEKLVVNEHGLGGLIVKVAEGISGLVPEMHLSDVHLQHPEKKFREGMK VKTRVLSTNPVRHQLRLTLKKTLVNSDAPPVKSYDELAVGLQTFGTIVKLLDRGAIVQ FYGQLRGFLPVSEMSEAYIQDPKEHFREGQTISVYVLSFDPEEKRMIVSCKDPSAFGL EKQVALKKLQIGNLVTAKVTQKTEDDIFVELVEGSLKAILPVRHLTDKSVSKTQSALK KIHVNQTLTELVVLEKNEARRSIILSHKPSLVEAAKKGKLLHTIDRARVGDVVPGFIR NTTATAAFVQFAGRLTALLPKTKLPRDIQDKPSFGFQKLQSVTVKITSVDKDLNRIVV AIPSEGGEDVQAKASSKSADKAMNALDLSVLTMEDLPIGKITKARVKSAKDTQINVDL ADNVQGRIDVSQVFDKFDDIRSVKKPLGKFKTGEIIDVRVLGIHDARNHRFLPISHRS SHTVLELSAKPSDLQEGSTPEPLSYAKLEVGQTHLAFVNNVAQNHIWVNLSPNVRGRI SAVELSDDLSKLQDVAKSFPIGSVLQVRVIHVDAERNRLDLSARDPNAENPLTWDKIQ KGMVLPGKVTKTTDNVVFVKLSESVAGPVFLCDLADDYEEANPLKHSKHEIVRVAVLD IDKSNKRLRLSMRPSRVLSSRLEVTDKEITKDTKIAVGDVLRGFVKNVSDKGLFVTLG GDIVAMVQIKNLSDSYLKDWKEHFQIDQLVKGRIISVSNGRLEMSLRPSILSKDYVPP ITFSDLKEGQIVTGKVRKVEDFGAFIDIDGSDRLSGLCHRSEMADRAIKDAKALYSEG DKVKARVLKVEEKTKRINLGLKPSYFKDDDEMDVDSDEDAGAALDSEDEDMSDAGAGG ALVINADSDDEDDDDDAGSDVEMDDAQTEGVKGLETGGFSWNADALDEDDKAAGSTDE PIKKKKQQQQRESRAQVDRTAELDVNGPQTTSDYERLLLGQPDSSELWIAYMAFQMQV SDLASARQVAERAIKTINIKEELEKLNVWIAYLNLEVAYGTEETVEELFKRACTYNDE QEVYERLASSYIQSGKLKEADDLFEKIIKKFGSKSPSVWINYAHFLHTKYDRPDKARA LLPRAEKSLGGGKPVILELMPKFAALEFRSSNGDREQGRSLFETILAAFPKRFDLWNQ FVDLETSVGTTDPATVRDLFDRGSKVKGLKPKQAKTWFKRWAQWEDKNGEKKSRERVS VKAQEWAKKAAEKKGKVVEEEEEEESEDDE QC762_0108780 MARLVEWVAEERPPGYVIPVVGGTTESRFWAHGIILQAMHLRDL AFGHRKFKSMMIPLQRLQIKQR QC762_706360 MAPRKRAKPNPVQQSASTTQSSDTADQITSPAASTTPQSIPPSL KTNGSGDRASSSGPGSKQVNKTRSWYGSLSKKSVASTQVARETILGGTSKPMATADFT RFDTKKPSDMSGDEAPTPAPSSLSKSHDSGVGFASDGTRGLKTPSSKTVVKPANGQAE AKTTAKSEDVVMENEDNGKEQEETPPAKSATDQSTGPTVPTASAATTTRPTSTWLGGW WGSSQPPAPASAQASQMDVAEEPATQATLAGSQVSENATQEPAPRDPPEQQSPDIAEQ TEAQNQAHAATGGGYGSWIWGWGAGKSVPTSQPAKTASDSTTNQSSAEVPKTDSTSDS AKEPEDTIMQGAPPIEETPAPSGSTTSDPAPKTGSTWAFWSRQSGPTSGKKSAEDSDE GQLAVMGESSEHRPKRAKSMEFKGSPPKETPIKSGKKEEPAKDLSIKSGKTGKKEELA KASASPPGKAASIRRSKRDRPESMEIDDTTPIRPGTPKAVEAPAKGAAQKTPASSTKT TAPNLVLPSFNGTYKLKENPSIVKQITRILLRGSQAPSNKHVYISKVLPKIKKAIAIG VHGLFPANYLRTVIGQPTGTSIKFANHTADAIRRWADKHGCGDCEIEKVALEGEGKIG ERVENLWNLLLNWIDQIRSAELILIGCHSQGVPVSIMLLAKLIEMGVVNKARVGVCAM AGVSLGPFPDYRTSMGYLMGSAGELWAFGDPKSEVSQRLEAAMKVCLGYGVRITLVGS IDDQLVPMESAVYAPVHHPYIFRAAFIDGRIHAPDFIAHLVGFALKLRNLGVSDHGLI RELSTPLAGSLYSGEGHSRLYDDEQVYDLAVSHALETTDVPGANPAAEMSRMGGALVN PNPYHLPWIMRGLLEEDFVKSELGEETRELVKQFDDWKPVTKALKDVKYRLEAVRSKL QC762_706370 MSLNGLDDPRVKEAYEAAVAEAGGWFLLKYASRDEVELLGQGTG GIVEIRNNIAEYTDKSPLYGYLRYRRRNVIIKYLPEDCSRLVQARVTVHFDSVCDRFS PHDTVFEITEAKELKDTKLSAACSLHAASGSTSSSTSSLRRRRLVEIAEEEEEEERDR KRQSTVQEHDRPGSPGQYVVQPPVKLNADLATIPDASRFTDGRDPPQFTGIDRPSSPA QSFDDAGRRMSSLDLYPSSSYPYPKPKVRLGPRPSAEASGRPKTPGGGTAKLVASMPS SVKALSKVSKKGRSADDEDVLESPIKEEPENPFPDLAPTKPVEDDPARPLTNSDIPAS APAPVPTATLAPPSSKPNTISPEKARLLKAMKLREKKKMMNSQSVEGLSAGDVSPAPT TPGLADDNHLETPQEVTMESASPEAAGEEAAVINKANSGIEIEIVTDLGSVDAQTDSH PPSPLASSDIGDSTQASSLSDSTDETILGKDQGKETPIGKEIQQTETNGHKPDALSLD GQATSIIAPMEDASAVLKDDSAAGAPASANTTVTQEPPVQTLPVSRFSVAAFPAGVSN EDAGSPGSAETVKEEPSATGPETAPAVENEEETSPARLPLSKFSTQDAKPIANVESQI DTATVGQPSNIGSDITNVRAGETQPVPAVADTQIAETEEATRPKPAPEPIKTGLDAPG ADKRQSVISMFDNDGFIDELQSATVQQATPITVSKSPITPFFPNDANSKRNTVGGLDA VSRFSRTVSNPVRSSMLSPNEAVPGSARSVSSSAYLQKAPRPAADVLPKTGKIGSSIS ERIKALQQLSGKAGAPVETVVAKERPSSTFFAVRKDGKIPSRTPSLVDRTASLSGRPT PSPPGSVESSPDGASIIRRDRSGSVVNRLSMFEGGNPPRGKPESVQVTARIVRDPTRL SEQKLDPAEYNTHDLQHSPLMVDLQKRVSLEVQSRPLSALSGRMSLDHGPQIERKQSL LQRRLSKGSQSAANDRESVADDRQGVPRAGRRSSLNVVKDFIKGAKSPSTDNLAPSPG QAMSPGSRSSSRPPSSHQNTAPSGGFARRLSIGSRRSSIDQNGVLSPVRTTEVSIDSD AESIKKSPASPNQGKSSRTSRFMRRLSNTLVPNSRKAGPPSISPTVTEENAAEVAAAS RATTATPSASPAQPSIVAFMGDVNVQFPDNLLWKRRSICLDSQGFLILSAVSGTAMLP TKNKAAGLLKRYHITDFKPPYTPDVELQELPNSVVLDFVEGSGLQVACEDRAGQMNIL HILTEAYQSHSR QC762_706380 MFGSSKSDSGIADSSSKAGSKSPSPDKDDAGRATPSPTKSTEQA ASGERRSGNGSPPSAGSSAEQKKRRSSGVSAKASNLIAQAKNTLFTQSGKGSNSDAGA NSKNTDQALLEELGKKDQALAVPQGQHNNAAGSSLPGPRSTFKVGVWEDRNKRCRRTM EDTHAFLYNFLCTPAPALGTESKSSKSSGDADEAGGSDMVETDNGYFAIFDGHAGTFA ADWCGKKLHLILEDIIKKNPNSPIPELLDQTFTAVDQQLANLPVKNSGCTAAIAVLRW EDRVPSNASVTGSQAIAPALAKAAEEAKTGESAPSLAAPEAAHARLKDASKRQRVLYT ANVGDARIVLCRAGKAMRLSYDHKGSDEHEGKRISAAGGLILNNRVNGVLAVTRALGD TYMKELVTGHPYTTETVLQPNEDEFIIIACDGLWDVASDQEAVDLVRSTMDPGAAAKQ LVDHALARFSTDNLSCMIVRFDKQGTLDQQSSKEIGVEGDSASASGKLSEAEKIINET KAKIAEGNTPAVGISASNFGHGRDPAKLEAEADFTPTAIEGSVEEESSQAVVNSVEGN GTKDAVVVDGTRSDVEVPDLTEPAPPLAEPAMGSNPPLVKS QC762_706390 MNILEWAFGKRMTPAERLRKNQRLLDKAIRELDQQRVKLEKQEK ALVAQIRQSAQKGQMGACKIQAKDLVRTRRYIDKFYGMKSQLQKISLRLQTYRTNEQM MQAMKGATMALGSMNRTMNLPSLQRIAMEFERENDIMEQRQEMMDDAIDDAMDVGAEE EGDEIVEQVLEEIGVDLSQALGETPSGLQSQSVPETKIAQAVGGGGGGADPGDDDLQA RLDSLRR QC762_706400 MSQEYFSPKGKGVRRPNDNATPDADAHHEPSDADSNYDRPARAS TAPSYMTVGNGSSANAARLQAMLEQDSGYGGSIAGDDVNSSLFNPASSGWDNVIHEDR PMRGGHSNEADRSAQASAVHQLWYNQHRNTLGRAISTVVELLSDLQRFNETWPAHYPS VQRAALDSPSHLSSRPGFHQAYSTAGDLANGPQFNAAQPPLRRAMTSVEDAAAAAESS RAAETRTVAEPRLVSPQIAQEFSVLKLDLKLGSLHQTELVHSLEKSSVAALLDGKIQS SIRHLQALRERIEDTSSKVLVTGDLNAGKSTFCNALLRRKVLPEDQQPCTSIFCEVLD ARENCGIEEVHAVHRDAVYNRHDEATYDVYPLKDLERIVIDNTVYMQCKVYVKDARSI DESLLNNGVVDIALIDAPGLNMDTTKTTAIFARQEEIDVVVFVVSAMNHFTQTGTEFI RAAAAEKAYLFVVVNHFDNIRDKDRCQKQILTQIRGLSPATYKEAGELVHFVSSSAIP VAPNPPGGPGGGGGSGSSSGGGFGDDPGDNDPKGKGKDKEMARDFSALEQSLRRFVLE KRARSKLAPAKTYLTNILNDVNVLATVNTEVAQAEYDRVNSELQALEPQLEAGKRARA EISEQVDRTIEDTCQEVYDYSRTTINSAINHAGDDNLGIEYPGLFSAFQYADDLKAAM LSHIAASVVHCEENARKKTVAGVESIKKLGIKHLGDEYQNLNFKSEVMFQGRKDALAR QVDISTEFADFVDFSTLMQREEKAGMALTVAGVVGTTVISGYSQVNLAFRAAQILGSE NLRKLIIPGVIAGAAALAFYVLSQIPHSLPARLSQKIATQLEAMDYVHQNSSRISGKV RKVLLIPANNLRVGLQKSVEQLGARRDETVKVRKESSDALRYFGNLVQRSAHQRQVVE GVDLDGHPPGMAGHPGY QC762_706410 MFRSCRPTRLLNQTHLPWHPARPSYSPVGPRRYATEHVLKYERA HREAKANKRATRDPTETDKITTLPNGIRVASEDLPDAFSGVGVYIDAGSRYENDSLRG ASHIMDRLAFKSTRSRSADEMLETVEQLGGNIQCASSRESMMYQAATFNSAIPTTVEL LADTIRNPRLTDEEIAQQLETAEYEVGEIWSKPELILPELVHTAAFKDNTLGNPLLCP QERLSVINKDVIQAYRDAFYQPDRMVVAFAGVPHAEAVELAQKYFGDMERSRPVVSEP TTPTDSSSEASSAASTPPTSPEPEQPSGLFGKILKNLAPQQSSSPSSILRPVVTPITE ADLNRPATYTGGFLTLPTQPPPINPNLPTFSHIHLCFEGLPISSPDIFALATLQTLLG GGGSFSAGGPGKGMYSRLYTNVLNQHGWVESCIAFNHSYKDSGLFGIAASCYPGRTIP MLHVMCRELQALTHDSGYTGLGEVEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVH GRKIPVREMTRQINRLTPKDLRRVAKQVLGGLVNNPGGGSGAPTVVLQEASQHGSGRQ EVIGWEQIQDIIASWKLGRN QC762_706420 MFTQPVASPTSPGAPVSPGPVSPTRTSRLRGLSYFRNYTQNHLL SREHSAHAHAHAHTNQSHQQHHQANSPTHNPSLNSPALPSPGLPIPPPTSEASSHFNH NNITNATTNHTHGHPSHTSRSNPFLQPAPTLAQPLVLPPSTASPTSSGLFPGDPLNSA LTERSGGVGGLAPPANTTIMTRARSATVGDAVLSPDPGSSSADILPSIRFSAFHDTRA TRPSLKFPTISRTLPTGNEVIRVGRYSERDNQPNIPSNMPSAAPVGFKSKVVSRRHCE FWYEDGKWYIKDVKSSSGTFLNHIRLSPPGTESKPFAVNDGDIVQLGIDFKGGEEMIF RCVKMRLELNRGWQNKLNAFNLTSHKRLRNMTAGSAQDSSAQSYTQDCSICLNSIAPC QSLFVAPCSHTWHFKCIRALLNSPSYPIFICPNCRAAADLEAEVEDPEEWEQLDSDEG AKSNPEGTLLAPATSEAQPRKSRESVRATRQATLIAPQQPPLPIPVPVIQSESEPDVV MVDVPAQQQQQQAPPVAEITLIDTREPTTSTNASRPPFQHAQSSPVPIHHASGHRTPS PTGPLIAGNNEGPITPRNDAGPWVFDGSGLRQRADGGGATAQATKFTTGSAVIKRLSG VAFWGSSLEFGVSTTHRYYIYLLTETKA QC762_706430 MDVAHPTAGWEQLGPSFYRKVQLYTQVFDQDLDLDNYIVAGAPY SGAIALYRDEGKLVAYQPTRTSKPSIDIYSCAGGEPILSIPWDSGSIKGLGWSEDEKL LVVTADGTVRCYFDLQGEFTQFSLGNGAEDSGVKSCRFYAHGLVALLNNNSLVSVSSY SEPRPKLLAPPPEGEVHSWSLIPPSYTNSRSVEVLLSIGQTIYVSDAAACEDRGLDQG PFTHITVSSNGKFVALYTKAGRVYVINSTFEENLSEHDSKSKIPPKYVEWCGKDAVVI AWEDEVHLVGPYGSSAKFYYDSGRVHLLPDYDGVRIIANDRCDFLQKVPEDTEEVFKL GSDSPASILLDAVEQLEMQSPKADDNVQLIRPHLVEAVDKCVNAAGQEFSDHWQKQLL KAASFGKSVLDIYNSDDFVDMCETLRVLNAVRNYKIGLPLSYEQYQRLSPSGLITRLL NRHEYLLALRIASYLRLPTDKIYVHWASAKVRLGSEDDDTACRKIVEKLSGKPGISFE AIARAAYEEGRGRLATELLNHEPRAGRQVPLLLSMEEDELALDKAIESGDTDLIYFVL HQLRRKLPLASFFRVINSRPTATALVEAAAHEGDGDGHEDTAMLKDLYYQDDRRIDGA AVFVREALRQPESRTAGDKLALAAKLLADNPKENVFEIGAVREANLLLRMQEGFDRDL GDNFTGLSVNQTMFKLYRLGYSGRAKKIQGEFKVPERVAWWVRLQALVAKRDWNEIEE ISKQRKSPIGWEPFFNQCLQAGNPRLAAVFVPKCTGLEQGATITMYEKCGMRVRAAEE AVKLKDGDAWLRLLEAAGRGTNEGREIERVGGQVFGGRGR QC762_706440 MQNWRTEYITGIKDAEKQHPVNRELIAAYSQLCDRLSNLEAEKA LLQSQQQQQQQQQQQQPPLSSSRKTTTTTPPPTSSASSDDTNATITRLRLDLTEALRS KSQFQSRLAKSDDELARLRAKTTSDSKALADLTAQARTLSRKLRDREEELRAKNKLVA DVQDELAVLNMQLDQVEKQRAKREAEYNHLLSRYMARVEQETEAMNLEHDKPSSSTKQ KR QC762_706450 MSIFNQKSKFKVKTEVRAVKKTLPEKPKPKPTSAPPSTSRIPSS SVRASPSTTSTPRASPLPPSARKSQNGSSRYLDPSSALKAPSSSTSSNSRKRPRASHS PASPSFDSDSDSDSASDDDWQDQLDPRKRLKRLEQLRRHDPNRRVRHPKMWKGDRESE GELLPVIHAVEVASLSEKCQPVMGLSRDEVGVRLRYPGSNHREKYELVKGKDKIEGAQ DILTVVRHVASIYLSEEEARPFLDQNSGIYRRLERCKNLNDGKGFKEALKEYSESLFA LQRKGVIAKNLENMRGVPQELVAFILDQVYDRTVAPKVELLAKYENGTDNVYGELLHP FISDIFERTQLTSDMVFVDLGSGVGNVVLQAALEIGCESWGCEMMENACNLADAQKKE FAARCRLWGVAPGKVYLERGDFRKNEPILESLKRADVVLVNNQAFTSQLNDNLVNMFL DLKIGCKIVSLKTFVHDNKLAENDVASSILDVEHLTYPEEYVSWTGAAGTYCISTRK QC762_706460 MATNGAAKVNRPTNTKEKEADVNRKLQFYGIASAFQNGKVPSND QIDVALSSFLESKALSNPSSKLSGDGKALVADFREVVKQAKNLLLSKNEGNLLQDFIW QTQQFDPKTVNVPGAPVDKDTAQQHGNQALEGFRTLGTLIITNGQFRKLLKDATILLR DMAGDAATNAAARVKPSHEDLNQIDTPAADNTWHEAPDFKQGKEEMKNKLGSYYKGNP KEDAKAVAAEGTSTAHPTGSSDPRDLAGTAAREQAHGGSTGINAVGGAQAATNAAKRQ LDANLDQEAKDKAKAKKDEYRARTKDYFSKKMPQERREQTIWRLKKMVLECQQHPDYH AAITTLLNLAEMYGDHANRLAKGGTGTVKETRTGLAQAEGDLKTLIERFANGTSTDDL WASINAIYEDADRDPELKGWFRSLNVYIRRCLQEQGYILDDDSNVQWNALYDQGNYLL RTKYRVHTDRIVDEIKFLGDQFDQDPQNKAFANSLTKLFTELGNDENGKPTFKPHLVK DLTDVILPAMFEKIAYIPVPRIEYSDHQIDAVIENLVLESDNFMPNILEIASENYMRF GRKNLSNKSKHSIDIKVAGVQMDLRDVSYYIKRKQGFPSLTDTGVANILLAGDGFSFR MKMATPDERDSQNFFKIDKVDVDVKNLHIKLSKSNHKLLFGLFKPIMLKVLRPGLQKA LEKAIKDQAVKLDRILFQIKQEADRAMDQAREDPENVPNIYNRYVTAAQKQILQGKQK AEAVAADKKVNYAITKEDSMFPNIHLPGGISSKATEYKELARKGDKWESPVFSIGSAS KSRDIPPAPTVTRKPHTTSADTAAAHGGAYAGNDGAYAGNGGAYTGNGGAYGGNGSAH VGNGGAYSNGGAYSNGGAYAHGGALNGSALNGGALKDKTQLPPAATTTAPAGYAV QC762_706470 MASQRRRDHRQGPDAEAVEEDEAQYGRGGMSMEEVDQHFPHRPK NAHKTLPFAELYKSLFNPLMDCKPGSSATAGAAAAAVPGKTRFGKAKKKTGGVNYHEQ RRHIIERFMSRWRKEVGDDFYPAMRLILPDKDRDRGVYGLKESGIGKMLVRVMKIGRD SEDGYSLLHWKLPGGGGGGNQKFGGKGQTGTAGDFAGRVLEVVGKRAMRGTPGGWTIG EVNVLLDRLAGASGEGEQLPIFEEIYRNCCAEEVMWLVRIILKDMRVGATERTFLGLW HPDAEALFSVSSSLRRVCWELWDPETRLEQKETGVSLMQCFQPQLAQFQMTTGFGKLV QNLGVTEEEKEFWIEEKLDGERMQMHMKEDETVPGGFRFAFWSRKAKDYTYLYGEGLE DDNSALTRHLKNAFHDGVRELVLDGEMITWDPDIDKIVPFGTLKTAALDQQKNPFQNG PRPLYRVFDILLLNKKALADYTLRDRHAALEAAVRGEHRRLEIHPYESATTPDAIEPF LRRVVAEASEGLILKNPRSRYQLNSRNNDWIKVKPEYMSEYGESLDCVIIGGYFGSGR RGGILSSFLCGVRVSENHVRSGAALTREKCLSFCKVGGGLKAEDYAEIRHHTEGKWQD WQASNPPTDYIELAGGKMQYEKPDVWIRPSESVVISIKAASIAPSDQFAMGWTLRFPR FRKLRLDKSWDEGMDASDFVLLKDKVKEEEKERKAMEMESRKRKPAKRLKKELVIAGT DPNAAPVEFAEGGDNIKAEPMSQVAFPPPQARRKSDNQLFQGLDFCVLSEAVKPRKMS KPDLEKLIKEHGGRIHQQVDKGGNMILLAEKNVVRVASLKRAGDADIVKPKWVFDCLA QNNGEGYLLPFEEGHLFHATEEMVKLAAENTDQYGDSYVRDVTPDELRDIIDDMRTKG GVKEEEDAFHDERTGEFDAEHFLDQLEERGRGLEGLKSFLFRRCRVYFPVATKEEEEE EKRGPSSTELKAVKLQNEVKFGNGTVVGGLDDKEITHVVVVGDGEKERKELAASVRYE VSSRRHVPRIVTGRWVEDCVKEGTLVDEEGYAP QC762_706480 MVQLTEVEDEHFQHAQVGPDEDEEDFTDTDSEISTDSHYDPTAE TLAERLAALKDIIPPTTRSWVHAKYEATTSTIKSVVTFAGRSAWALSVSAILVGVPWA LAYGEDQQFAAMEAEQRMRELGGEIMTAPGQEGGNKDPMLGDVAAAVGGGAGGVQQVK AAL QC762_706490 MVWDHLSVTGPHLIYLILGGFTTIFMLCSSVIKERMYIGEATVA TLCGIIFGPHVANVINPTKDWDSVDIITIEFSRIVLVVQCFAVGVELPKFYMEKHWKS VTLLLIPVMLFGWLITSVFIMWLVPPLNWIESLVVAACVTATDPVLASSVVGKGKFAK RVPKHLRDLLSAESGCNDGMAFPFVYLALYLIHDKLNAKVALKHWFLYTVLYECIFGA IYGFIIGYMARHGIKYAEKHDLIDRESFLVFYFVLALFAAGSGSILGLDDLLVGFAAG VGFSNDGWFTQKTEDSHVSNVIDLLINLTYFIYFGTIIPWKDFNDHAIGLYAWRLVVL AVFVILFRRIPIMMALKPIIPDLKTWREALFAGHFGPIGVGAVFVAMLARAELESESP VPLTKLPDPGSPHYDLIRVVWPIVAFLVVASIIVHGSSIAVFTLGKHINTLSITMSYT QANEDGPTWMSRLPRITSTSRSQARTMSDTDGEELKMPDYPPGTLPPIGYPNNFLRRV REDENNEKQSGSRQNSRPSSRSAKRRKKMWDDGIGPGGPISQSAIFPQRRTPSEGQTP LPPVTQSPAQPHDAQQDSMQITPVDERAARSPTPHERHHQASGDSTRAGSPNMGTPGD EHRNPIEVYNEGDNIIIENADGDVLAVHPSQSGNNVAEHAKDLKSKLESEAGPSGWSY NALKHRVANWREEELAKRKEKEKTARKGEPARAFQYGTTIIVENEDGEVVKKYDLSTP KSQGDQGKASPSGEGTQGHSQRPNLSRWASAAFGRSQAGEASAKKKTPEEEEDEKDDK HIRFTVGGVGRRMTKEDFLVEMQKLDKNTRRDVVDKSSASQELKTVAKRDTQPQIKVS APGQSGASRKNSASPAAGASSKPQATSPGPERSSGSSGGSRPSSKEASETEVEKKRRL EALRGVPSGSRAGEEVAETAAERRRREAALGMSREPEESDSEDDDTPRVPPPKPRIRF AEETVNR QC762_0108930 MGAVRSLQFINTFTDTPRRIGSLSQRLVAVFDLITLLPNLRSLV GRTWTLFPSFRAWLLTLTVPPRQRGKEENPRNDEQQPRLFGSS QC762_706500 MPGLTSHLLHRALPTLERNPVLSARCVPKFRAYVHSARLTPQQP RPPQATPVSTATKPTPTTTATMGMFERATAAAANQQSQNSSRNASLKKQLFPSSSPNP VSTSTAKVDEMFLRASQQPPPAHRPSQFSSTTNPLNSQSSNISRPPVTNPKSNTLSSI CSTNGSFADKVEVIHIADDTPPPQNFTNEWDLDEDDFSDEIDLDWEAPSALPEIPRAP PKSTPQKFDLPIPTSEATLTSWPDSSPSHFAPPRARAQPAPQAPAPKREYPYHAQQAP EVVKRPKRELPPTWKKEQAITTEDGEAHDHRGISEATPDAKPKATSFWDATASAVKAQ KKQLKTQQKAPGGGKPVTDDVSRDDVHDAVDQHVKASKSSNAKAAAIQLSQEQRHVKN LVVEKGQSVFFTGPAGTGKSVLMRSIITDLKKKYARDPEKLAVTASTGLAACNIGGIT LHSFSGIGLGKEDVNTLVKKIRRNPKAKNRWIKTKTLIIDEISMVDSDLFDKLSQIGR ILRNNGRPWGGIQLVITGDFFQLPPVPEGGREHRFAFDAATWSLSIDHTIGLTEVFRQ RDPGFAEMLNEMRLGKISDKTVKNFQALKRPLTFSDGIQVTELFPTRSEVERSNKARL DSLKGSPHTFQAADQSTLPENVREKLFSNMMAPPTLDLKKGAQVMLIKNMDETLVNGS LGTVEGFATEDQFGIDNGLEDESDTKKRVRAFTSALENNKNAVKYPVVRFHAVDGSQR VLLCVPEEWKVELPNGEVQASRKQLPLILAWALSIHKAQGQTMERVKVDLNKIFEKGQ AYVALSRATTQEGLQVLNFNKTKVMAHPRVINFYNSLYGADVAVKKKTGTLDDFAYQK PAVAAPAKPALAKPAAAPAATTQRRAPVYDDFDADEEEAMASFG QC762_706510 MAEFIGLQMLVTLRGNPQPVRIRGTVSGVEAGNSLTLSNVWFLQ TNEWKPQLSISASEILDLSHDASQYAPRQPVVPVPVFKQEPPVKIKQGHEPAVFIDPA IVAVGKRPSVSSTGPGKVVVPVVVDRDATPTRTVKQFHTQAQGLESDEDGAVGGDAVL EYGQVAGGGRGKKTRPPRKQRVNKLSQEATGEVESSPQPSVKTAGKGKGWRQTPMLQS TPSFQPFNSLKKVSKGGRATADNNGWASEDVTDVQEMGDFDFETGLAKFDKQNLFEQM RKEDVVDEADRLVSHNRIPKPKPGTAGGKNLHYSENVLDHHQKTFTPTILKPSREKLI SKEPSNDFWNSEADDGAMNGSEKLSDRATVMGSRQGSRRGEGKMASSRRSQSRKASAV PGQPGGGPSRVNSGVSHYHHRTSSLKGSRPSSRLSSRTAVGGGSGMSVIADKRKQQLS ATAATNAHHAPHQGLYVLPSNRRIETISALQMLNLENIAHNEIGLTEEMMTENAGRGL AEVVVGTVLADPAIKVRQGSIVDAATGVLPPPTVVVLAGNNKSGSRAVAAARHLRNKG INVLVCVVGLERGERDLLEEVRQQVRLYKNLGGRVFAKGEFFEHIRKISIPMLTIDTP RTSLGSLANPAPVMLIVDALLGLAISFEELRNGDQATVYELVEWANRNEAFVLAVDVP TGVDPSSGMVSVVDGNRLYVKPRYVVSMGAPKKGLLEAMVAADINEGEDDIAVASAVP DSGEASLALDDAVSEWRLFLVDMGLGPAVWKKAGTKMRKGVDFGERWVLEMKYRGLNG TNSGPEGDPEEGEI QC762_706520 MSSLPPNVHISTHPCLQAKLSQLRSASTTARDVKTLINEITLIL GTEALASALTSSPGPTDTTPLGFSYPTTHLSPSTISLVPILRSGLSMVDPLSSLLPHP PPIHHLGLFREPSTLLPVEYYNNLPNHLSSSSSSSEKTPDLTIVLDPVIATGGTSAAA IQTLKEWGTKRILVLSILGAKPGVELAANEWPEGTEIWLAGLDAELTERGMLKPGMGD VGDRLFLTIGK QC762_706530 MTSQPADRVAYNNDLTPVEDAGIEGRFQQLPGPSSPRQTPHTKS SYSQEARAARCASAASRAEYDVPDTYENLGETANIPPVQNPDEESVHRYETLEQERAR EQSYARDRRRQDEDPATRAAVQAEEKAEAASYPVSKKETQIYTLSYLIFFSIFGTLAR LGLQAITIYSGTPIIFTSVWPNFAGSVVMGFLAEDRMLFRQEWGSPSSNGADTERGSV SVDSEGARKAHLAIKKTIPLYIGLATGFCGSFTSFSAFMRDVFLALSNDLPATNAPSR SGGHTFLAFIAIPLITISMSLAGLFFGAHMANFLEPYTPSLPFLFTSKILDRLIVLLG WGCWLGAIIMSIFPPHDSWRGTATFVLVFAPLGCLLRFYISLRLNSRAPSFPLGTFAV NIFGTAVLGMSWDLAHLPVGGVIGCQVLQGIQDGFCGCLTTVSTWVAELAVLGRRHAY IYGAGSVGTGLVMLVAIMGGLRWGDGWNPLVCTH QC762_706540 MAAPRKPTLPVPGKENILITSALPYVNNVPHLGNIIGSVLSADV FARFCRARGLPTIYICGSDEYGTATETKALSEGVDPATLCAKYHAIHKEIYDWFRIDF DTFGRTPTDEHTEIVQSVFKHLWNNGYIEQRETTQAYCPEHESFLADRYVEGECSLCH DKGARGDQCDACGNLLDPMEPDLDASGNQETKATGWLINPKCKLDGTTPIKRQTKHLY LRLDALQGEIETWIASAKKDWSANCTSITYSWLDQGLKPRGITRDLKWGVPIPTGLDG LSEEDFAKKVFYVWFDACIGYPSITKTFTDAGNPSGTNWEKWWKNPEEVSLYQFMGKD NVPFHTIIWPASQIGSKENWTKVKTLSTTEYLNYEGGKFSKSKGVGVFGNNARDTGID PDIWRFYLLSRRPETSDSEFKWEEFVDVNNNDLLKNLGNLCQRVIKFTQAKMGSVVPD FDLSKFPALQQHKDEVNKLLHEYNTTLRGLKLRHGLSVIMAISGLGNKLLQDNKLGNQ LIAEEPERCNAVIGIALNHIHLLANVLAPYMPGKSQAILKQLGFDGKGQTASIPDVWE ADAIKPGHKLGEPELLFATIPAAKIEEWRDAFGGEELRKIKEAEAKKAAEKKLAREKE KEKKKLKKEKERAEKEAAAAASGQAAAPIAAGETTTLPLRPAPAKATGEEPAPKN QC762_706550 MSNVMISHQQTNKKMPPPSEKDKTPVSKPNPPPDYFPTPLPQSI RSDILTWRFPRPFHQLTLTGRSRAAWHTSFVIPELNLLLDAGLVVGAHRPKHVFLTHG HSDHCLLTPAFLRADPPHTPPLLYCPEEMARPLEQFLQGSQLLNKGFTGFGEGEGECR LGRLGRYTITTMKPGEETGLRYVKGQRWKATAVRCDHTVASIGYVFSTTTSKLKPEYQ GLKGEEIKRLRTEGVEITGEVEQPVFAFMGDTTAAVYEEGGEMDGFLKRGVRVVITEC SFLRESREHREQADKTKHTMWSDLERVVRRWPGVVWVVMHFSLRYEEGDVVRFFGEME ERPGNLVVWADGGVGMGEGR QC762_706560 MDFISLPKIELHAHLSGSISRQTLHEIWSQKPSSSSSSSSSLPD PLVEMPPGKHDYDLRTFFPLFTSYIYTLISDLPSLRHSTLSVLRDFQSDGVVYLELRT TPRAIPSANITKHLYVQTILDCIAEFEAGEGCTLRTKLILSVDRRNTLAQAEEVLELC RQFKGRGVVGIDLCGDPAVVDNLRSFTPVFRQAEKEGLKVTLHFAEAEVSGTEEELDL LLGWGPERLGHVIHLGEGVKQKVRERRGVGLELCLSCNVHAGMVRGGFEGHHFGEWWK VEGVVVVLGTDDVGVFGSPLSNEYALVAKHFGLGRREICNLARKGIDVIFGGEEEKER LRKIMWTE QC762_706570 MDPGRPNDVSPEAMQARIQQARREAETLKDRIKRKKEELADTTL RAVAAQAHEQIPKNQLMRTKRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYT TNKVHAIPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLNQSRDGPTRVARELSGHA GYLSCCRFINDRSILTSSGDMTCMKWDIETGTKVVEFADHLGDVMSISLNPTNQNTFI SGACDAFAKLWDIRAGKAVQTFAGHESDINAIQFFPDGHSFVTGSDDATCRLFDIRAD RELNFYGSESILCGITSVATSVSGRLLFAGYDDFECKVWDVTRGEKVGSLVGHENRVS CLGVSNDGISLCTGSWDAFLKVWAY QC762_706580 MSNPTPAQEEFAAFLDKNSRDSLDGIHPEDRASAAREAADHSED EEEQYRAQKIDEAMRMPTMDSRTALRLPPTSFDDGHSTGVKGVIADARSYENARQRTW KDKARAVRRSVFGLEGGRHSGTDSDASGAEDADEEEFLNRWRESRKRELEEESRNPVR NRRTSPSVRMYGRFEEVDALGYLDSIEKVGRETVVVVFVYDHQSEVSAAIESALVPLV STHPTVRFVKVHYEEIEFDNAGVPAVLAYRNQGDLFANLTGILELIPDEDDFDTDALK RIFTKHNIL QC762_706590 MKATALEPTNLADSAYEIINPADTTDSESQDGRLTESTSSLCAG RSDDVHSLGGSDHHYTSESDGEVEEEEDVDDEEDDEEVGNSSHASSIRYTDEALGNPS TQLPASALECGSSSEGSGVVVRAIEFQEDDGDEPLMLEKISAKHAVREYNEDDSASLA AQLDLSQQPKRLVATIRQTMSQAHLSTKKPLRVLYVGRPEAQRAIVLKTCNAIWASPS TSDEDQDHSNQDREGVYNIVPISSFGSSPELDLMHASPCQIKVEHCTSAEEVIYEGSS FPSDTVYTITVEHDKVYTSISSPGGNAIQPRYILPHIAFFYCSQKDDAEAERTRDAAW NFLRRHRVPSIFISEAQDFAKCNTGSWNDYVNKHAIHLCLESRDPDRPLAPLRFPIDL ASFNDIDARQMNRNLAYLTGLSEPEEESFIEVETPKKKNMPRLQIVEALDAARDSWAK FVERGGPQRLLPFLVPLFLALFSPYLMSLFARDPSSSVAPSTQVPLAANLGSTPVCAA SPLSTKSTSAGVLTTTKTVVVSLTETRTVEISQTAPLTSSFASALSYAGLLSDRSLAP AQPEPQKQETKKPAPVKNIALSASIAGASEILVGVPSNHKARRLLPNGINLNVCRGGV CLEKDISSVDQGLLIKLQPKDAWGAVNVTIVSTRKPKINETFEVDLGKTGMADAFGAG LHMLQDVMKKVSSGVDGATSQVGEGAVANAFKHALEAGKSAGSWAKEQLTWSAGQLQG HAELSVLQAQIRSKLLWLKAQGKMEEHDAYQRNATRFLKMRKDELARVQAFEKGASGT EVCGKSWLPFKSRCQTAGRMAKDISRGNGWMKKIMR QC762_706600 MSAPENETKPVEEVAAPAAEEQKPVTSSSVFSMFGGGAKKEKKE EEDRGDNSGSAKAQREAAAAAAADEDQAPESEDVHFEPVIRLTEKVEVKTNEESEEQV FKMRAKLFKYVAETREWKERGTGDVRLLKHKENGKTRLIMRRDQTLKVCANHYIVPEM KLSPNVGSDRSWVWNASADVSEGEPEAVTLAIRFANSDNANQFKDAFIKAQKENEALR LAAEAAAEKTEEAKEETKEEAKEGEKKEETA QC762_706610 MARARLGLCGWAQNITLEIDGMMSLTLGKTFYFILGANNNSSRS NQSSTTTTTTPRIRVVSGRGGNNNKKGGGSKKKKNNNKDKDDNKEDHNNNNNNNNNNN NNNNNNNNNNNNNNNNNNNNNNNNEKTNVKMIVERKVKIVTEQHNIDKPPVNEGFPMK EWTIEVYVLDQEGRERPARCFTKVVYNLHPSFDNPVQTFTEPPFRCTNEGWGEFEMSI ELYTTEKGGKQTILHDLNFAAPQYENVHSVTFKNPSQALQALLRETGPLPSDEERKQL KKGGEGSKKKKTFDVEKMADGLVKLSEDDLLQVIQLIHDGKDEGTFIQNNVDQGEFSV DLYTLPDPLMKVLWDYLIRANVLGRD QC762_706620 MAQFAASAAQLSMSPISPTAALSSSGAAGGSASGPPPPSGSAGA GSGVGGAVSPPLRPGHHREQSQSSVTQVQGGSGQPQQHKRVYQACIPCRRRKVRCDLG SVDDPHEPPCVRCRRESKECYFSATRRKRKIDDGSVEEDPEQDEYILRNGRKSLRTTV ESPASSTAAYDRRLYSEVPLTPGGSRGRSIPLKRPNDLASTRGRGGSVGGGGEFGNGE PNTPLENFEAKTMMRREVYGPHDALDLLYKAATDNSSHKIDENPTPSRRATQSQAHSH SHSHSIHAHNHVDSRKSSNTITPGTYHHTRHPSRADGPTVDQQIDPELSKTEQLPDLG KEEALKAWARFRFVRAGWFTAQEAIDYIEYYYKYLSPLTPISPPTFQTPSSHLTLLTE EPILTVTLLTIASRYRKMPGTGGHCRSHAIHEQLWTYLRGMIERVVWGQEAFGGGFCG SGADDMQNSSTAPWRGLRRGSLRTLGTIESLMILTEWHPRALHFPPNEATDELLLPDF SSQSPVDSDSHRPGGRIGGPRIESWLEPAWRSDRMCWMLLSTGMGLAYELGVFDDIDE LLATGAIARPEYEEEAYRLRASRIKRLLLIYLSQLAGRLGWTNMVPDELRRSDEKYTF KRRPNTVEGNTPGTNQSSLSNVFNYIPDLELDDQIIHCWAGISNAMHLGNEKLFRSRK HTTDIIQSGSYISLLKEFQPLLRDWWNHFERFRMPPYIRHILTIEYEYVRIYINSLSL QAVVERCTNNAGGGPNGGNGTATGGEGGGSVSGQSGPQLSPQTQHYYGKLPLGQLGGF GVEDQEYVREVVNGSRNLLRTVVEGLLPDDYLKHAPVRTYFRIISGAMFLLKTFALGA PKSDVEISIGLMDQTVSALRNCVVDDVHLGIRFADMLETLTGRLRNRFIHAPPPPAPP AGSATGQQQQDGMGGMMGLHGDANGHQHGGVNGHGLHHQHSHHNNNGVADPWLKLREG AGAAERSATPANISATPWDLTTGNFPYPTGSASMFGPSTPAAATGLDNTNGNGNNSAH SHNNGLDTNAFLDDWTNPGNEMWYLPTGPAFFQNIDNSTSVSMTAEGVNVGGLDLLEY MAMDNPMDGFGMDGSGF QC762_706630 MGGAPDLPQRSSSPLKRPASSMEPETDSTNLKEDVVMGTENESA AKAKEGKDEVIDGAPSSPKQSTRELNGLPLRNDIPPLDQQIKTIETLVKAFAETPIQE GDEAYLVSRKWLQRAQAFGLDSKHVSKEVPEGSLGPVDNSDIIETKFTDSNGVECVKL KPGLGTESFELFPKDAWDLLLFWYGLATGQAPIIRLAHNTAPDAVSDPVIQFEFHPPV FTIHRLWSATSPIPIEQEIKLKKPPPPIVVQSTSFSYHKFLRRVKELASVAPDRKVRV WRVLQTIPATETPASEPSESSGMMKTPPDSPVQEVGLPDGFPAVPGAWPEMLVDVVTF GQLEKGVQRALVEAQDTTVHANYNGKRSLSLVGLNVDQTLVLDEEVERQDWVSTYSGS NGKNLTTRNSSTAVVQARANVSGRSSPALSAPLTRGRAQQKSGRTHGCVGLQNLGNTC YMNSALQCLRAVEELTKYFLTHEAKKEINTDNPLAHGGDVALSYGRLLEEIYKEPTPN SVAPRQFKSVIGRYAPAFSGYGQQDSQEFLGWLLDGLQEDLNRILRKPYIEKPDSTDE MINNPAAIREMALQVWDITKRRDDSVISDLFTGLYKSTLVCPECNKISITFDPFTNLT LPLPMANSWSREIRFYPLNDAPVNIVVDIDKNSSIKGLKQFISQRVGVPVDRLMASEE FSGKFFKHYDDMATVSDDISSNDIVVVHELEAPPSNLALTKDSKKQKIKSLLADNDEE DLEDPMVQSMVVPVLHMCSQGKAKRKKSVLVPPHFIVLNPEEACSEDIIRRKILEKVA TFTTHPELAALEETDAGDNIDPEMANVASDVDSSGDSKIVAKSVEGEEDIVDVTMADA NDAPKPAASSGAEQSSQALKASKSQRPKWIDPEEFLAPQFQNLFDLSFFSEGTMTIPT GWQSVTEGNAYPRLSTRQPKLIMSDVEMQSPGAWANSDASGSDEVNEVTRMAEESSDD DSDFPHQVKDLQSRAIEPPSHHVNARGGKKKMKARQQQMYGKKAKKRFEKQQKGRMQK QHASRRDTGPIEGPLVRLGEGIIVDWNEEAWEALFDGQPGESMRGCKTFENVDTLVDP ALEAKKKQRALRAKHGITLEDCLNEFEKEEILSEQDTWYCPRCKEHRRASKKFDLWKT PDILVVHLKRFSSVGYRREKLDILVDFPVEGLDLTSRVIDKEDGKQEIYDLIAVDDHW GGLGGGHYTAKAKNFNDGSWYEYNDTGVSKCSDSQKVVSAAAYLLFYRRRSETPLGGP KFQEIFDKYDSQIASADQDMSDSGEGQRLGLSSSLRGSPSASTGAGLTLPRGKRGLVS DDSDRAGQALIPADPELPSYQASVGNGDEDTEMGAALWDQSTLHNSIEGDEDEGIGLP DYDNGGMAGMTSVIGSNWNFQNIKPGSVASDGDIASDIAQNDNSSNDDGFAAGDEMDE VFMSGPSLEFIEHEPTFPEEEIPAPPAEAQNLFDQIAEDKWAQQQIHTVPADIADDHA SDKVAEIRVGDGGEDQQAQVQKPSA QC762_706640 MANSEETPPPSKIEVEVVTENLETPELDDRSYRVIRLPNGLEAL LVHDPTTDKAAAAVDVNVGSHSDEDDMPGMAHAVEHLLFMGTKKFPVENAYHQYMSNH SGLTNAFTATTSTNYHFEVSAKPSNDEEPSATNPSPLLGALDRFAQFFIEPLFLENTL DRELRAVDSENKKNLQSDNWRLHQLKKTLSNPKHPHHHFSTGNLETLKTIPEAKGINV RDKFIEFYEKHYSANRMKLCVLGREPLDVLQAWVAEYFSPIKNKNLPRNRWEDEVPFT KDHLGVQIFAKPVMDTREITLSFPFMEQENLYETQPGGYISHLIGHEGPGSIMSYVKS KGWANGLGAGPSNICPGSPDLFDIGITLTEEGLKNYKEIVKVVFEYIALLRETEPQQW IFDEQKGMADVNFRFMEKSRAYRFASSVSQRMQKPIPREHLVSGYSKLRRFDPKLIKQ ALGWLRPDNFFLVVTSRNPPVTLDKKEKWYGTEYTVQSIPETLMKEVQAAATSTPDNR KAKLHLPHKNQFIPTKLDVEKKEVKEPAIAPRIIRNDSMVRTWYKKDDTFWVPKASIM VSCRTPITSLASMRAAGRLFTDSIKDALEEYSYDAELAGVEYTVICEERGMYIEVSGY NDKLSVLLEQVLVTMRDLDIRDDRFAIIKERTIRSYRNWELSAPWTQIGGYMSWLTTD HYNTILDIAEELPAVTADAVRSFKREFLAQMHMEVLVHGNFYKEDALKLTDMIEKTLK PRPFSPSQWRSPRGLVFSPGSNYVWKKTLKDPANVNHSIHYMLYTGAKIDRPQRARTA LLDQIMHEPCFDQLRTKEQLGYIVYCGSWSNVTTFGVYFIIQSEKTAPYLETRIEKFL EDMGKRLEDMSEEDFEKNKRSLIERTLEKAKSLEGESNRHWQAIESEYYMFNNRQLMV ENLKPLTKADMIEFFNHYINPSSPSRAKVAAYLEAQAKSDVTTKQITDLIKTLDLDES TAAQAATDLQSRLSAAGHDEEKEVEGLVDYLQGLGVPDGKVKAASDVWRRLHSEHGPG SGVVKDAEPPSSNGTTPTLIKDVRDFKALHATSRAPYPEKDLAEYYDLDAKL QC762_706650 MPPPKSTQNEYLLPMKEAPAAKTMSSRLMTMKFMQRGAALAAAA NNNSPTTPVSATTPTPATPQAAGNDNDNDNDITSSSKRRKFSHAPSSASTAAPQTLLY DQAAIQAAIEEEEKKRIAAVERRAAELGDSHWVLEGVNTGVKKGAKKPLNVVQVGFAQ IDYGSSRTTYSGVEDDNPFEEGSAGAAPPLIRFNMKKAEAPKIKSDESSSSDNSDSDS GSVSDDSDSDSSSDERKTTKNRQQPRGRQQNTNDSASRKRGRSSTLSTKKAEEQKRAQ ELASQRRKKEVKLNRLSSISGAGGLSSISGGGGLSSPKTSMANMTCHGCGKLGHKNAD CPNKRRR QC762_706660 MFALRMRAVAQGLPRQLSKRSFTATARQLESTTVPTKGDEKVPQ VTGGPAPTTVQQAPNRTEVWSRTQRPRADAMTGPRFEQTDLENQPRPWAAIELIHKEP VRWTHDRVVACDGGGGPAGHPKVYINLDKAEITPCGYCGLPFANEHHRKHLESLPQTS YPLA QC762_706665 MYFTNLLPLVALASAGPSSINYLHQLSAALVKPAKLVPTAQFNF APYQVTNIALDCKRPNNKTNYSCELYFALHDPNSVRENNVTSCSCHHTWSWDGVNGSL STTDWRTPVAGYQLCWRDDWTFFKSSVPSFEHPGNFSLEVAHTYHDDENFTVPWDYPT TIATGEVIIYEYYEGVKKHGDEICLREMGPVNATVVGILD QC762_706670 MPSRSYPWSGKNNRSQHNLVGTTAAEDAGQSGQQPSVPGSAVTN PPSAGGSAAANPPSASPNPTFSSSESFQHDTSNNRSSHQQPPPPPPHLLQHSNSVSGA AFDSRPPREHDYADQVSRSQSQRYPQISPVTTQLHHHHPQQQQHQQQQQQQQQHQQQQ QGQQQSQHSPRYSHPFGSGSAEDLSAAGGQSISSPITGPPQPLFSQQQQQPPPAPQKQ KSSTRKLVKNIFSRDHAPQVPQNNYNNPPGVNRRNSKRVSLPPPAPPSLRTGLTQVSQ VSLDQQQLDWQNQAPPSQPSPLQGVGDFRESYVIDGSDQELHLQNPHDIQQHPTIRPV PPSDSDNSPYSADESAYRQHQGHFQHNGHTPPEQQFGQAIFEPQPNQQLSPHSQQQQQ HPHLQQQQQQQQQYHYSSPQQSQYQSGFSGHLANPQQQNPETISQLSHESPVTDSDQR SASNIQAQSSQTSSAVSYPHQAQDIPGRPNPQSAAQGQAPQQQPQSMAPPTGGQPPAR RSQEADKGLRDPAQAPPGPPPSYRQSQQPNMNNPLPQPPNAPGANPAFRTSNVPDRQF DGPGDIAGRNSPQPPPSDRGEDPDKAFKDLLTKYKNVKRLYFDGKKEIEQLSGQVEQL QNAIANQRMSQSRTSLDDSEYSTRFNRLNGAINNLSFNIRKDWQSLPQWLVPYVSPEA LKTGKHEMTAVGRAVITRWLVEEIFNRCFHPGLEPELSRQLKMIERNIRNFSYTLSSQ EEYDALTSKVVSWRMATLEGLQDALRSGEAVNYKADFTRRATTDLTASLFHHLSNPPP PGVDGSASMIVELAVGIAANLPLESRDVAITYPLPESPIQPDIMEVVEKQGLPALEAR PAEGDDEGAGDEKEGGGKDKDARRNDKSRAGMLSVLGGGGSTPGSRKGSVVDANQIAP PSKDGGKVRFAGFVAVEVRGRQVLIKAPVWTLA QC762_706680 MAESKPAHLEPSKLGTKAYWDALYTTELTTHTSDPSLEGTVWFD DSDAEAKILSYLSPNRDDSDSDNEDDDHPPNPHPHDLTPSTASILDLGCGNGSLLFSL RDDGWSGHLLGVDYSPHSISLAKSIAQSRENEDLKSVEFKVWDVLNGDIPSISPPTGW DLVLDKGTFDAVSLSSETDSLGRRINEGYGERVLQLLKTGGVFLVTSCNWTETELRTW FETSTAPNDGKTKLKMAGRVDYPSFSFGGVKGQTISTLCFEKVVVE QC762_706690 MADATNPSDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALG PERTRDELIPFLDESVEDEDEVLVALSGELGKFIDYVGGPEWGHVLLSPLENLAAIEE PVVRDKAVESLNKICVDLDAHQIEEYFIPLVFRLSKADWFTSKVSACGLYASPYAKVS EETKLQLRQAFSQLVHDETPMVRRQAATNMAKFVKEIAPPLVISEMIPQFQHLVTDDQ DSVRLLTVEILGAIAESVPKEQQASHGVLLSSLRSLIEDKSWRVRYMVADRFEKIAKA VDEEVLTRDMVPAFVKLLKDHEAEVRTAIAGQIPGFCTLIPRELVLSEVLETIETLVN DTSQHVRAALGTQISGLAPILGKQETIDHLLPMFIQMLKDEFPEVRLHIISKLELVNK VIGIDRLSESLLPAIVHLAEDKQWRVRLAIIGHMPLLAGQLGVGFFNEKLSSLCMGWL GDTVFSIREAATHNLKRLTEVFGVEWASQNIIPKVMEMGRHPNYLYRMTTCFAISTLA SVVTLDVVADSILPMLEKLTGDAIPNIRFNVAKTYTLIIGVLRRLPAEGTIFTLEKAG APFTASPRGQGLIDERVLPCLEKLKDDEDIDVRFFAAQAIAAASGAPPAAGGDPMNTS P QC762_706700 MAAPQHKHYYSNVQALRGGKPEQGDLALTDYHLVFRFPPESAAA SDPKAKKHTKQVWFAFHIISHCALRPTPPSSGIPSSLRLRFRDFTFVSFNFTDDKQAR DAFEFIRAKTCRLGSVDKLLAFNYSPPSNSLESKINGWDLYDARAEFRRQGISEKLAD LGWRITNINKDYAFSPTYPAVLAVPSKISDNTLKYAANFRSRARIPTLTYIHPVNNCT ITRSSQPFVGLRMKRSIQDEKLVGACFSASTDFLDGNSPSPANASIQADHSPSSSHVD LSADSPDAPTDMSLSDTERMEDELIAAPNVMYDEKTGKRLIYGAQQHNLIVDARPAIN SYAMQAIGMGSENMDYYKYAKKVFLNIDNIHVMRDSLDKVISAIKDADISPFPPNRDL LMKSKWLKHIAGILDGSAIIARQVGIQHSHALIHCSDGWDRTSQLCALSQLMLDPYYR TLEGFIVLVEKDWLSFGHMFQLRSGHMSHESWFTVDSDALAGSAVRPGESDGRGDVVE NVMASAKRFWSKNVTNDKEAGSDQEEGAAVDEVKQATAPNAAEEQTTRLRDVSPVFHQ FLDATYQLLRQHPNRFEFNERFLRRLLYHVYSCQYGTFLYNNERQRHEANLRERTRSV WGYFMSRRSEFTNDRYEPTIDDHTKGRERLIFPKLGEVRWWHQVFNRTDDEMNGELNA SAATAERVAAYQASTGFSESSGIPSESASMVSTSPSPQPPALTSSQSVLTGVETAHAA LTPEARVSPPSLNKSASTGGMPAALGALQDKISGLAIAKGVFGGHANSSSAGRQDADD VETVASAAAVEQELKSMS QC762_706710 MAVPGTQISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKDFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKAGPQKSLPDAVTIIDPKE ETPVVQPMSQDYGAKAAAAQAAAEAARQEEQAGAEEEAAPAAEQ QC762_706720 MMLEEKYIGLALAMSSSLAIGISFVITKKGLMQAEERHGFEGDG FVYLKNPMWWAGIVCLVLGEIFNFAAYAFAPAILVTPLGALSVLVGAVVGSYVLNEEL GTLGKLGSALCLIGAVIIVLHASPDEDIQTIDQILEYAIQPGFLFYSLFVCIFATIMI YKVGPIHGKKNPLVYLSICSTVGSISVMAVKAFGIALKLTFAGHNQFSHPSTYVFMII TVVCILTQMNYFNKALSQFPTNIVNPLYYVTFTTATLIASFILFQGFNTTDTVNTLSL LCGFLVTFTGVYLLNLSRTDPSGTKTLARRSGGDSTGTDMISSIQTRMSMEARRSQSH RMSVGSRTGGDRDGLIRAYDEEAGLGLHDLADDTDDDVDPRSPMISSFSQQQTNGRPN GGSGSHGTHLTAGNAYNESIELQSRKSGDR QC762_706730 MDQVELAVDPGPLGQLDQEAVPDFDADGFDFQLDGVYDQADENQ QDAPAVITKNVVDQVDEIGYEDDEEEAHSEHAATNSAEDEGPPNEVAGDEIAATGLEY QEEIGYEEEYSLTTEVVKADENAQDLAVADAEQPEYQAEDELDPLHEDQPEYEAENQA EPQLGDEPEFEAEDQSEPQHEDEPEYEAEDQIEPQHEDQPEYEADEQPSPQHDNSSGQ PQIHAQEDQAVSLNNIESEKPMVEEDLDDQLDHDDDDNDDDDDEKEKVPELGSHSDMD YEAGSNHADGNEDYLMDDDEEPRGGLSEVDKAIEDLASSLHGVPDIEVFYNDVNYSLF GTANDDPDAFFLSDVKKMDEPLAQFLSSLRQVIANEIAPTDTLLVSFESLDLEFGERS SERFLNRTLRELLDCHAALAAKDTAIASVPVLQLIVQHDCEERFLQLLDEAKYGGESP CRSGSVLSDHEHSEHTESNHQNGWVNEELLVDEDDDTAHDSYENETPVNGIASSHDQS RNPSVSPAVENDATIQNEDAAISSTHSQSPELAAEGQPDHDDQVVYQVTLETVHAEDS NTSDFPQEQVFYESVDVDVDEQEMKDAVEAFDVITELETTEQAQLTSNGVFELTTGSN DNDLLLAFDQDGGLSTIDEQEGDGGQGGEILNGVPEVTNTAADNNEDALETDWQAEIS QVSRGMSPTVSKDPQQGPSASATGDTASDHTSTTMNGNEIDYDENDGADDSFTPDNSA PHSVAAPVEDGDEIDWGNDGDEYEEVHDEAEGDITFEPQPDLDLAPSSPTGKRYRTDD AESLAEESDHKRRRT QC762_706740 MVVLAASICTRGGKAVLARAFHDIKRTRVEALLASFPKAANSGT QHTTVEQDNVRFVYQPLDELYMVLITNKQSNILQDIDSLHLFAQVVTSTCKSLDEREI LRNAFELISAFDEIVTLGYRENLTVSQIRTFLEMESHEERIQEIIARNKELEATEERK RKAKQLEMQRKESGRAGRPGGMASRPAVYPTYTPPVRPAVTETYDTYEAEKNKSKFGA PKGKGMQLGKKSKTTDMFERVKTELGPVDDAPLVPVATPSAPEPAAASRVSTSLDRDA IHVTVNEAITAKLSRDGALNSFSISGDLTLRVSDPSLTKLKLNLNATPSHGAQFRTHP NVDKNLFNSTKAIQMANTARGFPVNNAVGVLRWRVAPKADDTSILPIAFTVWVNKGSD GNCSLTVEYELSGGDELKDVSIVIPYQSAEPSVASFDATYEVSGDSLEWSIGTVNEEN PSGAFEFEAQTDDENEFFPMQVRFSKTSPFVDVDVTSVELVEMNEEVTFSKEVKSVAD SYLIE QC762_706750 MPPPPLPDLPPLLPLLSQSRLSTAGAPISESNPIVALNPAIDLT ATVSDGDNKESSTVYVWRANEQVVFKHAERSGAGVETVRWKEDGKFLAAGWGDGVVRL MGEGGGRSVYCIRVGDEGRGKRIKFLGWGRNITGNSRRRKRRRVEVETGVGKVGVSDL PHELTFLEVEGALPKLGPLPVAGGGGGAGGGDDMFLFSSTTSLEWVFRGCKAEEADDV HVMVVGMEDGGVHLSICDSFVVGMFRHEPVEGEGVMLCGHASHEETSTHVLLMRPREG EVRRLHLVPMDLTFVHYSPVNLSLLASKMTMLQNLLRYVKQTQTHMTNEWKSTRELPQ RFLNGVENDLKRMEGGGLSIVQALCHTVATGHVFKPVKEWLVDVVAERGHKRWDKAVL SGLLNLRGLVHENLIPALERCGIILSRMLGIARFHDSEEGIGFNATQITRLMDIVSCL TVVAHKILLNVMDELEYFSVFSTWLRLQIDQQASSSSATEELTEKEATMDHAKVLTYI QRYLTSSPLAMYFDQITKEDYVKDQEYAEDNPSLLQMLVKQLKRQESGQPYMRALPHV DFLVNYLTSRANKVFQNIAEAEKRGVLFGKDAVLDIGEGIWKHDVRLCVKPEDEATGQ TAYTALVSEQDRTTLFLFKTVIQGTKGVSELGPTRACGLSVPEGKSIVDFGFLNENSL LLLCCTAGDNPGFSLIKISYQSATLLYRHYEAGQRPSLRPLDMDDQTLFCTRGFSHLS HFTPVQMMVQKSCSLRGEIPGRVCLVGKDRAMYKTYALPRSWEDVDTNGQ QC762_706760 MNEPTIPPQAAFSYKHASAPPPVTVTAPSTTTGGSSSSQAPPSE KQQQPKPTTTSVPRASGPAIPVILNEAALDSPTFRSTAIHFGDQLDGIERWLDSYTRS TTKVVHDFLALEDTINVYLSKMTPPTMATGPDSPVLDADYTLPALRRAGDGAREWWGG ILSAVRRLEPASVDPIRNFINNDMRVLREQRRNLEAAQKVFDTTLARYVGQSKTKEPS ALREDAFAVFETRKGYLKASMDFCQMAPQVRAGLDKLLVRVCADLWREMRKGNNGSAS ALQAGWGEELERIRGWAREMEASEGVFKRELGMARRDVGESTLAMAKPSRELEDYSVS TVPYLGSKGPLSIQRKDQVAVVSEKQGWLFLRTLTGKPVRPNWIRRWYYCRDGIFGWL IQAPQGVLQGDEIGVLLCSARPAVQEERRFCFEIKTKTQTILLQAETQTQLVEWLEVF EVAKKKAIEASMGRDPNTLVGGIDPAFVITPPSIPEFSARAVENLDETDSSEKQRNLP VPGPDPNTAARSSFDVAIAPPRRSITTHLGREEGETGREHAARIMQKLDLHRKATFAS SMDTMSASGTTGASLMTSTASTPQGGQTPTLRLPTLLLDHQPGSLAPATLAKPPISTS LSKSAILASANNAAIRPMSSGVLANYWGSSPYTAIYCPAVGAPPTPKPYANDPFVASM VPRTPTFEKPQPPPGGHRKTQSVGTTLTEPKVTEKARSDALPANYPPELRAQYAQFRL VFPTAPPEEKPVLVFNAAWSSSPVEGKEDQGLAGNGRIFVTSDRMYFYGHQLGLVVAY TISLDSITEVTSAPGRDCDFIFLHLNQDMQDTTYARIAIKVFLEDFLLLQSRLNLLID DLQAAEPMDLSEIITTLLNMERNQDDNVRSPSVESWEEISSNTPVDDGTPFGRPVSRR VGDLSGRFIRYSRQGASKKQVQKFQLPAHAVMYEPEDMGAAVAERHFEMSAKACFHVL FGDKSFIFPKLYFERRAKEIAQGPWELSDHGGKMKRVFRFKVDYVDMLGRRKPGEVTD VQTIDIFNDHITYVVSHVRTPWHLPHSGAFRLVTKVVITHVAKSKCKLAVYTRTSWEK GQQAFARSMVERQALDDARRDGEELAEVATDQVRKLGIHSRTKRAIQVYGDVGRRGDV VVFSPDEDLKGDGVGVRPRTLGAMMWETGRSFMESAITSVMMWAFAAVKKVFGVLKAN RVILVMLVVSLGYNLVSWGQGTSRWWTERRSERFMQRLGVGPNLVMGKAIYLADLEEA SRGSAVGMELGRPVGSQCYDTFQAIVNSTNLDAPYQDAGAGFTSATTRAAARRLRRTR QRLAGYRHDLLVAMRVVNGIELEMVQSEWENWLEDENQRCERVAKLLTHNAPKQLSQE EKEEAQKVLGGGDARRKEALAKWHAEYCGSCEADHRALMASSQRASLVR QC762_706770 MVRLSPLPLAALLLPSLIAAQSTILSSPPPPPPSETACNNSPDL CSRSYSNITHIGAHNSAFLRDASTGNSIAGNQYYNATLALSSGLRLVQAQVHLHDNTL KLCHTLCDLLDAGPLETFLSSMASWLSLNPNEVVTLLLVNSDSQPITSFASLFESSSL SRYGFIPTNTTTIWPTLSEMISLNHRLVTFITNITPSPSSLYLLPEFSYIFETPFNNT SPSSFTCTLDRPLSAGAASAALSSGLLPLLNHFLYIDLSSGIQIPNVDSIDSTNSPDL VTTGSLGRHAELCSGQDQWGTKPVFVLVDFFNRGPAIETGDRLNGIQGKTVGRTTLPV EGSGGAAAGAATGDAAGARGNLKAFGALVGFLGVALVVL QC762_0109230 MTGLSALACSTALLEGSQKLGWFVESQPAPRLSLRLHLSRLCSC ASVRFIWAGAVNGWTGVPTARRGRFSTPSSNPARKNPPFHFQVTHSTIFPPLPAKTPG LAPVARRQTPAFPNSIKSPSGIKPRPLNTPITLRRIRSELDWKPNWISRRLSTSNLRS LEPGDHPLGSSSIQDPTLFHDVCVTGPRQFLDRISAPFRRPSRGQAW QC762_706780 MENPMESAKCNVIYVHRAVSEDRHVQSSHGLSGSGVSGSLEDNV RLISSAFESGEACLGHLRMLDSEPSTKTKPTIIFLDTPAQEPIPGNKWWEFPTEPDLY GFDLLQWIDGDSRAKRMSDLVTVVPVVTRQDSSLAIDPEVLRSLRIQLVSRGATDAVL SPLRSSCLDDIRVHVERVCRNRNAFSPHGRNNNNNLVGETMISTLLPDLCTSFQGIDV PLNWEETRIDAEKKALLSHAIGQFQFDAPQFEREELKVAASLIFEHAFSAPELAPWRL ERGQLQAFIDACCAAYKSDVEYHNFCHVVDVLQHIFHTLVRIGALPPYPPTSNTTPRP TSDSEFAQCIEPHIALTLLVTAIGHDVGHPGVNNGFLVKTNDPLARLYSDRSVLESYH CAAFTDILRKYWPKFYKCATMKKLVIDSILATDMGVHDNYMVQLRGVLDRIRKAREGQ EDVARNHSPFHMDMEPKQTLICALLIKCADISNVARGYDTAVTWMHTLSRETAQQRQK ERERNVPTSVHSLPGNDQLTLASNQLLFMNKFAAPLFVGVAELIPELSFCVEAIKENK LKFEAILKDGDEHESSPSQTDFPNAQRPNSSQNSMQPAASRPNPPEINGINTSFDAVD DDSHSHCAHRQRCSETTELSSAPDSAGTGKMPLSPSTQGTSIVSINSSVDPPVSCAVT SSTPDSFRNQGKGAFQHQASPNSGYLNGNTNLGGSDTQMGLAPGGQLKKKTSFIQRFG DMWKRSPKSSSP QC762_706790 MRVAASTVLLGVASAASFQQQTQHVLSSGYERAQAGMQPLAEQF VDAAGKPIANIEEAFHGMTAEVKALWDEIKLLVPESAFNHSNWFTKPKPARRRHDWDH VVKGADVQKLWVQGESGEDHRQVDGKLADFNLRVKAVDPSKLGVDKVKQYSGYLDDEA NDKHLFYWFFESRNDPKNDPVVLWLNGGPGCSSLTGLFLELGPSSIDKKLKVVNNEFS WNNNASVIFLDQPVNVGYSYSGNSVSNTIAAGKDVYALLSLFFHQFPEYAKQDFHIAG ESYAGHYIPVFASEILSHKNRNINLKSILIGNGLTDGLTQYEHYRPMACGKGGYPAVL DESECRSMDNALPRCQSLIQNCYDSGSVWSCVPASIYCNNALIGPYQRTGQNVYDIRG KCEDSSNLCYSALGWISDYLNQQDVMDALGVEVSGYESCNFDINRNFLFQGDWMQPFH RLVPNILKEIPVLIYAGDADYICNWLGNQAWTEALEWPGKKNFNKASIKDLKLAGAEK EYGKVKASGNFTFMQVYQAGHMVPMDQPENSLDFLNRWLGGEWFAK QC762_706800 MVIQQPSNQIKLTNVSLVRLKKGKKRFEIACYKNKVLEWRSGIE TDLDNVLQIPNVFLNVSKGQTAPSAELAKAFGKDVSVDDIILEILKKGEMQVGEKERS AQLERVHNEVMGMVASKLVDPRTKRVYTTSIIEKALDQLSSQAHQQSNNDKDKKEDGN SACGTPATGEAGESKPQAPKPIWKGVSATKSAKSQALEAMKALVAHQPIPIARARMRV RVTCPTNVLKQSVKAPKAAAGKEDEDGEKKAPGTVKDKILSLFEQVENQDVMGSEWEA VGFVEPGIFKDLSDFIENETKGRGNVEVLDRAVTHED QC762_706810 MSLVSGEKSNFNHILRLLNTNVDGKQKVVYSLTKIKGVGRRYSN LVCKKADVDLKKRAGELTSEELERLVTIIQNPTAYKIPAWFLNRQRDIVDGKDSQILA NGVDSKLRDDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKGG QC762_706820 MLDENLPTFRFKPSSDDLLSTILYFTQNGSEPSPEYLLRKLDPA LPASRNKYGVGLCDPYNHNVVYGEVTVEPEWTLPTLSAAEIRAQAQSGAPPAPATAII PDSFSIQLYDPDQTIAVKLMAGSWNKSDTWEFEIPVQTFKTPTTSELDREQQNISPAA ADLIPRIMFRWKKEGKLSKDMTCYMSGKNTAGKKSKEPDITVAMYKGSRESAMTLYQP NLHRVEVEDRKGLELVLLLGAEVIKDLYLNPKPGLFNVSATSPGPLPNPQKRKNSRPN VVAAAAVVPPPAATPPAAMSGALNNTPPPVKSNTMPTTSSKPGAAPPTTTANNIPPPP PGGPPNKHAVTFTSTPTASIEAETRRLQELVEREQREREKAERAEQKRIKKMLEEEEK AQRKREAEIAKETERLRKKYGVEGQDLPSDRPSLPPRPASSVNNSPSIPQQQQFFPAP PPPQQQQPQWLGAPAPALPPRPVSAGPGSGPNQQQGDQGPFHCNKLNVLWKGAGQQLE HVRSQVESRMSPELQAKLSQTLPHRPKKEEEGGGGRRRVQRKRSSGF QC762_706830 MNFDSGTAYAESDADDEYERDIHGSSPMATDVETSPIDSDPPST EHTPTTYGYRSSADRLPETIISEWTAEECADFIASIGVQQYADIFLENEIVGEALVAL QHDDLKSMGINSVGHRLTILKSVYDVKKAQDVPIESDHYMPPTAETEAQAANATLKDI QHLVQQLRVRDERISMFEQDLRRLTDDFRRLREDMLPALRLAKDYQQPLPNDPSYGDT LSPPAPTPSSANQYNSSLSRKYSTKVIKLGTTPKATSPTHLQTTHNPSLVEQTLDPSG AADRAVMSSSHLAAMNGVGSSVSPGYPSPNMPSPTSPPTTGLAGNTLSSRAYRSDQPP QQQQQQPQQPPSSTRTTFSENDHYGNQTKTTAVPAPRRMQTPAPETPGSSNSVEIFKS FRVSMDDPCYKVLPAALKKYQINAPWDQYALYIVYGDQERCLGLDEKPLILFKQLDKE GKKPMFMLRKTNNAQAGGAVGGDGGGMGGGGDGQQQQQQPGSAGLGSGGRGGGMGMMG YDPPGGII QC762_706840 MAKPSKKKQQTLEATLGRPRVKPAVITPRKATPTKGSPSKNSVI AMPPPPSAAASSSSPGGNFMTSSQVILSSAKKTRSRPSLAYPKELDQSSSATEDEPPV SSTRQTSKGKGKAIVISEDDDDDEDERPTKRGKSRGKPASISSSSTSPSPVKESTPKQ KQQAPADSDEESDDLPIVTPVSSRVNRKRRLAVDSDDSDDMPLVSSPVKKRRLIRRGS SPVKQQQSDSDEEVVVAPTPRLRSSQTPRKPLSAKEKAREMLRRRRAGETIVEEEGEE EEDEEEDVEPRKALYDTDSDHLALSEFEDEEDEQENEVEAPEPSEPDGKKKRKKDKKK KKKKLKALKGDSDDEGTEAEAEDLDDFVVEDDSDEPMGAPDDLMQMPLQFTHHARKKL IEHFRDVVEWLVQNKINPGFAEKTAELYRIGWQKLDDEVRALASSKFTSSAWKPDFYK ALRARPQYTSIEVGASAHETVFGSNCEACGRSGHPATWRISFSGTPYVKRFGMDFLDP IEPPSDSDSSSQSQSESYDVDEDGNTIPPEEKTFYVGVVCNGNAEIAHTLIHWKHALQ DWVESQLMAEGWMQPVRLAEREKMKLKRKYKLVDKIVEGWVEGGKVRGLFGEFKNQLE RARSQQTTGRGYRRG QC762_706850 MPRKLTNPFSTSSMPQSERAGERRASHSKGNRLVGFFSSKAKEQ SHSQQQSSVSSPSAMSLPTISLSAAAAGEQHLDEPPTTLFEPPSADEARKEERAASQF GPLLHPTHRHVSQSFGQPLETPIEDEPPYYFVLTTYLSYLILIFCGHIRDFFGKRFGN KKHYQTLKAQNGYAPLNDDFDNFYVRRLKLRLDDCFARPTTGVPGRFITLMDRKSDDF NRTYKFTGTYTQTLNMSSYNYLGFAQSDGPCADAVEECVRKYGLSSASPRADSGTNDL AVEVEREVAQFVGKPAAMVFSMGFVTNAGSFPALVSKGCLILSDELNHASIRIGARLS GAVIRSFKHNDIGDLEAKLREAISQGQPRTHRPWKKILVAVEGLYSMEGTMVDLPGVL ALKKKYKFFLYVDEAHSIGALGPRGRGVCDYFGVDPAEIDILMGTLTKSFGANGGYVA AEKHIIDKLRSTNAATLLGESPAPSVLMQILASLRIITGELAPGQGEERLQRIAFNSR YLRLGLKRLGYIVYGHDDSPIIPIMLYHPAKIAAFSHEMLKRKISVVVVGYPATPLIS SRARFCVSSAHNKEDLDRVLAACDEVGDIIQIKYSTGLAGGAEPLPEGVTPEMEKEWR KANGLEGVIKPPRWKLEDVLARGVQDAKVPLR QC762_706860 MTASELWRHSDPEGTQMWKFLQYINSKYGLSLADYPDLYKWSVD NVADFWGDVWHFAGIKASKPFDQVLAPDAPMFPRPDFFAGARLNFAENLLFPANADVD EDAVAVITATEDDNALTETTWAELRDQVRRCSNALRAAGVKENSVVAGFVANHVQALV ALLSAATLGAIWTGISPDSGVSAVLDRLVQIRPQVLFSDNATLYNGKEWSGKAKTLEI VEALKQHGLETVVIIKGLPNFETGLDEFRNLGVRAEEHDSFLSSSADQPLVFTQLPPS HPLYVLYSSGTTGLPKAIVHTAAGTLLQHKKELFLHCSLSPASRMLYFTTTSWMMHHW SVSALSCGASLVLYSGSPFKPNGYLSLPILLSKLKVTHFGTSAAYLTTLEANSVIPIA SEHNLDLSRLEAIYSTASPLPPSTFSFVYQAFPSRVNLASITGGTDIISLFGAPCPLL PVKVGEIQCAGLGMAIAVMDSASSSAEPELVTTEGEEGDLVCLKPFPCQPLTFFGPAG QDKYRSAYFERFGENIWHHGDFVKIPDSKTGGLVMLGRSDGVLKPAGVRFGSAEIYNV LTRHFGGEVEDAVCVGRRRERDRDETVCLFVVMKEGKGFDEGVRRRIGEVVRRELSAR HVPGVIEEAGGGVPKTGNGKKIEVAVKQILSGMQVRTNASVANPEALEWFKGWARREE ERERLPN QC762_706865 MSRSQAHHQNLVLVTVPPGNITGLYYVTIANFSHSTTWKDLKAF VSQVCEVDFCLIYDPTAGFVRVKGLENFEKAYKFLDGNTLHYRCLQADARNRDQSTVV KLPPNDYHAIMLKGHQRGRVVEDPSAPQPAPDTYYAYPTNPDPVDTMGRNSECTSPIQ YTTDQTWPSYPAYTTTPQEYPPTTLQDLPVAQPFPGTTLYPNPGETYYPGPPGSNTTE GYYPAPPHQEFFDPSSSLTNEFSSLSLSYPLSSDLILLENRKIILLNLDKRRLDQSYI SSLLSTFCPPAYSTAIEQIEIPISSRSRENKPKGTAFITFSSAEVAMGAVDCLNGVAF GGRGLTARLAEGIPAGRDGKKNRGVMEEQKRERRRERRGGGGDGGGERREEGAPVVVD GSRGRPVIVNGSRRERGGRA QC762_706870 MKFSRSLKSSSHSVPSPDGQHIATLLSSLVHIRAVRSPEVVNVI KLPQDFAGPILGFQWSPSSRLLLIAGPEQVRVVSALDSSFHAAIRTASVPGTKPAYVG FGASDAEVCVISSYGLKFSLFDLTSSRVAEINTPKVFSPSSASRCFSFRPQTRHLALL SRVSGKDLISIHSYPTRELQRSWAPDTIDAQGITWSPDGRWLVVWESAAQGHKVIFYT SDGHLFKTWSGPANPSLEDRDYAIGAGVKALQLSADARFLAVGDCSRSVCIFNMASVT ETMRLRHPKSVASTETLQVWQEQIGVSQTGPIMHTFLRTTQVISPAPRLQDNSEPVSG CAAISFDPTSALVATRLEDSPGTVWIWDLEAAELRAVLLFHGNISVLSWHPHVAETLL IRCEGEQYNGIVFVWDPLSEGPRSVDFSQHLPGLKTSGKPRASWLGSGMASGPSLFFS DAQHYVLGCLIELDEVAPPWASHHSPEPSYAAETREESPLELVPAEQDGPDRARAVED DDDDYSELEDTFVHKR QC762_706880 MGAVARCLSQRIRLGTLHTPTTNRRPRTTPSQFRGVASATLAHP FQGVQTFSALPAPSPAVDEPLPQPPSPHRLPPSPADRGSRVIRPTPPPSSSSRKDRIR EARPFSDFLTDNFDRQHDYLRISVTERCNLRCLYCMPEEGVPLSPQKELLTTPEIVML SSLFVSQGVTKIRLTGGEPTVRRDIVPLMQQIGALRAHGLRELCLTTNGLSLHRKLDA MVEAGLTGVNLSLDTLDQWQYQLMTRRAGFSAVQKTIDRIFELNRAGAGIKFKINCVV MRGVNDREILPFVEMTREKDVEVRFIEYMPFDGNKWSKGKMFSYQEMLDLIRSRYPDL QREAGHKNDTSKTFQVPGFVGKLGFVTSMTHNFCGTCNRLRITSDGNLKVCLFGNAEV SVRDILRKVNGGEPIDEEALELLKQTALDGLKQSSQLLVPNSEELLNVIGIAVKRKKE KHAGLGELEHMKNRPMILIDPPATFQRHHSRWHHHIGFAPSTSLTNKGPAPLSGFPVP GSGSTSGFQQLRLFTSISRQFRQDGKDNDSKGPKLTHVTSSGEAHMVSISDKTPTKRV ATAKCTVYFSDPAVLRLIKENQMKKGDVLGVARIAGIMAAKRTPDLIPLCHPIALTHA EVELAPVEANIKDETNGQNKRGAIEIVATVSCDGKTGVEMEALTAASTAALTVYDMCK AVDKAIVIEGLRVVLKEGGKSGKWEMP QC762_706890 MKLYYVGVLDNTKSPAIQLCAEHELSEFSRFTRDEYGKFMTMIS KTVAERTKPGQRQSVEEQDYVVHCYARSEGVAGVVITKDYPHIAAHSVLSKLMDQFLS EVPLSTVQAAKNNGDVSFPALKDYLNNYQDANNASSIAKIQQELDETKIVLHKAIDSV LQRGEKLDDLVAKSSDLSAQSKMFYKSAKKQNSCCLVM QC762_706900 MATDFIMPQMPIQQQQPQAHHFYSQPQQQQQQQQPYRAQQHSGF QLAPIATPYQPSTQQNTQVSPLSTSGNSPTSPKNYMTRQIRPLYVPAVLRPTEFPSKV PARPKSEHEPESPEEEPLRHSNSFMSLGGLSGGLSASLGLTRRSTGDSAKYVDGTWNL DLFPNPTGTPTRKHWKLDQDALICDHATCKKSFNTFTRRHHCRRCGNIFCGAHSDYQI PLDQDANYNPRGVPSRACAHCFNQFRAWRSRANSQSSSRGSSDGGNAPETPGTPTATA PVAAIAPGLMRPLQARVAEVAHSVPRDWNWSTF QC762_706910 MISFDSAALGLPDWSLFKFPPPADAGFIPPPPPGTTSFAPPFEI PDHIYQAVLDPKVPLTIAAVYAVSAKLLNAYNKSTGKKPWGISKTLPFKWFVIAHNIF LAVYSAWTWWGMFNALRRTVVSPLGPTGVSGFLDSMCQINGESGAGNAIFWDEAAGSW QTFTADGVMVASAEPSRYAAGRMWNEGLAFYGWLFYLSKFYEVFDTLIILAKGKLSST LQTYHHAGAMMCMWAGMRYMSVPIWIFVFFNSFIHAMMYTYYTVTAFNIRVPMFIKRS LTSMQITQFLVGGSGAMIHSFIYYTIPVMAGESVSASSAAASASAAANASAGLVGSIK NSFGRQVTPCITSSGTTFAIWLNVFYLTPLTYLFVSFFIESYLRRSNAPAKNVKNRRL SNSVAIAEKAGWEAAKNVEREVYGESNEGAAKKGKNGRVLRNRN QC762_0109390 MHFRQTLTALTGLVSSVVGAPAPIDRSTTSGGKLKNATNDEKRS LFDTILPPLGNHNIILPGAGLNVLIGSAISQLARIAELELAALIGSQFALAIQLETIK TNIRINHFRAQFPHVVCSLRYYSSVLLTSSPQNCVIICVTNVLDQRDPNNINNRYLLN QLRIDNGFPDKELLIMVTDSQQMTIVPTPTPVTDFSQNPVNSVIPDLNLSPITNNNNN STQADTLSSSLADTTASLESESTLFPTTTTLPDNTNNAAQPTSSPISPSPTQQPASSL DLGSLIQPTSSPQPRGKSPRQINLVNLPADLSSLPNNDNLDFTHLLNQSLLLPFGSTA PTFGSSLGLVLADPAAIILPGQKEIFVDTLASLQGNCLALQLGLGNTGLGLGGVGFDG QLFGSLEELIQAGVGGLVGGGGGGLNLGQPVIPPGVIAANPDLADEGGVDLVRTVTEE LGSTETDTATLTDVLTGTTTTAEDVATGTGIATVTDGPTATETGVATSTEGEVEETAS AQARVSRRRRA QC762_706930 MPTTIILGSQWGDEGKGKLSDILCQKAQICARAAGGHNAGHSVV YLYAPDYYYYYYYPTTRELTLVFSANSFHLLPSGLINPNCENLIGSGVVVNVEAFFKE LNALEEKGLKHVREKILISDRAHVNLTLHAAVDRAEEAQLEGNKKIGTTGRGIGPSYA TKASRKGIRVHEIFKEAVFEKKLRTLAEGYKKQFGELFEYDVEEEIARFREYRKLLPN FVCDGPNFIDQAQKSGRDLLIEGANALMLDIDYGTYPYVTSSNTGFGGAVTGLALDYK QIKEVIGVVKAYTTRVGGGPFKTEDLGEAGTKLQEIGREWGVSTGRRRRCGWLDLVVL KYSQLINNYTSWNLTKLDILDTFPTIKVAVAYKDKETGEVIEHFPADLDYLDTLEVVY KEFEGWQTPITSVKTFDALPAQAQAYVKFIEEFTGIPVKWIGTGPARDDMIYL QC762_706935 MAAIYEHPFVSTADIVAESSSLSSLGSPALFSKDSELTPVSEVI RRERALALKVDSLDALRSYIPRGKMDDTRQVLEAFVHDLPLEGGRILMQEIVDSSATP KKLLQLRNFWWMPY QC762_706940 MFSRSSRSHAKKTQGFATEHQRRNHDNFERAGLSDLGSDSATVR SGGGGAWRTDFGPSNHNYYTGSSSAARSYGAPLYPEKSFSIASGTLHAPSHRSTASVS SNKSRESNSRSISTTQGAMNSDRTRSRRERTFVGSECAVCEEPLEHTLRGERILQFSC THVAHEACFYEFIREFDSQYCPTCNAPLHLDTSRGGNVLDIEKISNMVRAVSVNDTRS QGTPTPTTQHWDDPGRPQSRGSSARPGGGGSNGHRDAPRGSQRDSRESREAPPSDHRY NGPRHARSDSEATGVASSGGYPETTQSGPPRRHDYDVQAMETSLASPRTVTRNPIPAP TVTVRSEFPTITRSRQQQTLTCLVTVEVPDIKWRPDPEDLQAAPPPLAPPRAEEQFHR APSPAQSAPRFYPYESAEVLAEMTESLRNRVDNWHGLDFSRFGKLRLYGTLRVGKDKV SWQELECFLFAEMLICVKEKKSAQQPSQWEDQDAPRKSTRCTLKGSILIKKHLNEVSY SGSMDENILTLSLSVAELPQFHLRFENRNQLKLWQQALLDLNAVETSPVRSPEYDRGE FSETDEDDWQRTPGSGRPQRVSSLASSWGGAKSATTAPTEYTNFARSPLLPSIHVPVD VVVVVPISASMQGVKINLVRDALRFMVHTLGDRDRMGLVTFGSSGGGVPIVGMTTKAW PGWSNVLNSIKPVGQKSHRADVVEGANVAMDLLMGRKYNNPIATIMLISDASTSDADS VDFVVSRAEAAKITIHSFGLGMTHKPDTMIELSTRTKASYTYVKDWMMLRECLAGCLG AMQTLSHQNVKLKLKLPEGSPAKFHKISGALQITKRATGRDAEASIGDLRFGDKRDIL VQLVIIPDNASQEQLPQDPWDNIVSGLEALGGPMDQDEQRTVSVEEVPLIQAELIWGD ILRDGTSQHTRPSLLAITMLPASNSKKSWNNSQPIPPHPHIVQRRMELLTSDMLTRAL TLVSRGQHDRAHTLLNETRSILKGLGKGGLPPVPPLPPSGGNKSQPSTPHPDNANPSP TAGTPDRKNTPSPTSGNHSSAGSFPPVPGQISRSRSTDGLGVMGTAAGIDTNTVAALD AELESSLEWINHPAVFGRDSRKAVLQAIGVISSQRAFTFRTPIESLWAGRVSGVKKLT EKSREWREEGGGEGGIMEEA QC762_0109430 MRMGIWRGKVALLSGIPPTHPHTPKHEPTRRRQPLTLASLLTAP ADRGGEVRSSHSFDSGEGVRVAWDSQTQTLLNAPNKNLE QC762_706950 MIAYLGIFFMAFTTSLEGQVTYSLSAFAVSSFNKHSLLSTVYVV QGVVNAVIKPPMAKIADVFGRLEAFSICIVLCVLGYILMAASRNVETYASAQIFYAAG FTGLQILQQVFIADTSDFLNRALFSSLPDSPFLVTVWIGPAIAALFLAKSTWRWGYAV WTIILPLAFLPLGLSLLINGRKAEKLGLVPKRRAMSTTSSADNKKPSLSTAARNLFQE LDGIGILLFSLGLSLILIPLTLVSRSKTGWHDPHIILMILSGILLLVLFPLWESRALH PLLPLELLKSRTFVAGCLLGFFYFAVFYIAVQPYFYSYLLVALNLPVTSAGPITQTFS FASTIAAIAASLVIKRLGGEPRPKPWIVFGATIYTLAILLLLHTRKRGVSEPVLYTAQ MFLGAGAGLMHVATQLVVQAAASSSSNLKGRNRHQHVGVATAAFLTLVQVGSAVGSAV SGAIWGRLIPGKLREYLPEDAKGEAGKIYGSVREAVSYPWGSPEREAIARSYQETVEV LLWVALGVGGGGEKGKGVVGVVAVGWEEEGLKGKKIWFGCF QC762_706960 MGGSTHHHPFQSLSPLSWTTDLSSGTSPEVISKVITDTFSNAQI LVDSLPPSPSHSQPTTRPRSQTESATAVLDLNSVLSSSPETKATVEKLRKEWKEVKTN PRDNPHGITVYKLSAKDGKGAWFGRYSLHHGMDFGRWEEALKREFKETLKRCEREGKE PGCGNIRGIGAERVVERVEGEEGLMEVFQVSARFGGPTTARDFIALHMTPPVRKETDG DKKKKGRGPRQFMLVSRPVEHPDCPPRSGFIRGVYESVEVIREVPVVKPLRRTRSSGD WGEHDGKDGKDAALRSAKKAIEERQDSEEEDVEMAIEWLMVTRSDPGGSVPRFMVEKG TPGGIVNDAGQFLKWFEAQQQRSDDDETAVDGDHEGEGEEVSKAEGKKPEKIENGGAV DSSVLNQLRDTDHNREVHRHDKRGEVTPPSGFYGMIASALGAAGSAVASRVATLAGST IATEDEESLSNDDDDESDTSSELSFASASEGHNAEGGGGDVDVTAAGLLSKADSHNDV ASTLSSVSPSSLHSNDDNNSVAHTSHSASLSNQHEKELKKLQERMKKAQAKLERSHQR KHHNHHKESDEAKEEAALQRLKEKHEREIAKQKEKYEREVRRLAEKKQQEEKKKEEKR RKMQEREERADLAMELERTRAERDVARKEIEILRGQVGDLQRENTMLVARLGKMGVGV GDIYDGGK QC762_706970 MKQEWRLGEYYPLLFSHLISNLLSLTNTHDRLDRLVTILETGST RLIRDTAVNQLADWQKHHPDELFNLLSRVVPYLRHKDWETRSTAAKALGRILEHAPLY EPNAADEGILTESAAENGFVKEEAKDSVLDQEEFYTLEALDMSKIVKYGRPLLRGGPV DYALAALDPQKRLAHLKKTLTGRLGLLGRVVEDEEMAVASDHVGSPATPQGAGSTNGS GQQDAMATDSQSQNPEEGKLSSRQLNVLKRKRKREAQKAAQGKAGFGDLSIRRTTTAG SDGFGDDVSMTDADSKKNGKMSQYFSLDRPADVDEDSKVVSEFKGPLLPIKSELEVDD AMEGSEWPFERLCDFLKVDLFDPQWETRHGAAMGLRELVRVHGAGAGRRRGLSIKENT RLNGQWLDDLACRLCCVLMLDKFTDYSSDTSVAPIRETVGQTLGSVLRHVSSQSVYAI YRLLYRMVMQEGLQQSEQNLLWAICHGGMVGLRYVVAVRKDLLLQDGDMIDGVIRSVM KGLGDMDDDVRSASAATLIPMAKEFVAMRPQALDSLIDIVWESLSNLGDDLSASTGKI MDLLATLCSFPEVLEAMKLSASQDEERSFTTLVPRLYPFLRHTITSVRLAVLKALMTF VDLGKETSQGWLTGRILRLIFQNILVERDAETLRMSLELWNALVRNLGQNPAVLADEF AAHVDALMLLTMHPIGVPRHPLPMNATLFLKPSGGTYSMSGIPAPSTRRSSPPEGAER APKRRRKSTKVDDAPPTTQTHDVDGHMMQGDVDLVGMDVLVRSRVSAAKAMGLLMSML PPSSLGSYDAAITQSLLSPFASTQLAAAMVVHEYASNCANKEMAARFVEPLQKIIDQE RPAHYRDLVSYVHRVRSQTQQLLNLFRDHGKVHSNKLPSLAVVVQGDPEAGPGAFSIA NADKVVTDDFERLKKAMAPGQRLIALPQLLESRDVTVAAIQEAKSAKEARDARIKAAA ACALVAIRVLPKKPSPLIKAIMDSIKTEENQELQGRSAATIAQLVQLFTESGRRGPAD KVVANLVKFSCVEVAETPEFPIHAHKTNVILSMQKEEDRVDHVDAAKFAREAKAARIT RRGAKEALELLSHSFGPDLLARVPSLQTFMEEPLVRAFTGSLPAEARDPESTFGQEIV DAMSVIRTMVPTLHPGLHPFVMQQVPLVIKALHSDLSVFRYMAAKCMATICSVITIEG MTTLVEKVLPSINNPLDLNFRQGAIEVIYHLIAVMGDRILPYVIFLIVPVLGRMSDSD NEIRLIATTSFATLVKLVPLEAGIPDPPGLSEELLKGRDRERTFIGQLLDPKKVEPFR IPVAIKAELRSYQQEGVNWLHFLNKYHLHGILCDDMGLGKTLQTICIVASDHHNRAEE YAKTGSPDVRRLPSLIVCPPTLSGHWQQEIKAYAPFLSVTAYVGPPAERKAMKDTLDE TDIVITSYDVCRNDIEIIEKYNWNYVVLDEGHLIKNPKSKLSMAVKRLASNHRLILTG TPIQNNVLELWSLFDFLMPGFLGAEKVFQDRFAKPIANSRNSKASSKEQEAGALAIEA LHKQVLPFLLRRLKEEVLNDLPPKILQNYYCDLSDLQLKLFEDFTKKEAKTITEEAGR DDKEAKQHIFQALQYMRKLCNSPALVMKPGHRAYDDTQKFLARQGTSLEDPAHAPKLT ALRDLLVECGIGVEGQESSDPLYTPIKPHRALIFCQMKEMLDMVQNTVLKGMLPSTQY LRLDGSVEANRRQDIVNKFNSDPSYDVLLLTTSVGGLGLNLTGADTVIFVEHDWNPQK DLQAMDRAHRIGQKKVVNVYRLITRGTLEEKILSLQRFKIDVASTVVNQQNAGLATMD TDQILDLFNLGDSGPSLITDKPKETLEGREEDMVDVETGEVRQPGKRAAWLDGLGELW DNSQYEESFDLDGFLKTMQ QC762_706980 MHIKQIIIQGFKSYKEQTVIEPFSPKTNVIVGRNGSGKSNFFAA IRFVLSDAYTNMSREERQALLHEGSGSAVMSAYVEIIFDNTDKRFSEPGDEVVIRRTI ALKKDEYSVDRKVQTRADVLKILETAGFAKENPFYIVPQGRIAAITNMKESERLNLLK EIAGTNTYDDRRIQSLKIMAETNSKRDKIDETLVYIKERLRELEEEKDELRDFQDKDR ERRCLEYAHWHRLQKTNAETLEQVEEARQGGAGASTKDRAQLRKTEKEIAVLDQKAHE LRQALEYLTIERRQLDDDRKDAARARAKAELKTKHLDESRHVREQTRQRQEAELQEVR QKIQQAEAELVRVQPEYEKLKAEEAEIKTQRDSVAAGRKRLLVKQTRSSQFKNKAERD AYLRNEIQEATSSLGLQKANAMDAREQVQQVESSIAQLEQSIQHIRARMESYGGNRTA LFEKLTKAQEAREQLEEERKRLRREDDRLSSQISSTRMDRDQAESHLSHAMDSATSKG LATIRRLKQEKNIPGAYGTLAELMSVPMDAYKLPVEQVAGNSLFHYIVDNQATATMLS DHLYKNYGGRLTFVPLEQIRPRQVKMPRASDAQPLISKIEFDPLYEKAFQQVFGRTII CPSLAIASQYSRSHGLDAITPEGDTTNKRGAMTGGYVDARRSRLDAVQKVSKLREIYE AQLADAAKIRKEIEVIDQKVTAARGEETKIQQQMRRFDQDYDPLKGELQSKNSQLERQ RAHYESALSHLAEVEKNMKELDDSILASQNELAQDFKKTLSASEEQELERLGNELSAL QKEFKAISTKRIDLEGRKKSLEQELNTHLRPQEDQLRSQAFEATTAGGTESFKDAQKE LKKAQKLAAEAEASLKENEQQTEQTSSELTQVEAQKAQKEQELQELQRRIEQYQKKME KAIQTRARLISQAAEYAKNIRDLGILPEEAFGKYEKMKSEQIETRLAKVNQALKKYKH INKKAFDQYNSFTTQRDNLLKRRKELDTSQASIETLIEHLDQEKDEAIERTFKQVSKE FSTIFEKLVPAGHGRLVIQRKADRAKNRAANNDSDEEGVSGVESYTGVGISVSFNSKV MDEQQKIQQLSGGQKSLCALCLIFALQAAESSPFVIFDEVDANLDAQYRTAVASLLQS ISEEQKTQFICTTFRPEIVHVADKCYGVTFHNKTSTIDCVRTEDALNFVDGQKK QC762_706990 MPVDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQNQIHQQRQE RKLHIEQYKYERIVNNGLISRISSLLSALQSHAEEAKTKNPAEVAFRAVMESAAGLDP KDDMPPPRPEALQAAVGGEPLPSYTKMMATLLDQVNKALDERGVGQEGRYEGMVGEIG EHLKKVEGLQGELEKKLAELEREEARKITSEGIHTGFDSTHINKSSGGSKAEGGGSKE SGKVELLNPNYTPPEALPAPSERVEGGDDDDDEVTASPAAVKFANIKSSDYRESHAWL SSHPEILTEKETDGILIMAFDAQLENRSDYARNCVHQALLIQYCRALGRDGVALFFKR ITTPGHKAQEVFYKDVQDTYMKIKNRSREINLQRAKDEAEGKGEGVEQIQLHAVDPGT VINIRIPPKDSEDLDVQVGRAIFESFTPEMQKALETGSLEEVNKVLGKMSVEDAEEVV GKLSESGCLSVEEEVIDATTEEGKQKIKKLEQEAKEQEKEEQENKGQEAKYADDPE QC762_707000 MAEPSPSTNAGPNTAVIHDDPDSDDDEVLDIGKVLRQKANSSKP STTRATLDPPPPGSLAAEAQARPVPETPAKKRGLGWLDESPITPAVGINTTTDTPVTV PHQTQSAHRQAIPYRASVTSPEAQREHNRSNRSKEERERERLQTLEKLNAKRQATKTR ASDDGRSQGGHPSSSRLPVVDLRTPSASKVPSVADTSSSRRSRAKLEERRRRKEMEKA TREAKRSRQKSTPSQPIPSTPPPPPPTFQTAEPRAVDREISRAIRHTPITVGDAAERR PNEIKAHGAEAYRSGANRGTLTVDSLEETATTGADEAVFVLAKRHAEAEARKPKTRVV TGMESGDVQRDARPYGVSRDQPASAGPSRLQQPRNQGTGAITTPTRGRKCDRCTRMKR PCTFGQPCSWCQIADVQCVYFSNVGTLDLQPAERPERPETESFVDKQYRLSLPQPGFQ ESQPISMPPPPPRPPPPRPRSSSCSPPPEPKSPAIDAVSAIDVFGEDIIVYQYVVYRT QKLPVVEGEEDPEEKRGDYAIRCSEHSKLADANRQVEVRMQRPKKGVVAKGWKYRPGL DEAQRPGLMDVRVDYGKEGGVEFFWVEVETRDLAGVVEVRGGRGGGELMVERVAGEVY RRWRFDVWGVVVVKREDLAVRKREQRLVFDCSGVEDRGVQTGEEMEVDDEVEVEEEVG VEENVVVEEDVEMTNFGDGMEEETPIEAAGESQLTGTTQADTQNTTDAAPKRDLPNWH PDYDADSDESDDDDDDDDDDDDDEDHVSPFERNPPYNPPTPVILPPQTNVLVLLTVTP TLHGSYTNPHRANIAALRVLLSEARPRNNYMNDNIHYSSVFKPTQMLQFEEDGLDQEM TRALFNVTWRPPGDTPTEKYKWEFEELRVWVTETELKGAIDLSDFVVRDGEGDGWVVR KGKKKAVAEEVENGVENRVEQVVEEVEGEASEEE QC762_707010 MDDAARLVSELHDKLAELDGKVAAYQRDMLAEFHKHMEDCLKDY PDDVSSQVSRVIAESMSRYPALSPTNHDIADSPATTTHQKIPGWKGRKSPPPVLPHTS GVPTKEGPRSPHEREKEFQGVFTPTYLPLLESNERSSHRPPPTSPVLVPPVVQQPEQQ QQQPPPQQPPQQQLQSPTPLSVDNVKKVEEIKKPEAPGEGRPDPIRRLTDRSTSSVES SSSESKVRRSALRRSSSSVKGAASPRRVRFDFSGEEVFPSSSPQQAASLVAMAVEDDE ADKPKAELLPVVETSATSADTVVEEESPEPEEEYIGTSLLDVEGEEDWLPRPKKVSST QALQALTRSPLEEGSFWTVVNANNNEESGKVNGQKEKETSTSAPAPVKVDSQATLRPV DGPGEDIPVSGLLGSPIEELEQYVHDDDESEEEFLSMRPKNSKKSPSPGAQTPFKSPV ASQFAPSNQTSKASGPSKTNGKQDILEEDPLFDFEDGENGTGKAEKYLPEEEDDDDED DDDGVEVRIPHRPLRTTPTPLQTVLEDENKKHSRTTSLPPVSPSAVLFGHSIGSFRGN SISLNPIKNTKLYDEIAGLKDVHFFVGSVDGRSGAEAADMGSYRAMSKGVPAVPRSFT ERLAIEEAMERRRHEDDDE QC762_707030 MRLLSTTTPVVVLLPLLSLTTAYTPLPDSLLSSITPPLPTDFDI LPSQPLSLLSPLLIPRVPGTPGQIRAQEHFIKFFTKNLPEWEISWQNSTGTTPVTGDK QVPFQNIILRREPPWTKKRGPGQASLLTLVAHYDSKIEPKGFIGATDSAGPCAVLMYT AREIEKELVKMWGAQDGGKVEEGEEGKGKRGEDVGVQILLLDGEEAFDRWTDTDSLYG ARSLAQHWEANPYPAMSKFKNPMRQISLFVLLDLLGSASPRVPSYFQSTHWAYQRMAS IEGRMRQMGILKTSPKEPFLPDGGKNSTQFESQGFVGDDHVPFMARGAPVLHLIPTPF PRVWHTMEDDGEHLDIETLRDWAMLVTGFVLEWMDVGKGKS QC762_707040 MDGKRQHHSSFQQLEKLGEGTYATVFKGRNRQTGEFVALKEIHL DSEEGTPSTAIREISLMKELKHENIVALHDVIHTENKLMLVFEYMDGDLKKYMDNNGE RGMLKPHIVKSFMWQLLQGIHFCHENRVLHRDLKPQNLLINNKLQLKLGDFGLARAFG IPVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMFSGRPLFPGTTNEDQ TIRIFRIMGTPTERTWPGLSQFPEYKANWQMYATQPLRNILPQIDEKGIDLLQRMLQL RPELRISAAEALNHEWFAEFHQPAHAQQHHPQQPMMHPHRGYQQHTVPSQNVYGNYQG QC762_707050 MESRKDEEATIKVASMNKKPMTPLRGGKGGGGVDGKIKLKKPGP RFIKPGSWKEGGVVEDDKKKTKETTTAAAAASSPSPVVNQLDEASRETFSTGRPLDDV PELQSCKHCKQGVTRIAAKEHIARCLKIKKEKAQRKKEAREARERAKQQEEARKIEGE NGVGGDDSDDDDGEGGKVGGGGGGGGGTAGKTAKRVAGKKTEVGGKKRKADGEMGGKT KKKKEEPKGKVAKPKGPVDVERQCGVTLANGLPCARSLTCKSHSMSAKRAVPGRSLPY DMLLLAYQKKNQAKQQKAAIDANAPLEDEDDVNAAAVDSDEETAAVMAALAHWNPQPV VPQPVFTPIKRQYQLSRLHEQLQTATNGGRVNIFKVSGFGAQRLPDGHPGLFDAEDAP GEPDIIMGGTDFQRRSSTPPGIASSPPPQLPRNQSASLLPQRRASHPLTETGSNTGTI RGRQQQQQPEPQPRSQQAYPTPVLKSESIPNLNPPRARPSLSLKVSKSRPNTPNLPSV PSPPEQEMGVLVSRPGEARPRQQGLPTPVMPCRPSPLEQPEQSEQPEQPEQPELPELP EQPEQPEQEDPLVAQERAIILGRPAQPATSSPAMQWVQVPVASSPQTPNPPPTPRLGS EAGSRRGSITTQGSSPVLPMAEPPARPQKIVTLQVPPQRNLLQQQQKQRRGSLSSHLP SSPVVPSQAVAMAPPELNPETVPASPIARPASRARPTHIQLPPQQVPANWAGVPPHLR LPSQVLPSQLNTQFAPRRFSQSHIDPSTSHAAHYRLAQFRAMQQQAGFAHQLEAQAAG FAMAGPAHPMPMIRRASDAQPPVSPVSRTMSMPLAMPGLSPSQVEARSRQLVHGDFVG GNTGVEFMMQQTQGFAGYPGHQQHYQQEQLFLPHQQNPFQGQQ QC762_707060 MDMNASAMHLFDVEVEKTLLRYSPIPPSSLQKCDSLPTVTYDLQ RNIVLRPVLESDQPFNKGAVIDGDCSKVDAAVASNTTISYSASTIHTDITNSQEVTFK TLYPYRWQFFIQYPSS QC762_707070 MPRASKLLPSLGHASSGAAGTVISTLLTYPLDLVNTRLKVQRQL RLDDSLSEDECYRSVFDAFVKIYDTEGGIPAFFAGLSADVLKSAADSFLFFLFYTWFR ARRLVGRHPDLPYLRVVEELAVGAAAGACAKLFTTPVSNVVTRRQTASLLDRSPPSSP TRKQQTQKGFWEVLREIQAEKGGVLGLWAGYSASLVLTLNPSLTFFLQAILKRVLVDR KKWDDPGSGITFLLAAMSKVGATAVTYPFQIGKARLQMGHKSSKGEGEKEKRGGIFNT VARIRREEGVRALYDGIGGELLKGFFNHGTTMLTKDVVHGVIIKLYYIVAGFLVQWPA LKRILVGRMRKRNEKGGWQLVGRGVLADLVKGGRIGASMMR QC762_707080 MAHSKRNTTRPIFTSHERAMARAAWGDSTARLGRDSFLPFASCW LCLEPAIDPVACTNGDLFCRECALSNILAQKKEIKRNEKAREQEDKEALEEQARADAE AEARAIREFELTQAGLSLKPAARADGRKSSTSTSTPMEKPPPEATPSESNGTPDPTAK TGEKRKFALDEDELARIASEERAKARKAIDSEKAAKPTLPSFWSPFVTPSSNKNNTLH EVKRKTKSQPTCPSSSQDNPHSYSLHTLVTVHFTEETDSSTKKTTRICPACKKGLTNS SRATLAKPCGHVLCKSCVDQFMKPSSSKSSEPVLCYVCEANLTEFKPSKKEKEGKEKE KVRPGLVELRREGTGFSAGGANTVKKDTVTFTV QC762_707090 MSEQQSQELREMSPSRPPAQMPSLSMRVKSSMIMGITGLISRCF LHGFNTVETHGLAQFRELLDSRADPEKRERGLLTVSNHVSVLDDPMVWGLLPLYYAFN PNNLRWTLGAHDICFKNQLFSSFFTHGQVLPCHRSKHSPHGGLFQPCMTQAIRLLSHP SPSPPASPYYTTTGTDSILSPLTHPQHRRYSWVHVFPEGLVHQHPDVDLRYFKWGVAR LILESEPSPDIVPMFIDGTQKCMAEDRGFPKFLPRVGKTVRVTFGGVLDYEATFGDLK ARWDELVRRETKKGNTTKTVGWLWKTAVIEQTDDGDGDGQRQVGELTSEELRNGREAR EIRIEVARRMRGEILRLRSERGVYKESEESFGRAETWRVDKGEEGKKYRSRVDGSQIN QD QC762_707100 MVYCGKASQGCQNCRTRRIKCDKVRPQCAQCIRVGKPCPGYRDQ LSLMFRDESSKVIKRAHQQWGVPDTSEQGEGSGSSPTPSTSSSSSATSPTSTSTASPI SIRRRLAASQSPQSASSAITFKREAPELGYRVPMEISINAVDRAIQFYIEHYVIGLPD EPKVGQELQGRPWVHSAVTRDIMAAVGLASLSNINGDKKLSTLSKQHYGRALHNISSS IMARHVPELDLDVILRAVVMMAMYEVVRGRDEKPAPGARTHIMGGAAILTSFLPFHQS QSEGLRGLLQLCFSMIASTQGAFQYTSPEPNNMIPSRPEPQTGEGGLPPPFQQWLSMG ANMATPKEIPSTELIFPVVEFVKLSGYVRSQHFIDGQQATTDMIGTALALDAQFGAWE DRQDGPWTVIEEQVSDNFFPPDAVFEGRYHIYTDMYFARIWNHYRWARIMLSQLLIES VQRFPSSSSSLISDKKIQQIQDCLVRLVRDTLISIPTHYRHPNLQPEHLEHFDKTQGG AVIGIAGIPTLLFEIKVAGVAPGIPRHYRTWAMGILETIWAKMGMYQSKVLSGMLAKT IEPEGSPERGSPVWIKEEGYP QC762_0109610 MPYSYLAEVWGQAAQPYANQFSACVQESIDLIPKVSRLPQPLST TPGHPFHSGEAPPREFKPSSTKSLTIPSTLAIYPIRLCHLPLHLCELLLFFLYKPFPS PIPIPLPGALSANATEAQLRCQTSSDLGAGFKKRRYLHFSPAPHKA QC762_707110 MSTNGNANHHEQYAATGPTTTESTSQIENSQSDLPKDEIGWYFV EQYYTTLSKNPNKLHLFYGKKSQFVAGAEAEVTTVCVNRPNIQERIKQLDFEDSKVRI SNVDSQGSAENILIQVIGEISSKGAEPRKFVQSFVLAKQPSGYFVLNDILRYIVDEPV EETEAAAEAPVEAPAATEAAPAVEAEAEPAAEPEVPVEEPAPKDPAPTPAAPATAAPV AAPAPAEPPKPQQPPKPMTWASRLAASAAAAAPKPVIPKVATPPAAAQARAPVPAQAP TTAPQSTEAAPAAPKDQGSEWQTAETKRQSRAQPAAAATQTEKEGTLAYIKFVTDKVK EADLKATLEAFGEVVYFDINRTKNCAFVEFKTPAGYNAAAAANPHTVNGENIVVEPRR PKSNAYGGAGYPARAGATGGRGSRGGYESQRSGSQGGGRGGFTGQGRGGRGGAPRGRG ASQANA QC762_0109640 MPKRASRVPLHLGLGASHMLSFPALAVSPPIRSLSKSDSVHGKP ASKCASLDFKFITGLKLSPGGSA QC762_707120 MEQRPGDAFSQHSGDSSDAPPSGGMGRPRRMSMEEDMFYREVMT SRPKNPPSYETAMQAAVAAERRAMAMAAVEDQEERLPQYSSELDIEGVFMRKMEMEDT IKRAEYRDWRMVYVELRGTALNVYSVKKERGWWSSKPDAPNIAPDNPPWVKKHALERS YSLLYADAGIAADYKKKRYVIRLRVETDQFLLSCFELSTFVTWLDRLYAAFNVAAPID ERDFPRDYSIPRIQRIRFLRGQRPPPQDHLGRQPDHRRPDESDEDDSEGEGEGGEPPD HRGGGGRGPRPAEYPIMARLSISSYANENVDPETGKWLPEHGWTIAHDQLYARLCYAV LLFKSPRKSNYIVSRGKRWWIDWDSGKMVRVLPPAYGEIDVMGPWQVIMAENRRI QC762_707130 MSEPPPPQPNQQPDQQQEDLEILPTPEAQPQPPSQSQPEQPQSQ PDQQPPHSDPTSDPIPSDQLPPSSEPVIPGPRAQRLKQLFQQTTTHTLDKLSPSNFRE CFPTIAEKAPGTLDNVHRQMIDRLSTLWNREFERILESRQVIQKLNELEGLIAEAQAG RRRDGGGGERPVPPHTLGAEVVLKAHLRGYLREQETRLGERLQEVRGENGRLFEEVLA QRREMERLVKGVENMMGDVRGASEVFGGVLAGELEEETRRVDGELARVGGG QC762_707140 MAPADSLSPPGSSSYSSDTLSVGDGTWDFTKNTFLLPNLQGLNF ETMRYNGMGNRFSSVTEYHSLILGHGVLAAITFLFIIPIAVLLARYYTARPGSAIRFH AYLQILAVALSTVVFALGFFAVGPPRNLTNPHHGIGVAIYVLILVQALGGRLIKKLSG RRSFRVHLHRWFGRSIVLLGIVQVPLGLTLYGSPKYTFILFAIWMAFLLFLFFFLDFK DYGRREWVMGGGGGGTTVSGVTSSRVTSDRKSSGGMGWLGPLAAGAGAIALLRGRKDK KDRDAERALSRSPSPSTRTGTTRPATTVLSSRRSDSYYDEKPVDRRRASGGGFMNKIL GAGAGVGAGMLMSKMFGKGKDNRRDDYSAVSTETPSRTRSGRRPPPPRSEFTQSEYTD YTGYTGYTESTVPPRRHSQRDGRRSPILPAPNPVAAAAALSAADERRGGPITPPRRSR TGTSKMDSTVVTSDYSSYVSPSRRASERRPSTGGGGAGKGFLAGIGLGWLTNRMSGNK SKQDDREKERLRAEDERRREEEDDRRSGRRGSRYTGDGYSSPATPRREQSRRRLHRNR PPPSAVTYTETATSMLSDESSIEPRGTTPYDPAPPGSRLPPPSSVGTSVAPPPAPPVA TGPPPQGYPGPPPAYPVATAGGPPAPGPPFPTSDSSGPSHPNSRNNLGDPIAMPPMPS DPQGFLRQESDSDAFSSPNRGGRRRNRIRDPQAAAAAAAATASALAAQEDEDRRRRGD PVASVRIGVRDDPDRNITLRMLTEEEARREQQRRRRQDSVSSSSGTETPTNRRYRREP SSTRRAESAAEQRVESRERDDSVPPLSTPYGAGRRPGGPPGGKDSAYYSGPPPPAGVA PLQGQPGPSGGPPTNTATVTMSSLASPGGSQATFTSGDIAAIDRRRRRRMERRAQGDT STRGGTSVDDY QC762_0109680 MADNTLENNMPGSSKYATLSFHKTDTIRLLGFPDEIKSNMESVI ASVWQPGIQSNGPCGEAFEFKLKGKPWGYFGSQDAVGGIRLLRDLLALLYNHSWELVT SAICSRRYTAKDTLIFRRTSLPSAVVPAQPVEWLGLATAFYDKIRIVYDAKNDGCPGT EADHDHLGVVIMNLKKMLESLDYFEKGDWSHDSFEFKLKGRPWRSRGEASVKMRLMVL RLLETMESMGWRVYATILQRTGTDEDRMMDTWYFVRTRPSGENVT QC762_0109690 MSRQVRLGRAAAWADMFVYNSREEGMMDFLVSIIRSSSVPVRCK MVAYTLQPIDSIVSNSRKTISRILTEASPLDRHGRPFNLNSNESWLQSPFSK QC762_707160 MGDFFTLHEEPRRPAGIPPIGRPLPPQRPVQNTDAIHPQTRTTR YHDGPVLTQQIGNPIIARHTMPSITPAAVSSDLREETPFTAEEMYDELTRWYVVRFEK VPVGKRLGWEIVKRIDVPETSSDQVVSKVRELNRTTVPVLHKLNDLDADVLDQINTVQ KEVQQLFGYYFQTEVAQIEDRVWVVVKEKTSRERGRGYERDPKGSKHHHRGRHSDKRS KSRGAPVSRSRERKEERTSVTAYYKTAPKPGVDLHMLMYGKAEEPSTRPRYAYQNEVR EEGHVRFQVPAQGLPQHHQQQPQHGQHSSSLPHPQHRQDHGPPQHQHQHVPPGDRQFG QTPQPPLQAPRNAPHQAGANIINRGESPGVQGRPPMPPQGYSQGLPQGHPQGHPHGHT QGYQQGKPQGDQQAHYRPPVQGQVQPRPVSLQQGHPQVQTAQGQRQTEYRGNGGPIVT VTHTPHKPGILKQHPTEQGLPQRRASLTPHFAYPPDSPSTDESLVAEVFSEDEEDYDS DTAVSEESFPENLQFAGPRKPNNVVVNNPSPQPRFTENTAHGMPPKFPRQMPVQPMMQ QQPQQRHVVKGRRHSVSNHMATERMYAPTPPPLFPMKERPRVDVQEIEKNAYEAGRAD AQEEAFKLAERLTAAAKPQIILPERSRPQSPPQVLRHQSPAPTQPVRRITLPLQTPHS GVRRVQGMEARRESFVPTSPLDRYESGRTADRRRSSFVDRDTDRHRDDGTEYVVEYGT GAYDSDVFDEEEMYEERQRGSGVYRRASPVSYAPKADRLRRPSVHNDGDRQYETVRVR LGADRLPSREDDPVYARDREGDRGDFVKRHDRRDSGVDVGGFRYEGLRPGLAKRTATY PARYSRDH QC762_707170 MSPQSLQSCILLFFLYSLNHHQASPTQSTFTAQLPPFLDFVSGD STASSIFSFVHIYITMVSKLAFNSLALLASSSSVLAQFQGTTYNQMGPFLLQVVTADD DALVGKYLTTCHAGATITGLCIGSNDVSNFTLSFYYNYTIVNGQPSKTGFLTWSLPVP GAEGPLSLSEPMSLMFEPGSNVAVPMFTPSQAGTPVGWDNNTLFIAAPYDDSKFRPNV YPNATTTDGSIMRQLRNWHSCWVLYSAYYFNSVGWVSGGEPRNPTCEPVTIVRVDPAS LIISSPWDELPDEWSPVAPEEDQDEKDDEADDDEADDDEDDDAEDEDASAEGHQEGQQ ANPEETLGVSAEGSASNSFGEEHGFNGAVQEGTGESQVQQPQEASQGEQTQEGEQPSG EAHVEEHAEEEHAEEGHEGEQ QC762_707180 MADTTAPIETTPLLLNSSSTSSVEPPSSPESATRPPKPQRNVTF NPNPVSKTIEPEQQYHTRIRTAGNHQHHQHQPPLSPSSPSAISTGGLGGGPPMLSALN NKLRRRNSHGGGGPSGVLPVAGAPGHHLPKIGPQRTTKNAQKLKLLPTPELEEDGADE ESGREVYSQYTRIKDPTARRDAARLGKADRQTLPRVTAYCTANRYQMDGLMRFLKGKG KGRGANPKLIDECIYSPYSYSSKQVELSRQEQIIQVHSTPERRHSTGEVPGADGEGFH QQNLMDLRNEAAEVYASEQYGNGPHQSVDDLQQLGESVVATEGGDRLQPVDFDITVHT PEVFFFNYGVVVIWGMSASQEQRFLKEITKFELEKLGPNDVETEKFNFYYTHEYQPRI YNDFITLRDKSNYMTKLAISHALAQSVKTSLFEELIASTIEDCKDIPSQLALTGKIDL SRRQINMQIGELFILRIGVHLNGSVLDTPELFWVEPQLEPVYQAVRSYLEMDQRVGLL TERLDVIADLLAVLKDQLSHGHGEKLEWIVIVLIAAEIVVALVNIIVDLYVGID QC762_707190 MAPASAIPPALPPTVEEAYRRKCNKLRQRTNEVEKANDASRVRI NRLKRQIEKLRLERVFLFEQLAKRTSTNVEDSDGSPSPPPTPKEKPLRLKRGHRKASI LPVDGSGSQAGGSQAGGNGVGSSSLPASQFISQNPGGASHSPSGSDAFSLANRGGANG VHHQEPPKKPGNAFELYCNERNTAASADVATDDKAEQEESRREVDDDELARGWKDLSE TQRGEFETRAGENMAQYEKERGEYDAATAAAEAKRQKESEEAEEKGKENGTENATEEE QKDDQGDVEMGEYDTDQETQPNPEDD QC762_707200 MSLNIPNAPNAGLFKGGYNNYDSEDGAVLRNIDACRAISSTVQT SLGPYGRNKIVINHLQKMILTSDAATILRELDVVHPAAKLLVMASQQQESEMGDATNL VIVLAGELLKKAEELLRMGLKTSDIVTGYERAQKIALDFLDELEIDKVEDIRTQDELS KAIRTVIASKQNGNEEFLSKLVAEAVLAVLPKNPANFNVDNVRVVKIMGGSLEQSRVV KGMVFPKEPNGSVKKAKKAKVGVFTCAIDTSQTETKGTVLLHNAKEMLDFTKGEESQL EAAIKELYDAGIRVVVAGSTVGELALHYLNRYGILVIKILSKFELRRICRVVGATPLA RLGAPMPDEMGTIDVVETQEIGGDRVTVFRQEDEATRTATIVLRGATQNHLDDIERAV DDGVNVVKAITRDARLVPGAGATEIELVERIQAVGDKTQGLAQYSIKKYAEAFEVVPR TLAESAGLDATEVLSRLYAAHQKQDGWSAGVDIENNDGTFLLDAEEEGILDLLVTKQW AIKLATEAARTVLSVDQIIVARQAGGPKPPGPNKNWDED QC762_707210 MMSAAPGRGAAALLRGSQRVCLQCARSYPTAAPLLRSTTTTTTL LPRRTFAAEATAPSSPIPEQPTTTTTQPPPTPGPGPSPYRIKSALILTRPPLLTRLPT PFESSFYLYQKRLNERLVAPFRKDYYFKQDTAHDLEWRIKLKERHGVPAKDIGRYNPR GRMAWNDEVLVGSTASSPETLTEVLLKDAEVRVSEDGELIAPEEIVPVEKPMPRRTEA DEKGDKTRLDRKLDETLYLVVKKGREGKWGFPMGQVETDEALHHTAKRALAEAAGVNM NTWVVGRVPVAHQVIEPEIEPETKKLVKRGDKIFYLKGRIMAGQADLTGNTQGLTEFR WLTQREMEKVLPRSVWESVKGMVELR QC762_707220 MADQCIVCLENLEVESNPDATTPAQQRLSKELEAEHARLAVTDP NALAELNSKQDLPLGGREHHVAQIPICGHMLHDVCLREWSEKANSCPICRQTFHVVTV YDRIGGQYLSTRRVEDKKQVPEFDPQAWADENPEEEVVVSNPCPVCNSADHEEVLLLC DGCDACYHTHCIGLDRIPAGPWFCMECVHSLGPELIQPAAAGNGLQENSARPLYYFPR TQASMRRARQRARSDEWQGAWGRITGRIWDALELDLDYQDDDDQVVFEGLRRSQQLRE RERQEHERWQQRLNIASRLGARDVFVNNMPILARPAPPPPPPQESREETLAWGALEKA RETTESRKRKSRSGTAEPHEEQHPEPERKLKRPRTRRMPTIQNGESSTAARAEAEPSN RPEQSNGTSSANPGAASRTTTDIPPSFLSSLLKEVEMSTPSDEETLRHIYGPIPGAND VSSPARSPSPLSQGCITPPPVRSSSPHMTLSSHITPIYPPANFSPTRASSSNSSSIKP SRATSPHKHAHRDNRSSPENSDSEPRGRQHPRPLELRQPQPRRNRPAVLPRSENVSPT RPTLPLELKQNINSIVKAALRPHWRDRQLTAEQYEKINRDISRLIYEEVKDPSAVTED TKQSWEKTASQEVARAVASLKA QC762_707225 MSKRTKKVGISGKYGTRYGASLRKLVKKQEVSQHARYTCTFCGK DSVRRSSVGIWNCKSCKKVMAGGAYVVATPAAAAMRSTLRRLREITEV QC762_707230 MTFKNHSRQYDLVVFGATGYTGKLTAKYITTHLPSTLKWAIAGR SQAKLELLTEELKKLNPDRAPPSIETCSLNDTDLSSLAKKTFILITTVGPYSAHGEHA FKACAQNGTHYLDVTGEVPYVAAMIKKYEDTAKSTGAIMIPQIGIESAPPDLLTFALA NTLKEELNAKTADVTVSIHNLKSAPSGGTLATALTISDHFPLPTLIASYKPYALSPVP NPTRAPQPGLLTRVTGLITIPHLGLLTSSIANGTDTALIHRTWGLLSTLPSRQSQSYG PKFSFREYMKPRNWLTGIAIHFGLILFGLIIVTGPLRRFLAGRVTQPGEGPEEDVASK DEIEYRGVATPDGDFGKKKAVGKAWFRGSTYYLTGMFLAEAARTILEEGGEGLGLEGG VYTPALLGGGFVENLQKEGFRVETGVLED QC762_0109790 MVACCMAHSARRVSESKSNTQKSNVYDDVYNNQTRCVWKSRRGP IDLNQELVDPLMSFISPGWEKVFTDLVTKHLVPLADGMIMGLRAFSNLTAEREVLNKL PVFFAVRHQMTLLEGILQDVPKLSKRLNDTQKSASRMIVSTITENMIPAYQACKEEKV EKTLLEGLRDCRERAIGKGRGVVTKTGREFKRVLYVEHRIKVSETSKRAIENLLATAD RRFNEILHGSPLTPGTEVREMDRSAENDDGFDPAQDEHLTAPPADGDADSSDEHDDAE VQDQEMYDLPVKNEEHDKE QC762_0109800 MESPPSKEGKPPTTYTSEDTYFKSLHTLGKEEDFLKLDEGFQCI IQLLENAEAVVQSLKDLPEVGNWLKNIDRLKQKASPQRVVIGVVGSTGAGKSSVINAV LDEEDLLPTNCMRACTAAITEVSYNTSDDPNEKYRAEVEFITADEWAKELDILLDDIH NGQATFGPEVFGTESEAGIAYHKLRAVYPALKGDTVADPSSNGFVKLLRTLVDSKEKG RGRPKEATSLEFWPLIKVVRVFIRSETLKSGLVLVDLPGIQDSNAARSRIANRYIQRC SGLWVFTPITQAVDDLAARQLLGKAFKRQLRFDGAYSAVTVICSKTDGISKTELLKSL PDHAEAHRYDEKLKVMRAEVLERSGDLMSKQQQLAQVREDIKLLNNQSLRLKLTLLEN PSDDMIVLELPQASRKRPARPAADEARKRFRKQQQDQSPEVSDLSDDAEPLFEEGEPR QEKISRDEASQRLQSLSEQMANSGMKELSIKDAENDLENKVKSINEVIDLLKKQRRSA RIRFRNDFSKPELQRQFGEGVLELDEEDAAYDDNYDPSKIQQDKYYEIGKKLPVFCVS SNAYHKLMGRLQEDESIHGFTTTDDTQIPMLKEHALNIVA QC762_707250 MSTITPNALQSERPPTIPNSFNANQPPTIRLYPLSNYTFGVKET QPEEDPSVIARLERLNDHYEKHGMRRTCEGILVCHEHNHPHILMLQIANAFFKLPGDY LHPEDDEIEGFKRRLDERLAPVGSLGEGNKAADWEIGDCLAQWWRPNTETFMYPFVPA HITRPKECKKLYLIQLPETKVLSVPKNMKLLAVPLFELYDNTQRYGPQLSAIPHLLSR YNFEFVNEDGEVVAQTPTAGQENHASKTRVLAGGNEDVDMKTDEDQKWDEKKQENGAN QC762_0109820 MRHTNIMIPTATHFISLCDAMIENHTDQQAESERKAEENREDPG EIERLIRRQLNLEPIPRRDREYESNALYHWGERIKWNVIWGDLDPGYWSSSQLFLGEA K QC762_0109830 MLTPSQFNALCDAMIEYHTDQEAISERKADELRQILWYWAPIGT MRSQDLTDYPLLIWKPALEQQHLRRDTEYDNDALYHWAELTKWRILRQELPPNHWEHT SRCG QC762_707260 MDIEQHRSHLASPITNSHPTLSFPTPTSFTSTPIQRKLVIHEMP SKSVNNMNLQQSFSSVADALEAEDTMSDIIPQQPSTSTRAAPTSTRARGGRGRGGSRG GRGRGGKSSQPKAPAGRGRRQKLYEDSKVQAAHERAQELKQAWGVLSKLIKPAAQEIA DRSINQLLEDPGVVERVPEFDVAQKFLKQRYEDTLSQNDRILEMSRQMAQRVYEGEVE AARASYAHQVEEMQEERLGELLQQLDRLEHQYNLKLPVDYPPPRDESYTYKSITMAER DAQGVFHEERDGVEVPFPGTKLRDIMVKPPTMPLESLKRKADGQPDGQPASKLLQTAK DEDAMRQLPRHTAGLLGAAEALEDTVATPPDSASNAATPLPEPAGDIAEVRGQRRTSA EPNPADGPELPLPRGALDPDEYGVRLIVRRNNKAENPNNRIMVPNLFEWDDLDIGFRD STNSAEKGATKARRGKYWQKPNSNYMFIDRRVGIWDSTEAAGELPEAEVKKHNLHPKY GIFLPSSTNLQESPKPVVDPWKPVVLVPPNGELIHASRTIPAAKADRAFSKLEAKLEG KKKFSALLSHICEQEGVEEEEITPSAEEIEGLRREELAARGMDPNTVYQPSPAPPTPA PKPEPEPTLEQAAGFGQFADDMLCAAAVLEDDEARDLAREREEEAARTVKSAASKPYD AVRDALGFDNSSVPEDTTGLDVLAHIAIREHEATAHIDPRIYNPMQVDGLARDHRQYG SHVDYQPSEYPPPPEEYHGPPMSEGYHGLSMPGHYASEPARGDFLRTALNPQSPVYPP PPAPPQDYPASQLSGGRTPFAPQGQGVGLPALRPMRSLLNDSPPPPEQHSPVPQHGSM VMTNSGAFYPVGPSRPFHNGYSVPEPQHHLQPLMPAPGPGPLQAPPMAGPPGTQQLAP RPPSPSTAYPVSPPYHTPIAPAPAPGIHAPILPAATQPPMQSSHSRPGSSSASAPPPA SAAGSAASSKYRKLEPAPTPPHRMTYSANGQELRTVQFDYREAIKDYSAVEAPPRSGP TQIRGWTHQNIRKGPKPSSSRGDTNANPPNADESA QC762_707280 MDKGVLRSPKTGKPTFQAASGAGLALSVQCNPTAARLCGWNHAA CLPGPSLFFLPSIISSVSRQIAESPRYVRPPPARYRLRQLLNPIERLFISQETESQFT MAKKRGRLQEALKSAISQQKQKPSQPQGPPSKKQKTSQNRPQQQQQSNKPKKKHHQPS QSAPIIPFTPTDTILLLGEADLSFSASLSSHHKCTALTSTVFEPSLPALQEKYPHVDK NIFLLLTPPNAHPNSPPNNNKLLYNIDATKLSLKSQSFSRIIFNFPHIGGKSKDVNRQ VRANQEMLVGFFRRALLHLAPRGKIIVTLFEGEPYTLWNIRDLARHAGLEVERSFRFQ AGAYPGYAHARTLGVVRNKKTGEVSERAWKGERRESRSFVFVRKGEGEKAGPGQGKNR KQEGEKPGQGQGKKRKQEEEESEEEEEEEEEEEFEGWGESGEEEDEGSSGDEVDGDEK EDGDEASDDETAPKKTQG QC762_707290 MVKFTTAVSLIALTMAPAALAKNCKGSLMYCGRGLLNKGNYYDQ IIEALQGSGQPTDSAHVNNSLFFCKSDGNIIFQAFCRTSGCINGGADHSDYC QC762_707300 MVNTHSHVYPRPAHPRQAWQPERAGLMPAPGDGRYLAPDSVRTV PPATVGRALREVCHINHKNFFLAVTLENGEHAFFSGPENVDAVDASRMFHMNIFLQYQ QGIPPHASRETGPLQGDYSGNDLYYAHAVGPYGRHDKGYDHDEFDHGAASQRRKRPRR ARAPRSLEEEEVATVTAGSRKTTIMIGDSDVVRAFYERRFRLCHQILCRCIAKAFVKL MEPKKQTNHPYTKGQEAAPRWWPNDYGPNRVLLRHKEPDHIKKEERVHLLTHILRMLT EPNHKQHEDIRSQHLTVAKLEEAAMDAAASFFNASGENMKKKLWLKEAFKVAKAEEKL KRGEIDPTTQIFVTADNHEPEDDDDYGHEAEYERVKREEDTGDAPDPIARTMSVQSYG SDMAMRDAHPGPAIVHTDLAPTQQNYVEGVSLTVGGPPHLASPMQEVDNSRRAMYGSA ADFGGSTGPATLYPTQWQHNPAAQTTASGMYTYTQAQPAHTSHGYPTHEQAPSLQPQS YLTSGYETLPGSHTLYRNNSYLSPTGRFPRDGSQ QC762_0109880 MNCSPTTPLPFAPPPSLPTLITLPYTPPLCTPAPPKCKDHGGGG VITPTICRQGNKAEQQQLGVMSIPNFQNRQRSNAKFGPFHSPGSNLSQGQRQLVSLAR AMLTPSNILVLDEATAAVDVQTDALLQNTLRGPLFANRTIITVAHRINTILDSDRVVV LERGEVVEFDTPERLIEKRGVFYGLVREAGLAEE QC762_0109890 MDEAVARFGDGLQQVLSPQPQQSSQKNLFETGSFTGYHSLSSGF GHGYLSAERTLRQPLCGNEEGWGPLSPFRWDFTPCFIDVWVASVAAFGIIAGSLAIGW LIKWRKPNPVSKDWHFWTKQVLLGLIIANVAVQLAFQIISYPGIWYGDFRVYTTVATI VSLGVIFTIQWLEHERLRNANGVVLFYWLFLIIALSVKLRSLISQQIFASNLPYFVTY CVGFGLSIAEFFFEWLWPKKNSTYEALVDEEECPVEYATVFSLLTFSWMTPLMKYGYS TFLTEEDLWGLSKTDTTKATGEAFATAWEHQLKTRKTPSIWLALIRAYGGPYMLAALF KIGNDISQFTQPQLLKYLLSFVDSWNLPGVERQPVIKGASIALGMFAIAVFQTTMIHQ YFQLAFVTGMRIKGGLTSAIYKKSLRLSNEGRKSKTTGDIVNYMAVDAQRLQDLTQFA QQLWSAPFQIIICLASLYQLVGWSMLAGVGVMIVMIPINGMIAKFMKNLQKKQMKNKD ARSRLIAEIVNNMKSIKLYAWGAAFMNRLNYIRNDQELKNLRRIGAGQAFANFTWSST PFLVSCSTFAVFVFSGDKPLTTDIVFPCLALFNLLTFPLAVLPMVITSIIEASVAVGR LTSFLTSEEIQPDAVIVKPAAEELGEETVTIRDASFSWNRHENKDVLHDVNFSAHKGE LSCIVGRVGAGKSSFLQSVLGDLWKVKGDVEIRGSIAYVSQTSWIMNATVKENIIFGY RYDSNFYERTVKACALLDDFAQLPDGDETVVGERGISLSGGQKARVALARAVYARADI YLMDDCLSAVDSHVGRHIIDNVLGPKGLLSSKTRILATNSIPVLIQSDYICMLKDGEI AEKGTYRQLVAMKGLVFDLIKTAGQDSSGPSSQSVSPTDSSSETSTIIDAEGSSQEKD TIEEAQEGLSTLQSIKPGPSQSSPSKPKARTGSNTTLRRASAASFRGPRGKLTDEENP SRTKQAKEHSEQGKVKWSVYTEYAKTANLAAVGVYLITLIAAQTAGIGGNLWLKSWSD ENTKNGSNLNAGRYLGFYLVFGVGAAALTVVQTLVLWIFCSIEASRKLHERMATAIFR SPMSFFDVTPAGRILNRFSSDIYRVDEVIARTFNMLFNNLAKSAFTLVMISVTVPPFI ALIVPLSAMYIFIQRYYLRTSRELKRLDSVSRSPIYAHFQESLGGVSTIRAYRQQDRF EVDNEWRVDANLRAYFPSISANRWLAVRLEFIGAVVILAAAGFAVMSVVNGSGLSAGW VGFAMSYALQITTSLNWIVRQTVEVETNIVSVERVLEYAQLPSEAPEIVHRNRPPVSW PARGEVEFNNYSTRYREGLDLVLKNINLDIKSHEKIGVVGRTGAGKSSLTLALFRIIE PDTGNITIDGLNTSSIGLLDLRRRLAIIPQDAALFEGTVRDNLDPGHVHDDTELWSVL EHARLKDHVASMEGGLEAKIHEGGKHHIFSHVVFPIVSALSIGFSLSRTCVIVQPSVL VFCCPLFGMVAARSRLAACAAATPYSLGTHFLDTKAISLLLLSLRCAFCMTNR QC762_707320 MTLEKAPPPSPSILESMPRSTPPQRSPSPPPTYNESDSIPLESL FPTGQSTTPKPPISPSLPPLKIPSQSQLPTLLFISILFLAFFPSLFHTLWTLPFSFLF PSHHNTPTSYHSPPSAVTMWTQKQFTLPSRSRGSYLITDTIIKELPEIKNYKVGLLNL FIQHTSCALTLNENWDEDVRADMSDALDKIVPEQGPKGEALYRHDAEGLDDMPAHVKS ALIGASVTIPIKDGKLCTGTWQGIWYLEFRAAKHSRRVVATIQGEKA QC762_0109910 MFRILTFMGLFESYAQIQPADQSKVDHGIGNYRAERDSTSRKKQ EDLEASCLKRDGYRCVLRGAYQEQYFMTQLSAHEKTRQYWEEHTVAHILPHVLGEFDE KNVEENGCNMMGFLALFGIINP QC762_0109920 MASISQHSFSTAVIRQKPEKEQGELESETASTPEHPAHSQATTV PEPIPQHPDFSTEAIFAEMSWLSPYWKSLLAPLCEPELTACKPQSTSVQQIENDEMIL AMKTECLDSLKEYVPTTQMYDTRYFLETFVHHLPLEGGKNLMKEIIKFSVTPEKL QC762_707330 MHEIITLQLGQQSNYLATHFWNTQESYFTYSENDEPLIDHDVHF RPGLSPDGKTETYMPRTVIYDLKGAFGSMKKINALYEIEGEKPDPTQLDLNSTASGVC RPGKTVLQKADPIQPSPYTEALNSGLPPPRPTPDTVRYFSDFSRLYYHPRSVVQLNEF EVASTIQPFEQFSTGEELFRELDKEHDLLDRDLRYFAEEADFMQGFQVFMGVDDAWGG FGSRYLERIRDEYGAKIAIWTWGLESPLKTMPRDKRLLRLANESRSFAELYTHSSILV PLSLPSRLPPSLTNFDPTSPWHTTALISSAVESALLPSRLRGQKKETLNTIIETLDLT GKQKVAGLQFSINSSPISDFSEGIQLDIKLSSSAADQIDVYSIRNQNQRTPRVFSQLL TSRGFSPSETSGKEGEELDEKGRRIRKSSYEPISKTYATELAFPILDSFPEIFTVEKD EEEEKEGAEVKVKITTSLSTDSSVCTRLRKLKDTVIKSIGLEDREMLGNDLAEMAEEY HEGWSGGSDSGEDD QC762_707340 MQSPTSKPASGERTPLLADGVPSRNSSDSLRRAEQEDAAIHGTP LSPTPTARTRTVRELLLFSWALLATAGVIVLAVVLQHRNSTANPPTPTDPIPIIPGDP GTGTPYPAISSLPPKKDRKRNLIFMVSDGMGPASLSLTRTFRQHVNNLPMDDTLVLDQ HFWGTSRTRSSNSWVTDSAAGATAFSCARKSYNGAIGMEPGFKPCGTVLEAAKRAGYK TGLVVTTDVTDATPACFASHVGYRWQMDEIAMQEIGEGVLGRSVDLILGGGRCHFLKN STAGSCRQDDVDVVEIGQKKHGWGYVDDRAGFDSLKLGKNVSLPLLGLFAERDVPFEI DRRHMNDVYPSLSEMAVTALKALEEATKDSEKGFFLMIEGSRIDHAGHINDPAAQVRE VLEYDKTFNAVLEFIEESDTEGVLVATSDHETGGLSTAWQAPNELPVYNWHPEVLLQA NASAEYLTLLLQQHMIANPVEEQQQLQDWIREDLVEKRLGIKDALEIEINALASNPLL AMNIFSKMVSIRARIGWSTHGHSAVDVNIYSSGGPGTEDIRGNVENIEVGGFLRRYLD VDVEEITRELREKMVVDVKEAEMTGRDGHPEEWFVEVDGLVGYTTA QC762_707350 MSPSKASLLSATTSFCHSLSAQSPPSTILSHFSSSPDTLIYEHG LPQLAPFLGREFKGPSGLKEYFHLLSKHLAYKDMHFSNYFADPEALKVSVRGEATFTW LSTNQSWDEVFTYVLEFDNDNKLTKYEIWADSGAAYLASQGLL QC762_707360 MLLPKIITESAKCWHEHSLTTEPPPKIITESALTSVKGLSLKSK FFKAFALTATSGLALWMVAMVFTEEKLDAKSTDPPLLEKYSEFKSYTTSKAFYNKLRI FYRKHPQTDNLPKDPPLPLLVFIHGLGGSVAQFHRILTSLTHLASCLAVDLPGCGRSE YTNTSWVAYTTDALVELLEMIINDYREERQRVVLIAHSMGCGLATLLINPRHEIQSDL CDSVLGLVAMCPALMPTEEQVKKYRRLLWIPGFIFDLWRAWDRRGGINSASVSRIVGP MADAKARKLQLMFNYQSRTPVFRRMAWGMLPEFDEKGNPKGGFPENSVWTGLQVPVLI ISGWLDEITPMWVAEMVYDLVECSHTTPPWRAGRILDWRNSPISTLSLGSAGARSRGS PGSNTSVGGGGAAPAPPTSMVRDSEVASLSSSTPEESCASETPSLDSWIPPLPSHPPK YIKNFDIKVGGHGLLFSEEMVAGLISEFLTDQITKRLDLSWQLQYLNEGGKWDVKNYE KWRGVVPVSDPIAGVFRAMKTLRETDGEHSPQKFGAKYGGKTIRDVIDISHDTPVYEP NNLRVWQVSYHKVATVSKIPPSRADIDRFIEKVDEIRAKQTKFEIGVHCHYGFNRTGF LIVCYLVERLGWKVEDAIEHFAQARPNGIKHAHFRDRLHLMYPKSLKRYVD QC762_707370 MATHRLTFLYPHLFKSTARWGEPAIAARGARRKSQHPPAFLCQH QHHGFTSPSAGRQAAFAKRAGKGVEPLPHHETSDLPPKPAQDSKQDGAGKHSQDSKPG DEGKQQDTKQDAPESQQETHHKTIKPTTPADTQTSGPIHDPPPPPPPPPPQPAHDPST IELPPPSDIDPIIQTKKGSPMDEILHMGPPPSSSPDAPSSPITPSSPSEPPPSTTETT TTTTTTTISSQENATTQQKPKQTYIHHFDSYSLVKHLSSPDQPPKYTLPQSIALMKAI RALLAHNLDNAQSGLVSRSDVDNETYLFRAACSELSTEVRKNRRVADEQLRQQRTHLQ HEVDILTQRLNQDLLTLTDSVRGMFNDRKMAVREEQKAVESRIQQINYKISVMLNSDS KSEIEEVRWVLIRRSVLGILFMAVLTLGTLRYATFVNSKRKKEMEQRQKEQEEMRRSN GMKDHSPAPEAAQILAAS QC762_707380 MASTAAVTDEPTHANGSTPRASSAAAASSNPEFDASKLQGLSSE QQELLVLNFVAALSKHVLALPPDDCTAQQVYMKKEIFKIINLAAPSPSRVIRNTLGKC LAHIFGLGDRKLLFETVNELVGIISGGKSKIESENRTRHAAVVCLGDIYGAAGDSAIG LYQLVCSALLKLLKASSSNAGLRAAIFTALGKVAKMLGGSLDESIARDIWKQCRSYAT SDKGSLVIISACRCMRSLVQHTPYFQNSTDFDKLRSCVFKVWDSPSSHVRSAAADCFA EALVCGYSPAAVGEAPLVLLKRSKSKAVKRQSMQPGALQDEDDIIPSRPSSPAPTGKK SQVLALSLIDMFKVLTTEYVRMSTSNKARAAIAICYGNMLQKLGEKTVETNYIKMLEN LTTDVLGHSNIFNYRYRLLISRRMIEIILQNIVGKRILGESGQISAAKSIINNILKNY PEVVKERPEPTKQTLIVSLSALSSLINTLGSASNAFAESCRDGLLQVLQHPSYTVQVH ASACLKTFGLACPQQLLHCLSVCMNSLGRELGLLGSGRNSPRRCIGFAHGLSAGLSAS PQRPLYGSVDVNSRVLTMATNLLKSSGTSELRVASTQIQVAWTLIGGLMSLGPNFVKI HLSQLLLLWKNALPKVLSKDSSIHRNYLNASFLTHVRECALGSILAFLESNSRLLTVD VSKRIATMLQSTTAFLRTLPSKKTAEDISERLFPSLQLQDLEIMVQRRVLQCYTKLVN ISPAGGTEALLQSNLLTLAISLFADPDNYTPSSLSASIANSAGNFESIWDIGDNSGFG ITGAVRGFDIRPLPGQHENPEERTSGQQSPEEEIRKLLLSPICGTLEHDASLLYIGSR EGPSLPDPAATEVVNMAIQLFAFVFPLTPPKVQESVLEQVTTFVAAGSLQRDPGRKAA ITVNVAMSLLEVLRVAVRETKSPGGNVTNPAVEKLMQELLRDFVIDRDQYVRAIAYEA VARLCSTCGNAFTNQEIKFLVDTIVVNREPSARAGCAMALGCIQAKIGSMAAGYHLKT ILNILMSLCNDPHPTVHYWALEAMGRVSEASGLGFGSYVSATLGVLGSLYFAETHNAE TALPASMNLEVEYGTTAAIARGVDSLINVLGPDLQDSTKSRELILTLVGFFGKEEGDL ETQRGSLVCLEHLTLYAPGYVDFKEYVRTLQRYLVRGGGGDEKGLRDVAVDGLHNLMK RNPYDVIEAAERGFEDQLWLVLDEDPGHEGMRNTIRNWMRQTCVPNTTAWLARFQHVL KMTRPKEAVRATAVTKKSNGGLELQDEEVAGFAAGAAKDDASAPSGSDVEPLRWQVTT FAMECLHDIFTIVTKEVASHGESEAYTALQSKVADVVRMAFSASTSGVVEQRIWGLKI IGAVLKMFGKTPDPDFEEAMLLEQYQAQISSALTPAFAADSSPELAAEAVDVCAGFIS TGIVTDVDRMGRILKTLVSSLENFATEDENAGIGDLKGLSSNARVMVKMSVFGAWAEL QVASSEQKYLLDVLKPHIGTLTPLWLESLREFARLRFEPDISMTLGPPSLSGSLDTVY AALNRETQLKFYQDSWLKLVDAIASLIEQDSEFVFDALDGKEVTGPNSTNGGGSKGAD INYRDEPLAFFFVLFGVAFEALATKPGQSESLATQEQTLAILKALKKILHPSVSGHAI YRDAIFSETMDLLDRLVLTEELDVQGVIVEIARALCVAHPAARKKNEADSGELSEDIE QLFELTRIIVLVLSGLLPGLSETPQPMRHQMTEEAVLLIKTALNALVDAAEVFPPVIK TDLHACIIHIFATTLANSACQEVIVPQSLPTLKRFISSMSQSRRVNDDEEHSATDIQL LGCLRRFLSIYLKGQQRETPASLGCVKNCLLAMTILFTAGENHLRGSEPLVARFLEEV VDCLTDRMTAKMAANCLRSLLLHAGSKKTVADLTITRFLFPRLIAFVTDTNREDPENA RMLVAQALCQYVGTLSKDHSPIAMALVIPMLLSRAASEDDVQDGGVIAKETSARLLEL ASVDQSAFRAVVGGMGEEQRGFMEGVIRSGRALGQGRDKGGQGEEEERPTIALKMNFG G QC762_707390 MASLFRALTPLTRSSPTICASSRTTRPFTTTTLLTSGHNKWSKI RHDKAINDRKRMQTILFYTQQITVSCKLYGPDPTYNPNLANIITASKKAGVPKEKIEA ALARGQGKSTSGATLESLTFEAVVPPSIALIIEAETESKLRCLQDLNLRVKKISGAPS SSKFFFSRLGRVVFEKDSENNVDIDQIMDEAIEAGAEDLENDAEGNIVVWTQPSDTAH VAKTLGTRFDLKALSAGIVWTPNEDTKARLDSGIQHEKFAEMLEQMREDGDVQAIYSN VTRGEMSDEEWGKIEECLSR QC762_707400 MLTTSLLAERFQNFGGSSDQISLSNRPCSFRQLRHRHHTHTFSD PTPHTDQTGRNTGKMGGLNLEVFKFGMYIMFPIGIMYYFGTNLDSRFSVPEFWPKPEN ANRIPLERDEIEAELQRLRARRLYLREKRLGEQGGQQQPRDQQE QC762_707410 MWRRTYLFLVLVRLWFALSPSYLHPDENFQGPEVIAGQIFKYPV RHTWEFTSENPIRSVFPLWPVYGLPMLLLRWLWIGNGNDGEIPPIAVFWTLRVLMFVV GFVLEDWAIYELIRSPRHRRLAVLLVASSYVTWTYQTHTFSNSIESLVVAWCLVLIER IVGSPQESTVLASTVLGVVAVFGVFNRITFPAFLLIPGLRLLPYYFKNPLSFLAIVTA GLFTTGLAIALDTAFYTPHSITWSDLIHNPVLTPLNNLIYNLDPENLAKHGLHPWYQH IMVNLPQLLGPGAFLLFTRPQLSLRLYSAISGIVVLSISQHQEARFLLPTVPLILSSV RLPKSEKLTRIWTVSWIIFNFIFGTLMGTYHQGGVIPAQVFLSKQPDATQAVWWKTYS PPIWLLNGKNEVLTTKDVMGLAGDKLLAQLTELATCDLPADRRSNEYLKEKKGTYLVA PLSATWLDGYLENKGHDGLRFREVWRYKRHLNMDDLDFGEDGVWNTLERVVGRRGLGI WRVTRTCPERRGSWR QC762_707420 MADSSSTTKIVLESNEGARIDVERIVAERSVLIKNLIDDLGEEA VLAEPIPIPNVNTAVLKKVIDWCKYHKNDAAQSADDDNDNRKKTTDIDEWDQKFMQVD QEMLFEIILAANYLDIKQLLDVGCKTVANMIKGKSPEEIRKTFNITNDFTPEEEEQIR RENEWAEDR QC762_707430 MPSHKTFRTKQKLAKAQKQNRPIPQWIRLRTGNTIRYNAKRRHW RKTRLGL QC762_707440 MGQTMSWLGSLSSFFSSKKEIRILILGLDNAGKTTLLYRLKIGE VVTTIPTIGFNVESVTYNNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVVDSTDIER LQTAADELAAMLNEDELKDAALLVFANKQDQPGAKGAADISQALRLGELRDRNWSIVP CSAVDGSGVEEGMNWLSQTVAQD QC762_707450 MAQTSSASPGDLTTEILQALSQKDPINSTEAFPQIPFESIKAAL DRLASRSMVKYEQIEREEAFLEPEAEIIVSHGSHEARVFDAVHKALEGLSIQDLEKEI GDKTVTKLGQGKAFKEKWIKKDGSKLVALVDSINDVTRDQLRVIKETKTHDAKIIADL KKRKLLKLQKVISFTIHKAEKFALEMVKEETDLTAEMLASGAWKEATFKPYNFKALGA DQHAGALHPLNKVRSEFRQIFFEMGFTEMPTNQFVESGFWNFDTLFVPQQHPARDLQD TFYVSDPPKAGRPTATGPDDKADYEEYFKNVQQVHQDGKYDSIGYRYPWAEDESLRLV LRTHTTSVSAAMLHKLAQQKDENGRVPPARYFSIDRVFRNETVDATHLCEFHQVEGVI ADYGLTLGGLMEFMDMFFGAMGVTDLRYKPAYNPYTEPSMEIFSHHKGLNKLIEIGNS GIFRPEMLEAMGLPRDLRVFGFGLSLERPTMIKYGISNIRELLGHQVDLSFVQKNPAV RFDK QC762_707460 MTSSSSPSGQGSRPGPLDIGGSSSSRSTDYFNCNGEATSPTSPA SATAPLFPRSRTAYGSSPPDSVKSVKSPRKVNANSYCGRHSDEFLFGGRSLGDLWRAV KK QC762_707470 MSTTTRPTQKPPTSALTPKKLYLVLYNSASAVAWLTVLGRVVVT LNYKGDPFFVPLVVDNFARVTQTFAVMEILHALTGVVPAPVFTTLMQVASRLFLMYAI TLPFPQLNASYWYSSMLCAWATTEVIRYTYFVFKQFDRIPASLHWLRYSAFLILYPIG ISSEVAMTLRALWGPASSEAFAWSSWYPYALGAVLLSYIPGSVVLYGHMLKQRRKYLG AGAKGEEVKNQKKRQ QC762_707480 MPPPTHPQMKKTLLLCFIHGFKGNDHTFGPNQAFPTHLLSLLRP LLPKLNLEFLIYPTYETRGDLTDCVNRFRDWLLNKVIDLEVSLGTPSPTVEPGVRVIL IGHSMGGIVAAETAISIASEQAINGSIPNNGNSPDQGNMKAPLNSLMFPYIQAVLAFD TPYLGISPGVVAHGAETHYSKAAEVMGQLSGLASIWGGASSNAAKENHHQQRQAPQKA IEAPPSTQKQQQQQQQQQQQPDQKPQTGGGGWGKWGTLALAAGAVGAITATGAAAYLK RDQITQGLTWATSHLEFVGCLARKEELRKRVAYMMRLDKELNVGFGNLYTRLGKSAGA LEKVSMVGTVLGDERTFCVVPQRDQAGDWRPAINDKARDETWAHMSMFEPKENPGYDK LSADARDMIASWVNQEWYESSTETIHA QC762_707490 MTERVYGVTPPISTALPTEAEKRMHQALHEELRAQGTFESRAET EARKKVIAQLEKITTAFVKKATAETQNSFMVREAIGRVFTYGSYRLGVYGPGSDIDTL VVAPKHVTTEQYFRLFPPLLVEMAPPGAITDLTPVPDAFVPIIKFEFSGISIDLIFCS IKGLTQIPEDKSWNLTDNTLLRGLSENEVRSLNGTRVTDEILNLVPEPATFKLALRAI KLWAQRKAIYANIMGFPGGVAWAMLVARVCQLYPKTTSAVVVNKFFHIMLKWPWPLPV LLKDIEYNISVTRAPVWNPKLYSSDRNHRMPIITPAYPAMCATHNINRSSKVVIMQEL EKGVQVTEEIMTGRAPWKALFTKHTFFTSDFRYYLTVISSSRTKDAQNVWSGFIESRV RVLVNKIENHAAIVLARPFNKGYDRQHRCQNYEQLGDVVNSGSLAYLYKPTPEEEEKA KGEAKTEVKAELINANLKPESVLQDGPVVKPEPGTETAAPPPVTAADGAAIKPEPGAE SAMPPPAPPPVADGVKKEEVTKNGDGVEQKDGNDGEVKLADIPEKKQELEVFTTNYYI GLQLGKTPASLDLSREVQEWMSMCRSSDLYKEGVNFLAVTHIKNTMLPDDVFEPGEVR PRPPKKKLKRQAPDDSSAQQPTKKSKPVGPALTPAAS QC762_707500 MVRFLGAVVALAGLAAGESLKKLNTGLTILTNNDLQGPESRFAD STVIVTESRVANNEFEKICSGLGEQPWSGGKKKGEKGLLQPLFDYLRYEKRASSSSRF WISGRKTIDVDSDISSAKSRDELAGLCTNKAPFSNETFQDTSSKWQVSVDVNNQTLTG FRDRLSFRFLGVRYAPQPQRFTYPTLFQGSGEAASALEYGSQCAQGGNTGTEDCLFLN IWTPHLPNPNSAPSKKQLRPVAFWIHGGAFTGGTANDPTFDGGNMASRGDIVVVAINY RLHTLGFLALNDGKTNGNYGLADQITALDWVRKNIQSLGGDPDRITIFGQSAGAASVR ALIASPKALDKFSGAILLSNLGGLNYGTTYSKYYTIPEQVSVIANTILNATNCTNAPS QVDCLRAVPASTLTSLTSARYLVVDGTYLTTSELSLSLPSPKRRRPLNILMGITHDDG APFISFPSSTTTNATEYLLSQGFSPSQLPPLAHPNTGNATLDLFNLTTRLATNAIFRC VDQATAFAAVQNNLFSSLYYYEFDRTYQMPGWPKLDVCEPPRTEGKPLGDTRKPYLRC HSGELYYVFGTLRRQGMRERDGDGGDRAFEQFVLDSFAQFVKEGNPNLEMGWLRARGE GWKGSLGQRERAGVWEAVSKGRRRGVLRGLDWPVSRDGLFREVEYCERLGLGLGYYL QC762_707510 MAPKKKIMHKDGALWDSPLHVSHFPLHRRVTDEESQARRQMCLH QSSLITLWGHHLVAGKELWNLKHRTHFTDRRTRVVVGENLGRISQAQLFSAHQPQRHL SAKYLNLRLRMEQQRLFAWSEASGLLDLDAKRRDKVLESNTFVLHRQTVLDLLVQVEC LFKEFKEHQEKRKCLRAVPDQDQVLENPEKDAAEANFPLPKRRRDFIKKAMRSLKESS HEASQRLQWVAFDKAAFELLLCRFSTLNDNMTGILDQRMQVEIHHTVQDTNRGVLQLH HKISDLSRLVMALNIRLEASSALPTAQLTVAKKQANADGLELISQLAKFKAFNESIES AHKRPLDEATANQLELGKPGEKRLLLDRSMIELDPAADESDQPRCEAVLVKDGVKKKV WVEWKEYDPQRPGDSSPPKAVIVERVAKLAALLNHTPKPEDFRTPHCLGYFDRGSSNG QEDEDEDILNMRLGLVFERPVDSGLDPSSPPVSLHELLETTRKPPVTKRVKLAHAISK CLLYLHAVNWLHKGLRSHNIIFFKTTSGDVDFGKPYLSGFDFSRPARADEMTDIPGPG DDIEYNLYRHPNAQSTNPDERERFKKSFDIYSLGVLLVEIAHWATVDKVLGINLNVAR GRPSFALKVRDSLLATDQIAELGACMGELYEGATQKCIAGGEQLGLSEKDDETNDAVA ARLSMVLHEKVVKKLGEIQV QC762_707520 METFSPEAPHSSAASTNGSPAVSSDSPGTATSSSSNAFSATIDG RPSQQHPAPPPSVPAACLACRGKHLKCDGNTPCSRCTSSATECIYVASRRGYKGPRRN AAQNPNKRHASSSPPYSGPTVESCPMMLGHTPVSIPAPSLSAFNPAIVLPEQSPVSYA PTPALNHAGLYRNSFASPLDPNTMAPPNTMALTTSSAIPHVQPPVTTLAERCFDAFYH YFHAAHPFVLPKEYFLRLLKEGNTPGLNVVMAAIRYIGSLFLDAGPAKATYLEEAIRQ CYLPTTTKDGFLIQALLLIIIGLDGSCQQEKARELLADCERFAIEIDLNKRQFASLHG HGNPVVEESWRRTWWDLFVCDGMIAGVHRITNFLLFDIQNDVGLPCEEQQYLTGRIPP PAYMEDFDDQLFSGEDREFSSFTYRIAAIRNLGRMMRMPQIMYPGDDTISRIEALLTN WRLHLPESKRDDLNKNCKLDEMMFQAHFITHACTIMLHQPLSQLDSSPVQAVNSCAPH RPVPSGDNFNAHTRHTITAACEISKMVTQAVPIIQHTHFFTCVVTLSSIVHLSKWALY FIEDEEDLRQQIRLNIGALSKLSKVWKAANTAWGQVKGVAQEIYREKKAHQISPAFWV GFTQEQMISSIQADEGIMSEFNSMLTQVTQAP QC762_0110130 MGSSMVGWQSPLTTANIYTPFISTPTTQHPATTYGFDARVRLKM SIPTIAVYCALVMVVPARAKAPLPGT QC762_707530 MNAPSSSSSSSPKMDPESGPSPSHLKNTTLTSLTWSSLSHAIST TPILTSSTGLLRPGELLAVMGPSGSGKTTLLNLLSRRLSPSSPSPILLNGTPLPLPQF QSLTRFVEQTDSLIGALTPRETLHFASRLSSAGISKKERRERVEGLLGSFGLIEQGDV VVGTVTKKGLSGGQKRRLGVAKEVITGPRVVILDEPTSGLDGRGGWEVVKFLRGLARE NNLIIVASIHQPSTATFDLFDKLLLLSEGKTHYFGSVEGMGGYYESQLGMVMPRHVNP AEWLLEQTNIDYADDKEAARARLEEMQSGWERSDLKKKLDEDVAAVAAGAEKGGDELV LGDDEARDKRPGMASVVVTLVHRSFIKSYRDVVAYGIRLAMYTGLAIMMGTVWLRLPA TQDSIIPFTNSIFYGSAFLSFMAVAYCPAYLEDYNQYAKEKRNGLYGATAMTLSNFLV GTPYLFLISVVFSIISYWLSNFQPTAKAFFTWVFWLFLDLLAAEGLVVFMASLFPSFV ISLALVAFANGLWMSVDGFMVPPGTLNVFWKYVFHYWDYQKYVFENMMINEFSERVYT CAETADGCQCMWQTDLADQCLIRGKGVLDQYGYKPGYMGKDVGIMLAIIFGYRLAGWL VLKLKK QC762_707540 MASLSSTSPLITLGTTISTPSSHHTARTDGDNAGDTSALTSVDD LDDDDDQLLPPPYRDAAQLPFMIKNQLQIHLEEKMYPETLNMLHALLSNCASFSPPSK EQGSQPPQRVYVPPPHQLAFISSLAIHPRFTSAPPDVETTTPPVRDGAAALSYLRGLL SIVGPINANFRQAFEFKPPPSSSHDRRSRRGDVFGNWSTSGMSGADSDASSSDVMTGT FAREGVIWHRASDFWSVLGWSFWCAAFVPGRWKYWKPWLEFVVTVLEQDWNDRLAMDK KTTTAGDEPYPNLKGSLLVAYLEDITKARKTVQKEVMKALTFALEAGDRKVYGEVFTN EELVGPRTIKRKRADTTLDLENGCFGDYDDDDSDLENEGDSQELPCSSARSAPGVQKR TKKAMESDAGPRPAAFRLPDGVAETIPFRLRIFRLLSAAAHYLRDVSFPTSELYQSFS YKVRTSPLPVFELFLKAHNDLPDFAKVPLYRNVLDGLLPPNGLPDPDRVDRDTNSRDD ISALEVMMQKCFLPFPAGRITAEDNAKLSIVLENMLWVLYLAGDITDAAGLGKAIETG IKAREAKIKGRGRAGGVDRLGREMLARSSNNLRVFARILG QC762_707550 MSQPLSLVQKFQSLRLPWRRHFLVGKDLSGNTYWEFHDRGNPNP DRWRRILRPNPALKLHHSEVKIPPAWHQWLRHTRPDPPTIEEQQADVIRQERLKILAA EADRRWEAKAKYIEDGVGGKQRKPALEGSGMWVEKKEDEQQKVPKEVKREEVWEGMKK QVEQGEGEILVGGKKKVDPWRQKRAGPGEEWQPQGWTPGAAKKP QC762_707560 MASVTSLDGDLRRLRLEKYTPAAATEAKQWIESVIGETLPGKDL LEGLKDGVALCKLVNLAIGPPGVKCKKSAMPFVQMENISHFLRACQTPPLNLQQHDMF LTVDLYERKDPAQVLQCLGAFSRAANAANPDTFPNPIGPKAKNPALLSPQPTGYNTPP LIRGRGNSNASNTSSSVYGRGTPSLSASRTGGDDGPWGRAKSPTNGATSPGVSSWSKK EHEGATSPAWNIAQYGYMGGANQNNLGIAFGGRRQITSAGPAVPSLYEKEKKRKEEAE KLRLQQEEEERKKKAELEAEEARAKKEEERRWEEEAKRIREEERRKIEEEKRRWEEEE RQWKMTEEKRRKEEAEAERRLQEERAKARQQKSGSELRGQYLSQYQAGQESSDKERIR QLEEELAKAREREAQYERERQGRSRGHGNRDAKKARSRSRSRPAAADKVSRQDSWSVR DEQNFASKSWHHHQNEVDIEEEPPLSPPLPSQSPRPLPDPAKVKTHRTGEKSSLPPPT LPIRKQPTGSQPLPIAKQHTGSRPLPDPTTYSATTSPESTRQLPTPGSAGSNNRSPFA KPTSPRSSKQTTSPFSTKPTTTPFSTKPPTNSPFTSKPTTNSPFVSKSPFAKPAPQPT SRTDRYLSSNPAPLHSPPTSTFVKELAGTVDEQAEEDRRRQQAQKQTKAAGWASKSLL EKEMELERQRQKEWEESQKETAKAVRTADGVEGIGGGIGGRWDVGQWSGYTGGDGQNK GGQGIGANRRQIVGPRPLPGNGGR QC762_707570 MLLPYRRVAAGRDALVASFIRLARHCAGRRPISSRRWISQTAAT QDETTENLSVPCTARYNEIGVQQLSSHIYDQLFPKGNTSPPSKELVDLARDHLRRHEL LGKNTDTSAPVAFDLPPLVGSTLDEHFHKLGVDAAEPFLTHAKRFALANPPPKPRKWV RRSGWTKYYADGRTEPVDAPEGEAMTFDTEVMWKESPYAVMACAATADAWYAWLSPWL LGETENEHQLIPVGDPTKERVIIGHNIGYDRARILEEYSLRQTRNSFLDTMSLHVAVN GMCSQQRPTWMKHRKDREFRDKIAKESSNAELVELLNNGAFSAQEEELWVERSSINSL RDVAQFHLGVTIDKEARNAFGEFDRDGINQQLDELMTYCAADVAITHRVYQVVFPNFL RVCPHPVSFAALRHLSNVILPVNKTWDSYIANAEATYRKLSDGVRERLVALTDKAREI KKQPEKWSTDPWMSQLDWSGQEVKMTKGTPTSPPREYKNQKMPGEPNWYRNLFPKAKG PINLTVRTRIAPLLLRLSWDGHPLFWSDKHGWTFRVPLADMKKYIDKQMKPCDFEGET VLAVKEDTKHAYFKLPHKDGPTARCTNPMAKSYLSYFEKGTLSSEYDYAKEALEMNAS CSYWISSRDRIMSQLVVYESNLPEPVRPKDLPEDAPTPGFILPQVIPMGTVTRRAVEN TWLTASNAKKNRVGSELKAMVRAPPGYVFVGADVDSEELWIASVVGDATFKLHGGNAI GFMTLEGTKAQGTDLHSRTAAILGITRNDAKVFNYGRIYGAGLKFAGQLLRQFNPSLS EQETLDIAGKLYATTKGTKTNRKSLYKRPFWRGGTESFVFNKLEEFAEQSHPRTPVLG AGITEALTARFLSKGGYLTSRINWAIQSSGVDYLHLLIVSMDYLTRRFNIACRLAITV HDEIRYLVQEHDKYRAAMALQISNLWTRAMFAQQVGIHDLPQSCAFFSAVDIDTVLRK EVDMPCITPSNPIPIPPGETVDINQLLSKGSLARLDPTITPDPTYAPRVNHIKYVPRK PVMEQLQEEEHAQEDEKSKLRFIRAQIANDEAEFREILRETRGEAQREKYIPKVPVKI LKKPYSASAKLLPGAARYGQREPVMVREALEGVRYKSWPGGSGSGGSKKWDGGREKGR ERVQWDFTR QC762_707580 MPLPPFLCVFVAFHYVLSSPGAHIISSRGGVCGNILAVCHCRLF LLPSSCCFRQLHYLYILFPPSWLVSRFSFAAAAIMANNFFDSGFDEFLGELANFTLPF PGLPLPAPSAASNRRQHTSQPALGRPASVSFELPRLPAFGAVWPVPSAPPPALPPLPA LPGASSWSAAQQWQPPRQPAQAGARPGAAASFISRPTFSLLHGAPHPPTPMPSTAPVT TSLPGPFFHQPSTTIGSTSPSASAQSGPANLPQPRRATVNNPSQFLPSSSRPANPLHN TVNTPRTITPTPPVHQREPNSDDFYLNQLTPDFSTPSLESDSDRFYLDQLSRDFSSPS LPSTSATLQRSATRSNNPGTNNAFSQTLHRILPPPAPPAGLRAPPPPSTRSTPTSNTN EESSDSDSAYTMPASSRPVRRRSGQADRLTLPHVPRVSGASSSSGAATANQSQANSSK SQLSHARTAPQTTPRRASNGSISAAGSGTKRKREAFESDDDDLFGDNDLEVVDLVDKD VADLTSEEKEKEEDRKKNWVKLSQFQCVICMDDVTDLTVTYCGHLFCSECLHSALQIT PHKRICPICRQKIENKNASGKFGPKSKGYYPLEIKLMTKKSLGKKVAVAAAAGRNTGE H QC762_707590 MAEFRVLEGLQALHSELVAVRQHRFDNISVLENLIELHSDNLKA LVDKTPRNSTHRNDITNKKVIKTKDGEFKVNDDFIFETLKVADELDLDEYEAGRIILD CQDEDDSEIQSRPLWECGLIRFHQERKYLLDCMRLCIELANDEEMDDNLKDAFGKILE EKIFVKGSSKKIVARCMEAMQTIKAMLQSINERVASRYMLEQASLARPPEAADTIEFS RMSLVEQHECLAMILHAAVEKQYADINDFKQFLGTLKKIDKYDQFLVHLFPVLAAYIN VFGSPDGMCDFQQSRQLDQEILKTGDGDSWAISYLGAATRVWWIAEYTGYFQVDNEFD LGGLDLDVEFDKRTKAFTEALKEGAFDFILSVAADCKTEEWQDPSRMGMRQWLQRKSP PLQGDFYYFSHFLQLSLMAHLEVFIDSVITNLPELVRTLKTEEDEQRQLSQTHEQDLD LERFVVIISYAYEDRPDAAMTFFEDPDSALYGFLQWASTKASTPLVSAFCEMLQALAC NEETATAAHTFLLDEGHQSSGKMRRSQSLTWNQIFRELEFFAKKLSERPNPAQMQIQR PGKPGTDQGEAEPESAMMLECYVRLIGKLTAESSTCRTRLATTQELMFPEILFRLANA NVTPRLRAAVFYSLRSLLVMKSVSESNIIWTLVDNFTMGALDPNNPATVQQQRSPTYQ STYEELQERAFNDLSTGFEEPNAFIQLLTSLVTPYTVSDGLNDTLPFPEFLGVNKRRP GIEIYVDFVFLMFTMKPREIVDQGQLRMLRLSCLEFILACLQTFNEDLIVLGNETNIE IDKAVKTTSLAVYVRLHPFARVMEWMYSEKAITALMDTIHQDQYALSRASPHSPLIQS ILRGIQVLIKVLGLQATFVHLVRPVIQSEAGKRRPLTLAKSTYTSIEDGILNHLTLLV DLGKYCNLGVSELTLSCLKLLEKVSTSSKLISAWNPDTHRPGHRNKAIVQLEKDGEGE VIGTSLAASINSVIDPALETESEEYLIKLFILDFLYETLRASPDQPTIAHLLLGFNCE LRGLSVAPNGVFDNQRSLFHSLLGVVIELVVNEGDRGMRGYLITLKYKILRIFQLLWK SPLSSTLVMEELRSTNFLFHMLLRESQIVPGLPWNNMTLDDNSFLLSTASVAYIDYLG SRAIVFEYIAKELCSVSQNRIPSIKRQIFDALNGQITLENQETLAAHNIFDFFDFMNV DISWEVAPPQFHFYHELDLSPCVIDAGGAGIQYNLSRVKECIQLKRSEYRDTLAMVPQ EGMDEIQAEEQVLLEYLLFNNRRVLVRAVRLELLKKWSDLLLVMFEANEFRGTVKTTF LLQALQAILPTLESLNTDSPPEAFELAKVAKILLYKLDFSDNTGGAPGSETDKIAMGN LVSEKLFQLFQVCLASIVKWNQFAELRGLYYSICYRYLTGVVDNDGGDTRSSSLLTAR IRTHKAIQNHGEKLLHVICDDAYGSDTLCQTAAMVLLSALVHISRSSPQTNPTSISVI ESLNRLNFIGVLVDSLKTILREWLAIINPPSPLPASTAEATEQYLTAKLCFLLQLAQT KTGAMYILQANLFRALEISGVFAADPELEINPSNTVALEKHYFLLVSLARIITASVLS RGQGNIVQARGFLQRHRMLVVHTLKRAAGIGIVGNGMWGGGEEQQQERSISDGFSFGG TVRGVDSILGGGKKGGDNNNNNNNKEAQRRLEERIGELGESLMVLIGGVGFLEYELDQ LPAERASVVNTTLFH QC762_707600 MARVKGYSNEPLNFKELAAQLVHSTATQRKEALDSLLEFFKTAE KGGPQSQKVSPFDDKSYHSIYEALFRCTVLEKASYFTSKKSARASATANARLEKCAEV LKLAVRHGASRIKRKTARAIIDHITQVLQGPDDTYITPLLKDYAKALCTFLQNSANVE NLSAFSGESWDTCVDFCVEALSRYLEVGGNDSGSRTSPAPTLRASSVGLSSQGGNQIG SQVALDFLSCLNYLVAAPNAPVLRRAGEISRIILQILQLRQMKIGELHKVAFSALNRI FTRTQTDDIALSKRLVMTLTPLLSHWWQPRALSRDAMLNSIRDEMLKTIYASHLYLES ILRESTDQSFLQDVEELMDALWSDYSRREERARLQLDDITFTGMLLPSDHPTTGVFSL RPYNTGGEQNWALLENLATLEAIYARSSNKPFSQQEPENDQPRKRRRMVNTPNRLHQK LNSQDPAVQLTALQLVPFLSRQKLLTIEEATEAITDLSKYVTAKQGVVASWAMIACSS LTSHEVCRDASLSHTWKQLWQLGVRSVSLAPTTRAASIFLDSVIKASLIPRHEWAGDV NHMIITADISGPAILVDSSLKLMLSLLRLRNTMSPNASQATTSHVIRWVFVKWNPAEL TYASLHSVHTAPFDLANLLRGCFGMPALKMGAPLRLFGGAIVQFWKKQSQAYPLMRYL LLLEDETTSATTLMAEPEDVILPEEQQEQTVDPTGSHTAKRLTLELLYPKLEELHQIT ESWQKRGSDGVAPVAISADRLQSMMLACLVGATLLPELVNLNSSMSKDLESTLFEVID GAFRVILAAPQIDEFFELVLTTVVPFIPPFVESQVAALRKEQSHLLKLYSKLSIALQE KAQKEAFGRSMDAMDIDDEFESQTSQSSAVSKGKTLARRDSLLCWTPEAFYLETTLRV HYLEIIRQDEGELGHVPEAMMEQLLSLEKEQLLTCRVFMKELFSCGWMARLKTVVRVF ETVGGIISEDEFSCCEVALCTCIDVMDGFIYFWTDQKLEEAIGRMVGDLYHYLVKSSL PNNSMSPVVQGVFSGLLFHILEVRSGYAGDLGLPSARSTLLGVCQEGGMEVKYEIGKG LPGVFGLYVLKTHDDIFLDVLDSLPTDPSMEEGIAYRLFVLAELACRWPTLLRRCIYH IFETPGKIVRSVRYAGWCLRRISKELKLSGPEELFRLFAPQLLYTWLDENSLEDIPFE IFGFKSLQDLLAEGQTEATAIMIMRGQETEALELAKMLGLTLQELVKRNFTKIIAYCI AHDVSVQRGEGQITGEKRLIKILIKEGFLEQIHLNFADIIATCFETFDQEDPIEKAFR RNEGLGYAADIIEMIKEYGHLPTKLPPNQQPCFRAKYLPIEMLHLLSRTPYELDQIWT PALVVFIARKLLNTIHPALGPLHACSVLRKIRVLICLAGPTALTAYPLEMLLHSIRAF VVDPECADDALGITQYLIIKGSDHLSKTPSFFAGYALSSLADLRVFLESSQSSTTQES QFRATKSKAQVFHDWFGKYLKGYESKILKENPEHLKAFRAITQSAAEIRVMGNAERGT NESNLLMEILKDWDRAESEGDELLNEPARGVALRMLCGQFGPAPKERGLDVIGEDEEA VKYKGVVWKSCMEEGGMGLSGEYLAWAGRVIGRGFAASGEVPGGLLKESRLEEYKTLS CKAGGKELGSSEEGLLNLMEQLTVSGDCLTAGLAEAALRTVFSDAAADNFQELVAACQ RSLSEALADSLDWEPFRTPQSDLVKVEKVREQEVFSGAKLGEADWARKLTTLLAQAVP DDVTLRVLPPILTKVKGFAEQAFPFVVHLVLSYQLDKQQGVKRQLSEVMKEWLKNEEG GARENVKLLLNTILYLRTQPLAGEASIADRGHWLEVNLTSAAAAATRCGMFKVALLFA ELASSEESRASRRSSAIREVEDSSEILLDIFENIDDPDAYYGLAQDASLSTVLARLEY ENDGGKSLAFRGAQYDSHLRSRDAASKQDGQALIKALSSLGLAGLSNSLLQTQQSLDE SSNSLDSTFTTARRLEIWNLPAPATNDNWAVTVYRAYQSMHQASDIEAVRGVVHDGLR GTIKHLTSKSLNTTSMRHQLGVLAALAELDDVLSITDPAEMKRGLQMFETRSKWMMSG RYEDVSQILSCRETTLSMWSQHHKLRAATIPPADARFAQIKGLLLSSDIFRFHRQHQE TLNLSTTLTDLIQPSEAMGLNVDAAIRMEAANSLWDQGEMISSIRMLQAIDKDSSLKK QTIPVSRSDLLAKIGFQVSVARLESPDSTQKKYLEPALKELRGKNEGKEAGQVFHQFA MFCDEQLQNPDSLEDLARLQNLKKGKSDEVEQLKQLISSSRDSQTKSRYSSHLAKARQ WLDLDQQELRRVEQSRTEFVKLSLENYLLSLAASDEHNNDALRFTALWLERSEEDATN EAVKRYIGKVPTRKFAPLMNQLSSRVQDQKTLFQNVLIDLIYRICVDHPYHGMYQIWS GARTKVNKDDDVAVSRQKATDRVAKALTRAEAVSAIWPAIDQTSRVYHMLAMDRDTNR FKQGSKIAIKDSQHGPSFLTTLSKYKIPPPTMQMELSPTCDYSHIPMIVKFDPYMAIA SGVSAPKILTAIGSDGRRYKQLVKGGNDDLRQDAIMEQVFAAVSELLKLHRTTRQRNL GIRTYKVLPLTSSSGLIEFVSNTIPLHEYLMPAHEKYHPKDLKGSQCRKEISTAQTKT TEHRIAVYRRVTEKFHPVMRYFFIEYFPDPDEWFHKRTAYTRTTAAISMLGHVLGLGD RHGHNILLDTKTGEVVHIDLGVAFEMGRVLPVPELVPFRLTRDIVDGMGITKTEGVFR RCCEFTLDALREEAGSIQTVLDSLRFDTLYQWSISPVRMAKLQQNAREEEDGENEENE EAGGEKKGVKGNVNEPSEADRAIEVVKKKLSKTLSVMATVNDLIEKAGSVGNLAVLYS GWAAYA QC762_707610 MEAPTSEPAGNPNGTGNTQSLTVPVMQENTNGSVPAPTSTISNG ISADEIALYDRQIRLWGLKAQESIRNANILLITMKALANEIAKNLVLAGINSLTLCDH SPVLPSDLTSQFFLPSDRSPVGTNRAIAASTNIQRLNPRVSINIDTLDIRLKPPSYFS AFDIIIATDLDAPTLNLINTATRLNNRKFYAAGSHGMYGFLFSDLIEHDFIISRSISN VPTVVGPESPTRSIISSSPDPNDPKVENVTKRELFSTWLLASSSPLPAEILKSPRRKK VVTPILSCLRGLWEFESQFGIRPNPNDKAQLAQFTILCGEQHKALGLPAETLRSETLR AFLQNIGGEVSPVAAVLGGQLAQDVINVLGRTQQPIQNFVVFDGERSEAGVYALHPTE GELGRGLLPISGGQQQQQQQQQQQQQQQQQQQQQQMGSEKVIDLD QC762_707620 MSGNSSQRNDPLDDSRPWWFPRVPSRRERIPGERRRTAPTTTTT TSGEFHRAIARYNRNIERVRRNLDAVENRRYHPEASMSSADRDLRRRFNRDPPTTNDP PAVPGAPSLPPLRSLGSRARPGMASGSGSRSSRYRPERLLRATNFDSRINNTSSHVVS DEHPDANSHLRALLDLPNIGTLISPLAPTSMTPTLYSQDTEDTRRTKRRKLDNDKVGP KFKGFHYGYYGQLEPGRLTMEIVSCDGGLYQESLQYPPENILKNDDSVYCTKGNRCNI ILRHTGGTVFSLTELVIKAPGSSYSCPVREGMVFVAMKSDELLTRTAQYQIQYLPPQQ RTNNTLVYSVRHEEDGSSITRLQPPVREFSFGLDDDEDYRTAQIPPEFAVPPPPFNIT TECTDDGSDDDDDGRVLPPHLRSRNRRTPNRIGSLPFESESSEEDRDPWGNPSSDWRA FDNLTRRRYTARGGGRQHESTSSTTLEEAQEASQIATQEAVRAVGGELMAPLAHFFIE KDKNKCTIRFDPPVSGRFILLKMWSPPQDLSDRSSNIDIEAVVAQGFAGPRYFPSVEL A QC762_707630 MAVRAQFENSNEVGVFSTLTNSYALVAVGASENFYSVFEAELQD VIPICRTTIAGTRIIGRLTAGNRKGLLVPTTTTDQELQHLRNSLPDEIRIQRIEERLS ALGNVIVCNDHTALVHPDLEPETEEIIADVLGVEVFRQTIADHVLVGTYMALSNQGGL VHPKTSIQDQDELSSLLQVPLVAGSVNRGSNVIGGGMVVNDWMAVTGLDTTAPELSVI ESVFRLGEGAAPGAINTTMKETMVESFY QC762_707640 MLSDDNPVSSSPQPPRSGQTVHPILPAQQAAAVPEARALRGSSV GSSQDNGYGSPRQELERRATVTPNPPPPPPPASAHQQQSSPFSFIAPKPSLLPFSEYH SGYSDADLEESSSTCPSPSPFGPPHNKHLHRRQQSFPNLFPLALRNQSRTPSPTRKKT HHQRFPSEQMPYTGEGRIRQRAESPRSGLAGWLSGTAAGSALGMTPNSSIDDRTNNNN SSTTPTTGGNDKKQISEAPDMMTPTRPPLQRSSLPPPPTPKTATTTPAGGGGGTMTSR FMSAFTSRFTAPTTPTTSTMEAADELLTLNIETALFPPSSNPGNETFSPAAFKNFQAN AVGLLTKYQTAYKSQSGTIHNLRQEHSAQKDELEEAETRAKHLKFQLENMAKELAEQQ HKVRALTEELQHERERSKAPSVVLSEDLGVDKIRHHKRRSGQSWSADDDEEVESAESE SVFSSRCRSPVPETPVQGGFPGGNSKLGTPTTSSTTPRQQTQQPKPVTMNALQKILRG VVAAGEEGGCRNCKGQDASVAWDTVGLLRDENRQLKTRVGELEGVVEGALDLVNGIGM QC762_707650 MNTYRHYGAEELEEDKSFAHQFGEVDELVAHFEARTARVRPNPG QGHFLGGTITVSHGRGGGVAGRPNLTRAYVGIGCQLNPSQIDPQWAAVPARGTATPGQ PRFPGATVPVSSPSATSYPSYPSYPSTTSYPSTASYPSTPAFNPATPAYNPPTSQQSI PSTTTAANNAAIFGQGSASQVGGGGTATEGTTAAGFTAESTMTGGGSAALD QC762_707660 MPPPNNMNRFQGGPNTMYHQQQYQTHAPQTSGHPPPLGGNPAYL NQLSAAGNPFGATSNLMGLAGGMGAAAGGFNAETGLASHAARMGFHSGAMQQAQAQQQ QVLQQQQQHLQAQQHGQHPALHPAQHTPQQSHALEHTTRAAQNKGRIREVWKHNLEEE FEILRDLIQTHKYVAMDTEFPGVVSRPMGGFRGKSDYHYQCLRTNVDMLSVIQIGIAL FNEDGEQPTSVDPSSQWSNPRRTGTQAPLPFTWQFNFKFSLEDDMYNETSIESLQHAG IDFKRMEQDGIDPFKFAALLIPSGLVLEDDVYWISFHGGYDFGYLTKLLMPKNLPGDE GDFDEEMKRWFPATYDVKHLMKHAIKLQNSGQLEVRDPGVVDILTKFEQKAGLEHIAE TLKIKRVGSAHQAGSDSLLTGRVFFELRKRIFNGHIPEEHLGKVWGLGVPDYSIGAQQ FNSGQQQSTANKSNNADGSAANGATPSTPNTNNVGLANNTTPAPASHQTNGVNNQSNS NNAAAGSSGGNAAQSVGGGMGPMTPGGGGGVFGAFAFGGGNTNSAR QC762_707670 MYPTRILRSALPQLGDEKPNITGFSMKKFLANTKKPRYDPWERN EAWRYTGRFSRFNRYRNALPGFGTAVVAFTAYCVYEQLFLKKDERHHGEEHH QC762_707680 MADHGASKINHDNHLLLDQPLLRLPYELLRKNIRSAHYHIEWDT NAVKDLLKETATNSINQKASKQDVVNNLDQMLARMRGLKRKLSTYAEEENRLYRQSTA RVAHLRELSDVHTVEDVKYEAWSRQRLDRLVVDYMLRHGYNKSANALAEERNMLGLVD IDTFVAMSKIRQSLENGSVQEALVWCNENKKELRKMQSKLEFELRCQQYIELNRSSCP KLEAINHAKKHIMPFSKTYPTEVSHIAGLLAYRADTPHEPYASLYSSARWKKLADLFT DAHLKLLGLPQFPLLHIALSSGLSALKTPACHSSQQNQSHQGQKSHKSATPGPGQGEE EESRSHGTASLQTSVCPICSTELNALARNVPYAHHSKSHLLEHDLMLLPNGRVYGKAQ LDEYAEKSRLAAGEVKDLVTGEKFREDELKKVFIT QC762_707690 MAATVGIEQQHTDLSEITTSPGHKRKRNTESISPDSRRSKRPAP PATMNVTDSEAQAFLENTAVSIAQAAHDQVNVDDFSVLAQATADHAEEPGDANNATST AAAALNMYPSLHVPQPTEETFVNQQTSEPQHDENAFNISGPHPDGLPAMPRAVNMHPQ LQNGVQQVQQVQQVPQEQRYTPASNPKPAVGSEEWHKMRKDNHKEVERRRRETINEGI NELAKIVPGCEKNKGSILQRAVSFITQLKENETQNIEKWTLEKLLTEQAIAELSQSND KLKFELDRALRELELWKSVAQNAGLKIPQNDERNASS QC762_707700 MMASRALRGRSSLLRSVPSSATRAAVPLEARRRFSCSTRKMSSF YSSWEPEGPSVKTEIPGPKAKAEIAELNEVFDTRSLNMLTDYYKSAGNYIADPDGNIL LDVYAQIASIPVGYNNPSLIKAAQSPQMIQGLINRPALGNFPPHDWADVLKAGILKVA PKGLNQVFTAMAGSDANETAYKAAFMYRRQQERGGAHVEFTEEEISSAMLNQSPGASQ NLSILSFKTGFHGRLFGSLSTTRSKPIHKLDIPAFDWPQATFPKLKYPLDQHVKENEA AEKAALEEVEHLIKNYHVPPCAVVVEPIQSEGGDNHASPAFFRCLREITKRNNVLLIV DEVQTGVGATGKFWAHEHWDLPTPPDMVTFSKKAQTAGYYFGNPELRPNKPYRQFNTW MGDPARALLFKGIIEEIEKFGLVERTAKLVEALERVIKSLQ QC762_707710 MGEDARASGPVLEGRIEERPRVNGVRLRKEDSTDSASPNNSKMD SRGTSMSPDDTKSAGETAATPDHASAPKLSRKSSQKPVRSPPTLFDHLPDVTAQACST FQVINDCLYGSRNMGSSDHDALDCDCTEEWRNDENHACGEDSDCINRATKIECVDGDC NCGPGCQNQRFQRKQYADVSVIKTDKKGFGLRANRNLQPNDFIFEYIGEVINEPTFRN RMIKYDREGIKHFYFMSLTKSEFVDATKKGNLGRFCNHSCNPNCYVDKWVVGEKLRMG IFAGRPIRAGEELVFNYNVDRYGADPQPCYCGEQNCVGFIGGKTQTERATKLSLATIE ALGIEDSGDSWDTTVAKKPRRKKVTEDDEDYVNSFQPKSLDEDGVNKVMATLMQCKEK WIAVKLLTRLQATEDEQLRHRVVRMHGYQILKTTLNSYKDDTNVVLQVLDILYDLPRI TKNKIADSNIEAAIQPLTTSTHEEVAFQANRLLEEWSKLSTAYRIPRKEKDAAAHATS NPFEERRNMDRDEPHKHANNSLVNLNIPTGPRNKVPQRNVGFFNGQRPPRKLPSNLPE GWHVTTDNTGRYYFYDVNGKVQWQRPTAPAVSTPKTSTKAQQGQKAVQDIIDSLTKEI TPRHSATHTPQRSSTPTTEPKKEKWRSLPIEKQMKIYENTLFPHVKYVVEKFHGKLPK EDLKKFAREVNKKLVSSDYKNNRVEDPTHISSKQEKKVKKYVRDFFDRAVVKYKEQQK AKGNNKSTDSDKPSASLNDLGDISAPTPVQDDITPLSQPSSPSSPVGGRKRKRDDDDD DDVEGEEASQSPQDDNMSETPSVKRLKEEEDGDGNMIPSPPPPPPPPVDTPLSEEQRA MREQEEELRRENEEAQRMEEENIQNNNHKNGQHMDVDMEEAGGDDDKPLEHREQRGAQ EVMSH QC762_707715 MDSSFTDDEKRFVLAEIIKASRMDVGVLVNLIRSHDIQPDWLSM QLPRGRNVNQCIHAAEAMFNAPMPPPLISPLKRKSFGDVSDQLPKRQVLASPSEPPPH GSPYHATPAFAQHHVNIHHPNGQPTVALAPNPNTVPASAPTPYPGPPRRRGRPPKSEN RSGHWQITTSYPNITPAPIAPAPAPAPASAPQPSSPSFRAQAPIAPAPAPTSAPAQAA TSAPQPNSPSFRVQPYNNRYSMPAGPLDSKSGKKLLPEIAPRPTHGTSGLEQPVRSPT RPVSEYQDRREDIHRLPPPQAQGPPRHAMRDPPLLPPPQSPRSHPHPPPRHMMDASRP RETPPPTPMEPVKHESHLPPPPTKT QC762_0110340 MAQQGTDTADILLLHGLTTKTRGPSTRAKRVLCVQLLHLAGNLD PDRSDGAVVKRLKEVKERASILHNHRPAASHFSICLQRGVSLGTVGG QC762_707720 MVIPRSLPAVLGVISILPTAAILAIHSILARSREDRAPAVRTTA IIAAILEATVLAAVTGLTCAHIGPWSARWAKFNGLLFGAGLFLCTVAAAVSVANMICL SKVDEDPESTILGSGATGFLVGSSVVLGLAFATQLVFLVFHFVAGTVRGPRIKVTVHK DQDRSRSPPRVKSIAYHETSPSLVSGKARGSASFEKTPPGSSAGRSTAETISSFRSSL SNVVRPISSKTRLLSQRGGRPASLDLPSFHEQTRTTEEGFDSWDTSAVDPQNRQTVLE SSSPPLGRFLETIPASPTTSRSPSPGTPLDLLEPPSRTRRRSRTLSPAPSRVSQAQRT AFTQHSTQSESHIHPLFRSDSPIPPPPIVTPGTVVVAAPNGGQILSDRQSIRSIKSLR RMRSGSLPGVPSPLSRQGSVESFHRKPDTHSPEIREEDEYLTPEGTTPVLETERKMTP PIPDWILSAGSRTSLTTYHSRKIHLPCSSEEASGGAAPSPQ QC762_707730 MKVNFKDLKQQKFTIEFEPTDLISTVKQKLSEDHGWDPALQKLI YSGKILKDEDTIESCKIEEKGFVVCMVSKPKAPKPAPAAESSSVVPATPAQAAPASTP APPAAPAQVSNATSAAPATPSPNRTSGAPNDSSALAMGEQRAQAIANMEAMGFERSQI DAAMRAAFFNPERAVEYLLTGIPENVQQQTAAQRVGHAIPPPLLLRAPPLRLLAAGDL EGENLFDLAARAGGARSGSGGAATAGASAQDLGNLSWLRQNAQFQQLRQVVQQQPGML EQILQQLSAGNPQLAQTIAQNPEQFLQLLSEHGDDDAPLPPGAHQISVTEEERDAIER LTRLGFSQDQAIQAYFACEKNEELAANFLFDQPDDDDDDMGGTGTH QC762_707740 MLANNKSKFKPGPKPKGKRPPPASGPSSSNTPASTPAASQQEDA NPTPPPPSAPTEAAPPAVDNAPPQDVETPSAQGQTQHSEVREPSPISTTTSAAGVSSI QSQDDATPSQAETPASTAPLPTPVSPRHARPTAPKPVRASKSAAVSVPPPAAPAPALT PAPTPSVPEQASSATSSSGASTISEAPPPEEAIPSTEDVVASVEPVAEQPAEPTSGPS QPPEPSTSGPSQAPKPKPKPKPRVPRKRKADTAIEEPAAETAENEEAGEANTSTPAPR KRARRRAAPLPGEEGYEAYQAAKTAKKHHQKHTKAAVAAEDGETPEGEETQSRANSRA PRAQREVTPENAEEQVVDEDEFKMADLAKDLRIGKKFSLHDTLLERERVKKLKANEKR KKGRGNGTEEGGENNDNDGQPQTLNADTPLPGGNDDDEGGLARPVAVTALVGEQYQII DGEIVLNQSSLQIDRHARAREAEGNLEEVEVNDFTNHTTQQTYLRRALKPGQWSDADT DQFYWALSRFGTDFELISKMFPGKTRKHIKLKFNREERQNPARVKSALVGEVKQPMRI EEVKEKTKQEFETTDDIEKELEEQRKEFEEREEAVEREKREEEKRKEEKMLKELEEAK KKSGRRGKKKVEQGVW QC762_707750 MQSPPSCPARAETGLAASKRSHLWEFLGELAVERALFLSCLCFF QFQTRSRSHAHSFFPSLGHRRATQTPKPTDPDFHSYFILIILIFSPRPDRPFPLAFAS QKSKSRAAILMPVATSPSALPRPSSFAKMEDRKRPASGAVDEVAPPSKRHQVNGSGKS KDDSGDMKEEAWIEEYTKGAIYRQMQEYKREKASLESRVQELEKNHTDHDDHIRVVDA WLHQLLQEIELLVDGTVSSRSPSDSPFPSSTALGFKDSKEFQRHLGDKGKAFKSKAES IFQRLASSRGEVKPDVAKLESQLKTALAQQKELHLKLDRLESDKALLSEQYDQATLKA IKAERKLDRVRSVQVQKLEQKALASATTRPVKTEENGDSSDDTDGNTSELRALYKEAQ AVVNRQKLQIEAIISENKALMEENSTFKTKRESVSDEDYVRTDVFKHFKLQNEDLIKR INHLEATNKQLREEAEKLRAERSDWQVKVEAEAQLVVSEAEDQIQAKDQDLTRIRAAR DDLVAELAMRKAAQDQERAALDQMKELVNAKMDRITQLESELERLRPSEDVVMTDAQP NLESLSIEELRAKCAKLEKDYASINAELPLLEKSYKKAMVLAHSKTMDNNAVEERINT LMAEKAKADQKYFAARKDMDIRLQEIRTLRSQNSRSSEIIAQLKDVEHSTRSVISNLE KQIATLKTEAASMAAEKKRLELLTAEATRRADSVKGQIANLTELLKTKDATVASMKEQ TMSREQELDKIKARLEEKNSEISKLRAKCRGNSTDEEEALRNLVICSVCRSNFKNTIL KGCGHVFCNSCVDDRLANRMRKCPSCNKAFDRSDAMAAHL QC762_707760 MHHLLSNPAALLAATALLSFSGQATAAAKPKNAILLSQVKSLTL NSHSKTTSRRVSPIPQLKCTSPPKLCSLPEASSITTMRCLNTGSSYTSEDIEWSCTAS LPPTLRLDRTEVICEGYDSPDDPYVLKGSCGVEYTLQLTDQGRQEHPGLYFKPGLLMN DKTETDWGGVLFGIIFVGVVVWIIWGAWRGARDNTANGQRRQPRTGGGYGSGWGGNND DDDDPPPPYPGSGSGTGARRPKTTSSSSRQPQQQGQGWRPGFWTGLASGAAGGYMAGQ RRGNNSSAFGGYNNSNYEDNYRGGSSRFGGGGSGWGGGAAGPSRSSGSGSSGPSHEST GYGSTSRR QC762_707770 MAADQYSEKSEPTRAVSPESPKIQESRDVSPSADLKKLDSKVVA PPPEADDDKEADPFKHLPEREAKILRDQVYTPDIKVGVATLYRYSSRNDIIILVVSAI CAIASGAALPLMTVVFGNLQGTFQDYFTPGSNLSYDEFTSELGSLCLYFVYLAIGEFV TSYVATVGFIYCGEHISAKIREHYLESCMKQNIGFFDKLGAGEVTTRITADTNLIQEG ISEKVGLTLQAVATFVAAFVIGFVSYWKLTLILMSTVVALLLVMGTGSTFIVKYSRQN ISAYAQGGSVAEEVISSVRNAVAFGTQDRLAKQYDVHLIKAEFFGFKLKSVLGVMVAG MMLILYLNYGLAFWMGSVFLLDGSTTLSKILIVMMAVMMGAFNLGNVAPNMQAFTTAL GAAAKIYSTIDRISPIDPSTDDGIKLEKVEGTIRLENIKHIYPSRPEVVVMDDVTLEI PAGKVTALVGASGSGKSTIIGLVERFYAPIEGTVYLDGVDISTLNLRWLRQQIALVSQ EPTLFGTTIYENIRHGLIGTKWENEGPEKQRELIEDAARKANAHDFITSLPEGYETNV GERGFLLSGGQRQRIAIARAVVSDPKILLLDEATSALDTKSEGVVQAALEVASEGRTT ITIAHRLSTIKDAHNIVVMTQGKIVEQGTHDELLEKRGSYYNLVTAQAIAAVNEMTAE EEEAINEEEEAALIRKASAAQKQEGVPEDPEDDINAKLNRSKSTQSVSSMALAGRAKA TPNKYSLWTLIKVIASFNKKEWKLMLIGLFFSAICGLGNPTQAVFFAKLITALSIPPT TQEARDFMKSEASFWCLMYLMLALVMFIAFTAQGIVFAKCSERLIHRVRDRSFRTMLR QDVEYFDTDEHSAGALTSFLSTETTHVAGLSGSTLGTLIMVTSTLIAACTVALAIGWK LALVCIATMPLLIGCGFFRFWMLAHYQRRAKRAYQGSASFASEAITAIRTVASLTREQ DVLRNYRESLAIQQRASLISVLKSSLLYAGSQSLMFLAFALGFWYGGTLIAKYEYDMF QFFLVFTSVIFGAQSAGSVFSFAPDMGKAAEASRNLKTLFDMKPTIDTWSEDGDKVEA IEGSLEFRDVHFRYPTRPEQPVLRGLNLTISPGQYVALVGASGCGKSTTIALLERFYD PLAGGIFVDGKEISTLNINEYRSFIALVSQEPTLYQGTIKENILLGAPYEVSDEQIKF ACQEANIYDFILSLPDGFNTVVGSKGALLSGGQKQRIAIARALVRDPKILLLDEATSA LDSESEHVVQAALDKAAKGRTTIAVAHRLSTIQKADIIYVFDQGRIVEKGSHSELMKA NGRYAELVNLQSLEKNR QC762_707790 MPKSKRAKVYHLTQVSKKTRENKDKLFANIRDAIPEFQYCWVFS VDNMRNNYLKDVRRELSDSRLFFGKTKLTLRALGSTPEEAQADGIHLLAPYLTGSVGL IFTNRTPEEIKSYFESLTQVDFARAGSVATRDFVIPKGLVYSTGGEVPKEHDVPVAHT LEPELRRLGVPCRMVKGKVCLGVDEEGNGFQEEGYTVCKAGEVLDSRQTRLLKLFSVC MAEFKVELLAVWKAAGGEVEVMEGAREYIERKREEAKSAGKKKKGSSGAGDVVMGGED DEEEEEEDSE QC762_707800 MTDRLPPNLLALFTPRPPLRWVPPCDKAPEQRKTATISGVADFL PAMEEYKEIPYTPTESWLEARDRKQREKKEALEKLLTEGPLNYKPNEDPNIRGDAFKT LIVARLDYNADEKDLEREFGRFGPIERIRIVRDTHAHEKPNKKPKPHRGYAFVVYERE KDMRAALDQCDGLRIRDRRVKVDVERGRTVKGWKPRRLGGGLGGRGYTKAAMPRPMGP SGFGGGGFRGGFGGGFRGGRDRGFRGGPGGFGGGDRGFRGGGFGGGRAGGGDRGFGGG DRGFGGPPNAPSGPGGGFGGRDNRDGRRDGPGGGSSGGGGGGSGGGYGGRDGGSRSYD DRSGGGFRDRNPRQSGSNMEPVRPRGDHGGYNGGSGGRDYDRPRDHDESRKRAYEGGG YEDPRKLRRY QC762_707810 MAVKALHAISQGRNGVGAFILQCKRIDLHYCDWAGSSRGMNGFI KSLLPKFAAAHPEIEFTVSPRPAKHPVAIGHYINGRSKPICVRNMEPYEILKKLELLR DASGEKLKKVTKPVRSINESVRGIWSPYHGNGMPL QC762_707820 MARKFFVGGNFKMNGTKESIKAIIKNLNEAQLDPNVEVVIAPSH LYLPIAVDAVTASTVSVSAQNVYAKPNGAHTGEVSVSQLKDLGLNWTITGHSERRAGG ESDENVADKTKAAIDGGLSVIWCCGESLEEREAGNTVAVVEKQLAALAAKLSGDDWKK IVIAYEPIWAIGTGKVATTEQAQEVHAAIRKWLKAKVSDAVADETRILYGGSVTAKNS KDLAKQPDIDGFLVGGASLKPEFVDIINSNQ QC762_707830 MDVTGRAMWLCRGVEKFIISYEAGVRKCGSTRQEKADQSGASEQ RKKSLECERLSASFPRTNSFNKQQQPHPRRFAFLYTHLLTRLISRSNHPGRPENLPSE INPANVKLTSATTQNHNLGIEKKMARETTNTKQAAKPVADVIELSSDSEPETVETKPQ QIPSVENAPQEKPAHTGDYEQPLLKVVGIKYKTDKTENQPQQDMSSTPLSSKMTLRSK GTGSVKHKHVSIEIPLPSSSLLRKKASGDDESGEESGHEVFKTPMERRHITFNDSDQE DFVTPSEAPRRNPLELQIKAETAKEEAAKEEDEEESEDESDDEAPEAVSTRVAEAQTT KAAEAAAKAVEEQEAAAKRKRQERDAFLKQQAKERKQVKKPVVEADSEDELEEPTPAP VEKRKREVPKLLPLDLLESDDEDDESHEDNSGANNKRRKVDQVAALLRGPKLLRDQRV GSTVYRVEVKRGSEKLAPKAKKQSLNAKEALLKRNRTPQRRPGFRR QC762_707835 MALPVTSIMMPRAFLAAARPLQRILVGASSRTHIPPLPRHHTQQ VRLIRYRLAKREDANPKLLFTGEDIPSLDVWDRLSERAIARRIPPEITSEQMYEAVRS YCSIAIHNNDSWQPRLQSEFGIEPIVLHYAAISLSPLSDHKLCIHMLSTASSLGYHPS TVSVMFFLSQISDFFNARLKPPFRDISARFRLLSRTSRDPDILTVQGLIALREDDQDA ALRFFEQAVIAAEKGTGILPPLVPGDFVSDGDTKEVPGRPLRFSYEKSCYYNLGRLYR RKGQTAKARDAFVIAAADLRHIPALVDHARMVELGQTAEENKYREVLLVSGAKMGNVK AFRQMVVDLLIKYENPEKYSPKEFKEDPVDVRVIWEWCILTLGLGRTSGPFAFADKDE FELVHNAIRGNRARMSLVEQDAETEEVTLAIWVYPSKIANLGNLTGEPERFDITI QC762_707840 MSDNVGLSTPRGSGTSGYVQRNLAHPHHHQSSRLNPYSRPPPPT SSLQTQRKPDQGLLDHDRKRQIEVKVFALRDELEEAGELTEEQIDEKCDELREKLKKE AEKGGGTGVGPRRNIKSFQVHELADAKMKESERLRQALRISKDYEEGGHWRRQEERKR GGMGEGVVRQEEEAVRDRAPPRERERRKERGGEREREDSRERYRERSPSGERYRERSG SRERYRERSVSRDRYRERSVSQEIPKGRDGGRDRYRERSISRDRYPERRGGSDRDDFD DEDRGDRC QC762_707850 MPAIEGKPAQPVANQDDEIIFPPVTREHILHCSYDYWFPKYRTS CIRSEIIPLTPDFVSYIHEDGIVLADDPSSDPNQEQDEDDDWEPTNPSSDFPPPPRDP RDESGSEEDDDDEPTTARLPPNQRFPELHQKIESTIAQLGGSVAPKLNWSSPRDATWI SRHPNTIKCTSANDIYILLKSSSFISHDLDHAFDDCAPTTTSQSLPPFQPVLVLRSYF NILPSLEFRCFVKDRNLVAITQRDPNYYPFLRSLRPHIVSRIRELFTKRLKFTFPEAD FSFDVYIPEASYDDEVNRLGRARLIDINPWAPRTDTILFGWDELLEINVKRPVIGGPM STQEQDGSEVESESDDEDGEEEDRPELRLVEKDDPAAYNFSSPAFSAHKLPKDVVDAS QAGEGGGMRELAETLREFERGRREGVQQGGQGRVEEVTDEPREQAGGSV QC762_707855 MAFLAGVLTLLLTTATILTTRHHHTIPLSSTLSLLILTPLALAD CECGYLSTIGELLPNSHAPSHQQHHALFTDLIESDFTKLVPEGEGEATISANTDWVRQ AFNLSDQRARGKYGEMFAVENVDAAGKEEEDRGLKLVVRGSVIEDMVPVAEIDTRRLD MYWGTFRASMKMTKERGTCSAFFWYFNDTQEIDMEFLSKDFDKSNNSYPVNLVLQSRE AVLNGYDSAATSNFVKAYLPFDPTEGFHEYRIDYLPGRVYFYVDGGLLGKIEGEAVPS SAGHLILQHWSNGNGLWSGGPPGRDAGLVVRYVKAYFNSSREERQRDWENRCRYPGVE GAVCMVPDVLPTNGTAGEWFFMERGNMTNNQTVWRSEGGRGEGRKRLSGWVVLGVVVW FML QC762_707860 MPGASVAVMPSTATSTPASRKTSIAPERKYKCQFCNRAFSRSEH RSRHERSHTKERPFKCQKCRSTFVRRDLLLRHDRTVHAKDGGVPLHSDGKRRGGPKAA RTVSGSSKSALAIDTSALSEQIEASSDGIFDVETAAMLVADIQHKARAAARANSEFGA SPSSMTFPSNGSSMLEPTYTNAPLALHQWDGFVPQDPKAHSITSNGSMSFDAQNPNQL LGGQHGNGLAPNMQAMINSLPPSAAGTPAPQSPFVQQRADSPADGAQSSTFKAPMINS DEERNVILDNIRGNDSEHAIPEGFRVPSLSSLNRYLATYFGLFHHHLPFLHPASFEPT QVSPALLLAVLSIGALYAFDQEQAYVLHIGSKVLVNQFLQNKENFSSRKCPLWTMQSS LLNMIFASWSGDAKGLEWACSIKGLLANMVAGNRYELKLRQEARGGRPPTRAEWVEDE GCRRTYYAVYIFFGLLTLTYNHTPAISFNEFEDLQLPSTEALWNLKVSEDAWQDHLRA SPSVTIMKAHADLFQGEALKYSAFATRVMINALFLEVWYHKRSPEALQDVLTEYKLRI ALETWEKSLDLCEPETVAVPLSAPHKGHPLIFNAKAMFRNARARLEVDLKGVQEALRY HESYEVAAAMANARDRVKRSSEMIKVIQECYDCIETAVRQGVRWVARTSPTNWSIEHP LCGMDLIIILSLWLYRLEHDEEQATEEELVMYNKIRELLGKDLDDRYVPQLSSLVARL WGSMLDEVVVWGITRLMGESFRLHSQALVGYVDDIAASSNVSTPSMTSQGADEDSVY QC762_0110510 MSDHGRPRPSPNGHVAARSARQPAQKQKHFHSLGPISVADVPPR SASAGIGLSYGSWRLGRTIRRTLQLLTGMIPILGGRLPLFDQDVQERVGGDFSL QC762_707870 MNGLVGSAPPGAMYVRALYDYEADDRTSLSFHEGDIIQVITRLE SGWWDGVINGVRGWFPSNYCQIIDELPELEENGEPEQVEEEPDDHPEVYEGEYEDEDG SELDDVEGLPLEGTEGDRLRADFWIPQATPDGRLFYYNTMTGESRADLPLESPSSVTE TGPRDRMNLSVPDRTRPPAEMIARGLTRDEEDESDVNSASELEGEALMNMRGSAPVSR RFQMGDGISPAPSMDSMNGQSPVTRARGETFPNGSSAQTPMVSSATAFTSSGFNLPTA ATIPRSFFDDGTTPALNWPRLVSNMKKAIDRYREAITCGNRAEYVARAEDISDHLRLL LAAGSGTTDNHSGQPSIISTNKALYPHFRDMMSKFSKLVISSHIAAADWPNAESIQKC LQEADGVLLGVFSYLEVAKQQRGDEIPRLFPGFVIGSNAGGSWQNNGLGPRDAITTNF LEDEEGLVEPNALLDGKLLERLDELKRMVVSSIRELNKNLLVTDKIITPYKHEMIGNA VCAAGSKVLDMFKPWIAMIESIDLSLLDNTFQTPQLADFSTNKQSLYDNISDLILGCQ AVAGPLADEWSEVRGQALEERLEYVRNCARALETNSSHIGFSLQLLSEQVSIVLQQQA ETRMREEVPTREQPRRMETMPYERPHQRTESLSRPVRPGMPMGSQSFTEGDTMMTTNP YRKDDKLKKFFGEDPTPVQQTLIDDTPEFLRLDHDVDLSWDLKTQPPTVKGGSLMALV EQLTRHDKLDANFNNTFLLTYRSFTSARELFELLVKRFNLQPPEGLTQPEYDQWTNQK QKLIRFRIVNILKNWFDNFWMEDPSEEATKQLLRDVYNFAKDTVKSTETPGSGPLMTV LDQRLNGKEAGARRMIQTVNQNTPAPIMPKNMKKLKFLDIDVTEFARQLTIIESRLYG KIKPTECLNKTWQKKVGEGEPEPAPNVKALILHSNQMTNWVAEMILSQTDVRKRVVVI KHFVAVADKCRGLNNFSTLTSIISALGTAPIARLKRTWDQVPQRIHATLETMRKLMAS TKNFGEYREALHAQQPPCIPFFGVYLTDLTFIEDGIPSIIKKTNLINFAKRAKTAEVI RDIQQYQNVAYSLQPVPELQDYILSNMQAAGDVHEMYDKSLQIEPREREDEKISFGRV WVPMKSERGMRYT QC762_707880 MMMGWWLREWLSIYMRAVGGGSARQSSASFIAATLAASRSTSPI PNTNQKANNNGVTQTRPGRSRGHSTGAASVLSMAPSLGPKSVDALDTESIMPTTSLVS LFESKGGEDVDPVKKRDAPTPRQQKVSPSLSPRGRSPGPAGERQTQEVLDEQQTKTKP KPKPKPKPKKTPVSTEKPKAEGESKLEHHEIKRPGTPPSKFSSPAVSTQVVSQPRRVA KTAKLEPPALPPARNTSDKNTKTPTVEVTAIRSTSMEEARPEEKQTANVVEQRPVPAA PVMRRVSECSMSSDDSFVSASSAQQPDPEEVEEPTPQEQDNKPQEQQQQQQQQQQQQS KQAPKPPKSRRRPASSPPPRRPSAPRLSTPNLGLDSLTNAIVASNLASSRLTPSPLAP PPIPPPSRRHNPHHHHNDKSHNASLPQRTVDSLTPHRSGNSKSGSRSPKRTGMLTTLR QPPTSLSDDEDARRKMHRHAHRKGKVLGHHIPGRRNHAHHEGSRSRWRDEVTAGQRRR YEAVWASNKGLFMRPGWGFTGGEDDDEEGRAQAGTKEAELVVNVVVRDIWDRSRLPRE ELAEIWGLVDRGGKGALGREEFVVGMWLVDQRLRGRRLPGRVGGSVWESVGQGVVRVL PPKGELRKKR QC762_707890 MDTSYLAQQVNTIIGQLHGLFDEIGVSSHERESREAELFSALSE ALNNQVRLVTSEKKGMIEQAQKMMTTIRQMEASLDDSISRRYEDEDMKITYPLTRCLK VLEKKQNQVSRLHRERFEQVKTLVQALESYSSHLEPSFVKIELPPTAPNQSIPPTFDL SPKYVDKLDAEFTRVYEEYMHRVETVKGLGENIIQLWAELGTPQAQTDNAIVKYYRDA PEQLGLHQEDLARLKAKRDKLLKEKENRERRLRDLKDTVEGLWERLEVDEADRKAFLN SNRGCGIRQINEFEEELARLNELKRQNLHIFVEDARLKLQELWDTLYLSEEEMLEFTP AFSDVYSDALLEAHEREIVRLEVLKEQRAPTLALVDKHKSLIQERDELAASAQDSSRL MMRGQKGERRDPGKLLREEKMRKRIAKDLPKVIAELRKGLEKWEDDYGRPFLVHGERY LDVIELEDPPKPTPGPRSKTPANASAAPTAVTPGTSRSNSTLSRAKSVGSLNKPNPQR NGNKTPTTASTTTTTTKPTLARAATVTANTVISKGSPIRGSTVSHPRPPLSNLRNGNN SPERPRASDSVSSATGSLRLVMRAPPPKMRDLMPAPELKMEAPTTMPSFRSSMKLGSS VSIVRQVQPEDVYDERDRYSSQNSSDSRPSSREYTTTSRQTNHTDDSSRPPTREYNPP SRQNSTREREYDSSSSSTRQSYEPPSRSPLQYSQTAAAQQRQVSDTSTIVSGVSGSEN WETYDDTSEPEVDVSEAYYAKVRAAQQAVGFGTIRGVQKLRSGGGIPPPSSQQRAGNV VVDEDGNRILSGGSEWTDEDAF QC762_707900 MDASSLLALPTSPAPSSLQIFALFINFFFPVLAFIVVSVRVAGR VASSQFSMDDWLVCIAMLMSVAETVISFFFIKTNFIGIPISQVPPHDPTQGLIWAYAV QILYNPILALVKSSALIFLSRLFGQKDGVRRFLLWLNIANISQMVAVFFAITFQCLPI AFNWDLTTRGGRCVDRRVLYTCTAVINIVTDLLILGTPIWIFAKLKIPRRTKMALLFV FLLGFLVTITSVVRLVLLVQGLFNLTALSDPTSNVGFVTSAIETNLALITASAPALRP IFRRRERGGWLGRSTIAPATTNGAPDLESGQKSVGWNKANRSSSPARSVRAKFGRGGS KLSRGGRARRGGRGGRLRPIITRVITTTEQQQELRSSSPRESEEQTMTRNGIMRVSDV QREIEEIAIGLARTTTMASRGSMEEERGRTRERVVVYSESIYPEYVPDLLPGDDGGGK LDLRVRDEERRYDEGRVSRRYGVVTPRTGVTPTGRGWEGSGRPF QC762_707920 MMSARSYLVSRHASPPQPRPKSTPTPTPTPIPTSHLRSSSSAPA PTPTSIRTIAEEYERFPQHPTPVTVSNSSRVTAPAMPSKRRETSRLGSSALSPPRTTT TISRPTTPSTPVQIPSRPKSRDTLRPQKPHRHHGDRRHNSASHRPKDGHLPDVIPPSV AALLAITSIPPPRQTRSVRQAKMEKRMTVESIIERAQESEKELSLSLSKSPLDLLLMS PEDLEAESDSMSYCESSMGSVLSTRTVSLESMPSLCNSYATDTLSSLESPRTPIRRRS VKPTRRSLTPVASPPEEVLSHPLSSPEVAVEQLDFGVFDEDKAETQDKKVSRSPFKAA FKSNLTASLRALRQAAKSFSNLNFTSIPPEDFLTRSILTIDPQVPYTDERRPPPLEEE PTAALRRYLNPTTTARLEKPQSVTNGPALRTFTASIQMQTYKVHRARSGPSPGRSRYP SVGPSSASNTSQQQQVKPTPSEYPMPGPRQREMRENPDFIRIAVMEMAMRKRGKLDDQ RPGRARWALPPRKPSTKPYEVGPDGVPARWVPVSC QC762_707930 MKGQAMTGEAALIRAVQAFNKDTNIETLPERLENIWDILSEHHG GNFHAAEEMLSRSLLKQMVGKTENAERVRRYSRTWDVLGAVFQRTPLFSLAKSLADWK FIGILQQTLSELRDIAVDAGFRLDEIEDVEMTDAPGPGSPSPSRKRKRTETLVFDIVA QRTTSGCLLAAKALLEALRILLARCETKLNDGPPTHRMGAEHVKSLFSLSATDAAEIL GPILDLCIAAHTCLDDASYREVSSWLSTLSSIWALHLQGPGDALEVAARLTSSATLLL GMLTDVADLGSRKIQSPTKERWAKDLRRFLSRNLMLPARAAFLNRNDRSVLQRAVEAC SAGPPPRFMRRPSSSFLTFPVIFDLVGQSPREFGGNTSIKDYETWVQAVFDTMFTTSK TIPHLKGQVESEGKSAMRRVLEVATSRDEALSAESLRLVCKEFALGERKDDWDILLSI VKLNPDAFLLSEDGKELLNQILEKTKGSNSLTDEDREVAVEFIALLAEGYAQARDLSS FVKTWLHYLDSPKSEGKLDPLWAQEELAVTVASLVQFSLNANQLAELVAWLSEQEASA ARVFLLEALSRGVAREEFIDAANMKIFDAIMAQKVSKKDALLISACRWSDAARTLAEC TLEEAGRVWSRVGSHLKKTLKKSGVDGEDTFAAFECCVAAWLSNYLEGADEEETSKLV CSFLGKLEEDASSESRRRYISWILEEEPRLVSMVVDKTGKVPDIILSLVKPTPGDDSA GLRHAATVGKVLLKECDTGNQKLTDTLIDTVIKVIEESEAGTFQPSTKDAVLFLLNAP METLSRSQREATMKTLISHVPQTSNKAGPLGVDYWEPVLSLMVKLMNEPTFYDGMSFS HLETVGAGLVLTTGNDAEVQRLFSLLYDLAVLTIRQMASGNLDSREKTYLSDAKAVLQ EKTADGDTVVRLVLLRAFLATVQESKTAPRLEKAGLDFSGLGDDLFQMASSVATAGKW RGKKLLALLFALSALDSMGRDSVKQAVASAVKPLVKTSDKLVDEGIQAGWSIRMFLAD HFLESLESPFQIELDMEISETGEVIKSAIEVPVLRKYVDAVVRHADEPIKLQYLKDLL LTKPPSRNELLGRLLIIDQLIHHLKGSKPSSSSSEFDLPQAHAILTKSLPALTTLPHI IQTTKTILFLLDHSTMKQFNIDLTLSRVSLLANSSSFQTLLASQSQLYLPLCSLLEVV IKRHRHRLEGHFHIVLSPLQDLLRLLLSKCDSSPQWEKNAQRFSRLLTLICEPTAATT AQTNHTVLESEKDRAKRYAGQYMYLVLMQYIKCQLEYVAPPHAIKEVLEKEGMYAIIR ITSQEGLKIMSEGMDGGGRVVFKELWRRWERFGKWTGV QC762_707950 MADTAPATTTSPTSRAVSRPVSEALLNEKWDRCFSNLIIKSSLG LGFGVVFSVLLFKRRAWPAFVGVGFGAGRAYEECNSSLKQAAKEIRKQA QC762_707955 MHVVLPSTTTRLVTHRKPSRPPHGIKRQHHPLNLTITPHLDSHH PLYSRLGPNSLSRYPHNPKMETLFTTSKPKPQITTTTTTTPSATRLEIILLALFILSL VLLLLFILALALRRQVSTSTNKRRHHRSSHQPDVHGRASSPCLFNTAFGGGPLPHEPL LSSSTSLPADGNEKTSLLNRVRKASEDLAEAVQYELMELGRKVSAHGRAVVVGATAAR REQQERRTARDEEVGLDAAAGSGLAGQQQHGFDGWRDQDWGWDTEACSMVGPKPKPKL ETVVRRSISWVMDRGGRRSSYAYSRGFASPCDTGGFRPRMGVGGGGGGGRRRSLAVTG AEYAV QC762_707960 MASSAIPLERLGGHAFNHRTSVELEEEYDRLRDLARAEAEKKKS CFDRAHEAYERGDGAEAKSLSNEGKRHQAKQAEYNKQAAEFIFRENNAMGRIAEDTID LHGLFVEEAEDILEARIRDAQARGQSHLHVIVGKGNHSTGGVRKIKPRVEQLCRELGL DYATEENEGRIYVDLGGNRVEAPPPLPPQPDGHQSHSRPQKRPHRPRPEQPQRPQRPE EPEDDGIFGCIKACCTLM QC762_707970 MGCGMSTEEKEGKARNEEIENQLKRDRMQQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMESLELPLADARMEY HVQTIFMQPAQIEGDVLPPEVGNAIEALWRDAGVQSCFKRSREYQLNDSARYYFDNIA RIAAPDYMPNDQDVLRSRVKTTGITETTFIIGELTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFIKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGDDYGQACDYILNRFVSLNQHESKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI QC762_707980 MTSTVPRPQRGRPNKVTKPQPPTARGRPTRGVVPGSLDVHAAHQ IHQAHQQAQAQAQAQYGVHAAPYVAAAHAAQHALDELKPEPDHSVFDNVGVDVGVGVG VPMGVEDYAAAAMESELGNVDAHGEAEPDADMEEDDHSVGASGLQQHVDLSTASMLAN GGANVVGGGVVGQSVHDHMQDLQQGLAHAQQQHQQQQQQQQQQQQQQQQHQQQQHQQQ HQQQPQAQMGPPQQMQPSHQSMEPQIVGITEELARESGYQNLSVESALAKRLAREPGR RLATQRRPEQQLNLNRRSNVEALFAHISGTLAPQPCKNCHKGHGPWSVCVVVDGQMCG SCANCWFNASGARCSFHETRTQQPMAQHAGIMPPTSAALPADPSYRFTPAHSLLPPAH SNAMQAMNFGGGGVPSINNNPILQQLVSKAMNEVRSADRATRLYWQLEITAKQLALQY AEYEEATASQSQPGGAGNQIGAGQHGMGDDGSA QC762_707990 MPVTIYPSSHPPEPARLHQTYTRVTSPEQLLSSITSHETNTTSR SSPTRTRPIIQSSFSESASTSPTTLYAAKNGLVYSLIEAYSNHHNLLLRPDDIWLAIL SQLSVYINANATLLQHLFIPSSRKQSSPAQKKDLYIPVDLSPTLNHGSLAQQMASTLL PSSLTNPDIAHKFFLPSFTTTTETDEIAASILLMGSMQKYFVYSWGTRCGIPSITLLG DQTDWEKILHRCEEFLASGRFGQGARDWWRGGLGYVLSNFVRSLRDPGGKDTKTFWQR VLDRHEPNGSGKTTFTGWVVNGFCWWDEEGRHDLGRVRGGMTRGEMPMGFGRCPVSLW DNGVEVKTEMIAGMVGVRVGRMGDVLRRVEEDEWPGGRPEEGGRMQPRAVGGVTEKID TLRPEVGWFMYSV QC762_708000 MDIIEQRVGVPPHIAGPAATFAFFAFFLIKKMGLFTNTNHFPVE GKTILITGASEGMGLAAAILLAKKGASLILVSRNVGRLEEALVKVTAAARSPSKQRFT YISADVSEPNYAESVIAQAIAWNAGAAPDIVWCIAGLSSPMLWADPANDSIAATRRNM DVNFFGSAEMSRAILKEWLAPENKPKDTGVIGQKPEPKHIVFTASMLALFAIVGYGPY TPSKWALRGLADTLNMELRMYPDHPVKIHVVYPGTITSPGLERENKTKPQITLELEKE EPAESPETVAERALKGLEKGQYNVTVSTLGDLMRCGILGGSERNNWVWDTVVGWVVPV IYFFVIRIMNAQVAGWARVNGHPHVKKAAA QC762_708010 MAKKRRVSRKAEPAGPRDVDAKDASLTIKTYRDVADSGDEYWAE KDQIMLDSEDEQPRSKRLKKEDDFLEVSDEEVFQQDDSDESEDEAPAKKGKGKKVIEQ YSEDEEQQEGEEEGDEGWWGNSKKEYYDADQIETEADALAEEAEAKRLQAKKLAKMTE EDFAFDEDEWMAPKEEAGEDEVVTEVLKEVEVREDMTPEERYKLLQSRYPEFEYLVDE FAELQPVLSELQKDAVGKPAKSLEVVKSWILGCYVASLASYFALLTSPTRDGNGAAAT LSPSELRDHEVMGTLMECREAWLKVKQFRPAKPAASKTGMLSPPEEEDEEMLDIDEPA KKRKSKLSKAEIRAKEKKEADKARKAKAVEKSLADLSTLLESGKKAAKEDAARAAPTN GADMNEDYSDFGEEDALDEHTAADKAKRKKSLKFYTSQIVQKANKRAGAGRDAGGDMD IPYRERLRDRQARLNAEAERRGKKDSKFGAGAELGGDDSEEEGRQGGALRGAGTGEEG RAHCGTAGARPRRQAPDHIPDPEEQGLDAAQEEGGAQPACEEEDEVRGEEEEAQERAG CVQGRRGQGWLSGRVVWYQDESRQEYQVVDALMANIWAFCIPRWFVFQGLFLRESPKS RYFWDSTCDENA QC762_708020 METINTIKDAATKAIWGDPEAHKEPVSGRMGNTAAGEPYDAGNI ESTSTALKTTNPNPEVEPINTPLKTENTDKVVTNAEFNKNANPSATSVELPPATPSEK PSATTTAVTTKDDADSSKPDTNPAAAQPSSNIPGDSTHAQNDTRAPTNPLAVHHSVGE TGKPDAKKNVDDSGDGVDKSDNPVKIDGPGPRPLEVVAKEHGGDAGAKARDERKGQRS SSVDTQEDHEDGTGELYVRSSGLKADGGDFDAAAPGAGKEADRLMEEEKRHPHHRETT TDKHSDKKHHISLPHHKKKDSGYEHGVEDGKAKESVAEDHTGNGSATTTHDKHVPEEE VAHNWDLKSTKPADLEHKEKTSLKDKIKQKLHRGSVGSN QC762_708025 MRRSGWIAKCEGGLVDHLGILCDKERKAASFSPRKRDLYAPIAY QTDCSDLLQHHHTATMLTTTTPILLLLLLLSTLTSALTLSEPFKPPDNHHHQHASSPS ATATQPASAPAPTSPPLPPPAAQQQTTSSPPSLPPSTSQIDAFNLTSPTFATLSISGN DLDFFSLLNACIFRFYGPFSPSSCDSALKTVSSILLNDQKLRLRIRLILLEILNKIPW ERHSSFFITVTGYARFFNENTTACDDVSFGIWDVSHTAAAAKLTRDIRGKMNDLVLKA NDLIRGVIEEVNASFSGSGKRKKVVFVDHDSLFDGHRFCEPGVAKEPDYERRETWFFL PGGGDVDGEGRVYTSLREKNKEGVGYYYLDPERCWEEAAERGDWGEKAVCLMAKAKRE DPGLRLRVHYRRGVEKGEGFWKGWTTTTTTKKPGDSMWLTPTYYGKTFHPRSAGHEAI RDKIFQVWEEHGYLKDQTDL QC762_708030 MAMLASKSTFPASLGSQPSAMMVNTPQTASAYPSSRRAPAVQMA TQNYQSPTESEFSDVDGPDSVKNWDEDRVCEYLRSVKCGEYEKIFRRNHINGDNLLEM DKEVLKEMGIDKVGDRVRLFLSIKKLRTKTYANQKRRNRDSFAGLDVQYAPSSQSPRH QPNTGASGRGMPASNKRPTSPQSSGGFRSARQQQQRYPQPPHTAYSQPTPATAIFPMS PPEPPHTGRLMASHTRTQSDGSSLMAALPPGQDVIRVISTGGVTKVVKIADCNTCEEV MRVTLRKFALREDHERNYCFWVLVGGDDPHKCRRLGDTELWRVIKDHTRPERNRLILR RVPAGEPGFAELERAAAIAMEEAQQNHNRSMETMDKRSQLKAQKVLGESWETIQQPPL SPVSYQDHQDRERNVYNTARDLERPAPAEAPRMPRSRKVLRQFGGLRPPSELIASDLT SYFPDHSREAIDRTARMSMRRSQRLSRVNHRLSVASTLSFASSIQDAPPIPTIADSWL TASNQVARVRPGNQLGRLNHGYRDSVASSVLDTLQEESSPIEPNRKSYVSFADSSSDA GTAAVSITDPDGNVVRHSYFDGDSTVGSGGSLQEVNQALTDDGEDADEELQSFLAGDS WDDSKWMKGALIGQGSFGCVYLALHAVTGELLAVKQVETPSPGANSQSDARKKSMIEA LKREISLLRDLRHPNIVQYLGCGSSAEYLNIFLEYVPGGSVQTMLNSYGALPEPLVRS FVKQILNGLSYLHNMDIIHRDIKGANILVDNKGTIKISDFGISKKLEATNILNGANNN KHRPSLQGSVFWMAPEVVKQTSYTRKADIWSLGCLVVEMFTGTHPFPDCTQLQAIFKI GGAKATPTIPEHASEEAKQFLGQTFEIDHNKRPSADDLMLSPFLTPPAL QC762_708040 MSRPPSKHLQTQKRTRSGVSLTMTEPDGSEAAYSPTTPSSPTSS HGEHRRSFSLNSIKGRPWRSMSNSNRDRDSTPDSAFRGHVRKLSKSRPYSASPVDGFS RRSSGISEDHIQSLHSRLSFTTADPPSLTPSSSSCSFDWKTQRVEGGCALEPDTSLLK TKTPYLVVTTDYLLKMKSRADAVALIPSLAIEGEKAHHGSPPEPALAIPISTVVAAFY SESIKPSFGIEVWWKGFGGQSFYRSEFFFSLPREQQKMLESITRVIGTKEQDESGSSN KCDDIKPLMQKIQMMEEPVFANKELEIFPVIPRGHTRKEYMPKMEDASKKSQEGSAYY LVIGTYLCYLAEVQRGKAGSSCKHRTFGLVTLNSVVGDWSFHEERFNISFRDPFKTSV TLELASRYYRQIIRILGTADRFIKPNWPQLWQNLEIFSVSGLRNPQYLVSREDYGAIK RTLDAHIAGYRCAPVEWEINWKTRHAPEFRLLPPKHAGLYTGLQLLAVLRALRYNDYF NSLSFKDVDLSMLHGVDDNLGGGINVAYLSRTCIKLTNDEVQLLKTCPVLHQEFHALA YCSETIRQIDFTNCSYELNRGKTADSHYPTLQFLTPILHLLKTGISKCNRLILAHNHL SEHDISNLAETMETGAIRALDISACGLDDMGVRRIVIDPLMERPLPLESLTVAGNYGR LPAYILPDLLQQLPEIRELNLCGSILGDSSDVGPLLPFELLESLQWLESIDITGWLIN DETLMDLQRFLMARSWKLDHRQFSAFRRLVLKQCGITGFKAAALFEAVGKDHGLHIGL SNNPIENGIGELAAVIRENKGPAGLDMEMVEFQDEDNYLALIHALTETKYLTVLNMAG TAPAPSPTGVCSSEMVSALHDLFARNTSIRCLDLSGFSGRLDDGQLTKGFGRSLSGLE HNKAMTHLRIRNQNLHDDAGTLGQSLKRNNTLMVLDCQDNNLNLNSFGFLVDSMKINR TIIDFPFTPKERADIWKNVLKGLRPGPRPPQAKTGLHLGKEKKHKDKKDDKDKQPALQ PDVQETALWTILEKQFRQLDEHIERNRELLEASSGQVFDFESPASTPIDAGQAGGGFN AGPAGASEWPTLLDLEFDMGTIDLNDSTTPPPAVAGPVGEAVAHDGTILLQSSPDPKS TPATEAPKKEKHRPPPLIRRKTVRSSALDKESRDEPPPTPSYSLIGHVGVGSTMLNAA PDYPPPPPPPPPAMLAAFAGVESPTDTLDPVSEVETPGPDELLPTMAELHIKNYNNIS VANNINITTATTKTNTTITTTTITHSSSTSTNNSTRGHRSTPSNQSMDEEEKLREMLS QYRGGFMVGGFTD QC762_708050 MVVYSFYIFDRHTECIYSKSWLPPPAASSTDILPPTHSHPQQPP QQPPQQPTSSILTQKQSSDNAKLIFGTVFSLRNMVRKLGGSDDAFISYRTAQYKLHYY ETASNLRFVMLTDVATLSMRNVLHQIYINLWVEYVVKNPLSPVEHKGGEGVRNELFEL GLDQFVRGLM QC762_708060 MADQETFPPPQRAATYTLPLRPRMDGSDAREFSLGPMAGTPDAQ HDPAAVPRGLASPDISVEFAGDHHLDSSSDKPELLHPRSAPPHVQHFQSPLRHHKRTP SVHREIKETLNAHSEYTSDDSDGRSHFRVNQYVIKEEIGRGSYGAVHLATDQFGKEYA VKAFSKARLRKRIQSNILRHGPRSLGRFPSRAPFGAPDLPIARLTDQRAKEAQDPLFL IREEIAVMKKLNHPNLVQLIEVLDDPEEDTLYMVLEMCKKGVVMKVGLGESAAPIDEE QCRHWFRDLILGVEYLHSQGVVHRDIKPDNLLLNEDDVLKIVDFGVSEMFEQSTDMKT AKSAGSPAFLPPELCVAKHGDVSGKAADIWSMGVSLYCLRYGKIPFEKFGVLDMYEAI RTEAPFIPEGENPLFVDLMGRLMEKDPEKRITMEELRNHPWVTKNNSDPLLPTDENCT DPVDPPNPLEVNHAFTRRMSHLICVMKAIRKFKSLLSTNPKPPGSPYHHSPRPSDTAD FAASILRERQQFLQSPPPHLIPPSTPPQPLLLGIGTGGLDTFSSSHDDAGLGGDLGIV ADSPTAADFNIYDRAFDKEVERIKGMKSRDGDGGTTIYHTRFNDPEEKKEGLWGLFNK TAQQQKRKDEGISGFAELVRTAVAREKEKEKESKKGAGGEEEKPVGEGDQGKTE QC762_708070 MSTTADAKPPSTRSSQAPSIKSVDLPPRSPNLNGLTEQPAKPVE KASVKDRLTRMFSTRDAASRAASTDGKPTPSTGTPGNTSPPPSRPSAPERKGSIASDK SPAPTTATASKSKLANGKDGHLQRFMLLPDPPGGHEHHLKSSRRQERLTDMIKGLLGR KSEPQHAAPENDLSLVSNWVDNLKREKEGGSSASDKKAANGAGGLVEKYGKCQEVVGR GAFGIVRISHKKMENGVGEKLFAVKEFRRRPEETEKKYSKRLTAEFCISSSLRHPNVI HTLDLVKDSKGDYCEVMEFCAGGDLYTLVLAAGKLEVQEADCFFKQMMRGVEYMHEMG VAHRDLKPENLLLTTNGGLKITDFGNGECFRMAWENDAHMVSGLCGSAPYIAPEEYID REFDARAVDVWACGVIYMAMRTGRHLWRVAKKDEDEFYDRYLEGRRDEAGYGPIESLH RARCRNVIYSILDPNPSRRITASQVLKSEWGREIKLCKAGEEGL QC762_708170 MSTAVAIAPSPAPHDRPSFGNDITTSPSAQRPTQSIPPPPPMSA NPNAPAPAPARTGSGSPKGVGAAPTAHKSSPPSASRSREGPKIIVKKEPGSPDLPTAR HRPRKLDLSKNTTNIVSPATGRPLTARDGLGIQEVGLACLSPGFVTQDPVMKEQLQRS MSVREHQRQIIEQRLQQQSAKGDGPADKDGGQFTAKTPGFARKRGPPPGLSIVAPSHE QFANERVIQSAPLGQTFTGRHNPHPLTRHITNQPSNLARNSHIHHVPAQQTNNRLPPI ADVFGQNLSGHPESSGHALFANQNRAPLASPHHPPPQQQQASTPGRPREYKSAEEAQV ELAGGRPELLPKLVHYAGNQQQPPTPPSPHPYPQQQQQQQQHSRDSRYDGIPQYADAS RSISSNNVVPSHAPPLKRSRAEYEDGSPPLGGNGSRPAPHAPGSSRRTGPFEEGRDSP DTQRAKREEFLKLCERAWDLFHS QC762_708179 MAARPDSEDIAMDDAPTSHQPEVKDDTMVDDAGEDVEEEEDYEE EEEEEEVQRVKLLPGSTETAASFEFSNEGHTLGNALRYVIMRNPEVEFCAYAIPHPSE AKMNVRIQTFEGTTAIEALEKGLRDIQELCDVVTDKFVAAADDFEKKKAATA QC762_708180 MAISPTQFAVTTRQSANWADARSRVLTAYRAWIRAAPEIQTMYS IPQPVSAIRTRIRQEFERHRFVNKLPVVDMLLLQNNADYQETMNFWRQTTHLMNYFKE ENFRGEKILPSNFVSGFLEGRN QC762_708190 MPPIRPSSKRKPPPDGFSDIEEDLLIFSNKMKDAQNAPSDNIPK HQAQWPIFQISHQRSRYIYELYYEKEAISKQLYDWLLKNGYADAALIAKWKKQGYEKL CCLRCIQTKETNFNSTCVCRVPRAQMKGEDREVQCVSCGCRGCASTD QC762_708200 MGKRAREYDEAPTDPGSAFTTVLLSISNGSEPLTKVPAASEPDP TATQVPAAKITELDPSLITTTKTNNPMPCSLPPHKEPITFSSYQEYESHYRNEHTNRC LECRKNFPSSHLLSLHISENHDAFIQVKRDKGERTYTCFVETCDKVCMTPQKRQMHLI AKHMYPKNFFFGVTRYGIDGRRSLLLDENKKGSDKKTDSNGNRRPSLAPVSSESQQQH SQDSTPPVNTEKPVSAAAKPEDNEMQVEPASSERKPDVEMDDISTAMSALQFVPRGVR FGRGKRAGFAKR QC762_708210 MATPAAAITVGTTTAKIPEIDEVWKAAQKLRGTIVKELEQVQGR EAANEVARFEKVEKLMEHYRLACVEVIWPDFRVTKEKHVEDTLWQVHTLITKAYRKVL GRLNGNDNAVLRRRVERLYAAYLKTAQSFYKGWLQRVCARYNIKDLQRIARVIGIEMS VPKAHTVDAAAHRLDEIVRDSCHKTLIYLGDLARYRTLLRNKDRNWDNALSYYFLAND LAPESGYGHHQCGVIYAEVDDHLHIVYHMYRAMVCDKPHPNAAPNLEREFRDIQKKRG GDARQVFITWFLKLQAFYYQGREFSERKELENEVDHRLAVAMKSGTLFKSDQDLLKII LINITSYAACAQKVQDKWTEERSRSCQYLLLLNIRTIHTISKLLRDELNELVKRQPVE TTPAQEQGKFTPVFARVLPFLRVYMAWLCFYSTELKQYQEHLEPQFGDMCKMLSMALG LLLEFVATAQEPGRIVPWRFAEDELTLGVNCLNGPELKGCQLYCDPFSKQPKPRRDES PEDEYSNDDITWSRMLHIALCAFELATPGSPFPLVTATASSKDAEGYATVVYHEGPKH LPPSVQSPQPASSAPTTTAAPAPPAAVATPAHVLAEVVAVPSPSDSVELSEDQEFYGE RLRRASVIAKHSTSKRNAQPAAQSESTSHSAEAIRASQPAQNSDFLPIENQIFNILND FLSPPETRSAQKPETPTRLVTEDSTSYGMGSSTANEVFGAASSSPGPNPGSATGKTFP TLPWSYFLDSGAGGPAQKNGGRSAGSNGWDTAMSSRPASQGSPVHLAGSHGFNNPLAH HQHRSSASGSFSRDRVNQLQGYSRDPWQQTGNSMASQGRTASNPLSQQNIWGPSSSPF SGSHNFSANPSSLPSVNSPMGLPMRTSGLGYQQSNTAARSPLSGNPLRAYPNGADGGH INPPPGFGGNAVAALADSVYTTSPGAHGHGHQTYGYQDAITAQQQQMLAMMRGNVNAE NNWNGFNTASKPSQTLPPGLQNQMYGGAFASQLDNQQQQQAMRKAENLPKR QC762_708220 MAATKDYRLLCLENPLLDIQAFGDEALLEKYGLKPNDAILAEEK HLPIYEDLLNNYDAKLIAGGAAQNTARGAQYILAPNSVVYLGGAGDDKYAAILRDAVK QVGLRVEYRVDPKIPTGRCGVVITGHNRSMVTELGAANHYDLEHLKRPDIWALVENAE AYYVGGYHFTVCPPAIQELAKQAAEKNKPFILSLSAPFICQFFKEPLDASAPYWDYVI GNEGEAAAYSESHGLGLTDVKEIAKALANLPKINTQRKRVAIITQGTEPTVVAIQGED EVKEYPVHELAKELINDTNGAGDAFAGGFCAGIVDGHPLEEAVNMGQWLARLSIQELG PSYPFPKQAYSRQ QC762_708230 MGRVIRNQRKGRGSIFTANTRLNKAPAKFRSLDFAERHGYVRGI VKEIIHDPGRGAPLARVQFNSPYKFKKVTETFIANEGMYTGQFIYAGKNAALTIGNVL PLASVPEGTVVSNVEEKVGDRGALGRTSGNYITVVGHNPDEGKTRIKLPSGAKKVVSS SARGMIGIVAGGGRTDKPLLKASRAKHKFAVKRNRWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKE QC762_708240 MFFGLLVKSSKVGWEGPGHYRSAAFTLNKMADDDSSDLSSISSL SAPPSDDESGLELTKEKGILKFFHKIDRNPALEPKEKTPPRPKREPSPPHEYVLADNP DIAFIVMFRARFTEAFPKSLANFGPQELERDVVDSVPGERVEHFLCAVLGLLLNRKQD VKPGHYNRALEEAVQSHKGQWARDWESRNPLSGGATFASMTPVQRLTLLRTLIQWSLA SSDAIKSMINQQYKNRHEDDRNIPLSVQVWGGDGDKRRYFLIEGNDDTSFRVYRESNP AGVHRTWWSVAGSIEELQALANKLETQDGGPKARQFAKNILNAIPRFEATEEKRRRRE YRQMQKERFRRPEPGFSLYEGRTRGKRMKYTYSDDEAEFLTDSTNRRSTRNTRNHTPA EPSGPVTTASGRQIRAPTRLNAEKSSEAPSAATSVQGDDTENKENELGPRGRPRRSAA VNHGTNGWAASKKRKSEEFESDASDGSEPDFGDDEEEEADIPDESEDEEEFEEDSPMD EDLEDQAEPGSKIFKFPIRVAFDENNKVRQIPGPPVVVPPKNARAAARRNVVVSESSE STGAEDEPEEPEPPVAEEIIAVPAKRASTPQPQTETNVDKSGEKEVKTTDEVMAPPTP SSGPATALAFRGSPEKPAQPPMAAAQAVPAVGDIE QC762_708250 MMQLPPSIVQSRLPINEPPPPPTITNKLPFFPHTRPLLSTMADS LPLQVAETLQTSHINPSPSAAHDTNPSTAASKKTPVHISPTSDPETDLSDLSDDDEDY PHSSSRKIKPVSRHHLPPLPDLRFEQSYLASIKNADTWWKIALITARDQMIMPLVQGV AYNLAICGWQHWNRNAQLSGQSLGARVRRWWWGVNNWPIPGERGYKRR QC762_708260 MSDPNANEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QISRAAKMLAEEYGTASNIKSRVNRQSVLSAITSTQQRLKLYNKVPPNGLVVYCGEIL TSEGKERKVNIDFEPFKPINTSLYLCDNKFHTEALAELLESDQKFGFIVMDGNGALFG TLSGNTRDIVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFITND KVNVMGIVLAGSADFKNDLNASDMFDQRLATKVIKVVDVSYGGENGFNQAIELASETL GNVKFIQEKKLIGKYFEEISQDTGRICYGVEDTLKALELGAVETLIVFENLEVTRWVL KDSNGAEIIIHSTKQQDAANRDRFMDKETGQEMEVVSQESFLEWIAEHYKDFGTTLEF VSDRSTEGNQFVKGFGGIGGILRYKVNFEQLNEVDDDDEYYDD QC762_708265 MAEKTKVAFLGPVASYSHQATKLAFPDENTHELIPVKTIREVFD TVQARGTEYGVVPFENSTHGPVSMTLEALADRGDELRDVVVCGEVYLGVHHFLLGKKG GRLEDVRRVYSHPQAFGQTARWMRRFLPGVEMVEVSSTSKAAEIVATGGDEGWAAVAG EMAGREYGLGVLGERIEDRGDNETRFFVVGKKQERGEGRWKGKNLVSFCVGSHDEPGA LAEVLGCFRERGLNLTSINSVPGLREGMRPFEYLFFVEFEGTGQVDEGERVKGVLEDL ESKTKGWRYLGGWESARR QC762_708075 MHSIRPLLTHHLRLRPPPRLPHLLTPHDQKPYSSSPLPPPPLIN PESYLASARQKFVSQPPKIISATLTRSKADQLTLALEDANPAFRQSHGEFEDGDPLPQ GYHMVYFEPKISSYQPMADGTDPYHFPGGPFARRMWVGGEVDFEREYMGCMLLGEGGK ETKAECREWVESEGVEVKGGKVFVEVGREYFAVTGKEKVKVLTEKRRLVFLRPLEEEL ARQVERLRLGDKKAVERKRIKVPWRPFFSFSLRPDAQFLSLFSVLTSNAHRIHLDSKY ARVEEGYKDVLVHGPLTLVLMLEGLASYLHKSGKEKRRWIKSMSYRNLAPLFKGDEVR VCGALAKSQGRGEGEEEWVVWIEDFEGGLAVKGSATVVGRQVMGS QC762_708080 MLAATKRWFRRNRTPLAIGAGVIGAGYVVTNYVLGKLQDARERM SSDRIAKENLRRRFEQNQEDCTFTVLALLPTATTNILEAMNTERITYEIQQMKGSAVA RTKSIGSTSPPSLSAETDDDGRSMFSVSVTSDAGLQTSQLAVPTSIAAAISGDGNVPV DGAQEGDALSVPPKPKKTKRQLWNDLTISSITRAYTLLYTLSLLTMLTRIQLNLLGRR SYLSSVVSLATGSAQQTISLENNDDDGNNPEHYYGSDFEVNRRYLTFSWWLLNRGWVD VMQRVETAVRQVFGHLSPRDTVTIENFLQLTSNVRTLVEGSSPSSGVGTAWLRFLLPP EKLEDFVLRESGILDDSSPSPSPTGEDITPTSVQLRRLLDETADLIDSPTFSSVLTQI LDAGFDTLKGQLAKDVVGVSTITEDMSGFTSRAVLLPKILSVLTRQAHDIGNGMPNRY LQAMEQVRDLEGFAAVVYSSNWQAEITQDEELEVLQREQQRRNETVAGGGVSGLVREE VRSPGLEGSTVVGGGDESVVVVGQDPQGSFEGAWERAVSPRR QC762_708090 MLLLLPLHPSPNDTTTTTTTATAVWITSPPFHYQHQSYQQISAT MNAEGPPEFLLEAFADPNTVRDVVRGILHTIFFMRFFQSIQAATATRDCLGIDLAYVP DSAIETLIDQRATSLARQLDAERPSGGGGRGQITVQFFEKKRRKGTWFGADDELCWER WTIKITVAEPKTESDRAKVRKATETTLRSTVFKIMTCVTGHMDHIPPITESNVNPFPY RIYIGNPPSNQQSPSSQQKAATAAAGVGARVDAVAGGWAKKMGIY QC762_708110 MLNNAGIRRGTISSQLKTRISHIPFCPVPYFTASILPDHPIITQ PLTMADDDNITQHALVSSWFLGPRAENFQVLHDLFGTVLNHQAQARKTLYAGDPKFIT EEMKELEDYQNSIKSLTSDVDKLSLELAAKSVPFWSPRYNAHMNMDVALSSIVGYMTA MMYNPNNVATEASPHTTKLEREVGQELARMLGYGTDSWGHITCDGSVANLEAIWAIRN LKFYPFSLKRAIEEGSLNFLAGAFEVKTSTGDAKLFTELSTWELLNLKPSTILEIPST LAEQYSISSTFLQAALKPYLIQTTGKSVIEQKYGFEPGKFLISATKHYSWPKGGAISG IGSINFIDVDVDEEARMDTNDLRKRLKECVDAKIPIYGVVAIIGSTEHGACDPVAEIV KIRDEFERDEGISFAIHCDAAWGGYFAATLRERGDQDGFLPYVPAMPLQPYTVKQLRN LRHAESITIDPHKSGYINYPAGGLCYRDQRMRYLVTWTSPIVFHQGDALESMGVYGVE GSKPGAAATAAWLTHKTIGLHNDGYGRLLGEAVFSCTKLYCYWATMAPSPESESGADE TQGEPDPEHESLKKSLVVVPLIRLPAEKAGESPEAIEAQKELIRNKILNRTNEELFED KKTWKLLCELAGDLMINAFACNFKIGDNINQDVGEANYLNQWIFSQLSVSSDKDVVAA RPLFLTSSVLGEEAYGRCLRTFKTRLGLDDGINLARGDLRFLVNVTMSPWPTSPDFME TIVKDFRAVALEGIKRCIKRNKLSPDVHGFIMQGVDEIYLTHIPMFNMANHRWQLVIT GDLPPDVVEYYKKLRSENPGVVYTLANMEKETLENLLKPGSSTKWRLDVGIPPPGAPP LKDNIELSNIRVIVKESMSYAALETTYPDKMPFYLYGNKNEMHLDHVLKASPNAQISH ERVTLDLESDLSDEQLRKGVVAVLDDVFENSIQPLPLDNDTNRVLLESAGLSLTKGAV HSVSVYETYEQYKDGSAPITTGKVTIGETTFANWPAVNMDPADVEKEEEHKHKH QC762_708120 MVQSSVLGFPRMGVLRDLKKANEAYWADKISQEALLEEGKRLRL AHWKIQKDAGVDIIPSNDFAHYDHVLDHIQFFNAVPERYTKQKLSPLDEYFAMGRGHQ KGGVDVPALEMVKWFDSNYHYVKPTLQDNQTFSLAENPKPVREFLEAKEAGIITRPVL VGPVSFLALGKADRGSSVNPISLLDKLVPVYVELLKALKAAGAETVQIDEPTLVFDLA PEVKAAFKPAYEAFAAAGDAIPSLIVATYFGDIVHNFDVLPAFSAAKGIHVDLVRNPE QLEPVIKQLGPSQILSAGVVDGRNIWKNDFAKSLDLLQTAIKALGEDRVIVATSSSLL HTPHTLASEKKLPTDVYEWFSFASEKVKEVAILAKAVTNPEAVRAELDANAAAIKARA ESTRTNDPKVKERQAAVTPEQHNRKSGFDTRYAQQKKHLSLPLFPTTTIGSFPQTSEI RVQRNKFTKGEISAEEYDNFIKKEIDLAVSIQDELDLDVYVHGEPERNDMVQYFGERL NGYVFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKYAASISKKPMKGMLTGPV TCLRWSFPRVDVHQSVQCQQLALALRDEVVDLEANGIYVIQVDEPALREGLPLRKGSE RDAYLEWAVNSFKLATAGVEDSTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDA KLLKVFIDEAYPRHIGPGVYDIHSPRVPAEEEFKQRIEEMLEFLRPEQLWINPDCGLK TRKWDEVKGALTHMVNAAKYFREKYANKA QC762_708140 MYSNPNSFLGGNSQRPGQPQYGNQFGAGAGQPQLQQPGPFAPQP TGFGQQPALQQQYTGYPGLQAPQPTGQLQPQFTGFGQAPQQNVGAAAPPMPAMPQQFQ QQFQQQQQQFQQQPQQTSSPFGATPSQQPPASALAPPAPPMKPQPTGFHEMAASFQTA GGSKSTASAPRKTNKIPNIRLSFITAQDQAKFETLFKSAVGDGQTTMTGEKARDLLLR SRLDGDSLSHIWTLADTTRSGQLHFPEFALAMYLCNLKLTGKTLPEHLPENIKNEVSS MVDIINFSVAEEAANASDSGIRQNTATPPVIQHPQPQPSNSQLLQAQMTGFPSQQTGF LGAQPTGIPQATGYTGPRPPMPPMPTGFGSSLTPNAGPGGMVAPLNAQPTGIPGQWGL VNTPATGLPLIDALQARMMPQQGREQQTYTTAGLQGNAVIPWAITKDEKTRYDSLFRA WDGLHKGYISGDQAIEILGQSGLEKPDLERVWTLADNGNKGRLDMDEFAVAMHLIYRK LNGYPVPNQLPPELVPPSTRNFNQSIGMVKNMLHQESEYRKNSGAALLPQKTGVSYLK GHSFKGAGAGFGNRKDATVFKNNDEEVGYRSSARRRVGNNSPRPESPASVNSSEELSI EQLRKKIKEKQVLLDAMDFADEKHAEEDDILDRRDRREADELYRRIRRIQEDIDNHPD ASLISADSDAERRALKRQLQNLTDKIPDLASQVRKTEKAIADARLELFRLRDAKAHPS SAATIIGTGPGGTVTESDRLKARAKAMMQQRTAALTGKKIEVSNDDLDAPKRLEEESI KIRTEKENNERMVRDVEDSVREFAKGIEDNMKEGAQDSTTEHEKRRWGDGLGVEDEVR DFIYDLQRSSRAARIRSQDRQGGRQPTQEPTRAEAPPSAHSVSPAVSRTSTPSAPAAG GGSYSSYKTPEERAAFIKQQAEQRMAERLAALGIKAPTKPGETAAQRMERERAERAAK LRQAEEEDARREAERQARIAEETGAPAPAAQAAVPKPEGKKPPPPPSRKTPKVDDRRA EEEAAARKAEEGRLERERGEQERQTRELEERAKDQEDELAKERAEADARLKALEEQVR QGKLKKEEEKRKKKAAMAEAKEQEAKLAQRRAEIEAARKREEELRKQLEALDVEDSSS DDDEGPEQITPQASTPTLGGSQVGGSQELEPAPPTPVPAPVQSPPQIVTSSPAETESR NPYFRMRAQAAETTPAPPAPPAPVAPPPPPQPDVSTNPFHRMTQAAAAPAPSGPVSRK RPEDDGWGSDKEDDDEDSDDDRPGQGAAHLASILFGTMAPPRPLSATGDKSAAASPPV ISPVASPPPAIPSPTAAGAPPAPPPPPPMSGMGAPPPPPPPPPMPGSGAPAAPPPPPP PAPGGAPPPPPPPPPPPGGAPAPAAPAGGRPAAFLGEIQAGRALRKTQTKDKSGAAVA GRVLD QC762_708160 MVITGTIDITTSLHSTARPDMAKPPRFQASQAGFPIYEDFNFDQ TAPIISNAPMPPAPKPARQPLQSADANVVLNPPTSSFVKQSPLKPSAPPSNMPLTPMK SSRSSKLSMVQMMPPSNFQQQGTDSPEKRQPVMSRFKTVAQKPQQSDFNIQQFMGKEN SHPMIFPAPPQPQQFNLPLEHYYQKPSGKRLLEAAPIKELRPAKKQRVDDALPPHDSF PQIIDDGSKPGHSYATLIGMAILRSPQRRLTLAQIYKWISDTYSFYNANDAGWQNSIR HNLSLNKHFIKQERPKDDPGKGNYWAIEPGAEHLFMKEKPSRKAAAPSAENMPVMSTR LEPSQPQMPQFHEPILPPQLPIAPNPLPQLPPMPSSQQLAPASHLPELSSDATIPASD LATVDDGHDRLGENDLHDNNLYSPLPAAMHSSPPVPKRVESRHSDTPPPQRRGHGSSV SKSRTRRSFMDDSGYISSLESSAMRPGRDHSKLLTSEADRPRLRRGLAEEEIVRLRAS SYDSPSKGRSQAYVPPSSSPLRQPVHSNAGQMLPPLTPAMKLKAPPKPPASVSPSTNL RLHRESIQSMVDGDSPYKRVAAMCPEVQLTPGYLMDDLFANFEHTKDDEFPEFDIFNE TYTSLYALSPAGIAPTGSPVKRSVRKQRLERSHSTGALSDLAAPLSNNSASSASFLKV PAQPNNLMLETPSKVFDGLLSSPSKLFHDLQSPSKMPAALNGENLAPWLSMDDLYAPD VLGEESNDFQPIDMLAGFEKIGSSSSQASRNNNNNNNNNNNNNNNNNNNNNNNRPSQK SSLTRSYTTKF QC762_708270 MTSSSTQRSTNGTTMAGTTRPSRFPNLAPSSTGNGANGIEKSSQ PITNTFPAPNTWGSGTSIWSNTNTIGNSFVKSREAVGARDSNDGFATPSGSGALASAS EIDPWGRPGGPWNSNDNSQNRNVSGQTSPNRTRSEVQLHDMSGSIPYYSGSQTMTQRA LGGSKDAKNGFPKYTSQYADFTDDKDNSFSNLNGEPEQPVGRYPASRSMQDQSFLGNG HSRDHLSTSGQSDNDLHGQGAPYADYPFGTPHTSIHSQRPSLNGPTGSFHGQNPRSYD HNNMGQQIPDDDLPERLGRMAIGSGLNGGSNALGNLQSFGNGSQDFQLNPGSQPWDHG QGYQAGHSRDSYSNSISLDRRGSGVDHSSPAGSTYRAGATGVGLNSPRSFTPAIDSWS RPVSRDLRSGPEADRRSLSHFPPQSPYYQNGYYNFPQYAPGPYDAVYGRQPMQFPGYP LPQYPFAHNGVPPVRPSSDRDPARGVRSLKLEEFKTGNKSSKRFELKDIYGFIVEFSG DQHGSRFIQNKLETANSDDKNQVFHEIEPNAIVLMKDLFGNYVIQKFFEHGNQAQKQV LAAAMKGKVVELSMQMYACRVVQKALSHVLVEQQAELVKELEPEILTIVKDQNGNHVI QKIIQTVPRQHIGFIFDCFRGRVSELSSHAYGCRVIQRALEHGNEADKQSIMKELHSC AQMLIMDQYGNYVTQHVITDGSPDDRSKMVALVMSQLPIFSKHKFASNVVEKCIKHGT ADQQRDIRDRFMSRGDDGNSFLVSLTKDQFGNYVLQTLLSELQGQDRDVLVNEVRPLL ASIKKMCTGKQIAGVDRLHNAITSYTPSSTAPTSPGLHVDVSSAVPTPNLTMGPNSPS SSPPSTSESAVEETIGQTDAKPAATDATVNIQDQADEV QC762_0110950 MCAICDPSKQASASTHLVTHSNLSLFAPGVLLLGSFSGEACDPL PFGVYAERQDVAVKAAGPPALAMCGRTAVQLFVSTLEKRPMSGTEPVSSPASCPVPVL AIISKPTSASMQSLLASPNRTTRRRPDLFIAVTMLSWLPGSPN QC762_708280 MALPRLGPPDTRHIIGAYLITVESSSHNTTTTTTTMDSFTHIVR RGLDTGDNETTVDILIALLALTFCGLLAFSLLVLMRRMRRQKQMLDETLPQYHDVKKS GNHRRLTIETGNGRQSVIVFNNGASPMLANPRSPPHSPDNVPQIHITFPDEQDDQGRP KSGRVVVVRVGETTVGLEPLNDEQLPAYEKESSTQFYSVDIEKIGGLKEKEFR QC762_708290 MPPPQPTPKKAVPAPDYKTLKSQWDAAKRKKDAEDATKKAVKDQ AVTAVEPNNTDEIKLRELRRAALEGRRKKAYQSRWAWTAGFWVWMLAIHLVGLGYFTS GFLLTRLQLDDKSLCDVSPAGQEGGILPAWPGKGTPEGGCWHPKTFDKAVVVLIDALR YDFTVPVDDNAEFHNRFPFMYETAVREPNKAFLRPFIADPPTSTLQRLKGLTTGTLPT FIDVGSSFSGTAVEEDNLLGQLRGAGKRVVHLGDDTWESLFPGYFEGNLSRPYDSFNV WDLHTVDEGVIEHIFPLMEEGRKGEWDVVIGHLLGVDHAGHRYGPEHPEMGRKLRQMD GFVRDLAGKIDERTVLIVMGDHGMDSKGDHGGESDDEVQAALWMYSPKGGFGRTKPEF AVPPATAVERPVNQIDLVPTLALMMGIPIPFNNLGRPIEEVFAGPRGNSWNNLAAAER VAAAGVKRYQASYFAARGMAEEPASTPGSPADLWDKAEGLVSKGNWVAVYTAFAEYQR ETLARCKSLWAQFNIKNMILGIAVMGFGVLTLLVYVSKGAEDDEAVDDPELDDAEKSL EIMGVIPDSELPLENVLERKLVAAAFLGAVPGLIGGALHAYLKGTGDYYRGAAMAALT SIATVGVSLYEIKETLRTLVPSTIWGWMAAVFTLSQSIGFASNSYTIWEDSILLFFIT TFGFVTALSAVRIQSLADRYMAIYHSVLFVVLGRLASASKLCREEQMPYCTSTYYASS TSSTSALWQLAIPFAVSLILPTIIKAYLQPTRSYEGLAPAWIGYVFRTGLFMSALYWL LDSADNGNWISGLPEKKLKNLSVYTAQMVLGLAFVAGTTAFMWAPPCVSIVTSASKST PTRAQVAILGYGNAHGARYLLLPLNFLVSLILLSKPMGGGALAILFWQILSLVEILDS NSLSATPIGPVMLAILGSFHFFKTGHQAVLSTIQWDSAFIPLFSVRYPWSPLAVAMNT FAAQILATVCVPLLVLWKASPKKKGALAETSKKLGAFVGFFAVEGWMSMMWAGHLRRH LMLYRVFMPRFATAGVVLIVVDLVALGVSLLGLRGNTVAIGEVFGWAE QC762_708300 MIAGASTDGKVHIMDLNAPGQTMTLSGHQAPVRTVRWVDLPCAG NSTGLLVSGSWDKTLRFWDKRQPNPIATVNLTNRVWAMDGSGTTLAAGTADNKIHIFN LGKMTQSSAIRPTMIIESPLVDQQIRCIAVKHGGQYRAVGGIGGRVTFGATQPNPMKS GVTFSFKYHREVSKESSKVTNVYAVNDLAFANYIAHQNGSTARIVMATAGQDGQVMVW NVTKKTSLISYPSPGGSITACGFNWGATMFAYEVGE QC762_708310 MKTATPLLVVAASLAGQTIDALSLPPTTPTQQQQRRDGSGPRVV GMDIQRRTPKNPLHRDQLRKRGSMEVGLDNQETLYFINGTIGTPPKSLRLHLDTGSSD LWVNTPSSSLCTQSSAPCKYAGTYSANGSSTYEYIGSWFNISYVDGSGASGDYVSDTV TFGDATLDRLQFGIGYSSNNAQGILGIGYPINEVQVGRAGMRPYNNLPAQMVADGLIQ TNAYSLWLNDLDADTGNILFGGVDTEKFVPPLMSLPVESEAGVYAEFMITLTKVELGS AQVGGDLALAVLLDTGSSLTYLPDRMVQDIFDLVDAQYDPEANAAYVPCSLADNETAV LSFTFTEPTINVGMDELVLDLVTSSGRRPVFSDGTEACLFGIAPAGEGTNVLGDTFLR SAYVVYDLENNEISLAATRFNSTGTRVEEIGKGEGGVPGATRVENPTKATEGLDGPNG LGGISAGNKRGLGVGVVWLVASMVGVLLVV QC762_708320 MDEAHYRDLDRCPDYSPFFGAIGCALSIILTVFGASYGTAKSSA GLFSSGVLRPDRVMQNTLPSIMSQILSIYGLVISVIISSSLTESVPLFTSFLHLAAGL SVGLCGLAAGFSIGIVGDAGIRASTQQPRLYTGMVLILIFAEVLGLYGVIVSILMITR SREGRACLE QC762_708330 MALEPTTITTTTTTTTTTNGGFVAADALTDLATDTALPLFNVEQ VQLQFPIYDDFVAGQVANNVIILALSSGRILRIDLNRPDEIQDIHLPKKPSESGIIRR MFLDPTASHLLIATSLGENYYLHSQSDTPRPLAKLRGVSIEAVAWSPALPTSSTREIL IGAADGNIYEAFVEQSNEFYRKEDKYVKLVWKVGEGGPVTGLWVDSLPGGDRSGEVRR VLVATRGRLMHWVGKVGRRGHEGHQGIYGGLFEGEQPVSFGDGRPGQGGSLVVSPDVV EQGVNPTRFREEEVPERAFAWLSSQGVFHGRLLVDGNTGDLGSRVFNEGRLLAKGQLT NPNGVEAAGGKRQVSTDDVEAVALTQWHVICLVGRRVVVANRLTGDIVYDQIALEQGQ RAVGLSVDVQKNTFWLFTPQEILEIVPKEEDRDIWKIMLKFEDFEAALQHARTPAQKD AVAIAHGDHMVGKGQHSEAAGVYGKSSKPFEEVALTFIDNDQPDALRKYLLTKLGTYK RSFVMQRVMIAAWLVEVFMAKLNSLDDTIITGAELSETLSPTQTREQLEAVRAEFQDF VTKHKQDLDRQTVYDIISSHGREEELLYYANVVNDYNYVLSYWVQRERWSEALKVLKR QTDAGVFYRHSSVLMTHAATELVDILMRQSNLDPRNLIPALLEYDRNFKGPLSQNQAV RYLQYVVNQLGSTDAAVHNTLVSIYASHSSTDESQLMAYLASQGDEPNFDQDFALRLC IQNHRVLSCAHIYTSMGQYVQAVDLALSHDKIELASIVADRPMSNPALRKKLWLAVAK KVISQSNGIKAAIEFLKRCNDLLKIEDLIPFFPDFVVIDDFKEEICNALEEYSRSIDA LRKEMDESSLTAANIKVDIAALDRRYAIVEPGEKCYVCGLPLLARQFFVFPCQHAFHS DCLGRRVLEQSGAAKGRRIREIQGLIGKGVVKGERRERVVRELDGILCSDYAVRRINE PFVAEGEDLEEWAL QC762_708340 MNSVETIRNGNGLLPATHDVQKKEESHCRSPVDKTPPPCTFGEP IEVDTDPETEYRVVVVEGDRRVVLEVDEDGDEDLEGNGYEEEEERPGHARSVYAMSTY PTVQPAHQVEYPFEYGMDAATIDSSRTLYAEDVDYIEEYGRTYCGDYYMPIDETEQTR QYVVHQVFLKLFDLELTTVPLDNPQYILDIGTGIGEWAIGMAEKYPRCEVFGTDIAPI QPTDQVPLNIEFHIENAEDEWIRPMDAVDLVHIRNMDGCFTDWSFIYSQAFMCIKPGG WIEVIDWDDLFSDDNYLSFFPEGSAAHILTKASIEAAERAGRPRGVHMNKDLLIQAGF VDIKEQVYDLGIGSRENVSYGKFWLFSIVTGIEAQCLRLLTKYLDMDEKYVRGLCDTV SKETKAIADDPDRLSSFVVKLRVMVGRKPLVPGQWTAKGLAENGELNDYSGDESTIGG RSVRTMQRLSDEPMG QC762_708350 MTIAAGAVSPAGSVNAYRPPGRNNSFFEDYGIWKEAPIFTGSTK FDPLPDVKNIMITGGAGFIACWLVRHLTLTYPHAYNVVSFDKLDYCSSLNNTRVLNDK RNFTFHQGDITNPSEVLGCLERYNIDTIFHFAAQSHVDLSFGNSYGFTHTNVYGTHVL LESAKKVGIKRFIHISTDEVYGEVKDDDDDLLETSILAPTNPYAASKAAAEMLVHSYQ KSFKLPVIIVRSNNVYGPHQYPEKIIPKFACLLNRGRPVVLHGDGSPTRRYLFAGDAA DAFDTILHKGQLGQIYNVGSYDEISNLSLCGKLLDEMGISHGTPDEFGRWVKYTHDRP FNDHRYAVDGTKLRQLGWDQKTSFAEGLRITVEWYRRFGEEWWGDISKVLSPFPVVTG MEVVSDHEPVSDHPQGAEGGFSSKRKTRSENGVGGGGQHVVAT QC762_708355 MAATASTEQRSEGRAGSFSSSSSDTATHVGSSTVLARLGDEEKL TPPEDLEIHELEEYGDHSSNSEDDALLMEKDQDEPPKPPQPPPEPKKSSFWSSLIWMT VNTLATIGIVFTNKAIFTDPSLKLAQLSFASFHFLVTYLTLFTISRPRFAFFVPRRAA IKDILPLSIAMSLNVILPNLSLAFSSVTFYQLARILLTPTVALMNYILYRATLPRLAI LALIPACVGVGMVSYYDSLPTSNTNIKTTSSLGVIFAFLGIFASSLYTVWIASYHRKL QMSSMQLLFNQAPLSAFMLLYVIPFVDTFPESWGGVSGSKWVLIGMSGLYASLINISQ FFIIAQTGPVSSTVVGHVKTCTIVGLGWVTSGRGAGDKGVGGVVVALGGIIAYSIVML KEKKKGGK QC762_708360 MGSSRSGGSSLSLKTGNKLRRKQMYIEDKKIANKARHEERHRRR REEAKNPELREERLAKNQPASIDKKRVWDDVDDDSLGAQVDLAALKRRRMEQAELAAA AEADAAMKDDEEEKDDDADSMLDSDSENDGEDDEEREEKLQRKRAQRQPSIAPSTTST NLDLTPDSLTRQFEHLFSDEPPPMPKILVTTSLNATIHKEAEEIGAIFPNSTYIRRSG HRWGHKYSVREIAKFAKNRGYTALVVVHEDLKRPHQMSIVHLNSEDAAPGPTLTYSIR NYLPGKSLLGHGNATNHYPELLLNNFKTPLGLLAAKSMNTLFPARPELQGRQVVTLHN QRDYIFFRRHRYVFREARATEKNVVTAEGKEMEGMQGIRAGLQEIGPRMTLKLRRVDK GIGRAGSEGEDALKWEWKAKMEKKRTRFNL QC762_708370 MKPLLSLILTRRLSSPLVAGTPLHSNNNYTSVVAPLRRLHRPAF APSTPRLKFQIPQTNKKKPEKMSTDATTLKGQPLDRAALDAMLRRRLFYTPSFEIYGG VSGLYDYGPPGCALQANVIDLWRKHFILEEDMLEVDCTVLTPHDVLKTSGHVDKFADW MCKDPKNGEILRADHFVEDILEQRLKGDKEARGLKVEEKEETDKKKAAKKKKNKGGVE AVRLDDAVVKEYEEILAQIDNYNGEQLGELIKKHDLRNPATGLQPTPPVSFNLMFQTS IGPSSNLPGYLRPETAQGQFLNFAKLLEFNTGQMPFASASIGKSYRNEISPRAGLLRV REFLMAEIEHFVDPEGHKKHARFHEVADVELAFLDRHTQLSGSTVVKKQTIGQAVKEG LVDNETLGYFLARILLFLKKIGIDEKKIRFRQHMDNEMAHYACDCWDAELHTSAGWVE CVGCADRSAYDLSVHAKKTGAPLVVRQRLEEPITIEEWDIAIDKKNFGPFYKKDGKTI ENALLATTQEQRGELVKQLEENGKVVIDVPGVNNGKVEVSKDLLAIKWVKRVENVREY TPNVIEPSFGIGRILYSLMEHNYWTRASEGGDEARGVLSFPPAVAPTKVLIVPLSSNK DFTPEVRKLSQKLRSAGVSSRIDDSSASIGKRYSRNDELGTPFGVTVDFQTLKDGTVT LRERDSTRQVRAEEDKIIAAIKALVEGTKTWQDVEEELPIFEGQQEVEVVVR QC762_0111070 MLPQRVVPQAHPTLYRTNTPFGLALRGAAVVAASGSCLSSSLRS ITGRVSLTSPTQAYINFDDFDDDLDDDFDDDSCFESL QC762_708380 MAMHNSVYANGQFMNPGPAPKPPSEKPRLGLMPNVNLPGSMSSM SLNSPVPSNYSGSTIALPISRQGSNTMEGAGGLAIIKQGPVGLPSKNPFQQWKQRYLI LRKDILDFHKNENGKQLYKIQLSDVVSVGRVEDDNGDPVFEIKRHLTNQYVGPPGDDD GNGMRSLRVCVKSDDDLYEWIDCIYARCPGGVSNPTNFSHAVHVGFNPHTGQFTGLPE EWTRLLNSSAITKEDVERNPQAVFEVLDFYSDLAKRAENPEEYPTPIQQTPSPNMMQN DQFGYPSSGSSIAPPRQTKPIQPQRSPASYSTTPNAQMRPNEPQQPPMQQLQNVSPNY ISADRMREEQRYRELERQREQLREREEQARREQEAYNASLPKTKVPLAQQEIGGGYGA PPATDRFNPSRAAPKAPGQGQALKSQRPAPPPPSSSASRPPMQQQPSSGQIPSGQQRL PPRPDQAQPSRYQNGSAAATRQPQPQPQASRLPAPVKPLNVAKPNNDAVKAAEAALTA KPPATERKQDVRMSTMSENEVMAKLREVVSNHDPNQLYSKQKKIGQGASGSVYVAKII SQRPGAPQVSQLKARGGSDRVAIKQMDLAHQPRKELIVNEILVMKENKHANIVNYLDA FLMDNDKELWVVMEYMEGGALTDVIENNPVITEEQISTICLETCQGLDHLHAQNIIHR DIKSDNVLLDARGNVKITDFGFCAKLTETKSKRATMVGTPYWMAPEVVKQKEYGPKVD IWSLGIMAIEMIESEPPYLNEEPLKALYLIATNGTPRLKKPEKLSKELKAFLSVCLCV DVKSRASAQELLNHDFLKHGCPLASLSELLAFKRVAK QC762_708390 MSTSRPGEHLTIGYPPQTVSAQNRSNSPTSTSPNDPQSAGSTLR SPYGLSPGLTPTSKMPGASRSGAGSPNHEMAASGRLFSKRAREIQAQEGIPGIPVNPW GGPPTSGNSTPLRENIPESPTDGFPDFAQLPTPQELPSTRRARAGTVPSRFSPGGAGN GLLAIPSLASKTSRPSPSQTPFKSPSPGIESSGNDISNASALLSRLRAGSMPQRSPFT HVPGTSSPFGPSIFSSWNPTGTGRDRGNTLASIASVPSNGPSSPAQSHFSREGNAESD VHMRTLDYLGLAETPQPARAQIATPTYVPNYADFSKAANRFRSYSVNNKDRYADEDED DYDDPVMMMENQYLQIQDQLAATNAAIQQHNLAVQAFANQAARPRARTAGVLDTPASR VLRNYYPTPSRLDESITASDIRVSGDKEYDDLPQAVAGLSLGRSNSRNNGLLSAEEQG LEGPTSALWLGSIPTSTTTSTLTEMFKSYGPILSARVLTHKNCGFVNFERVDSAISAK NTMNGKEIFPGAGPIRINFAKPPSASNTPGHDGAMPSPSPDPFSKGQDNAQGMGTGAP GDSSPAALVGTATPTVPPLAEMTGDILKIVVQFGATEEDKYNISASLQRAIQYGEFVD EIPPIKEPAHTRIHDAPKLRDIRKRIDNQALSQAEIESIAVDMLPEIAELSSDYLGNT VVQKLFEHCSDDVRDQMLAEIAPHMAEIGVHKNGTWAAQKIIEVCKTPHQMNLIVQHL RPYTIPLFLDQYGNYVLQGCLKFGSPHNDFIFETMLSRMWEAAQGRYGARAMRACLES HHATKDQQRLLAAAIALHSVQLATNANGALLLTWFLDTCTFPQRRTVLSPQLVPYLVN LCTHKVAYLTVLKVINQKAEGDARDTVLKALFFTPNDQVLEAILSDHACGATLIFKVL TTPFFDETIRSQVVETVKNVLIRIKAQPGQGYKRLMDEVGLSTRSGGGGGNSASRDHS NDNRQRPGSRQTPGNAQHHQQPQQAQQAQQQQQQGGQYNGNAAPQYYNHLNAPAGVPG YDMAYGVPRGEGVDAGLTQQFPTFQQGAMYNAPNTPMAPASIQQMQYQQAMMRGGPPM SNYYSAAVPAGFNGYQDQYRNTGSPIQPPSAQLSNVPGQAPFPPAPGFGMNMSYGYGG QGQMPPNMGYMPPQEQGNNSRRGRR QC762_708400 MEPTPEFIRIRSRQPVNERDQSSLSISSSSDSAYGSSSESCSPA THDISRVSYHVKHLESFAQALEDSASRAFPNRGPTQRYTKVHALMLHWKSDDLFVLPE LEDLEKCFRDHYCFETDIFSIPSENSHLELMLRIGDMVKQYESEQTLFVVYYGGHARI DESRQSTWCANRRHDSPWLQWSAIQTLLERSISDVLILLDCCAGAASATFPTGQSITE TISASSWDAIAPDPGRYSFTNTLIEVLEEWRGKTFSAAMLHAEVLARLKHPRPILING KHFEARSTPVHFMMTSNHKAPSIEICRLVPPKPAKSRRGMASSSVPTGRDWHQPFPSS MNDMIPTASEPNEDEPHVMISLALEDDQKLDLNKWEEWLASFPALAKFVKVQGVFKSH STLLLLSLPVMVWDFLPDDPACSFVAFIRSNNLLREPKESSPEPTPAEASVPATATQE VQPELSREVQPELAQDDAESYSGSTLAQTENMETVRHGASVPNIPANPWTSTHRADSM PLTPYSQVLAPQPSSSSLFTSLRNMGSAISLSGQQQQQQQHPGPASLTSPSHHAPDIT RTMILNRSKSSKRSTFSPDEEIPPGHQLAKHVLHRLEEYFHRDPEPNLAVLEHLASHL GVETNDIRIWFHNRREQHRLDTNLQTLRLPSSEPVDPGDEPDMILPGHLNRLLEIYPS KGILLVDLRSSTDFERSHIHNSINLRAPLKFISWASLEMVEDTFVDDQSRRSFSKWST CRCVVFYDRVIEFPWECPTASALGKKFGEKGWKGRCFVLKGHYREFSASFDRYISGEK MTQEAKEYLDGLRQKGGSLTEEEGRERDGLFEAWLEGWGGKGKGKGSKGNELVPGRKV ERLREVEERQGELEREFEGRFPALWRKGLMMGMMRKDSAAVLPGEEGGYSPIAPSVSP PPPFESGGGQQGFKDVEWQKRKGIEEEGEAVFVGPLARGLERMREAGMGLGSQTPSPT SGTPVGDLKGKMMGFDYEYTSGVTEEEYEEIDAVQEGLTPATATGDIKGGGGGVINKV VKKRGVVREWLRGGTGNK QC762_708420 MRERITFVQKEGDSIEPTALKVDGNGLKGPEVKAVREDRLTFAL DELPAELKALLEGVTQLHVRWVSPVAYEAVSPLLARLPPGFHLFYTPGSDASINPCPL LTKAFGLDSCSSPSDSFTTLPTDRFTHSTTHQFYQPLPSLKVFAHFARSLLCPPGSPS SCSSRLGSLTAASQLDLSYDTISHTLRLTSLWPYSPQSLSASLLSPTIRTEIGILSQT SPKTLEPHEIGISGLLTVLGQSTTPSPTLFSFPSRHRDSSSSFQAHFLPPTGLHPTLQ LSISSPSPPAKSPTEETCRLHGYFTLPKTLFPDRHQLNDPLFLSSKNLTAVRYITPGI DLEAPEYVTSTWGSALLLELAPPHSSSSGNEWTAEIPLHLRYMPPSLTGYQKAEVPYP ALFWACTAEEGTKFPGNPFEKDRVGYDGLFGERTVFWHLNPSPADGKLVNTVKVPVLN LEKADWVGTVTGAVVVAGFAWVVIKLLGVVVMGGKKVGEDKKKKQ QC762_708430 MAALQGSAPPALGTSSTSTTAADLPPDTDVQVDGPSSSHQPSAS TPADGPTKSSATSPEPEDGDAALPIRDKDSFTRVMVDRFQTRDWVHSSLLGEDQIRLE KTTKDVLARTSDFKTYKEFYHQYPARLYGEGYRGYGNGYTENGGTTKLIYPSQRRRPG RRATPPVKFTKSQIKKQAEQPEELVPVRIDVDYDKIKLRDTFTFNLYERLVSVEHFAA ELVEDMGLEPPLAKPVYDQVVAQMTEQLQDFFPFVHSEEEALDPELPYSAYKNDEMRI LIKLNITIGAHTLVDQFEWELNNPLNSPEEFAACMARDLSLSGEFTTAIAHCIREQVQ LFTRSLYSVGHPFDGRPIEDPDLLAAFLPTPLPSVFRPQQQAKDYAPYLYENTEAELE RTENMFSREQRRQKRSTNRRGGPQLPDLKERQRTIRTSIVSSVLPGAALNIEESRLFK RATGAGAGRGGKRTLRDGVDLSDSEDSDESDPDSPAVSQLQGTARTRGMRGAATAAAQ RMANIGRSETPEAVIHHHETRTSRRYGREATREQTEDVPQQYMITLRVNPARLRKFMR EYKPRPLAALGSATPTPAHLRAPGVAGSMGPPSTPSAAGGAVAPNVQNQQDGIPAPPP NPDGTQPKIAAPPPPAWLTACLDELRRDYPNDIFDSTMRYFAINSETGNSMPLPLTPE QMALPTTKYVFLPRIKCADCPGKSYTVGPEHTVKGFEVHLRKNNTHQERVRARLAGGG GLPVVGDGRSPSVGAGSAAPAGGTGIVIPALNPNHTRTS QC762_708440 MQRRTPPSQNQATATGSKSSRPASRLDCLLSPPSSPRSVLFCLF PRLSTARSSPAAKMTTEEKPVDYTLNNPDTLTKYKTAAQISEKVLAEVSKLCVAGSKI VDICEKGDKLIEEEVAKVYRGKKITKGFSHPTTVSPAAFVTPYTPLKTDEKEAATEIQ AGEPIKIQLGAQIDGFGSIVCDTVVAPEKEGAEPVIEGRTADLLLATYYVNELLLRLM IPPGLLASGTEEEKAKAASVKPPSQSKISSLLEKVAQAYDCNIVESTTSWLFDRNEIE GSKKIVISPGEGTKGEGVPEVGEVWGVEVGVSLGSGKVKQFEQRTTLHRRTANTYALK RPTSRKILSEVQKKFGTFPFSLRQLEDERDAKSGIIECVRGNVIRQYEASGEKDGEPV ARLLTTVAITKNGLSKVGAPPALDLAKVKSDKKIVDEEILKILEQPLSRNTGKKNKNK KKKAEGEE QC762_708450 MPVTTISSNTRASRSRFSSPQVTASESATKESSAAAVSAAEGRE PKKSTFLERWLEPPVQSKPSYQEAGLVRQGVFDNMAPLGTMPKLGVFKSSSAATPTPA ANPPKTRIVLKSSRPAASSTPTPAALPPPPPPAPEEDETEEEDETAEPYTEAPYLERI KSEDRGLAEGINYRETTPAVSAPSRSHGSFSSRDVEAGNWGPGRMSQVSSADRRSQSR ASASSHPSHHHHIQQQPSTSSASREDDLKKITAKVVEAAVEAALQFCRYPTAWALRTL YDENCTSLEFLTMIEKVFMQKADAPTLSKFAKDIQKKKKEGKASNQGFQYFFGHEQTS IPPAKPAPYNHLINFGVSLLHFKESEPAPKTERQSTLDRHTEQPEPEPETRTVPAPAC APPQQQESPAQPEPAQEPVQLPLREATPLPAAVAESPPVVEDSVGPLPSDPEPVGTTA PDTEPEQTPELPQRNEPQAEPERREDKEPEEPPRKKRKSARHSEATSKKMMEAAAAAA AAASATTTTTTQEVDGKLVAETPRRRTRATSHSSTSTLSTARSLSLTPVAARHQAIEN EEETLVSDAPPSRNSPAPAQPINGKRRRSNAPRKSKGGNVSPSRRSSVASAAPRPAQS ASNSRRQTPAVDQSLVDEEPYDPGATVDTFITSLNGKKNKPAIVFTSKVGKLDENDEK TQRRRQAKEVTNGKILEDAITKKDASGQWEYSNARGLVDQPLADESMMMETPNALATR LRATLPASRSTPAAREGRNTRSARKRSHDEVEDPTSPITPGFPNSAAPSTAANSRAGT PATLRPAKKARTGLRVKNSPMKKKTGPLAGIPRPSGERSSPVGNGPVGNPQDDNDDYC ASCSSNGELICCDGCTRSFHFNCVDPPLARDAMPNEWFCNVCRMTRELQPFREHTGSF ALLFEKLEAKNSTAFALPPDIRNCFEGVRTGPEGEYEEILPVVKTARKKKSDEEAPDF FRLRDAEGNAAICHSCQKHSASDRAIIPCSACGIFWHLDCLDPPLANPPVLRTWKCPL HIDELLAEMPEVLAPAHRVRKPKTASVIRPAFSRGFINDGYIDVALEEDTPASSWRNS EAYGRTVRLPERGIRADFLSRARFNRKGKPIPPLNAATIPAVPLTQRTLEEQQAVRNL AQLSGQGTAPITTLIDTLIAQADPCVVNLMSRGSADHFQSAEQLTRMDQQSLRAMLAQ AEVMSQQIRQLLSSGANGTAPAVPSLTTSMSIDGDSEADKVAPSPAATDDVPAMTQGE KTPALGDQSPEAPLEENPSKDANLPTTPTKATSVGTEPAIVESNSDDKNSVDGDVMDL E QC762_708460 MDPLPLPTSLAEVEALIRALYQPNPPETISRIQEVLQRLQRSPE GWQLAESLIAHPEDNIRFYAALTLIVKLNRDSAGLNEDDAKLLLENIISWTIQSLSDG AAPFVTKKLCSALITYFVLFSHVWPACVRHFIYCLDLGRGVPVENLDDALSTDILVGN LDSQKLKVAIWFVTSLVEEVGKTDMNSIKYADVHQRLAKNGQDATCLLARGFAPPIDD VGLKTQEETLACFQAWILYAQRASSDVDLLVTPLRQLVDPALQCFTNEHLFQATAELF SDVLQNYSGFFTKAHYDALSSFFESQWAANHYQQILHGNHREDGISFGLLMLAYGDAQ VQALLEGTDERSQRFLESLSGLLATDGYLVGDDAIFVPALEFWSTFIETMIDCTFSDG DQSPVWKPYAERHLKAVVTNSWRKIQWPSAEIFAEWDSAERAAFCDARKDVADMLQSV FTLEGLDLISFFANLFLQALASQSWAELEATAFCLGALSDCISEDSKYDAELSKVFAS QFFDLLGQGQTAVPLRLRQTGLSLIERYCEYFERHADYLPNALNLLFAAVGDSVLGGP SARSISTLCSSCRTILTGEAGTFIRHYQDIRGRQVLDALAEERIVLAVASIIQSITDE NEKLQKFEELYTIFKKDFEFAVQLRSQPGLVDLTNPNVLRGLDPPIPSSLPLVEGISL HIALRSMRCIASMSKGMQDVKESPVDLEDESQVARTSEKLAALQADIVSLLIGVQQVF STTGEIVEIICNILRAGFSETEPGPFVFPADIVTNYFVQQPFETPRMGTLLSTACSFV GSLYRGPKAIVPNQLVRLVPWVIALLQKLPAPEPEADTEISQNGICLIDRVLSKYPEV LFQVQPGQMLEFFFMFTLKVLNGKEPLPKTAAADFWSNFITLKPPSPDLASHVSNAMS YFGPLLAQTLINNIGGNAARSELDKLSEPLKKLVTQQVQAQAWLEGALTRTDEGENGG GFPSAAAERVSMAERAAFLKKVVGLRGARQTNQVVREFWLLCRGSSFAYVS QC762_708470 MRHIWAFPSLTALSLFQASAASAVPRARQAINTSSPLALFRTKR RFLTQAHLLSNSATKTTLVEEMVTVDTTSRLAALRSLMKERNLHVYVVPSEDSHASEY IADCDARRTFISGFSGSAGTAIVTLDKAALATDGRYFNQASKQLDSNWYLLKTGMQDV PTWQEWATQEAEGGKLIGVDPQLISSAIAEKLDEDIKNAGGGGLVGIKENLVDLVWGS EQPPRPSNSVFLLGQQYAGKDTAAKLADLRKELDKKKAAGFVLSMLDEIAWLFNLRGS DIAYNPVFFSYAIVTQASATLYIDEAKLTDECKTYLERNKVTIKPYGALFEDSEELAR RAEADSKDAKPRKYLISSKGSWALKLALGGNKFVDEVRSPVGDAKAVKNDVELNGMRN CHIRDGAALTEFFAWLEDQLVNQKAQLDEVDAADKLEQIRSKHKDFVGLSFDTISSTG ANAAVIHYKPEKGACKIIDPNAIYLCDSGAQYLDGTTDTTRTLHFGTPTAKEKKAYTL VLKGNIALDSVVFPKGTSGFAIDVMARQFLWKYGLDYRHGTGHGVGSFLNVHEGPIGI GTRKQYIDVALAAGNVLSIEPGYYEDEAFGIRIENLAIVKEVKTEHSFGDKPYLGFEH VTMVPYARNLIDETLLTPNEKDWLNRANKKILEKTLGYFENDPLTKAWLLRETQPF QC762_708475 MLTTLTKLSPSTVSPSTTKMATRLLLSRTLRPALSLGLTTSLLA IHNQRPMRMDAIPSSTKSFSTTRPERKDRLDPEIIKQLSGGSLSGFAVGLLVSVFSKT LVLLAGIGMLTIQVSRLSLRTRPGQVPPPP QC762_708480 MPRPKRDRATTRTRPMATAATGNSSSPPPIPVLPKPAQAERAGT ELPSDIYDVSDAEKERRKLRASAKKTTAAEQQHTLELEPDQVRALDSSRKQRDDALAR LRDVTSASTDGSELDVTLGSLGDDSSLGLGDESTEVEGVSRATDTSTFNIAGFKRRPR QSSVQGRGESGLIRPSSRGQGTPSISTTISFGRFKRRQREPSILGTGRKERRVRSVSR GSQAGRNRDVLGREDEGEVSPVDGGKRRSTRGRSRGVESVDESPVAAGSRKRKSLESH EDGREKRLAVEGGDMEMGIGDEPDLDFDIDAELEPRVESPAREQQDGDVHQSIELDEQ PPISSPLSTPPRELSAPPQLSEDNDPDMAPPLSSSPASEVGSPVAWPSLDALAQRKYN TRTVPSKTPELEDDGMGSSISSPPSLTHSPNYRAKSKPPVVKKKAPPPPKSSADLASL LPRRKTSSKNKNRKGSHSADPFDIDDGEASEEEEAPPPTRRAAKKPLSKTASTANKGK QKESAVAADPKGKKKRVTRTYGSKAHEQDKENDGDVDGDREGDSIVVGSGSNEPEDEE EEELPDAETTVMLKERLGEELQKAVKKFKEVDQWELSFEEVEGSSSPMRDAR QC762_708490 MDPPPPPSSSSTTTHPPTTPTTFPSTSFLPPPSSIPRPPSPVQP PPRTFTHPPFTITTLKAPILKSTPIDLLQSRLGIPIPEMIFGDNHLTLHHTPTAYTLT FTPEPALDLVDKTGSTGLLKVHYATAWSATREKTSAGIKEVVKPFDWSYTTSYRGTET PGLGGQKLQRDDKARIPVELLQRRDPILFADEVVLYESELDDNGVSVLTVKVRVMEQR MLVLCRFFLRLDNVLVRVRDTRVYVDFGEERVVREYTAREGEFGEVKKKLYMEGLMPD QITIAFRDANQIAHLLPVVEHEVESVCLAGETGQTQEGR QC762_708500 MASRTLESRFERMSVKDENDPFETIGTTTTSTYQKAKTVTASSA SQLSHSSSRPNLFKVALQPQNANTVTATVTLPSQAAQRKQPTTSASTAIPNKQREKDT TTTTNNNNNEIPSPTKHKSTTSLSSRQSDELVLTNDGRSSSSSTSYVDAPLIPKQFHL GMFEIGRPLGKGKFGRVYLARERTSSFICALKVLYKSELQHGTGVEKQVRREIEIQSN LRHPNILKLYGHFHDSKRIFLILEFAGKGELYKHLQKESRFPEWKAAQYIAQMASALR YLHRKHVIHRDIKPENILMGIHGEIKISDFGWSVHAPNNRRKTLCGTLDYLPPEMIKS GSKDNWYNEKVDLWSLGVLTYEFLVGQAPFEDTPIMTQKRIARADMTIPEWVSKEARD LIKKLLVLDPEKRLPLEEVQNHPWIIKHCVKGERASNREKLSFGKS QC762_708510 MSSQPPPQPSPPTTTTTSPDPAASSTPPSQPQQPPGYLTNDPKR TGYDPSLAWYFNYFRILTGRVTREGIEHYREDRYKANEARDCARCEADRDWLFQHSPV VRYLREKAAALNGDLGPHNVVCRRCPGRIAEDGTVVRQTGGFSPDHGILLCANEFRDR SHLEDTLAHEMVHAWDHLRWKVDWMGGMDLKHAACTEIRASMLSGECRWTRETITRGN WTLTQQFQNCVRMRAIQSVMNRPTCKDDVQATKVVNQVWDSCFNDKRPFEEIYR QC762_708520 MEADSESSMRGPRPLGVVIKLGTSSIVDEKSHEPLLSILTLIVE TAVKLRKDGHRVIIVSSGAIGVGLRRMDVEKRPKHLSKLQALAAIGQCRLMSLWDSLF NHLRQPIAQILLTRNDIADRSRYLNAQRTINELLDMGVIPIVNENDTLAVSEIKFGDN DTLSAVTAAMVHADLLFLMTDVDCLYDKNPRTNPDAQPIEVVEDISSLVADVSTAGSS LGTGGMSTKIVAARLATSAGVTTVITKSSNPGNIVNIVKYIQSSRSPGSPSKSGSDSE EGDTPEIMSSSTSSLKKPAIPLHTRFLPSPHPVRDRYFWILHGLRPHGTLFIDTGCYK ALLNKAGLLPVGVVDVEGTFHQQEAVRICVVDKKHPGQQPQEVGRCLTNYSSAEVSRI KGKHTSEIPGLLGYMDSEYVAQRESISFFSGFHGGGVSRPVTPVGSGVNLAGMVTPKR RGAGTPAQEERRLEAEDKELEDEGLVMRGKKEEGQQVRFVEEVKIVGEEGK QC762_708530 MRALTDEEMKTVLDKLANYMSDLKSLIAPLEDGDRYVFRLNHSR VYYVKLSIANLATSISRDALLSLGTCLGKLTKTGKFRLHITALPILSQGARHKIWIKE NGAQPFLYGSNVVKAHVGRWSEDCPEHQGCVVYSMADIPLGFGVTARSTTEARRLDPT GVVCFRQADCGEYLRDEDTLFAG QC762_708540 MIFTTRKTIGHRASILKSMIGLALCAVPVVAGSNGIVARGDEVQ ADTLCTTSSISVPVVTAISSTESSCTGGSVTVTVTVTTLNSDDPMQTAGPPLSGSLSS GDNMVGTVTETVTSTGTITETAMDSTSTDVETTTTSDHGYLPFIGSISSIHEQPSTVN TISSTNTATKTLTDTVSLSANSTVYVSTTVTVATVTVSGTAGTIGTILPSLGHGNGNS TFTYSVPSTSVYPSSGSANNGGGRGAQVWYCIVMVVAVLGYAL QC762_708550 MAPRRSAAEEVEEEESETELVALHFNESLTWRPGKPIPLDKLLK HLNTLSKELEELDQEVVDPNSLTKVAKEVASHQILSHKDKGVRAYAACCVVDILRLCA PDAPFTPTQMKDIFNLTVTSIIPALFDPSNPYNTQHKYVLRSLTEIKSVVLLLDVDGS DSLLLALFSNIFDGVSGVKSASGEQVAKDVEFSMAEMLGVLIDEAATLPAKVVDIIMA QFLRAAGPGAGRRRRDHVQIDDNQATLLAKDEPEAYQIAKNLCQTFPDKMARFVSQYF SDVIVDATSFAARPGGHKGADDEDGDEGPSGPSESDLKELSKAHDLIRELWKAAPQVL QNVVPQVDAELSADNVHLRQLATETLGDMISGIGAAGPPPPPVLDPAAYPPLSMDAEE NVEVQGLNTFTKPLSAMSFPQTHSLVFHNFLSRKNDKASAIRAAWTTAVGYILSTSAG GIGLSREDEATLIQGLGEKLSDSDEKVRLAAVKAIESFGFRDVILKLGPNGGVSREGS ILSTLADRCRDRRPAVRVAAMSLLGKLWAVGTGELLAGNEAVTAALDGVPSRIYNAFY ANDAEVNALLDRVIFECLIPLNYPPAKKTSKSANGSSQSQAAAAAAAADADAIRAERI LLLVRSLDPMAKKAFFALQARQPQFAQILETYIKQCELFNGGVMDDNADKKQANLHKT VRYIAQFLPNSPQSVQDLLKFAKANDRRNRGLVRYIIGQEHDFKTVHNALKELIKRIQ GGKDSTIHETLLPILYRSGRFIFNRSHLATIMDYSKSNKDGLGSAAHEVLNEISQRNP DLFKTHIGQLCKDLVDQAPYENRENDPSVAETLKACSTYARKYPKDVPMDRDFVHSLV SFALYGQPPKVAKHAVNILLSKQDSKSTVYAQDLLQRIFKDWTYGSKHFLNKLSAVSQ LELLAPKVAQDAEDKILEMIQKILLEVRTEAGDKDPEWVDDAELEEECQAKCLALKSL ANKLRSMEADEAKENGAKIWKMLISLVHNKGEMTKTKNTPKHHKSRLRLLAAQLILKL CIQKHFDELLTPEDFNTLALTTQDAAQEVRHGFVRKLQKYLADDRLRTRFYTMIFSMA FEPNAEFKLRTETWVRSRARHYEGTHQHVLEAVLPRLFSLLAHHPDYSSDPDELVDHA RYILFYVSLVATESNLGLLSKYAERAKQTQDALNPKSSGHRVLCDLTQAVIRKWQEKK NWTFNAWPDKVGLPKGLYGPLKSHTEAQEISEKVLVPDEIDEKLDDLLRAMDRKKKRK STTDGSAESRPAAKKSRVQPKEPRIKEARKIATPKPKKPSKPKKAPSSPKSSAISDAN RRRSGRSMAKGNQSYMERDSSEDDEEMLDGVAEWAYENGEEDKEAGSDEEVETGNEGE KGDDENSSELSEPEEVEEDEEEGDVEMTDEKTAEEEMEEEQEVEADDEKEEEAEVEVE EEEEEEVPPPAKANGRKGRSAAAATKEKEKTKPAAKEKAPAAAKKQTTLPTRTSGRAT RGRAAAA QC762_708560 MRKSITSRLVRLPLEAARQTPQRSFPAIFARGGTSNGLVMFEHD LPPRSHWPSVLPKVMGSPDPHGRQLDGMGSGISSTSKICVLSPPSRRDVDVDFTFVQV GIKDGVLDMGGNCGNLSSVVGPVAFDHGLVKRRGVGFRKEGAEEEMVGCVRIFNTNTG KVVESRFRVGGNPLRFDSEGGYEMDGVGGRGSRVVMRFLEPGGAKTGRTLPTGRGVDV LRLRGGRGVEASLVDVGNPGVFVRVDDLGVEGWEGLTPGRVEGDEDLKGKLEEIRQAG AEMMGLDPRVESVPKVVMVFPPEVTAREGGKGANIKCLALSMGQAHKAAPLTLALCLG AAARLEGTIPNQLAVGLDAESGVVTIEHPSGKLDVGVTVEDGKIVSAELHRTARVLMK GKVYY QC762_708580 MDHFNATVKDGKLVNTRRGLQVSRQKFNGISFVNTSAQDSSSSG TQSFRLTKESPSKSTSLLEIKFADTSNELQSAGAATSVQEGQGRTPNFSFVSETGQQH QAKKQSRRRATPKPKGQQDHRRNSASPYLSSPDSRPPSRSSVATPPPRLEETAFQFFG SSFPTSQRQPQLQQQPFLSPTIQGYHARQQQHLQVNSLTAITSPPLPPPWPPAESATY PPPFSPSQSGWELFHHYNTHHLPLQLYPYEDIVTYNPARSDNNESSIGFSDIAAFHCV LMCGAIAEAVLNRQHHLASESETEPRGFAYHISKICAILNKKLDGSEGGGVDAITLHC IAMLAWMGCYVGRLDHWDMHMRGLQKVLDVLGGLASLPTWVVRRIHTADLKGATALAS TPYLPLTRSLIPSATTAILPPAQLAHTTHTINSTLQPLDIHPSVITTLITLSNFTSAV HLARQSTTSSMRFDPYIFTEELQSVTYDLLTLPNPLSKHSEETPLDITPTLEQALRIS SLLLLKDLLPDHPRNLGGYTTLVGLLRHHLQIVTQVVSPAHNLLVDPQLRQHHGRKKE LRQVLTWVCLVGDVATQRAKQNDCRYREAGDNTVLEEDQKEYSREIFQSCLVSVFGPD SNTEDLALVDLFKL QC762_708584 MVCMVAMERRSQVEPQTPYEADLEVFFRPENVAVINRLRHLFVL AGADHDFVGFKAVDYESLEPSGRLDIDKFLADTDDASRAEWNNTMSISSSVPTQQLHA VIKQQAVDARFLSSKNKLGARHRTEQEPLARLPPADQRLLHSEARLREVVLGRSTWEA ADVDVSESTGDDDIVWEMVPLSVSVDLEDPSLDRSKALFYRTLDISSSMTNKPYRQFI DAHGPVRSLSC QC762_708585 MSRVSCHKRHAIALQVLLATGQPLDEFGGVGKAALFCLVWAATS TRRFKFLSLGTDGSTFNNSKQLWLNIVEMYFSLMFQSLHTGTLRKYLGAERVRAPNLG VKIALPLYQGFRNDAIRATLTCLASDDPEVVGFAKEQLERNLAIGRAKLAELNWAPIT RAMKRLSPIWTGRTRNPDRSNGDPEEMKVMCVQCGFTTVDTTPAFHILTGAYVVRKG QC762_708588 MQALASAKIYVPLRVLAPDSRVSHAMLWSKARKPLF QC762_708590 MSNKPIFVATHPRACSTAFERVFMTRHDILHCVHEPFGDAFYYG PERLSERFEDDAEGRKKSGFSQITYKDVVDQIFDPAASEGKRIFIKDITHYLLPPHQK PVSIPPSLSSFASPADSNNPTVLPISLLREFHFTFLIRHPRRAIPSYYRCTIPPLSSK TGFHNFMPSEAGYDELRRLFDYLRAEGLLLPPSDKANGHKHDEDAVKITVIDADDLLD HPAEIIQRYCEDVGIDYDPKMLKWDDDEQHKRAKEAFEKWNGFHDDAIGSTELKPRVN GPKILTEEEENAQWKEKYGEEGQRLIRETVDANVADYEYLKQFTVKV QC762_708595 MNSLRTAAASRPLLAAMVTRPVGLASVMIARRPTTAVVAPRRLG PGLGLVVRTKTTKSALHLQMNAKKKADAIAEREREMERKMEEAKVLGERLYLWNHIQA NHVLWSTTRELRANKSLRQVQFTGKKNTLIKIRKDYWRPMATIQFPEGEGKAGLSVYQ KLVELKKRHELEWDDGGEEAKRLLNLTKKERGRELNDQKGNTVADMAFVLGGGGKGNK VARNFEEIREWREKISDDMKKRKELEKELEELEENKMKLEDTQREVQRKVKDVTEEEG EGEGQGEGEGGGEDMEVKETKKIAKVKKQIEEVKKQIQELQPLEEPVPVLHKVRVFWA NELDHHFAESWPDNVEHVLGLPEDDWTNEDLEKMIANQERLVDLHAVLAEKKLAKREE KAKLKEQRAAKKAKKMQPFLPTPEGETGWKSRPRAPKPFTGKAPKWAKEGL QC762_708600 MPSDKVPVVVTYEKPGTQPPIYVAGTFSNPPWTPEEMSYTTDQN GEHVFSKKVLGEIGSKHQYKFRIGNGDWWDLAPGQPTVTDSSGNTNHELEIQPPKESK PDSIEDKKLAVVGEEKSSDEPAGLPEKSQGQKESAEEPEEAEASKPIEVVEETQAPQE SVKVPEEAEPLKPTEVAEETPAPKKPVEETKEAEVPMQSIEETQVPKQPVEAAREDEA PKQPTETVEETHEPKEVEAPKQPEVVPQAPNEATETSEQPEASKEPTAVTEKSEDPKE STEVVEDLKESTEVSEESDVPKIRVKDSTTTNIVLPNGSILEAIKAQEIRTQSGAGTP DFVKTAAEVADSAALLHEEVPAKDPRDGLLERAPTPMSKTAETAAEVADTAEVLDRVD AILISEPQIDDDDNLLGPPSASQGDELPALKSPLFPHECPSPPTPGDAVERRESSDDR SPMEDIDPDQIDLNDPTLERFPSSREEIIDTVRKLETSLNEDQASFEGVPPSPVINSP LEGTQDITDYILGDSSIPTSHRGSQHLEVPRPSHGSASSVPVSPSLQVISEAEEPASE ENGTTPSPIVFSNPEMKSRPSSLKPRSEEDDEGVSLKDSISPRTAEAPKTASDILFRD TPPLEASGDAAPTKANGLSLEDHSNTSKVADEAEAQVPDDRSPAPAPVAVGERATDDE IAESSHTTALESANNTNSLKKRAAPAPEVEQEIPRVTSPVSNRTTGVEHNGVSWIQAF FRLLFVDIIGGFISRLCGGKKRNTT QC762_0111350 MARSGWDILAVWVDVQQLGGAWGGETTPAWNSAAGVCWHLAWCI APSSLFCRATLPRAIPAGLD QC762_708610 MAALPPDTIQVKRKRGIDDAPVDFLRVDRSKRYRIISEEVGWVY QRKQVASEQEKKPKHDASLGVPTIVPTQEGDEKRLNRPKKTPRAPSTNASMTLEPVPA LAPATVLAPEASDSSDQTVNLRKFHLSRPNSSQPSAGVTKKRGATAVFVERGPKRQKS AILTPQVVKEILDQPNTTQSSTSSSPAKDLPSSSQEAEKKPVVYKRPGTKPRNKTLAS ESGTTSKPSVPPSMHNRRADMDELSRVMDTWTLGEISKNLDRVEQLNAKSKSSPAKSR FQPKAPKLRYHERHPTENVAQQQRAKDQAAPAAAASTSAMDIDMIDTSDDDDYVIETY ERVPAERLRDQAVPAHRIGLLVFDNEPDVADFFYGNDDETDDEFPEDEDDENAENYYA HEYPDEELEWSDEFDHNAYHYAAQNFSENEEWDDRDFADEAWDAGDTVKNF QC762_0111380 MKLSRVGARLLAAATTIVQVVVLACDGCFGPIEEHASKHVRVVK RMQPGALDASYGPTRPLQWGQLNVLHTSDTHGWLSGHIKEANYGADWGDYVSFVASMK RTAEDLKVDLLLVDSGDLHDGTGLSDSTTPNGEISNEIFVQQTGYDLLTLGNHELYAS EVAYQTFNNFSRAWGDKYLTSNVEIMNPDTQEWEHIGKTHKYFTTKHGLRIMAFGVLF DFTANTNASRITKAADMIKQDWFKQAVHHPKPIDVFLVLGHNSARPGRQASTLKTVYS AIREAHPDTPIQIFGGHSHMRDFVVYDQSSTASESGRYCESLGWFSMSGFNSSNSGFT GPLNPKGVPNPNRTAVVANPVNPTPIDKRSKQKTSPFLYSRRYLDWNRLTFDYHAPGS QFLHNDILSHPNFISSPSSSSNSSSQLKQLGIQISSSITSYRHQLGLGKVYGCTPQTF WVTGAPFMAPNSMYTFLADAMAHQILNPKRAKVPRVHISNTGMARFDLHKGPFTMDDS YITSPFPSLVVYIPEVPWEMARGMLERMNKKGAGQGRRVEYNTTFVGDPKGKREFGVW QGEECVNPPIEQFRAMGKRKVLGKREEIMGVPVGNGGGGRQEVLEVGYTTEDDFGVDG DDTIHARIANYEIPAYFGTTAGFPEWKKGGRKGRRQEPRVVDLVFNDFINDDLLAALG GNYTADDIKFYMEPSNYTLRDFLVPYVEQNWQAGMPMCEISGRRPHVG QC762_708640 MIPELSMQSRPSDANTRVPSSVASPVAGYPAGAITPPSYTHSPN QNKRRRLSVGEDRDERGSQIPRVYPSPQREYHGGRGMSPAIASRSLNETWARSPSRRS PYASHRNLPSMRESAPIESSDRYMSRPTLPRLPTMNFDQRSATMPRIRGPSSEDDYPD NFRHIMGGHSSSNSGEGYPPHHRSSAYFGYHHPSRVQSLSMSSVGPFDRTPFSAGGYG SGYPEYMRVGELGGMGMNGENKQRKRRGNLPKETTDKLRAWFVAHLHHPYPTEDEKQE LMRQTGLQMNQISNWFINARRRQLPVMLNNARAESDAMSSAGRNADGKLLTSTERGEY DMGLGKRDSPMSDGDGSAYEDDLESLKRRHAVSMSRGSV QC762_708650 MASTYANGSLNGAANGLATHAPTSRRFADIPQTIDIPVQDSAQD QDEDPQAVEVNLEELADDPTELCTLLEMEHAARTYWMTVALAYAKQKKVDFAIEILSR GVVAMQSNQREKLTVITCLCWMYLWKSREAPRVAPEGTDARTKEYYLQQATQSLNDAS RLNPAFPPLFLARGVLLLLKASLQSPSQDPNGVDVQKSEHVRNALKSFEEAIRVSHGK NMLASMGKARALFSLAKYQESLAAYQDVLAKMPDLVDPDPRIGVGCCLWQLGYKDDAK GAWERSLEISPDSKIAKILLGLYYLDASSQVPTNSPEFLRYYKKAMTEYTQDSFKRDK NLPLTCATFAGYFLARKQFPNVDSLAHKAIQYTDVNAIASDGWYLLARKEHYEGNLDK ASDYYRRADDARGGVERGYLPAKFGLAQLSVLRNDLGEAKLRLEKMIQQSKNLEAMIL LGTLYAEEVFANQTAAIKEDKTAETKKALTYLEAVRTAWKDPKKGMLPDAAVLLNLAR LYETEFPDRALQCLQQVEQLEIDQVPKSEYPADAEDQAVIRSAIRKHLPPQLLNNIGC FYSQDGKHQLATEYFQAALDSCARISSLNDTEVDTDALLTTISFNLGRSYEYEGDVDK AIETYERLLSRHSNYTDARARLAYIKLRKNPNKEGPDAVAKLYQDNPSDLEVRALYGW FLGKLSAKKRPANINEDPEHRHYKHTLQNYDKHDRYALVGMGNLLLGSAREMRRESEQ DRQKRSSMYSRAVEFFDKALQLEPKNAYAAQGVAIALVEDKKDAKTALQAFLKVKETV QDAHVFVNLGHIYTELGQFSKAIESYEIALSKEGKANDAGILSCLGRTWLNKARTDKK FIEHYNMALEYAQKALKVAPEQAHFKFNVAYVQIMLADTLRQFASQDRNSFQLEQAAE GLEQAIKTLDEIAAGPNPPYPKHDIEQRANMARNTVRNQLNRALQAQKEYEAKNKEKR DAAIQQRQAELRRKEEERRKAEEAERLRQEKIRKEREEIAARDRILAEQRAEEEKARM EAELTTDSETGEKVKRKKRSGGGGGERARRERSGEPSTQRPRKGRAEKKKKGSRRRDE TGDEESDAGEGGGRAPPKKRVRLASRKEAEKGGKYKSAEIVVDSSDEEGGGYNGQEDP LERTERKMDRNSKRSSRSEDRSSGTEDEEDTYRRGANTRRQRSEGSEDEGDRMAVDRE SSPQIRDDDEEETVTRRQAKRSRRAGRVVDSDEEEEDEGGAGEGGGEKEEEQREDKAD VNMADAGDDDEE QC762_708660 MAPIIYLTSQVPRYSTTRRDVDPHCYGWDCLSEGGQVGVVLVII FSFGLGWYMWRKLGQPETASSRRWSMITGLASLPRLSRPGTPSGRPESRRMSGSIYRQ GHRFSGSIHRRSQSRPISLESIREERDRQPGESESSASPQCPSSGHIEMVKTVGGNHQ PPVIPPPPGPAPMLWTAGPTLVFIHPPAYPLSFSNSGRANEIRFSSAVGQPVPFQPYP FPYQYGPGPLPPFPKGMGIPPNGPVPPPNQGNGPQATDNNPTGPSQPSAPSRPAENRR RSWFPFFHHKRPTPGHARSISESAISTLQPELSSLRLPPMEEPDINRLRHQSSIRLID RSPSPRQHSPCPYPRIGYCNQSPSHNLDSDSEGIHPSELSLTSVGTSMRVHDGIPPSE VSDFGRDPHLEEYSPERRRQRSLKRSGDPKRGNESDADDEEDGGRFQGRRERRRGRRR RGPPSEDIQFPSPEVQRVTFAEPRRESGGGRERGRRREMTPAPKGNRKRSGERSPERR YRDRSCERTREGSLERARRRSERSPTRQRREQSREGGASLRRYRGRSREHSPRTRHRN ISPDSSDERTCTPPPRRRTQPRERDGVHHSRENHRSRNNLLGRLRAFRMEMRGERY QC762_708670 MPVYFEQDCHTRRDIVTVTATTTIISSIPTPEKPSPPEPRARYP FQPYHPLPPRALINSSASITTSIASTKSATTLSSTTSATTSPPSSKTASPPSSITTTS TLSTLSSISRTLTTLPSTSKTCPVTTIIVTAPPVTTTSTSTFTITSTIPFDNSTTPCS GQICGAYTPFPCGGSPLGSCLCGIDSNGKSFCFLNDHCSAEKDCTKNSECDSGHKCVI GSCCTGGKCVKEMRDKCINPQAPEIIFGLRAEMRKAKCSNATPGECK QC762_708680 MSSHRDPRFTQNKPQRNHLHAPTNQGMIQHNSEAFFIDYTPGNP EHLVAGGYHSTFGAGGLARLGPEYHPTNTPSAASSSAFGQPDYSFATAGSTTLSTARV RSREHPNVYPLNTSQPAFPPAATLVDWRLSPYTTDAVSPNVPVSIAAHSLSTTAGVIG YASQPNVTDPYMGDYVSPTAPLPPPQQPGFDFQPWPAAVDDGTMSLGTASPSSLMSPV MQSYEMVASPTGSGIDESSMGMYGGASVENWQLPPQPPSRTDSMEMGTSSPGGGGKRA KQYAEQSGASPVTAAPKSGAGNSTTTAAKTKLRSASRTSKNMQTRTEETPQERKSRNS HNLVEKQYRNRLNMQFEILMNTLPESMRSPTTTASGADSDVGQAGGGQGGAGLDLGER RLSKAQVLDMSTRYIRSLEKEREKLEREREELLLGMAKMREAYEKDGTGGGKGKGAAG QC762_708690 MPPQQAGRRPSRPSGAGRQSNVQPGDPVPQGRKRRYRPGTLALK EIRRYQSNTDLLLLKLPFARLVREIAITCRPMNDELRWQSQAIQALQEASEAFLVHLF EDTNLLAIHAKRVTIMQKDLQLARRIRGIWGGAGWV QC762_708700 MDGLMDNNGRFPLEAWFWEMPVCTRWWTTATVLCAGLVQCHLLT PFQLFYSYRAVFVKAQYWRLLTTFLYFGPFSMDLLFHVYFQQRYSRLLEESSGRSPAH FSWLLFYAMSSLLLLSPFIGMPFLGHPLSSTLVYIWSRRNPDTLMSFLGVLVFRAPYL PWVLIAVSYVLHGLIPKDEILGVVIGHIWYFFNDVYPPLHNGSKPLDPPMWWRRLIEG RPREEETNDETANGVDNEFAVAGAGPEVPAPDMR QC762_708710 MKAYIYDDLPGDQRLPHNSGTPISPSELLSLGVLYHHIPSLSDV DALAAERGYKNRDEITVSPEAMGDIYETKVRSFFDEHLHEDEEIRYVKDGKGYFDVRD KDERWVRIFLEKNDLIILPAGIYHRFTTDDDNYIKALRLFKDEPKWTPLNRTEGLDEN AYRKEYVQQFLAA QC762_0111470 MEENMLELDCTVITPELVLKTSGHVDKFADWMCKERFVIARPIF ARIERDTKKLTKNSAKLDGGPAADAYDLTVHLAYTGSPLIVKEALSEPVKVEGWQATL DKKLIGPRFKKDAKVIQSTVEALDQPTLERLASEIAEKGIVSIDTPALGDGRTSVELS KELLTIAKVTRVQNTRVYTPNVIEPSFGIGRILYCVLKQTYWHRPGDEARAFLSLPFG VAPNKLSARLRSLGISNNADSSSASIGKRYARDDELGTPLGITIDFDTVKDGSVRLRD RDSTSQVRASEDGVVQAIKNMVNGMETWEEVSKRLPAFVGQAE QC762_708730 MSDQEEMGGNSGYSTPVPELDDHRNQTASVHRPDRARRGTFDSL YGARQVAAVAAGQPVVRDFEEAIIDDEGPENSPTLRRARRPTIESISDRSSSPPNSVK AFAEARRRERDMSFSEPKPEQNEQEEPPLQRTASIVSRHSYRSKQVTVAASDDAASLA TNKSAEEDVCFPLQDERSGATLHIDFDYLENFMKAESEAREAARRPSVLRTFPDVRPS TNPSNVPTPMITLDGDFLSPAFDAESHQEKSEKGQDEEQVKQVQLPVLQPDPNRVSFF SSAWESTINAPDLEGLLVEGEDIRGLFSFPKGETDGVWWLDMKNPSEEEVRAVCRAFG IHPLTIEDITTQESREKIELFPSYYFACFRSFFIENDEDGEKEFKPFNIYAVVFREGT LSFSYAKNSHASHVRKRIAMLKDYVSLSSDWICYALIDDIVDSFAPDIRSLEDETDQI EDEVFIARTDDMAQFLRKIGTARKNTMALMRLLGGKADVLRGFTKRCNENYKVTPRMD IGMYLGDIQDHVVTMMNNLGHCEKMLSRAHSNYLAQISIDGIAQGTNTNRMLGKVTLL ASIIVPLNVVTGLFGMNVRVPFADGDGATLAPFFGILGSLLTFCILGFWLARHYRMF QC762_708740 MAEQLLEQARDIFEGQIDFEGQKLVENLVNISLSIVGAIAFLVG YFLQDIKLAVYIGLAGTAATFLLTVPPWPIYNKNPVEWLPVAGAAPPTNIVIDEKMLR QC762_708750 MADVDMTDATDAPASAAPVTKKKGTAADGEGKDGKKRFEVKKWN AVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGICNHAFHFHCISR WLKARQVCPLDNRDWEFQKYGR QC762_708760 MLQQQQRQPHEQLRAKHTPGRRRPKRPGNSPALKNYASENDVPS DACAPVDLGIPLTPQKSVSNSPAPVSSSQAQPNQSGKPKGRTANNKPRPKSGPSTSPV PARHGRNSPPESAPAKAAGLPAAYAGATFHASPAPASLPIPSFLAAKALDSPGIKDTG RVSSEPSPPATDTEASTPRQRIMSAEITREESPLDFFFKAHRAEKESEARRASTANIS IPPSSMYSPPAQPQSPLAPRTVPNGIDARRHQRPVYQRNGSSGISTSELDGNPRAPIG PAFSTPYNDRLRAAGRINEKQANSPQSTFHQQQQFAPPQQSSPADATERLKQYLAIGS QPPIKLDFTKASSQPKNQAPPKPITLEYTRSGPAPDIPRQYPVAGYARPEYSRPADLV DAEDHLRRVLKIDGLNLGGARLPTNYQSS QC762_708770 MATHNIVVFGGDHCGPEVVAEAVKVLKAIETNVPSAGKFNLQEH LLGGASITAHNSALTDEALAAAKVADAILLGAIGGPEWGPSSPVRPEEGILKLRKELG TYGNLRPCNFASESLVDSSPLKAEVCRGTDFIVVRELTGGIYFGDRKEDDGSGFAMDT EPYSRPEIERIARLAGFLALGQNPPAKVWSLDKANVLATSRLWRKVVTEVFEKEFPQL SVNHQLIDSAAMLMVKNPRALNGVVITSNLFGDIISDEASVIPGSIGLLPSASLGGIP DGKGKCNGIYEPIHGSAPDISGKGIVNPVGTILSVAMMLKYSLNLPKEAAAVEAAVKL AIDNGVRTKDLGGSASTTDMGDAVVAELVKLLKA QC762_708775 MPENFFTRNSLPETTERLLFGTALARFPTSVPIEPAVQGYCSYT LLNTTQQLVLQFRPEKHAIDLEVAALARGVHGAWAPETEFLGFVGGKEGGRMGFYCHG LIPGRILAGFRTGEGGVEVGGLVRELAEFYAQAYRYGGCGGERRGKVGGSLEGRLVML SEGLPARFRPFVSPVLGELSGIVELPWVLTHGDFSADNVMVRLESAEKRKERGKGRSR GGEMSKRELRLKGVIDWAEAEFLPFGTGLYGLESILGHLDRLNTSNEEEVGGRSRLRD IFWSELASLIPQLKTDEEFYERVKLAGLMGVLFWHGIAFDNGALDRVVEEGRDDEEIG LLDEILFGEGSEDFAELKSKRVENSKK QC762_708780 MSPASQPIQITKKRLVICCDGTWMNSDTGYRKSSYRNPIGKTAV PSNVTRLSRSLRRSCTDGTLQIIDYHSGIGLSGSFADVLSGGAFGLSIFENIRSAYSF ICANYTDGDEIILVGFSRGAFTARSAAAMVSDLGLLTREGMEYFYPIYKDRQHWRDTK YKDPFPDTPFSDKPKGDDASRKYREMLVEGLTRIHQNHENRPLIKVRADTIGSLGIPH STAEFRLFDTDLSDRIEYAFHALALDEHRSSFIPTVWERTVDNLGATDLRQVWFPGNH GNVGGAWKDAGISDITLAWMMDQLASIGVEFDEAVIMRLFDQLEHSYRDMAEKDPKCT HAQKHPRPSRPLIEVEPPPIESNNLPEWVRFRLRSLSTATDTGKKIIGEKHWAIKPIC ARNIPLRPWALGAIRGSKAAGSKVRSPCAYKKRDPITGKKTKDFLEDTNERMHSSVRV RLALEGLGLNDKGVWEAPALKGKWALRKTTREFLDPIPKTVTSWEKSTASAATTSWKK KLDLSNNQTAQKQDDDESLAASNAATLVETAVEQQRPLQAMDNKGYRWVWEYCGNVKD APPQKVMVEEQLGPFERQLLRLLGGVPNVYEFAETVEGMDGRKV QC762_708790 MTMPIPTPNERIRRFKEALSIVYGPFDNILSSPDPEASALSWTP PSSPGAAGHLGRYLWTDAFGVVNFITLSKELSCPPYLILAKRLVTTVHETLGRTRDLS SRLPGATDAEPLKGGLRIGKLHSEEEDPRDGDGQYHHYLTLWMFALNRLALATGEKQW SLLAVQLAKAVHNKFIVRNRSNHHAERMVWKMSTDLSRVSVPTEGHLDAATGFVVYRL LQRTAEYMHGPPGDLVSEIADYRLLMGREGKMRVSNDCLDLGMGLWICHFFRDEDWAR TLGSQSLEVGKILLDGKRGVASRDANRRLAFREFGACLGLRCYGCGADAELKESVKGV LEFWQRYLEGSTDEDLKPISLVMYAAAGLPGAFEDGYLGRG QC762_708800 MNSKMEFTDRAKKALEDAMVLAEQYAHSQLLPVHLAVALLDPLP DQSKDLQNAPPGTTQTLLRQVVERAHGDPQLFDRALKKMLVRLPSQDPPPESVSMAPS FNNVLRKAMELQKVQKDTYIAVDHLIQALSEDVSIQGALKEANIPKPKLVQEAVQQIR GTKRVDSRNADTEQENENLAKFTIDMTAMAREGKIDPVIGREEEIRRVVRILSRRTKN NPVLIGEPGVGKTTVVEGLAQRIVNNDVPDNLAACKLLSLDVGALVAGSKYRGEFEER MKGVLKEIQESKEMIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIGATT LAEYRKYIEKDAAFERRFQQVLVKEPTIPETISILRGLKEKYEVHHGVNIADAAIVAS ANLAARYLTSRRLPDSAVDLIDEAAAAVRVARESQPEIIDSLERRLRQLKIEIHALSR EKDEASKTRLAQARQDAENVEEELRPLREKYERERQRGKDIQEAKLKLEALRVKAEDA SRVGDHSRAADLQYYAIPEQEAVIRRLEKEKAAADAALNANGGDSGGSMITDVVGPDQ INEIVARWTGIPVTRLKTTEREKLLHMEKALGKIVVGQKEAVQSVSNAIRLQRSGLAN PNQPPSFLFCGPSGTGKTLLTKALAEFLFDDPKSMIRFDMSEYQERHSLSRMIGAPPG YVGHDSGGQLTEALRRKPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRVVDAK NCIVVMTSNLGAEYLARPNGKDGKIDPTTRELVMNALRNYFLPEFLNRINSIVIFNRL TRKEIRRIVDLRISEIQKRLSDNDRNVIIKVSEAAKDKLGAQGYSPAYGARPLQRLLE KEVLNRMAILILRGSIRDGEVARVDLVDNKVTVLPNHQESEASDEEMMIDSDDALDEI APDSMDEDLYND QC762_708810 MSSSLALDQADIEKLNDKDKAELRQFFANEEQKSKIQSQSHALT SLCWKKCMASSSTFKSGALDGTEKACLANCVERFMDVNMATVRQLAGMGGRH QC762_708820 MAPKDVKKGGASKAGKGAQAKKAAQAALKGVHAHKKTKVRYSTT FHRPKTLQLSRAPKYPRKSIPHAPRLDEHKVIIHPLNTEGALKKIEEQNTLVFIVDVK ANKAQIKLALKKLYDIDTVKINTLIRPDGSKKAFARLTADVDALDIAATKLGLV QC762_0111600 MALKKKRPQKSLAAGRPPILQRQPKSITRKSTKALINKHHLLEK RKKQALAKGDDAGVAAIDAEIQALGGLEAYQKASLQGQREDRGGDSSRVLMEWLQPCL TAHKEGSGRMLKMLEVGALSTQNACSQSGYFDITRIDLNSQGEGILQQDFMERPLPKD DAERFDIISLSLVLNFVPDPKGRGDMLKRTTEFLRAASRYLEAPSLTIHFPSLFLVLP APCVTNSRYLDEERLVGIMASLGYAKVESKTTQRLVYYLWRREGKGIARRFRKEEIRA GSTRNNFAVVLGSLTSGNRVLPRHRLDK QC762_708840 MASSGQPKVVLLDIEGTVCPISFVKDVLFPYALSALPATLEAQW DEPEFSQYRDAFPAEHASSQEALTAHVKDLMSRDVKIAYLKSLQGYLWESGYKSGELK APLFDDVAPKFVQWKKAGEEIMIYSSGSVAAQKLLFKHTNGQPADLIPEISDFFDTVN AGPKQEASSYQTILAAHPEFPEANSWLFLSDNVKEVEAAKQAGMQSFVVERPGNAELS SEDREKHRVIKTFAEI QC762_708850 MECLRDDFQRGVILGGRYQTISPLNHGSFGQVLLAKDLRTSETV AIKCITKQSAANDAGIEFAIDEKSEEIAVHKHLGSHPNIVNHLDDFETEHHKYLVLEF CERGDLYEAIRIDHGPLETEHVRRFMLQLVDAVEYCHAKGVFHRDIKPENIFLTQDGS MKLGDFGLATRDEWTTEVMVGSDRYMSPEQYENDGDGYSPAQADIWAIGICLLNILFS RNPFATPTPADPLFLDFTRDKQSLFDVFPMMSADTFEVIDHCMNLDPAKRSLAATRQA LLSVKSFTTQDEDLVDAFCSADRRVVASANREPLRTPSVQSPMVDTGAFPWAKALQAT PPKPIRQLSIIHDYDEGYDEDLFSRSGGTDWFSKPTQTPMSSFVDSSLGASIQSSNLY NFQPRLPAKALAKVSPMAGSLPINMSKNRNQSAMSWAFSRENNQVSKSWSDLWDDEEE EQQQEQARQLQALKEMNSRTWSHESQTETPVADNDDTPRLGLSPITKTASVVNLNDKE NEIPSIDAALGSAIDDDDFDSDGLFLYEAPPVKEEPQRPSRASPKRSGVDKWSVLGER RRACNGTTPSKAPEVTLRTGHHMGAGFPSNTPSKAHGVHDFFSGVDHHTPVSTFNLST HNKNNNNHHHYTPHRRGSNHGKERIKECPWSKGRDRDWNFDWRKDKRNAFGDLEWVGG WPAAARS QC762_708860 MASRNNPTTWDQYERGGMSRTGSVSSIGNRSVQFENESLLGRSV DSNQDDGEWPHLRRRRSSITNRLTALTDIGGVNSIRSFTRSWQRAAGFSEVIPQRPSF VFAPDQAHQPIQYGRSPIDTAEQGSAERTSLLHEHFQAAANQQSLADQPIREERESPE PGASFSPGRQVAAADYREREAKALEQELGGPLFRTGSHQSMSGTSIFAIPPHLATPSI VGSYGSNIDYGTIRSNVSRASMAEAAALWQQQQESGANVPDDEIAPILVKEVEQDGKI VLAVEGQSTLPQTVFNSTNVLIGVGLLSLPMGIKYAGWIIGMVALFLCAAVTAYTAKL LAKCMDLDPSLITFSDLAFISFGRNARIATSVLFTLELLAACVALIVLFADSLDLLFP GFLSVNGWKVFCAAILIPLNFMPLRLLSFTSILGIFSCLSIVLILLLDGFLKPTTPGS LIEPAKTYLLPENWLTLPLSFGLLMSPWGGHSVFPNIYRDMRHPYKYAKALKYSFSFT TVADNAGFIGRSMYFRGVMKVVVRVVVIIVFLVIAILFPAFDSIMAFMGSALCFTICV TLPLAFYLKLFASEIQSKERIAVMSMMILSTILSVIGTIWAFLPKSWIGAEKVAADPT YFQ QC762_708870 MWKRARESVCLICRSASTPTRALLEPAQPWLGQRTFATRRPERP SRMVLSDRVARGPSSPPGKGDAPKKPRNKPDGPWAGMNRTVANIDRTRSPAKFASTRG RDDGNGKDDRRGGKERDFKALKMQRALSTVPYSLRQAVKARLTEIESFDQFDLRQDVK DAVTNEVLKGMTDIKPTPVQKLAISAMLGNPLKELRIRRRSKDALQREEFLLAAETGS GKTLAYLLPTIHHLRKQEAEDENVTRYNERLQVEREHRNGAPVSEWIEKFEPHPNTAR PRAIVLVPTAELVEQVYKVAKSISHVAKFKVRPLSANYNPAKIQRNLYSHGGIDMIIS TPHILAKIAERDPNILSRVHHLVIDEADSLFDRSFSPETGKIVDRSLPSLKQMVLCSA TIPRRLDNYLDAHFPNIQRIVTPNLHAIPRRVQLGVIDVSKDPYRNNKLLACADAIWS IGKDAASHDGPGGPGEIDVKRIMVFVNERDSTQEVTDYLISKGIDAVALHRDTSDQRQ AEALATFTSNEPLRITKEESEKRAQLVKSRRHLPNTKVIVATDLASRGIDTLAVRHVV LYDVPHTTIDFIHRLGRAGRMGRRGRGIVLVGKNDRRDVVAEVKESMYMGQALI QC762_708880 MDDYMFKSYVADCKVAQEATSYLEIFNYSDPTLSTVEEHRFRLD ELPDEFGNFCHRRGAFAPPKLKPGVSLFSGIRLILQQNAKHPETFTPSYISLSHSNYT LMVRALKLPFRGIESTSVVGPFFWCALDPDVDPSSSSTPPNLQLIFRKSDVRKKGLTR GWELMLSHDFSTGITTGFAKGTPSSDMVTAIKHLKECAGQVLHPFLLPIIVLSHDLSA KNDQKQRDAREWLRKLEHAVSMRNEVLEEEAQYIKDNMVDLDQINRDLVECHSQVLWK RPQAYLEIVRVMKEGMAEFWAIVDGMMDSDGGGSRYRVGGETGKVHRSMLARLEFYRA KLNGIENYAHTTLERLGIQRAALYNIIAQKESKLSLKMAGEQRRLAHNAKRDSSSMKT LSLLGAIFLPATYLASVFSMTFFDFNDNNNHSRNNGPVSSGGGGEERENQVVSPDLWI YFVITVPLTLLIVLIWRIWDKRRDKKYEAEDADIEKGIEAMEQQIMTAMRKRTLSKVR TWEVGKF QC762_708890 MPLGEAIGNLLKKKRTKEGNQDSSTHSNPASPVTPTTAKSLEHP TLPLAPSQPVAASSQPASTATAHPGPAEASAEPPVTMNTNNPHTQGFSAPQHTPSPGT ENHQNIPRISNLINYPQQHDGPAGGYQQHMGAPAPQYTTHPPSTGMPGQQQLPLPQQP QPQQQQQQQYPQQQQQHGMLHQQQPHQPLPQQQPQQQVQQVQQNTAEQPRVTKGKYSL NDFDFRRTLGTGSFGRVHLVQSRHNQRFYAVKVLKKQQVVKMKQIEHTNDERKMLAEV KHPFLITLWGTFQDSKNLYMVMDFVEGGELFSLLRKSGRFPNPVAKFYAAEVTLALEY LHARNIIYRDLKPENLLLDRHGHIKITDFGFAKRVPDKTWTLCGTPDYLAPEVVSNKG YNKSVDWWSLGILIYEMLCGYTPFWDSGSPMKIYENILKGRIKYPAYLNPDAQDLLER LITPDLSKRLGNLYQGSQDVKNHIWFQEVTWDRLARKDIDAPYSPPVKPGAGDASQFD RYAEEQEKYGQTGPDPHGSLFPDF QC762_708900 MLFKSTLSALWLAASAWAAGQNDEGDVKSIGLRTHTLVQPYLDS DMQSRWYDFGGDTIIRTDQYIRLTSDHPSQMGWLFSRVPLTATNWEIEVEFKIHGKGQ LYGDGFAMWLTRERGKMGPVFGAADKFEGLGIFFDTYKNNRPGVVFPYVMAMVGDGHT SYDKDTDGKSTEFAGCSARGIRHATVPTKLRLTYFQDKYLKLELQYKSEGEWTMCFET NTPPTIPQVAYLGFSAETGELSDNHDIISINAKNLYTSQPNAGKSTPGSNKGGKKSYG GQEGGSSWTWFFMKIFFFFAVLGGAYVGFTAYRAKNQRSHRF QC762_0111680 MEIEGVDPSYTDEEGRTALIVAAMVENEKAVDILLEDPTLDPNH RDQTGRTALAWAALRSHRSILARLLAMESIDADIVDVNGKTPLLELCTGLDNWNSGAE SEAVIRQLLASGRVNINARDSEGRTAVMMVAKTATEALFRLFLSQPGIELEAEDENRA NVLLHAGIGENWRVVEILLELGRLDLNCKISDEASHELRLRGQTIFSMAMAHGPERVR ERLFMENDIDVNTQDRNGNTLLKLATEYGHASIVDRILGIEGVNPNITAHAGETALMA AINGLSEEMADTLLKHKSIDPEKRNPDGRTPLSLAAERGLLQTVRRLLSFDQVDPDSR DNDGRSPLSWTISPSWNLRPQDTAASRKKVAQELLETGRVDLSAEDTEGYTLVERAIS DFRGDDILELLLAREDVNLNCVDKQGRDFLKMVLDRREPVLSRKICGILGVCYEDLEI LDNPPVTHASEDTSSEGEDRVVMAMKWGRGLTGCRLELGVQREADYDGDRVEEWSLCK RCDTINLDEAFSRRSSSYWGNLIAKFDEPPSEKSQQSCGFCRLIASVHPAPSADSNTA EEQDQFELRAFSSLLIWLCEGSEDFYSNLPEDLIDTLFLAVVTSSGMKSDGYPDMQNM SDINGSETFEPVICSGFIARVGSNCSYRGRALSVKHVPFNEVDFGQIKSWIADCTGNH SDAVCNPTQVHEIPHFRLIHCATRDIVHIDTAPPPHTALSYVWGAVQDGPQNQHSLAG LKMETVVEDAIKVTLALGYEYLWVDRYCVDQTPGSVKDEQLRHMNFVYHGAEVTIIDA AGEESSFGLPGVSQRFRKRPPAIKVQGYILTTIPPEPSREIKSSKWATRGWTYQEGLL SRRRLFFTQHEVSFECGGILAREAITVPPILSKFSTRLRNTRNNRDRLQPSSWLFPLG GVTNMEEDDLGVHQRLSEYAPRQLTYELDALNAMLGVLQLYLSLPQPAYHLCGILIVP QTHRYDWSPGGPSVYVDVDPNTLAANLAGFVYGLLWTSRQPGTRRGGFPSWSWTGWTG TAKFEGPRRQWNSLVDVSIVEEGKKGLLSWGGYFGLGDWEKRYRFGHHHVLEVEGYVG YVTLREDTRYKWLDGFIAIMEVGDEWTDGDFVLTKRCDDEGGEGEFRKRLLRETWMAV VMTSNHVLVVEQQASGLWERMGVAEMWVGRWIGPQPDGGEGLERRRLLLG QC762_0111690 MGEAINYDDYIVAWMCFDELVETALLLMLDEEHGTIRSSRSKLK DVIQQFIFGRASELGVVVYFRHPNLSNDQFSMFDLKSRFPKLELVVIITIEDDLPDRV NEAVIRKGDVVVSNLSDDGSLEYLRGGPLDESLRRIDTQAELFGIYQNSSCEPGNPGP QVIIAPNTKGFGMSPFGDGTLQGLVWGIRHLPSLLIQGVTDNCKADDSLAYPATTVAA CARQITTELFASKQLLPTLVPIVTCDL QC762_708930 MDSEGVKKAIVQATLQETNTANARALIEGITGSCFEKCVPKPGT SLSSSEKTCMSYCVEKYIASWNEVNGTYIRRLRQGAEGNH QC762_708940 MRTVAGEAPLQLTVDISRASSVCDNATTPPNFHFQSLFTAPQDT MSKPVISFGLKKSGPPSKKPTLPARKKPAPFGGLGDDDDDDNEAPQTSVSITEIDGFG TPSSINNDNDSDSRKRHKKSSKPPSAPPSKTPSSKTLQPTGEFTDLSSALASRKYAKE AESADPSIYDYDAVYDSFKAAKKPKSEDEAAAEKKPKYFSALQQAASQRERDRQIAEE KRLKREREAEGEEFADKEKFVTEAYKRQQEENRRLEEEEKRREEEEAKKNKGKGMTDF YKKMLEQKEQEHAAIVLAAQNAAKKPDGEAEAGPKEEEEKSATERAREINAQGGNVLI NDDGEVVDKRQLLKGGLNVAPKKKAEVQQEKARQQEKVKSNGPSQGSRGVYAPGGKQA MRERQTRMLEAQLEETLKRSRQEEAEETAKIELATKSRKTEADVSSAKERYLARKRAA EEAKKKEP QC762_708950 MHWTNVLTAAIMPLTGVRAAMLRFSCSQLVVDRLDPLVNPGQVP SPHLHQIVGGNSFNVTMDPNINDISESSTCTSCQFTEDFSNYWTAVLFFKARNGTYKR VNTIGNGLGYSASNGGQTVYYISNGPVTAFKPGFRMVVGNPAFRTQAQARTNPALQFT CLASPMTRSGYRYDFPTDTCAGGIMVTVRFPTCWDGKNTDSPDHQSHVAYPVNRNCPS THPIKIPEVFYETYWDTRPFNNKALWPADGSQPFVWSFGDKTGYGNHGDYIFGWKGDA LQRAMDANCNSDLIQDRLNCPTLKSQSIVNANKCSIQRKVKEDLDGWLEELPGGGME QC762_708960 MAAAYEHLPDGAHQAGGGVSGRVHRVRDLKPHVLDHLRKVYSAH GKDSWTPEQTAVFLKTVQHDTPSELALELADDKDWELVTFLKYMTSEVTSAVAPPEEV DLSWPLSAYFISSSHNTYLTGNQLSSDSSADAYRNVLKRGCRCIEVDVWDGDEPDSDS DTSISSSDEEGVTSKSKKRLSSVKDKLPSSLTSKLEKTSLGSNTDSGAPLTKSTSTSS GPAGPTIHRAPSLKEPRVYHGYTLTKEVSFREVCLAIRETAFETTDSPLIVSLEVHCS PEQQLTMVAIMTETWGDLLLPEPKEDATCLPAPGDLKGKILVKVKYTPPPSASSTSLP GSDTPPENIDPSTTKPKKPSKIIHALSKLGIYTRGVSFKSLTQPEASMPTHIFSLSES GVSEVHAKSAQDLFEHNRRYLMRAYPSGLRIRSSNLDPAVFWRKGIQVVALNWQNWDE GMMLNEGMFAGTNGYVLKPEGYRPHEPLPPTSPSAGTAPQANAVTHYTMDLTIAVLAA QDIPLPLGDTKPSGFRPYLKVEIHVEEPGERHGTTAAASSSIPDDGKEKEGEYKAKTK SLKGTVDPDWKGQELVFKGIPGVVPELSFVRFLVRDDEIGKDSLAAWACVRLDRLREG YRFVHLMDGRGVETEGVVLVKVERRLY QC762_708970 MVSKESEFSQVVQYLEFYALAVRKCLEATHGDPALPPTPESPSD LLRTHIIPPNYFVNDQPPKFQAGLLNLLQMAAFPAQFAGRYSLLREYAQLNFNTSTMN WEFFHLHEDWNLFDLPRDMQTLWKTHRFALECLGETARCPRNPAIVFVKLRLHWLPEG SSMPSDAVINLADEDILEMMNLEHYEEDTSRSKDGFEVMSKPIYPDHEDGFEDDGFEG LRPLYKTGDVYCLPVPREQVLRVQQAFDLQWHLREAGFMAGIKCA QC762_708980 MVGKVSERVLAREGLERTDNGMKQTSWPDVPPINQKNYYTDYMK RDDQILSLRLQAEANRDRLVQNAKDRDRALNNTNGDVTLPVDDLQGEDGGPSSSYMDP SKIIVIHPGSQNLRLGFASDALPKTIPMVLATKYPQTESEMYEALPRRKFEERGMDQQ HGEEWSKKYQKACNDLKVDMRQNKRKVLPNSKDLVVNFNRRTEPEIISQHNDPLQVEW TDVSTLEDGGSESAVFIGHQAQRVPDDSNPKFKLWRPIQNGWLNENEYPTQAHLFNDL ETLLDRAFRWELGLKKSTEWKQYSCVIIIPDLYDKKYVEQLLHLCIEWFEFSRVAFIQ ESMAATFGAGYTQACVVDCGAQKTSIACVEDGLCIEDSRINLKFGGWDVTETFIKMML YDNFPYQEINLRRRYDFLLAEELKIKYCTLSQANISVQNYDFHLRAPNQPTRKYMFKC YDEVILAPMGFYDPSIFDNSHKLDKRRHLIDRSYNAYDVDMPDDPTSAAQLAILAHIQ PSVTQTTIPASSFTGAPGEMGMATPSKERSNPFNFLGHTNNASATPGTSKAPSPAPDA GVSTPVPAPYIFGASSRDLNASPAPSARNGGTPAPNGSFLLPTTSFDNNPTSNQPLQR TAKDLATERDSVLPVAPLDIAIMTSIQNAAKGDEKKVRELLGSIMVVGGGAKIPHFAP FLEERLRARRPDLQDKILVSRSAREMDEQVVVWKGASVFAKLATNDSWVTGGEYKVLG SRCVYHKVLWQY QC762_708990 MPPIPLHTNSPINPAKASGITPQTTPPNNDQPAPTKTTSLPPSA APNNGPPPPQPGAVPHLPQPTSFPASSTGPAPPQPAAAPTTTAAPTYTPPPQSSIPPP ELPYNQRGTSTAFTPATTTGAAVLPGPSPYETGGGQGYQQRADSGYQPGGGEENEEHG VLGSVMGYAKAAGEKLSEAEREVWRRINGEK QC762_709000 MDSSQLRQQIQVPAFNFENPENQFGREITSPHPTPVVTMNGGTV PPKTDAMTAPQGMWTTPTSQGMRPRPATIHEGFSYCVAEPYEGLPSWDSSSLQMQQTP SDGMSSRPISMHQDFYPATTLESNSWPQKPCDDHFEIHGLEPEMNIGQAYTTDEANPI IDLRYPGQQVEGDSNNFEHGLNPRRMSGSSFTVSTSGGMSEMPPYEDFSTTLSEAPSF SSEYPPPSNRNSMISSTQLSPVASPRMTPQSRSELVRTQSRGRASPSPRPGVRAAPYS VESARNKRWSTGSYGTANRRPSPFVYHPHDVFNQHNRMSSRHSSPTIGHGPLPLNYGN LQAAQQHPYLMSNAPAFQRNSMLLPTQLPSHVFHHDTHHHHPHQFENAPPLLSHGLFR MLQSNADPHSLHSHYADLSDPPDLYASLQEEQIPPPPEDMNPPDPDLIPHEQELRFEG DLYTPRWVRGHGNKREGWCGICKPGRWLVLKNSAFWYDKSFTHGISAATGSPFQEPQD TRRMDGNPDVWEGLCGSCNEWIALVSSKKKGTTWFRHAYKCHTHPKIKDAPKRRRESS NTRALAATNMAKPATNPLTPQMTPQVSTTNTPAPAPLQSQPQHQTQQALEQALDQRQT PTPVQSQHPPHGPSPPPPTQHQQQPQGQQPHTMPSQQHQQYNQHQQSHPPPQPVIQLQ PPPVRHFHRHLPPHLQLHPTSAPPQPPRTAIMSPIPPMVPMDGFANMI QC762_0111780 MSALKPERGRLRLIKGSASIARVSLEKSSVDRCCEREQGATRAA NQGFQGPSQANPLALSTDPTIIYLDFNVYFAYETNVDDAWIPLMNMDIGP QC762_709010 MSGDQPVSSFGDPDAQPPTPKQTPTLSIFPSPRFETPKNNAGRF DEPGGWTPHFAEDYSVFNSTPGNLRGSQHPFPDFGPATPYLGSARKRTLSTGETFSPT TNLQLPSVEPSEILRSSPSQLSTPTFQQLTPTSRNSDTAEKTSKKVRRGTVVEQSQGQ TATPPPSGRKGERKLAPKHDTSAMQNDQGYGHPDFLATAQPQMGNFVTNPGDMFSYPL SAPAAGPGYAPQRSFWDQDPNMGGMEVDFSANGANGGDMFQNQTHQPLTPVDWANANL MQAQDAMVGHENGHVTTGNHQAPLISQAPMPALVTSAPEQAMFAVDYSTTMEDNFGMN SSGGAVNPGLIFSRPQSANMDAISHDQSMQVPIAPRPQTSQDFVQPTSRAPSAQRIVT TSRGELRRSSSTRTVPSSRPDRALASSPIKSVGRPGLSRSFSENRGKKQSSRPALPTL APAPRPQSQLVSNAGVGANRPIISQPSRPSGRTSPLKSQQHSRLSSLTSIPETSGPRM RTQAKFTIDANGRARVETTVVVETEEPTSARKRQGSQDGSQRRRWTPSDEEDDSSSTD DEGPIIIPSRNTSFALPDPVKPSIVHPFHRASHTGSSYSASFQHQDDDSDGETVVNVN DLTPTGKVAGDAISELQKVREARQRSGQWPPSSAPKVRRSFSGVGGSGSASFGNHQQG GYRGSYNNSSLSPASMTEGSLPTPTGERGGGMRCVCGRGEVGRNELLVQCQSCEMNLH ERCVNATETSIYICSFCANVTTGHPVRGGGGGRVREREGDRERGRYHGHGHVRVGGGV SGLGITSPLAHKNFKSFR QC762_709020 MSDERQVIDLGGSDSEDEDLRIAIALSLGQDPGSRRTNTPRKHE TIDLTLDDESPAAGDTAGPSRPGGEDTQDRDQPVPAVMTTSTSASQQSDTPTASQALA TGLSALGLDRKKMEEERLARLAQRKRKASLEAPARYSSLDTRSTQIPRMLGDEGISSH SKGRGDKNDTGSLSPAVKASSRRMNSSGSSHGSTWGLANAVEKSVSDSTHSNSNRILP PRSSSTSHQVQTLPYPRGVIKKTWIYPSYPRANDDVKIEEVLQKDILELAVISSFQWD EDWMLSKIDISRTKLYLIAFAKSEAQNEMRNNVPKSRIRFCFPAMQAVGAMHSKLMLL KYEGYLRVVVPTGNFMSYDWGETGTMENVRVDVIVCFGGMVFLIDLPKFKNTEERDAV QGGGLGSFGEDLVYFLMAQGVDPLLINSLRSYDFSETRRYGFVHTIVGSHTTDEAWKR TGYPGLGRAVAALGLASSDPIELDYVCSSLGSVNSSVINSLYYACQGDSGLKELSTRT PAHKKVSDDDVLDHVRVYYPSERTIVTSKGGRDGAGTICFQEKWWKASGFPREVLRDC RSRREGVVMHSKVAFVGRTGGRRGWVYLGSGNLSESAWGRLTREKVGGGVRLNCRNWE CGVIFPVESSLAGGDSWEAFENVVPVPMVVPGERFGMPGSEGGLVPWFN QC762_709030 MSSRASSTSSPVVPLEPTWTGFIPDTGNALAIVEAALRGHLNMI PRRPHDKERDEVIRSGNVFLYDLNTSGIKRWTDGRDWSPSRIQHNWLVYREIDRQSNG RNKKAAKKAETGEITTGGITKKAPSSARNNTQNIRGHGAGNREAAAGRVIELANGKKV PHEGEMGMQKLIGSLVGGYPFKKGGLIKRTMSFESEKLHLQLVTYMSMEDYASGLYST PYQHAHIRDCFPRQELLQSNFRFFYMDDLGLFEPMYEPMRQQLAYHQALIANPPGAAV FHPHYGLVPHGMPPPGLVPHGMPPPPPPPLAPHNMPPFALAPHDTPPHGLAPNGMQAR MQAGMHEQPPALDMVNYPMQDHSNPHSPDYRQDAYTLGSTGQQAQDVGGQEPRSSLVG HPALQTQAEDYGGVPDAPFGDNYGAGMAVDYHSPIYQPHHFPSMQYGSHAMDQNAYPG QEGTYPSRNGSYDGADGAYEARDMAYAEGDMAYPGQILVDYLENNNQHLPQTPDSNHL PPSST QC762_709040 MISYSFRGLERGGGGVVVAVAGARLSSTSPFLAVRGLSSIAVQR RVSAVVSGQQPPPPPPTRPASYQPSNLLFRTFTMSSPPLRTKESKIPKILHPSTLTKK LQSKLPAAIVPQKENIYTIPNILTFSRIIASPFIGYAILHDQHALALGLFAYAGVSDA LDGWIARRWKLQTVVGTVIDPMADKMLMTVLVVALGMKGLLPVWLAVLILGRDVALAI SAIYYRWISLPPPKTMARYWDFSLPSAEVRPTLISKFNTFLQLGLMGLTTVAPVVTAV DFSQSLAVLQYIVATTTVWSGASYVFSKDAVKILTQPESKKEKEVVVGEEEGKKKEL QC762_709050 MMTKLWLPRGLGRTPVGRSVQLRRAFATVGDVAARPFDVIVVGG GHAGAEASAAAARAGARTALVTPSLENLGVCSCNPSFGGIGKGTILREIDALDGLAGR IIDKAGLQFRVLNRRKGPAVWGPRAQIDRALYKKHMREELTNYKDLEVVTGKVEDIIV SDVGLTEEERAEGARSKITGVRLESGEVLPATSVVITTGTFLGGEIHIGLEAYPSGRM GEEATFGLSKSLREAGFKLGRLKTGTPPRLAKGSINWDILEEQLGDDPPMPFSYLNDK VDVDGHQLKCHATYTNHSTHDIVRANLDRTIHIRETVKGPRYCPSLESKIIRFGHKDS HIVWLEPEGFDNEVIYPNGLSMTIPADAQEALLRTIRGLENVTMLQPGYGVEYDYVDP RSLKRTLETKHISGLFLAGQINGTTGYEEAAGQGVIAGINAGRTALNLPSVSLSRFDA YIGIMIDDLVTKGVSEPYRMFTSRSEFRLRTRSDNADARLTPLGHSWGVISPSRWESY LETSSSSTALYSLLESTILPSPQWTTTHPLPQTNSDINGVKVSGIQMLRKIYEHATTT NLSSSSSPPPLSSSVSALEPTLPAVGEFSPKTQERVAIEAVYEPFVKFQLAEAARAVR DEHLLLPTDLDYTTVTGLSIAEQTKLNETRPESIGQARRVESVTPNGVVMLLRHVRRR GGGDYQRGGGEKGSKALDLELPGLQEGEDMGAVEGRVRVGEMKG QC762_709060 MSAFLRSVSRATARPQVAAARAFSSTPQRPYAKITIVGNLADTP DLRASNNGKEYLRYAVASNSGSGENKKTSWFNITVFPTSTNARDFYLSLEKGTMVLVE GQASIDTYEDAEGKPVRTLGVVQRALEVLRRPANRDAPAEE QC762_709070 MASSTPEELATPGFNKWSLYGFTTTPEERIGPDEPYTYPSLQVN LFFRVFLGLVSLFITWVPARLLFRSGEFAGTVLCVITMILSFLAVVNALIWRDDNVEE WFAGYGWCDIQTYLKFALDTAFNICLFEIMRGLASKVAINRATALTSKERRRNRIISA AVIFTVPAMQMILTYFVAVGRYNVSTLVGCGVYYFPNWVFLVFFILPTPIFSIGAAIM ACLTFYRYRLIMRASNKLMQSRDSVAAARQSRVRKKLYFLTLTVIVVVLPLILIFFVR NLKVGSPWDLSYDFASFHYGPDPFNQWFVSFTTSEYMNFQQLSISFIPEVTGILLFIP FGTTPEALNSYRRGLLFLGLGYIFPKLREEIPLYPSPSSSRGNSTSNSRASWWSSFLR PIRENASSFIASRRRSTTTTGMSNNSSRKGSILPTAEHHSNSTSSRSTSLLVKEKSTS NNNPWPDLTAEEIDHYNNPHFPSSSSTSTCPPTGRNPFLMATAIPLNATPLPSRLSTV LPKKKTTTSPIEEGKVVDVGSEDGAEPWDVGHQASNVEFDTQVWVGNPRSGSQLPLPS PARFQPVVTTITAGSGDGDLEAGEHQQQRRGVVRVETRIAHTLEPREEGEEQVVTVTT PTHAS QC762_709080 MDDKADLIADQLSQTTPSSRGDGKRGSSRGRGGRPGGRAVDLSR ALSRLLRHQASNAGIDLDKEGYAPLDKVLSWGPLKSLKPTFPEILSAVKDSDKQRFAL KPLHSSTSTSTDPTDWLIRANQGHSIKLDSDALLKPLSLTPDLSKGQLPIPPTVVHGT FFAFWPLIKSTGGLKKMGRNHVHFSTGLPDDDEGVISGMRKDAELLIYIDVPKAMKEG NLKFWMSENGVVLTEGEGEEGVVSSKYFKEVVGRDGALVGVIWRDGEPMDGGDLPPGL KIRQPHGKGAGRGGKRGGRGRGGGQ QC762_709090 MPSAAPRRLYEDQIPSFMTVLDVENGIVDASNTVTVVDPKLVME DTKLPRDSEPALRPGVLSSDSIHKHHDSTSTAESAESSPTTTVSCTDSSDLSDPSPSS SPDSPINLVPLRPFAPASFGGLHGLANLTINTSGRTLERPMTSPVPRRPKNMKGLSIQ PPSLSSTEVSEPSSPSFIKPKIPTTKRKPSQLSLKTSTSDLIARTTLEVPSSPSVSVG PILQRRALKHSTSSPHMLSGLKSATFGPAGGMTIPTVLERNETGLSSFLRPPKPAVAP GLGSTIQEEDSPIRTQIANRAAYEFEPFHEVENNEDQKTPGYPDGPIAIYSDNVYLYL EPTAEEASRFDVVINVAREVMNPFKPYASRKDSAASDGQTMSPVEPDTAVTNSSFATA FEYFPEGSSADTPTTPKAQSFKEPEYIHIPWDHNTDIGKDLMGLCETIERRTKDGKKV LVHCQQGASRSASLIIAYGLYQNPDLTVNDAYYAAQAKSRWISPNMRLMYCLQDFQKE VSSRKRLAVPSAGLKSRSGRSPMHRATLSADHIEAPPKEPLTAPLPSEDPASRSGSPE RSPSRARGNSTPNRGEPISPGPSSAPSSFSWTEKEDESDPGKFGRFNIDSFLVPSQPD SGFASSDSSFARPPPSPGFVPPTISREPPSPGFGGSFTLSKPPPSPGFGSFSLSRPPP SPGFGSFAEPPKSPGFAPPSFASLTISKPPASQNLAPLDLSRPPMSPGFPPPDPFARP PASPGFGAHRFGASDGTFGFAPMTFAPPPSQPEKKKSENNFKLAPMTFAPPPKTVDRK KSEATLAPINLTPPPKKLEKKSSQISLAPLKLSPAPKTLEKKRSMGTFGFAPLNIAPV VPPPVPPRDNILAMRGFPPNASDPTLSPRAEVMTNNPLHQALAVAAAQFAEVVQAPPT PADEALGLFSPRETMFPRDPFFPFGRPMQNEDPRSPPTLGETPIVRSIDEMI QC762_709100 MTSATSGFAPEAGRGPIPTTTTNTGGMATVYNTATGTESNTGSD ARDNVLHAHRQSHNSSKLPAFRFADLKRDPIVLPSLIHNNNLNHADTDENDRDDNSNP PGENQHNHPDLNASAPPQQAQAHQTHQTHQTRSIPGLLSRENLHQISSAHNLSSDKPV VAFETPLPDAQNSSSNSTPQHQHRHQHQHQPALTKTRSLKFQFVPSAATATATATATT IALANNNTTTTTSTITTTTTTAAPAPAPAIVTFTANSTDPSAAGTKRPASFSDTPRPV ASLYANKASQLSYIATPVTKRRLTASAAVQETPSSVPRPSPSLTRLRPTESGDSNQTA VPESTTKEWAQGQRDLLLPKEGDDPEEPERKKPRPPSSYKPPASTAGSTASGRAAVPP LRGYRSSIFRKSVVIDMRDRRVAETAYGQESNSNQRDKTLRALEGRTDDQFSRLSPQE TGDMTTTTDNDNTADLFLRIASETSTRKVPEFKGKVEDPSAVPSRITRISHRRPLSTA IATFQANSPPQLARRLSDQRETTRSRQRAESNTAQQMTRELAYRTSPRDKLNPIMTSS TTTTTITATEDPSPRTVSIRTPLKPSAITTPRSIQFQDTLSESASAYQRRRQSLTANN ENQPSSARAPQYRSSNLAQSRIYHSSPLVPKPMIPSKDDPIPSTETNQGVEGNESSSS TAAPSTVWDELDDLKSRINRLELTGKMPSSSGAAMSRSSDENRPPTATTNATTMSASP KRGSGASASQPNVNSSFTSNTSSNRGETQPLLISALNKTKGLVGSEAFSAMESAANDV LALTTMLGTSGQPGPISSGASAIGYGSNNGSVTDRQLRRKADGICRSLTELYIALADE LSQKQSTGSSNKREREEDGPASPTSARRPVVLNTVAAAASRRQSAAADAVTPLPPKSP RAPTSLEVKRQSILAAASAPSAPSAASQRYAAVPGTPVDSAGAGRKSSLLLGRARRAG TEEPEDSGRRSSLHLRTRRATTEEPEDQPQQQQQQQQQQQQSTPVPRTEAGRKTSLLL RTRKTMNDVDDLDGDSSRYRTPSRAVTEVNSSFRSSGAVTASAPRESARSPPDLLDLP SASSSALPRRRLVPSSLNARLIVPAVSSGSGPTTPIPRRYLERGTPMRESSSVANGDA ADRIIIEEGRRGEQRQFLQLSSGVGWGEVIV QC762_0111900 MADVVIFLYAIRKLRFQLSIAPRSTARRKKFSHHTHAFPSSAST LHHFFPLPTTQIPIPSPTLK QC762_709110 MSAPQDSVPSNGADLNESFDQLKLNDEPRLGPDGEPAPKTDEEY AQTQMTLRAIVSSKEAGVIIGKAGKNVADLRDETGVKAGVSKVVPGVYDRVLTITGGC EAISKAYAKVASALMEGAPAMGMGGVVAANGTHPIKLLISHNQMGTVIGRQGLKIKHI QDVSGVRMVAQKEMLPQSTERVVEVQGTPEGIQRATWEICKCLVDDWQRGQGTVLYNP VVRTGTGAGAPAMGGASSYPQERAPYGGSSRVTRTGNGADFSNGGPRQYNRRSDSDAA QRGPPTHDENGEEIQTQNISIPADMVGCIIGRAGSKISEIRKTSGARISIAKAPHDDT GERMFTIMGSAKANETALYLLYENLEAEKIRRQEMQQSGQVSA QC762_709120 MARTKAAPIRREPSSEYTAGELKRTASGRVVEEENGTVAEKVER EIADAVVVVTKPGKKEAGVVTLVVCVAGIYASFLTWAYLQEKLTTTTHGPNKERFKFS VFLLTIQSLFAGLGGLLFTWVSTPKGEKMKSPVPNRGILPPLLLVAVTNALAAPFGYA ALGHIDYITFILAKSCKLLPVMALHVTVFRKRYPLYKYLVVAAVTTGVAVFTLHSGSK KHKAGGGGNSGQTGWGMLLLGINLLFDGLTNSTQDYIFGADRSYTGPQMMAANNLLSG ALTGGYLVMSPWLVRTGVGRWFGMDVAGGGGELVAALEFLGRHPRVWRDVLGFAVCGC VGQVFIFHTLSTFSSVLLVTVTVTRKMFTMILSVIAFGHTLSQMQWLGVGLVFGGIGV EAQIARKEKLAKEAAKKAAKAGKKE QC762_709130 MADSTISHTTPGSPASPASEAGSLDVQPGGDAAEQFPVLQHKSK GRQRLLRGLQRISSSQSLRARISRPRASSAPYRSSASSLSCVSLASTPSPFGQPSSSS SYFSFGDVSTAPTSVAATPAVETPGYDGIESVLAVRKVDHPVSAPMTISLPTEIKKRA KIFNFWDTIPNEIKIYVLSFLSPKELVRISRVSKRFHELCFDGQLWTEFDASKFYREI PAESLTKILETAGPFVHDLNLRGCLQIEHMQRAQRLVAACHNLYSTSLEGCRNFQRPT LHTLLKANNQLVHLNLTGLPAVNNATCKIISRECPDLETLDVSGCKQMDARGIRFVLE GCPKLRDLRASSVRGFSSDADVATAIFSTNNLERLILSNCSDMTDDTFRTMILGPTPE FDMLTNRPLTPPRKLRHLDLTRCSRLSDNSIKSLAHVTPHLEGLSLSNLTQLTDSALD PIFASCPNLTHLDLEELPHLTNSSFTALSRAPCSTKLEHLSISGCDSVSDVGMLPVFQ ACTSLKSVVMDNTRISNLTLTEAADMVRNRSLSPPSSASSSPPLPRTTLKLTVYDTPL VTWTGVLEILSRNSEPLMARPAKDGVVEIGGGGKGKEVIGLRCFHTWQMTVDEHTRRV LKGDLGGARRVERKWAEYMQAENEMEVAGGWRRRRRVTATRAVLEEDQGVHQQGHRGR ERGRTVGCAVM QC762_709140 MLGVYGGNHDHGDETRHDAEEQRGRSRLSPGLHIPKNRSTGNLG HMGGDNSEKARIRFSFDAGPSATEYDTITRSSIMTDHDHGLGLSGLRRIRQQHNPVRA PTMPSSGSRAPSLSALTRCSSVSVLSDMGNTPISPGPTSPAFTEDLNRFPSESLHSFS FAHQSEDLLHSRQNVLKKSIEFMKDRMGWSVSTSAAMASAQARVTGDVEMQNMLDLLA KAQLVGAGNIPNGDLSIPTGPLSGPATMSDENVFDKEFIPRTESPGPIERSPLLSPLT LEPAELKDAPATAPLPRPQLLMGGEPDSESSSRTPTNESGTTAKTHTTGKTTPPPAIR PNAALKRTMTDTVQISVQQKLMDAMAQPFLATEPVQAQHIISRKSPQQAFTPMINTAT SLPGAAHGSTRWVPAAQAIFTTESKPPWTILAANDLACLLFGVTKAEVRKMGILEVVQ EERRNWLSRKLQAGVHDDAGDGSENDAPSPTKVTTPTKSLLGARGGGITAKLLSKPNS RTQAPKTGRRPATIHNGDPKPPKPGHAHNNSKSRGVLLCGDVVPIQKRNGATGSASLW VKEKKVGLIWVLEEIHEDVAYVDVDEDGNVVKLSGALGPIWGDETIQPGLDVGRLIPR IPRQGFDPRFGEVDYYEITKKKYYTCRNCDRINIPATVEQVRGTTELRVSSFPHIAGI VVVAPQSLKIKSSNSVFCGALFGHEKPDGMSINSLVPNFDRILQILTDEDGIHLVDGI VIPEHSFRKASAFLALREGRPDAATGFLRPEGLPAKHRDGSELKIDIQMRVVKSENQT TIHEEVVEEGSDDDSASGGANDKFVVPHTEMVYALWITYSRHLHASRTNLGVSSPLLS GAATPLHQPSPGQTPAHTPVEMQSDSDNEPPKEEQTAASSLARQLKDAAYNAAAKITG GAIKGTEAPMEQQQPAIAADAKSETKKKKSIDDFVILEEMGQGAYGQVKLARYRDSGK RSILKYVTKRRILVDTWTRDRRLGTVPLEIHVLDYLRRDGFKHPNIVEMEDFFEDEVN YYIEMVPHGLPGMDLFDYIELRANMEEQECRSIFVQVARAIHHLHTKALVVHRDIKDE NVILDGEGRIKLIDFGSAAYIKSGPFDVFVGTIDYAAPEVLAGRPYGGKEQDVWALGI LLYTIIYKENPFYSIDEIMDRDLRVPYTISDDSIDLIRKMLDRNVEQRLDIEQVLAHP WCRMME QC762_709150 MDRSEPGLIKWSPNASYSSFIHINLQHRMVQLYEPTGHAQRRRF EYRKVAKHADIPPLTTYDWSPSMPGLVAVGTSTGVVNLLRVDDNSNAYLELGLKMSRT CHAVAFNTMGKLAVALERVRNDNCLYIWDVNRLSGIDSSVRGFPTNISAPSDPTDRLE PSVSVSSVKFFEDDPNLLVAGIKGTGLRLHDLRDHHNAAITFRTGCCNNLAIDYADPH YFASSALDKPGVMVWDRRYIDQHHVNPVYAKAVQSDSLPPGGALRLDHAVEEEADSTL TDNKNSFIRALRFCRDQPGLLAMLARTGQLKVLHTRREHLEPELVVEGSPELLEVARS RELEPLYADLARKNDKVVSFDWITMSSPVLQPRILVLRANGALDVVEKPSFTSEYPYK MVPWQPPHRGFEDLMKFEPSHSQAMLGPLLTETALLDKPVFGSNKVDVAAVVEQTFAT LPEEVLAVQVSGDAELPAAFHNATTVAEQLKALRSMVKDTEVGTQAEPPSQRHRHEEL LMETMNLARNSPKARNVLDHIMLLRAKEGYLFNYEKNMEIVSDDVWLRDVWAWVSGAQ EAASDGGMMSHPLDIGYLGVYTIWSNDLGSRPHMRLSDGEPPPDEAGWERCLNAINKK LGIPKYDGPAPTSRPHHREMCLEMCSYGRSSESECEEAMSDRTLKKDSTWYTMVAANT LFRGDIKGAVQVLKKASNEHPELLFVSLALQLIGKDKVETLDFDARVASKTDPYLRAI SSIIATGDWASVADQPSLPLRDRIFIALRTFDDDHLDYWLQAQVNKAISSGDIEGIVL TGITDSLVDILCSYVHKFNDFQTASLLLSICSPRFIDDVRATAFREAYRRYLQRHHAF YLRAKFDVESTKRSKHLGRPTVKPPGRQIALRCVYCDAETSMSTQQNHPPSHEGTTPN FMLLPPCASSASSTHPSPATISIIPAGNTSKASKGRSNNPFTDKMISSGISCPTCRRH LPRCVVCLEVVGLPRSDQAWHWHHNTGTGGIGGGGGGGGGRIGVRSGEDQEMKLAARF PTFCLQCLHVLHLDHARKWFASNRECPVPECKCRCNFRANEELAYR QC762_709160 MGVKRSRSEAQVVGDDSMHPSRKRARDERSHKTKPHKAVDLENN TAIKKRARAIERLLAHDPEKLPAHKKRELERELAAHKRRIADAQYKKIRSKMISKYHM VRFFERKKAIRIAKQLEKKLAQATNDDEIAKLREDLHKAQVDIDYAIYYPFMEPYISL YAKPAEGEEEKAAQYLHTERPPMWALIEKTREEGKKALERLQNRRPVEDAEVEGAQDD GKKNSKKSKAKKKEEEEEDGGGFFE QC762_709165 MIIARSISSFSRYYCCQLRQLHIQLGLLSSFAHEVLPRPTLTAS NTYRSPNPPKAVRLISIMPPPRKAKRKLGQFVNDTIAQAQASPKKLRQKLTRSPVAPM STMATATVSSSAANDDGIDYQSLALFVEQQMKDPKPITPLQRRALTDLTSSLKDEEPD TGGHDWISLLQRYIDAHKARTENSIIYKDEAISAAPSTKWNCILIFSRSIGSQPLVFP QPEKLVQNGFAKKKDAKKYAAKCCVEWLMAEGMMPKDGKSVTFPHASNKMMASTSKIY SPLSSQPSSPNPHAAAQGGHSSSATTTPTQSSPSEPPPPPKPTDSTTSLLDPPGGAPL DPPPDDEENDTAPGELPSKRVAALCEKLGFRPPRYVLIARAGQDAVFDGHADMGPDSW IIPDGVGEKGFGRVEGVYGKKFAKDMVAQNILGILEQEERKRAGEVRGVLGG QC762_709170 MEAPSPSVPDEKDPCREHLLERRQQLTDSLSASPYDLILYLERA VVHSDLAYPDLAAGDAYRALLLADEVRDESFEYHEQALEALKGYSTTPCPVVLDHGSL TEGISDGTAGEDVMEGLEGVGPYQLLAHLGSVRAYQILSLSLLLCGSLKSALRFCERG LNTAPNNRELIEIKGYIEQVGRRRLRKESDAPIDINSLPDRGVVRREVYPWNTHEPDR FSAESLAHLNKQLSTMAPKCEVRVSKLPVLLDDESNTDGHDVIPTCNQLGLFAKEDIA PGETVLEEYSLLTANNRHKESTCDACGTTLPPLDQHSKAVSCPECYDTIFCDDFCFTK AQEQYHPAVCDTDVDSIAKDPDNKDIDQSLYLLLLARLLAMSTHQEMHPLDVPSIKFI WGDFVPSELNEIDRSINAEPPPEWTLPFSFEYNIEIPLHILEKMDIDIYATLGQHDIW VFNTCYAKFRGTASARKNYQEGRRDGRPDVAAVHPFWCLANHDCDPNVSWEWGGKMKL WARDKRVVGGRKGGVKKGEEILNHYCDVELPVKERREWARGSLGGWCMCSRCREEAAG EEGREVMGVDGVVGEKN QC762_709180 MTTPKYLTGDAAAIDEFIDRFDVFLLDCDGVIWSGEHVFEGVVE TLEHLRSRGKKTVFVTNNSTKSRQEYLKKFTGLGIPSDVEEIFGSAYSASVYISRILK LAPPKNKVFVIGEAGIEHELRSENVPFIGGTDPAFRRDVTPEDFKGLADGSLLDPEVG CVLVGLDFHINYLKLSHALQYLRRGAIFLATNVDSTFPMSHGFFPGAGSMSMPLVYST GQKPVALGKPSQAMMDAVEGKFQFDRERTCMVGDRLDTDIKFGIEGKLGGTLAVLTGV SQKEHWEASDAVAVPAFYVDKLSDIGLVARKQ QC762_709190 MAKQANLYSFPSVKDNLAPALRAYVISCQEAGLARHSVFKVAVS GGSLPKTLAQALLAPPSGPNDEVKWDKWEIFFADERAVPLDHQDSNYFLLKQELLDKL PAGTGQPTVHPIDTEYLDDTQELADQYEQALVRSFASRDSVKLPIFDLLLLGCGPDGH TCSLFPGHELLRETSAWVAPIEDSPKPPPKRVTLTLPVVTHAVRIAFVATGGGKKEIM KQIFEEGAGLPCALVNEGAGERASWFVDNDAVEGVSYPRRPFSL QC762_709193 MSSTQNSALPGRARSLRKPTTVGEHHNKGGGGSESQLPLRGASS TGTGTVSERTLRRAAGVARSSRPLSGVFGGGGDGGGGRTTTTTTGTGAGQVSSASSSS SSTNPNSSRLGRAPSTRQVSVSSASAGNGDIPGTTRLTRAASTRQFPGASSAKSAASP EGLGIAPPRRTGTGTGTTTTIAAEQQPPTPLSRRQTVTESTSRRPTTSGGPIPPPKRT PSTTTTATHSRAKSSVTTLSSSKTLRPPSSTSQTSTSTTSTTTTDRSKPPITRAPPPP PPSPPPPPHKRAPSHPSLSTQPSTPGVGRTRSLKLPQGALAAKTKPEFNTHQQHFSPS KNPQLPKLSAREILAPPSPSKLPANLAISAETARLQTELLQLSLLHQSAEETKLQWEG SAYERLRGRFGVLAGLEKEVVKLEGRRGEDDRAKELLDWGGGKGLEGRVRVLDEVLGK VWGLTENGGRYSRVVARFGKWLGQAEEVMVAREKGEWGLVEGIGREWKEEIGSISRKV HAVSQELYGVLDGREAGEAGGLGRVLNGVKDLIGGMVEEIEIMEVVEREVREQEMEWV RRVNREGDEGVETRRRAGAVWRAF QC762_709195 MMETASLQLPKTGGKSRFSKALPVPPSLPALDFDTDSFGSDLPL PPPPKKDLPKTPVAGAPLPIIKKPVPAAKDWDTRSTFTTKTAYTMAAVAQPPPDSPLP RLPAKSPGLPPPMSVPRRRPVASPTVASPSGPAPTSIPSPTLPARVPSPAGSYSSLLS AYSNHTSDSTPRTSTNSANEVGSIVPSKDSHSASSPTIGNEARIQSQTLPSLPSDQHA QTQKPSTFTHQMFKEDLEELPPPPPLKDAQRLARPQTPTSLQISSSSVQPPASTHTAA SPLVDNSSPQDQLWRRRSLKAEKKVDVPELNLASSNGSTAASKQTPQPPSQQPHSSQP QEQPPPSTAQRAPPPQNFAGGLPGRNIRPVHPSEQAVPQIEVNMGQEVSHVKDKLRRK GSQSPPIEKASSPSSSLPVVSPLSARRLPTPEYGANDVESPVMAMAVSPISPAITPEL PSEQRPVPPPPPSVSRSALGPPPEHALRQARSSPNLAPKASNGGFNGRSPNGLPSSPV PSRDRFASPPHSARFRADSGSGLGPNRRDPAGSYLELQPPFQRQPQSRPQSPAWGGKP VSEDGSVITLRAAPPAIRPELLDHPLREHDPNAPDETDNPGAGLFPRNWFTPAPAEEI LDARPLQEKHFRCITSHRIMTAGKQKNNPIACRTCGHKDRNAECYICSACYLNVCSGC VGLLKRSRGDLGVVIKAVGEKGRGEGGDV QC762_709200 MSSSGISPTQVSPTAPAADTTTAAASTPAAAAAAPSSGSSSSTS NGATPAPSTAPTASSSSSTAQDESLVCRWSECNERFTSAEVLYEHICEKHVGRKSTNN LNLTCQWNACRTTTVKRDHITSHIRVHVPLKPHKCDFCGKSFKRPQDLKKHVKTHADD SVLVGRTQDQPGGMNPGYRAHPNSKAPPAFYDHNGHMRGTNTGPFGHPHQQNGQPSYY GQHPAAPQHPSYHAGPPMYYSQHMGAPRGDFMSHHASSYADPREKRQLDNLNELFGNL KRRQIDPSSYQQVGRSLMPIHGLGFQAGGGVATEYMAQAPHTLAMGGGSHATPLTQHY YLPPMPNLRTKEDLTQIDQMLEQMQHTVYENTGSPNSHYAPVDLRHPSPSYAARPAVD PYAASGAQQVVSPLSAAPSHSSSGTPAVTPPSSNMSYTSGHSPTTSSSGMSPISRHSS TSVAYPNLSSRANPLPYPPTAAGLGSNFTHNERRLSGGMLQAAARRSGSESDGARTPT AAQPGASSVSSPSGDSEGPDGETYDDWLNNMRTIEALRSAIRQRLERRDYEEDTDNSR IDPALADRSRPSPPTDPGRVTYPVLPPAGH QC762_709210 MMSTNNMFPAEEREADEAFIEQLVAATGEPDLFKGIDPSFWRSV DEEMIEQMTYDYDQYDSDDSTGGMIFNIRNLEVKDSTSPHRLNLEIASQLIKKDKTSK ARAILEDMPEFKLNPEKLEKEGLMWKETGLERGDLSPGGTEFVSWKMVRGYPEMFAKE MFTIEGLHKNRVWDIYYLHQPKTIHPKPGLFVPTYQFQHMLDTINARLEINLTIPPGK NEAKFRLVFGHGNTPRPRFLGRTHSADEFKDLCGLVPRPKPEDNIQQGTEEGQAKLLS VLKMISNSHKKTEKAKKNAYKRFEAHLAWGHGLKRTQCYLGLRDHKTAEATAQPSAVR FVCIDVEAWEKNPNIITEVGVAILDTPNLRDMAPGENGQSWFEAIEARHFWVAEYTWA QNKKYVKSCPENFDFGETEVVQGKHVPETMGTIINNSPYPVVLVFHESGSDIKFLEAI KYNIYKAQNVLEIIDIKHMYQYAVRSNKQPSVSTVCEFLGIQTKNLHNAGNDAVYTLQ AMISLAAKQREESLRRARGEGVQVPRIAQHHVPFAELVEKEGWTSGGEDSDGGRPVRP AQFEMNFSTYAPVQSGGVDDWQRGKETLHA QC762_709220 MATPEREESASITRQVERSPSPRQSRSRSRSVSKSKSPTPRRER EMSQDSRGRSSTPRRDGVDERSPSRESGEHRHEERSRSRSPMENGEVHSRDASPQRSP TPAHSRRQSPARRNRSFSPRDRRSFSPRDRDDERRYPIRDRSPPSRHHGRSPPPRRHS PPPRRDGPDRYRPAPVAPAMRERTPPPPAPPAKTEEEKLEDMRAEYQKLLNLRSQGVY LPPQKLRALQAAITDKTSKEYQRMAWEALKKSINGLVNKVNTANIKFVVPELFNENLI RGRGLFCQSLLKAQHASLPFTPIYACLAAICNTKLPQVGELLVKRLIMRFRKAFKRND KAVCLSSTMFIAHLVNNQVVHENLAAQMLLLLLRKPTDDSVEIAVGLMREVGLFLEEM SPTMAHAVFDEFRRILHEADIDKRTQYMIEVLFQVRKDKYKDNPVIKEELDLVEEEDQ ITHKIGLDEDIKTEDTLNIFKFDPDWEANEAEYKKLKAQILGEESGSEDEDGSGSEES DSEDEEEEEETKAIEIKDQSNADLVNLRRTIYLSIQSSADPEEAAHKLMKLRLPVGQE PELVSMIVESCAQEKVYLKFMGLLGERFARLNRMWMELFEESFMKYYTTIHRYETNKL RNIARFFGHLLSSDSIGWHVLSIIHLNEEETTSASRIFIKILFEDLQENMGTVKLKTR LSEDILKPSLEGLFPHDEPRNIRFSINYFTSIKMGYLTDKMREFLQNMPKPALPAPPA DSDSESVSSYSSYSSYSSRSRSRTPPRKAISRGRSLSRTPPRRARDDSYSRSRSRSYS RSVSPRRSISRSPPPRAGRRDSRSLSRDRSMSRSRSRSWSRSPPPRARSPVGNARDRS FSPPPRRGRSRSRTRSMSYSRSGSPVADRSTAVKRRRDDSYSVSRSRSKTRGSPPARK QMRYTRSPSPLGHPPRSRDGDVRRRSPSRSRSRSVGGYGNKRRYYSSSRSRSRSPVAK RGRV QC762_709230 MLDKLTGLAMLAAASAVFLYYTIWTLLMPFVDSDHPLQNFFPPR VWAIRLPVILVLLGSAVVGSFLSIVMIRSNRKKALKAAKAAEEAAKKKS QC762_709240 MPVSKKDRKNGKEGGGVVAAVTKQGAKFTNGNGTGTTKGSSSAS PVISPEIKPASVSSNENPVASPLLDAASTASYATSTSLNTREWTRGISAGMAGSPGNL ISLVGESPPTAPSSYEDPRGIPSGWSSPRPSVGYHPPSASPPLAGRRPLSFHVDNNYY SPPDTHSHLGSIAAARRGSMHSNYAHRAVSNPPLPHQPQAHFYGAPEIDLDSQSHSGM KAGEKGYYCGFDTLPLPNTDPASSKSNVVLAGYEGGLEILTVGKREVETVANLKGLRG GVYHAKILPWMPHESDLFPLVAVVIHGPNLPAPAPAINVEGDYDAVSAERSEAMTNIT SLDPSSRESVTGSRPAPGFAESYYTSVEVYSLKTGRPVSVLLEAPKVPLKIPITSAAF KAPPPTGAFHIHAEGGSIVVSSGVTGECWIYRQAPVVADQPLHFRCHGKVWTTLQQPL KGEPGQENERGRSPVPPRPRPQVAIVSVSSRWMAYCPATPSSQIALRASVPVLIHGRA PGLTSVTPPQLPQANADLDLPLSESVVNKLMRDATQELIQGAKWVGKQGLQAWNNYWN PQPSQTPRSPTQAPQNWGLGASPPQFPPTHGAVTPPVSKEPGLVSVLDIDSLGPSSNL HPVTTFTIPHGCSFLSLSPSGLFLFSASSKGDVQTVWDLMRVQNTKSSPLQATGSPVG GPRVRQVAQFSRMTVARIVELAWTQPHGERAAMVTERGTVHLLDLPSGAFSWPPPRRR LPEETKAAVPEGSTSAVSMATNALSSVREAARPLINRQRRSNSNTPAFAGAAEYAAHG GKVIVHGISHSLGKTGNAISQLRHTGDNRVSLPNSSVLPEPGCVAWVAKRDRSLSVLG GGQVRVFQSKRSGAHGKRGQRLSRYTDHQLPLLPDDSIAPAVKRILDPDEYLDFSERD LDPFNNTLVLNQTKPSLRARYGGVESSIPQAEIESSAPYQPFHTDRRIALYEMTSSSK AKSLEITSILAETQLEDTQAEPSAPRKKKSKAARATPPPVEEAAAADEAAAADENDAD DTWVFGLPISATKIDLGLPHLPEDESFNIDLEASRALPASAMERVLFRGDDDTQIVIT TRRRRGAGGSGNGEDGFFEDDCEVLDFADQRV QC762_709245 MNPITRKPGIEIPSFIFYFFAISDDPKRMYEPWLDCFTEYAEVT MGKKVARGREELRELRRGMWKDVRSRKHHDFSNFYAAASDAFTKFKREQGEDEVEVMF SGKVTLEVVSGEGPETEQKVVDWAAQGTLVRQDWESESGGAEKWKWARYRVWLQS QC762_709246 MDNRDKDSINKFVTDILAQSSNLTGGARWFTYFDTHPTDLKNGN SGVWDFKDVSHRLEKFIRDEYGTRGTELRITGGWIYASRRNPDVPFRYKIASREYHSL SPIFITGDGKPNAEVMFHGKIHLTFGSSYCPTDKKDDS QC762_709250 MADKESTVYVVDLGESMADCHNGRVESDLDFGMRYVWDKISDTV AASRKTWTIGFVGFNTDETENELADKDKLEGYDNISVLQPIGPMSMTELRELRSKVQP SRSYGADPISAAVVALKMLEKYNPKHKIKRRVILVTNGESNIDDEELDHIAAVFNEFK VELIVIGIDFDDADYGFKEEDKSTNKKNNEKALQQLVEKCNDGVFGTMQQAVDELSIP RIKPVRPFKAYDGPLTLGDPDKYPSAISFHVERYYKTKRASAPSASTVVVSNNNGFSQ SQTYKDEDGDSEMGGAEFSGVKQMRTYKVNDPDAPGGKRDVDFEELAKGYQYGRTVVP FGESDLSITKYSTKKSFTIIGFVPFDSYNPFINMGETGLIVPQKMNEEAELGLSAFIH ALYEADSYAVARYVQKDEAAVQILLLKPNTGLEDEFECLYDVPLPFAEDIRSYQFPPL DKVLTVSGSVLKEHRLLPNDDLKDAVSDFVDAMDLSNYDVDEDGKPVDYAPVDEVYNP IIHRMNQAIRARAVDPDSPIGQPAEILLRYSKPPKKLLEKAKHEIGNLIDAAELKKVP EKAKGRFGKKDAVKPISGLDIDSLLGGQPKRAAISSENAIPEFKQMLAAAEDDETIEK AVKQMGEIVRKFIKDSFADVFYSRAAENLGVMREELLGFEMPMLYNKLLRALKKSLLS GELDGDRREMWYKHIVGGGLGLITKEELDVSDVTEEEAKAFAK QC762_709260 MGFFSPAPYHIISYGFLLGTTFFHTFVGGIVSFRVLPRPQFSSL MSSLFPIYFTIQTALPLVLAITYPASQNPFGITGGITGFLHSSNRYSTFVPITATFVS ALANLAFVGPLTTKVMDERKLQEKKDGKKSWDSPPHSQEMQALNKQFGILHGVSSFLN LGTFIGSLVYGVTLSKRLS QC762_709265 MAASSSSNPNRFSFRNPFQFLISSSSSSTDNDSANKKESSSNSR PTSRRLSRPSSPISSSFWSSLYRKTSSTSTNTAMSTTTTPSAVSDTTTAATTTTTTPP TLSSSPATSTDSYFPPVTTPPTPTDNNNNNNNNNNNNLKPAATLTQCHNCGSTTSLAI PTATPPAAPKRTATTPIIHVQPPVPGRKQIRVDPLSTQFPKPAAELSVEELLARKPGR WTLTQWVEKQKLVDQQEEERERRKVQEDAEKRRREMEEVKRELRALASGL QC762_709270 MAFPTIKALEDCADFSKTVEPFIPQLYTLPSKVLDVIARREGLL DLYAETNPLISGFAISIVLGAIFLVAAEINRNYSQVDRAWSLLPTIYIAHFNAWARLA GIPSQRLDAALFFSAAWSARLTFNYWRKGGYSVGSEDYRWEIIRQYVPKAAFHVFNWT FISFIQSILLFALAAPAYPILLASQFEPNLTSSDIAYTSVELLLILTEWIADQQQWEF QSAKQQYRKTAKVPSGFKRDDLDRGFITTGLWSYSRHPNFACEQTIWFVLYQWSCYAT RNLYSWAGVGPSFLIMLFQGSTWLTELITAGKYPEYKAYQRQVGMFAPTWITGYKVSP AKAPKVIRTSEIAKQIEEKERRKQKQKQK QC762_709280 MKGTKRSLYVQVGVLSRLSSHRDSVVRANHIYNLKKSRFGVSSN IQSHVANCSSSLFFFFFFSTHSPSLAKSTAKTTKTTSTKTTKSSPTSSTKISKVSTKT SSAKTEQPIIDTKPNNIMTRVLCVAEKPSIAKAVAQHLSGGQYQTANTSDKYTKNYSF TFNFGPGLGDSNVTMTAVRGHLTTAEFPPTYKSWSHPPPDSLFDAPITTVTTPDCKAI ARNIETLARSSSVLIVWTDCDREGEHIGSEIRDAALKGNRNIQVRRARFSNVERGHIL SAARRLVALDQRQVDAVAARIELDLRIGFAFTRFLTNNLRGLGGPMGELMISYGSCQF PTLGFVVDRYFRVKNFVPEAFWSIKLVHEREGMKVSFGWARNRLFDRAAVTILYERCL RAREAVVKKVQEKPTKKWKPLPLTTVELQKMATRFLRMTGQQAMTVAEKLYNKGFISY PRTETDRFDKGMNLRGLVEKQFPDGRWGAFARELIEGGRFNQPRQGKNDDKAHPPIHP ITYAAPTVLDEQERKVYEFVVRRFLACCSDDAKGMATDVEVGYGEEMFAAHGVIVLER NYLDVYPYENWTGTAMLPKFEVGERFEPTEAMMTEGKTSPPSYLTEADLIALMDANGI GTDATMAEHIEKIQERQYVVTVPRGRSWWCRGR QC762_709290 MACPRFLPLTLLLVSTKQQHRDQLNHDDIKSYKRESFSSQPTPL LPDKTRTVFPRSKSNKAAHINLLRSFEAARSNPTPKSVNMATMATAARDIATTSSPSP GRDNLTAVAAARSVPVTTHPNNLPTPPNSISPNLPPVGLRAHLMRAGVEPSVDSDLEL HDRDAHDDEHSGPGSPPYDSAGAITSAMLANCHLPEILLGQGPLPIRHIMGYLTTTVP GFAGIPPAKARRLVVAALEGKGNGGVGSGPDGDVEFIKVGWGRWHAKRRGQGSRATAA AYDPPSRRTSPGGSSYPTSIPINKGGPGWHHLDRSRLAAMLGTSAGGASSAAFSHDDR LNEDRFMNMMDHEADKMSLDGSGSASCSEAPDEDFPMDRDDPEDATDDEDWAAVGAAA LRASSYQAQSDNQRHLSPFNNVYSSSMRSFSGGMARPPQLNFKIPPSGLAGVMVADAQ ERDAVEALLQLGSV QC762_709300 MSKAPAQTPQTSSQQTSKPESEMSAVAIGAGVAVAAFLGRAGLV AWRRSRGGVGALGKAFYKGGFEPRMNKREAALILSLQESSITKDKVRKAHRTLMLLNH PDRGGSPYLATKVNEAKEFLEKTTSS QC762_709310 MSDDVFRRTGRGGAGNYYSKKDIEDVQKASEASADLEAQADLTK TATTIQQQPAPVPYSRGGRGGAGNFYGAADTAASAKQQSEDAERTKKAVAASIATRQK TGGTGRGGAGNYGAAAIPGADLPTPEDEQRKKLAEEEMEMKVLKEVEDQLGKPPGAYH RPGPEE QC762_709320 MASSKEIQQTSRPLNHRPSMTSSSSIPGGLTLSRQSHSRNHSHS IIGATLNGTHRVTRRKSMTNTGANVAAVAAAFTEPGDGPMPLQISARRNTLSKNGLSR SVVAGSLPSPPASLPSRKFLAGTGMSNLQENAIDDELNDASGDDADSAFQKARVRRAS DGQPLVKEGGRKSNRPELRCEKCGKGYKHSSCLTKHLWEHTPEWSYTSKLLISKHQQV QLLEAASVLVAMNKDSATTPPDSARDFNSEQGSASPPASGFSDPQDRSSADTTPPPQL DAVGAANGSYRNFSKRFSNGSGISRSYQSAPFGSSALGSVPNGSGFGHFRQLSQDQRP TSSGKNATGQADSELAAALLGCSFGSHNGSSRTVHLPADAPPVPPLPTKFLEEAMLGS SFMNSYPSRAPESFTRGELRRVDVKMEEAESVMDEDDEDMRSRGRSEEDDDGVFGRME E QC762_709330 MPRIKEAAADALTAVEKASDTVAHLATRAEVAAGDAVVAAPATI ARSLPRDTARLALGVGMSFGVEVVGRWVVRYLTKGEGVDGMLEDGRDDLVVVGWRILV LALGWLGDFDGWDLASLALLSHGPATFLVSVFYGIRWLTAGAYLGVEVVSTALPFLLL RHLSGDGGKESAATPNREIVTDKWIQLLTSLQAGLVYSVVLFLAGRTFLSDVFVLHFE GIPTVKPAVEPAGIFTGADGVATGVLGLLMGWAARRFIFAPVVTAPEGTVGDEENERF DPASASLEETVKWNLWGWRDKTKVSVVRTGAAVVATVVGTYLDTALGIRGVDQVGAGV YAGVWGLAVVLTGVALTYVGSI QC762_709340 MKEATSPSTESSISTPSSPASEATITHTSSPASSLTTVTAAPSP ALTSTTLAPSPSTTVNIPSSSATEDIPTTTTTTTTTTMYEYESIMTFDQPMIMGYDPS GSSSSYTTPSSASGAVVKKKKSIKSETSIDLRGPLEVDGSVKSMASIKFDGDFAVRDR VEAYGDVGVHGTLNCSSRMKSMGNVKINGNATFGDKVKIFGKLKINGSLEVNGDLEVW GALTVNGYLKCRNLTVHASLTTVDSSWYEAESETVHGAKLVQRSGYVG QC762_709350 MAAPEAIQPVVDEAIQPVVDEAISPRQDAPPSIEQAMHESIKSI ENITPLNPLDLRADPDAQATVSDFLDFTEYLPADMMRSLTLIGQLDQAYIDSSNNIDD LTTQWGQLPGLPAGAKSKNTPVELRSHVSENLHHALNSRVYSHAEAQRMAENVNRHLN RAKTILAKLQAMQDNYQELEEQQKSPVANRSPQMSRVPKITLKIDGQKVRRPRVPRIT VPGEVLAPYDINFDAYTTGSESSSEEEDDAVSSSRVTPAPRIKVVKGSTRPPKSSVPR APRPRTSVPPPNVPGGLSTSAVMAQLVPPPENAVPGSADAPWCQLTAFELARLRKRMK KNAAWTPSDTMVARELAALGRGVDAFKAAKKKAEDEGRVFEGAMPVPTIDPHTGEKRM PLGALTVDALASDDKNITNRGMKLNEAKKLKREQLAKLAADEAEQSAAMFREQARMIM GGVPQPAAVADAKAIKSKAPKKRKRDSMPGTEAEGAEEQETAADGSQQAQRPQFKRTK TETPVPPPIITSGNSLGPPQETPVQLPQRTNAVLHSTTPIPLPNLSQDQSITAKPASV ISATSPAGSTAGPSSVPTLAPIKLPTTETPIPPPVISPKKSVTPILPPVREARQKQAT RSQDQQQQSQPQQLPPGAVSGVASKTTSRTASPELVAPKPDPDAAPAPTPAPAQPAST VVTRRPASRGKASSQEPALPHHPPSLAADRPRRTSTARNTPAPPDVAAALSSHATATT SATAASTTAATAPTAAGNSTTRPASKRAGKRPAPGVISRTNSGGNSAVGTRKAAPRKK QSAAAGSRTAGGGNKKEKEVAATPVETHGGTAEVEVDDEGNVIDPEEPRYCLCNRVSF GTMIQCDNVDQCKQEWFHLECVGLEDIPARTTKWYCPDCRKALKIGERGEVSARGVRA QC762_709360 MKLPCLPLHLHHHHHHLLLLLLPLTLPSASPLPVTPQPGPCPLL HILSARETTAPPGFGSSITLTQLLLSTFNHSSPPPTHPTITAEAIDYPALGANTSEYA ASVTTGTAAVIKRLSTFNALCPETILILHGFSQGGQIIDDALCGVPHDFTGRGKPDED GGRVGRPLVRREVQRNIAAVVLMGSPRFTGGKRRGEKGTAKVGGFAARPEGFSCPVFD DRMASFCDEGDPFCSDGENEEVHGGYGGVYGGEALGFVVERVLVG QC762_709370 MAALVQGYPQQSGTVTMLQTRPASASGMLPVQSNAQYAQGGAHR NSIHGLPTGVASPVVYRGGSGSVQPYAYTGTPSLNPTTQWQQVRSHRTSSSPAVPTIQ TLDYLQPGVARSRYAASNSMTNLPSTASISLTGAGSRDDSALPSSGTRRAGAQASQMN GTSLSPPIAQAAPRVSPERYRRTALRSSDSAGSGLGKQPQETATAGRPGHTRSASDQK PVMLRTPQLHQMNRPNSYIGSVSGSAIDDMGFVRGQGQEEPRRTRRRSLPALDSAGFS TPLTPPELKQPELPSRPDRPASAKVAEKKNNNPNKTTKAGSNKAGDRNNSSATTDARL GSSDSRSSGRSAGSNNSRASSSSANRSAKASNPTGNPAPSSSSPSEQTGNSQDYPRLV NIPPRSSSSDAATPKRAVNSSPLSKAMGTETENGVSADAADSAIQEAVKPTTPRVESP AARQLAAINEKGGKPKNKTSRLRRAFSFGSAAEFRKAVHANSEAAENGPGKLQKEQNQ EDAYETEQARIAQRQEEAGIGSNIYGGRLFSGSTDNLSISSTASSASIMIRKMGRGMK KGGRSLVGLFRPKSIIGVPAADARMPEAMEATVSMVNVEAERERVNVNADPRTQSGGG TGFPRLERNSIDAANVPTLEADPRSSTGTDSSAARKSIVGGEKERAEVLAAVRKGILK KDRNGSASPSPRVTEPPHAPNVTDSPTSSAPSTPNDEQQGHRRTAPVTIGSEDYFVSA LRLRQDTKSAPGTPQGSLKRNATFSPRIITFETWPSGEYDRRGDIATCNRLTPMLAQQ IKEELNTFKMEMEVHENSKIYTHFF QC762_709375 MATAPTTDAPAAATAKKLHGREFYESIGSPKYILAPMVDQSEFA WRMLSRSFMPPELQSKLLAYTPMFHARLFSQDAPKPPYNKYRDSHFQCVLPKDPATLW LDGNPLVGDRPLFVQFCANDPQHLLAAALKVAPYCDAVDLNLGCPQGIAKKGHYGSFL QEDQELIYRLINTLHENLPIPVTAKIRILETKEKTLEYAKNVLSAGASILTVHGRRRE QKSHLTGVADWEYIRYLRDNLPAETVIFANGNVLEHGDIEECIAATGADGVMSAEGNL SNPAIFAPEPQPGAFEDEFWRGRDGKGGWRVDAVFRRYLDILHKYVKGGEPPARRPLF VPGKGMDTEWMKEEIVIPPKKGSKSEAFGNPNYGALQAHMFHLLRHFVSKHHDVRDAL ARARLGELEQFEGIYKMVEKKVAEGLIEYEETDGKSMEDPAEEERLKKLVEEMGPAET SATAILRCKRPWWCVQPIIRPLPKEAMARGAIQPGKKDKKRVAEEEANGGEKRVKGDE TNGGDAKVVEAVKEELEKQTEFQTSELVSG QC762_709400 MEILSLLLLGGAVGVNAQQAPLTEDSRCGCYLMKGNETAYFKEH RFFDFRNHHHHASVPPVITEVKDTSDAPITSPFFNSTEWTDFWMISNWNNSHGIREDS TLLMVNSPNNVYLEANHDADSSPKSWLSLRTERLQKFQTTAEIESLSAKFKFVSIRML ARTIGARGAITAMFTYRHSEDYYQVQESDLEIRTSDPKNVIHCTNQPSLDSEGDVEVR ASKNATMPNGLEWSDWAVHRLDWTPRSTTWYVNDIQVANIEFQTPKDVSNIILNAWSD GGEWTGNMTVGESAYLQLQWFEILYNTTEPEKRQEQGQGVCHSVCSVDGSPEVGRPVM LWSNGGGRGAGVFMGWVPVMMVTALFLLA QC762_709410 MSTPAETESPAPPVAPEERIENANATPQQETSPEADLKEADQTA KAIPPADEANSNEPNPNESTSSPKDAEETVTSPREGSPSPSQSSSDEEGEVSESEADH DHPPLPNEPLPGNCPPLPNEPLPGADNNNSSALPAEPTPAPEPADDGWEYHWNPNDNQ YWFYNRFSNHWQLENPRQSTTVPQTAPPTAPTPPQTDPTSISNPTSIAGGYNPAIHGS YDENAWYAVNARALQQQAEQSVIPPAPAEYAVGGYFNKQTGQWQMPEQGAERHSDEQK SKRQLNAFFDVDAAANMHDGRSLKAERAGKKPSKKELREFKEKRRARKEEKRRAWLRD QC762_709420 MASPPSDLRVLVRRLASTPADQLPRLCPVLVGHVLRCGEPLSAS DAGKGKDKGAETPMLVHKLRTHITTLLTGRNASGRFAAVCLIKAVVDVGGWESLRAAD PWVRGLISVAQKIDPLPSKELAVITLTKIYMLLQGYPTLIREMATPTLPSFVTACLQL VKSPSTPTSVIETVASSLSKLVMLYPTTLRPFAGQIKTALRTYVAPTTSDSVVVPHAL RESSRRLFILLSYTAPKNGSSDEWVKSIKAAILDCHATADQIFRGVVESWESSTGYRP QPVRNELDPSGGGDSVDEFPSWEGIQPGSQRLVGLLEFLAEYLDSPTKAPVTVPVGEL LDLTTRLTLVTPPSPSSEETMQTNPSISRDEKADLWTVLPDIHTAVLRLHGSAIRRLA DSALPLSTDITDHTVRVLSFHRTNPAVREKVYNLASPLLTLAGPTLPRLTVDSLTPLI RQVCHDILLSAGHLDDAPRPTLPVEKAKQTVQGNADAFLSTPSATPASLFSPLPASLL ASAATLLPLFLSHLPQPHLSPDIRSLVDRTAILSSNKEAMLASVLQPYKDSRGRYYPS ILPFLVQKFGTSKEVEVVRSNLVRAGRYAQLNTDEYDPSANLDDLLADRQLRGEEAAD EEMGDAGEQSDVVAVVEKEKRVVVSSWGTAVTNGTVQEAMEVDDDTPVEVNPFAVTVK ETETAVVNKKRAASPLKRKSSGGLEVGEETKVKRVAVAAAAAAVAVDTKKVEEENSDS DDEGSVQIDMSLDDEDDEDEEEDDE QC762_709430 MPDAVKAAHYIQQLDEARCDDNWDAVPELVRKVRKHAPERACLS QSATTEHSIIKASLKSTPDTTPSSLEAASQLPALLTVIENEQTHPQDRFQARVCAGWL HWVLREYSLALERLPRSFDEELPTTDAAVEPSEWTKVCALKTAYLRANCLARDGQRKG ALEAFEIALPSLNTVWNAAPGGPARQQIRYWAELFLTEYCMLASQATREGEKSLSEGN CLSGFRTWARYWAGAAKGVAVAAQDQAGISGAGGYGFRGSVPRRQVWSEYYSALSEIL QRDLAYPPSGVTVPVSNGETISARAQLRAELKKVETIYQGLLFSETKFPRADEERQEV EEFVARVMRNWTILNGRGWKEHDLGAGGRDSLSRGTLDTLYGAATKTYHSTAILRHLF TVHLAVAEFDLAFVSFDSWFELVKKGKARVEKTGHREPALDDNATVLETISAAVAALC RYGGRESADKARKISEELEGLVKKWGDLDPESADPRDLVPPRSMALAWQSIGLANAQW ARMTYESESRAAFQEKAIQCLRWSLSPEFGSVVDVRGVFALGVLYAEQRKLSVAIELV KTALLADKAVQEHEELHNGPYWRERSLIPLWHLLALMLSARQDYVLAARACEGAIEQF KDPIVLFGSRELNGGFRSEHLNEAAVEKAGGDGIVDEMDDFEKESILEIKMTQLAILE LVEGPTVAVNASTELLTLFPRLFGDLGEPTLEISKVEPPKTMATMRSLRDSMFGGRAA KGHQPRQSVATSRPQTANTTLTQQTSSETAIDVQPSRRSLRSGSLNGRSRNSLRKRDR SGSRQRAMSSGPPVPPLNGDKYQPTFEDPSSPQHFTHASKTAAGTLRPETTNSSASTK RTTDGPSEVGVGTGALESFSPLLPFVQFSQEHSKRKRKGILVKVWLTIAGFYRRAGLL DDAQKAIEEAQKIVQSFEGDVVSDTSGALNTRTAGWGMEKSVEAVLADVWTEKGELSL ALERPYQARADFETALTHFPDHPVAIVGLSNILLDICSEKLLPPPAVPGLDLGGASLV SEPHEDHQLIASPPSRFSELPSEPLGLGSPKHKTASRKPDCEAVFDHEEEDETANGVS KASPSRLLGPQLPPPYKATSLPLIDRLAARDRAYGLLSGLTKLGSGWNYSEAWFALAR AYEESGQAEKARDALWWVVELEDGMGVRDWGVVTAGGGYVL QC762_709440 MDSSPTMLDTTPLPSWERQWRGGSKSKRATKPLHKKEVNHVSQQ TLLLFSQLRYYLGTWKMDNKQMSRVTPKPKPYSVIDGAREALTSLEELCRHELPGNFS TLAGNVNITSSSGQGNKVHFPSPLKEQDATAAIKGLEARVASAIAGLRYGAEKPLVTV DVDKISGFLMSAYLTTIDGMDKTDPGVKERIPDTDLNRAQSILYRRLSANLYSTKNPG EYYHIHGSLNADITLQMLGLPKHRPDLTDYRECIDTIEAAVMRHTAAELDELNLKNRQ AGIKAYTWEQFQELPHGKAMCSQPPFTVKPNPLDTTTPPVPFSSSSGSGPRFALKGIK VLELCRIIAGPTIGRSLAAHGAQVIKITCPTLPDVPFFQLDVNTGKHCISLDLKSSAA DRETFSSLLAEADVLIDGYRPGALAKLGYSPSLLAEVAKSRNKGFVYVVEDCFGGTGA EGAEWAHRPGWQQIADCVSGVAYAQGKFMGLENEPVVPPFPMSDYGTGGLGSVAAMVG LVRRATEGGSWVGRTSLVQYDVFLQKLGLLPEREQERLRGRRKREWGGFFELRHSDSV DEVGRRALRGMRGVVPFLFEGDHMMSEGFSRGFGGVVRWPREAVEVEGLRVGHVRVAR PNGWDTGRNEGGMWEGWEEDEIRG QC762_709450 MTRPKVPDDKRQRTAQACDSCKRRKQKCNGVKPCQTCLRRKLTC SYTPNNASDYGPGESAGSPMKRRHIETSPPSISATLEKANNSSPQAREAMQSWDEARA AQPSQASIGGSALSSPTAPLPPPLAGRGHVKKVSIHGTKKLPSRSNTGNTSGYAEETN IYTETRMLQDQSRRLLYIGDASTLSILQLIRIIVESTSGTEMGSPFIDDPKRHRIQET IIDFPENTRVPTLLPDQETTHVLIASYFTNTCGIVEVFDRKQFMQSVEACYRDPPSAN NYFLCHLFLVLALGLLFAAPTPGSREEHVINKQLSARPDRAELYFRSARTMCDPGAGF EDADFWSVQALSLMTLYMLTIPKRNTAYAYLGMAVRSAYALGLHREETLRDVIFTPGE MKVRRNLWKTLFILDRFLAATLGRPTAISGHDCSMNIFSDDDAVNSMEITGEFDPVHA KSLHACVETCRIIGDTLRVFSSRKISTTKVQEIINDMSIDWEDDLQAALQRRLSNAGP ARSPAHGMASLHVNLLALHSLILLTRQLFVMHNWMLVEQRSGKKKPSPIHESPMARFS EACVLASYQTIQLVQQAREEQQLPRRNPFVIYFVFAASLVILMNQFSCLYYTNAYDKT IRDAVDFMEYCTKLDPQAERVLDIITRFAKVVDKWTKKNKYDAPPLSEDLSFLYSHPS SPQPEPANTLSGGPVVASPLQETTTHPDPSHPSYPPQHHRFSDPGLLTPPQITSSKMP LSDILAPTTQQDTRMNGMSPLLPQQQQQQQQPPPPQQQTQNDSPGYNREIEFDFDNLW NNWLNLPQPAIVPPTPTAGISPFTGPPQFSPVAAAAQPEPFPGAYTTTPVVVHLSPPG VPVSVVAGGQPAIGHAGMGHHHHQHHHHGNNIPLYHSSSTFG QC762_709460 MGQMIPDLAATSRASTTKIKPNGIPNGINTAGKKCQHDPTFTQK VIAATGPNANPRLAQIFPSLVRHLHDFAREVNLTVGEWSTAVDFVWLPRLPNPTLSLT PPPPPPQLNDCGKMSSDRRNETQLLCDILGLESLVDEITSKQLATSTTQTPSAILGPF YRSDAPFLPNGTSIVQGLSPSVSWYEKALEDSAFLSGKVLSTDGKPIENAVVDVWHTA PNGLYEQQDSDQPEMNFRGRFRTDQQGRYALYALRPVPYPIPDDGPAGRLLGLLDRHP NRPGHIHFIVSAEGHRALTTQLYDSRDRWVDDDAVFAVKDELVVRFEPREGDPGARWE LGYDFILGRC QC762_709470 MADQSSSKPSAAVPEQRLLADEPDSDHDITPKATPGPSQSREPP EQSSPRSPVARLKESLNKARPALFGDHLTNPFEYDDDDNTSEKELLLDPNLPANYEDR HRPLPRHPPSSVRSHKRPSHDDGDAADALELDSLNSQDPLETSDHEEEVENSPYPEVR AAVSPYDDPTLPCNTIRAWTIGLSLIFLGASMNTLFSLRSPSISLGALIAQVIAWPLG HGWARFVPDYHVKIPFVKQKLRLNPGGFNIKEHAIIVVMASVSFGVAYATDIILAQKV FYKQDFGLMWQLLLTISTQSLGYGIAGMMRRFLVYPAGMIWPGNLVSVTLMNAMYEEE ETKGGEGDGTVHGGKGVGVGGSMPRYRWFSVVTAVACVYYFVPGFLAQFLSSFAFVTW TAPENAVVNQLFGYSTGLSLLPITFDWTQISGFVGSPLIPPWHAIANTMIGVLTFFVF LAATLHYSGAWYSWYLPMSDSNTYDNTGKQYDVSRVLSPDYRLDEEAYKNYSPLFLST TFALSYGLSFAAITSLIVYTYLHHGKTIWRQYKSSTTEKADIHMKLMRRYKEAPTWWY TSLFGLMLGLGFLTVLAWPTNMTWWAFLLAVFISFVFSLPIGIIQAVTNNQIGLNVLT EFVYGYIQPGRPLGLMIFKTFGYITMSQALTFVSDLKFGHYMKIPPRTMFMAQVVATT FSCFVQVFTLNYALNYIPGVCTPTQPEHFSCPGGKVFFSASVIWGLIGPARIFSPGQI YSSLFLFFILGAVTPVVIYLLARRRPKSWLRFLMAPLIFGGAGSIPPATPLNYLSWGI VGFVFQYWIKKKHFGWWSRLNFLTSSGLDLGLALATLVIFFVFTLNEIDPPKWWGNEV VTGTVDYKGTAVQMRVGAGESFGPTTW QC762_709480 MRRVVVTGLGAITPLGAGIQSTWRRLLASESGLVSLAHRSLQDL KWNGLPSTVAGIVPVPPADGSHSRFKTDELWRADYYFKASEQRRMSTFAQYAVAATDM ALKDAGWKPTRQEELDATGVCLGSGIGNLHDMYETSVDFERDGYKKVLPWFVPKILIN LAAGHIAMQYGFRGPNHAATTACTTGAHSIGDASRFIAFGDADVMVAGGAESCIHPLT FAGFGRSKSLSRAFNDDPRASSRPFDAERDGFVVGEGAAVLVLEELEHAKARGANVLA EIRGYGCSGDAHHVTAPRGDGSGALAAMKKALKNAGLKPEEVDYINAHATGTPTGDVA EAAAIRSLMMGEQGMENESQVTVSSTKGAIGHLLGAAGSIEAVFSILAIRDNVVPPTL NLKRPDVGPQFNFVPHEAQQKKVKVAVSNSFGFGGTNASLVFSQLD QC762_709490 MTLSLEAPISLPPQQTPRNTIMATPSCCRASLSRRPISILGQIH PTSTQTSRILSSQCARAAVPSPASPCSSTTKRPLHTTPKPQISLAEILKKTGIAQTTG RSYMIYQATEQLHKACAAQAEYTIDPEDRKASKLKHTPDGEEIGRSGGGGGEGGSAKG SVWHEEMGLLPTFSTWSQVTMLHMYLLVVRFRDMPKIQQTTFQDGLVNHFFYEAEAKM DLVHNLTSRVIRQKYLKDLFVQWRGLVLAYDEALAKDSDAVLAGAVWRNLYKADENVD VRRLAAAVGYMRRGMADLAHKGEEDLVMKGKRVFDEWGGVKAELRGVDVPSSQVKGLM DAEGLSSSEPAVKEGVKGSRFGS QC762_709500 MRELTLILASTPKMGIGLSGTLPWPSLKKEMAYFARVTKRSPSP SVQNVVIMGRKTWDSIPAKFRPLPDRLNIVVSRSIGGVEKREDKSLWAGSLEKALQWV GEDGKGEAGRVFVIGGAEIYKAALGLRETRRILLTRVEREWECDAVFPLELKEGGEWQ RVEQQKMDEWVGEEVPRGRQVEKEGTDDETGYEFEMWERVD QC762_709510 MASFSRGLPAQWHFFTLRQTQLPSCKCTPPPLQTRFFTTSLRLL KKAPKPKVPTPPAPRPSLLVKPTQSVPKPSISPLLASTRAPPSSYANIIAQKPRTLLY ESPSHLWFRTGCFASGMFCISYSVYHYWTIQLHPPEGLAWWIPHAYGVICLAMAAMAT YFIMGTGRIIKTITAVSSSSSSLVKAAAKPSGQGPLYIEVTTQRMFPFLKPKKKLYMP HEIELPFRMSSMFEYNKRIGVAVEEPLSVAEQVRKQRAAIEAAKKEREYTMNHLLTAP FRDAKKAFKGVWPGIVRSFSREGFTKIVVGGVTYKLDTTGGWALDDGRAMDRLLPIRP NSVNP QC762_709520 MASFAAACRVSARLATRRLQQDVTVKSFRTSAAALAAQNFTMPA LSPTMTEGNIASWKIKEGEKFQAGDVLLEIETDKATMDVEAQEDGIMMKIMHGDGSKS VQVGTRIAVVAEEGDDISALEVPADEVSAQPTKAAEAPDTYTPAPPNPSEPAEPPKSD STPKAAVKPGHKTTHRTYPLYPSVEHLLKVNGLDKSEAKKITPTGPNGRLLKGDVLAY LGKIKADIPAKIESRFEKQSHLDLSNIKVAAAKPAPVGKSAKEAAPAPPPAPVKATVT LPVSLSAVLQAQKKINDSLGIFLPISTFIARATDLANDELPVPKGYQPTADELFNQVL GLDKAHSGAKVSRGAYVPQVGSLTPKGAVLPRPTAAPAKKKDIFDILAASPSPKPKAT ASKLSPGLSAVGPNVFSLQVPRDEEKRAKIFLERVKLVLENEPGRLVL QC762_0112410 MPAIGPRIQVSGGFDPTDNSAGDQDRPKTPKTQDGTQDPDVGWR KTKKRFAGCEAGERREKNQGLEALQQADPRSGGQSWTGMSPIPLGATISAVALLFQCR QSGVPPCKVQLVVGLVVAPGYLDRKKQQKDVVAVVVVVVVVVGRKLFTSPHLSPTPHP NTYLAIHNTEGLHSASPGTPDRTTPHLPNSTLPRTRVRLHSVACLGTRSAGRLFRQKS ESPPGLGPNSLVSRRPPPFPAAVPPLPHQKFHPASPGFKIIASQAGIR QC762_709530 MDSEDGEFFVKQLATFVRTHEKALANALQFRRQTPRHGSSQSVS SVSPVNAPTSPSTTERPATSASTSSTLAAAFSLGSLNLTSHSVKSAKLALTPHHLFYL LSRFEELSIPVGPMKIRLENLHDTTASGNYVSFLGQSQRSKSRGSDVGSIHSVSSLRS VMSGMSALWASFGIGSGISAARTERQKAAIQNDLKYLYSAFTKIPCLRLAPDWRARLI RGYEEFPFDSAVPLYVFKNVQALEVSDIDFRQFFGWDKLSEQLRSLTLKRASIEDPAD ILIDIVLDDMDKRRRRTSKSQASPTTQSTWPGAVNSPRRSPTVSHMDLPKSTSAPGSP DARKSANDLPVGSLSSELGTDQEGRTPTDSRRPSIVRIDSDELKSPARDTRPRSHSPR RPHSSRNGSSNVRGSSYKIRRSGSGSSQSSLSDSWHNGRGSASNLLSMGILPASKWRF LKHLSLTDNSLTNIPAASLAPLANSLHSLDLSSNLFTQIPDSVATLTSLRALNLAHCM IDSLHSLTRNPLPAITALNLRANRLQSLAGIEKLFPLERLDLRDNRLTDPSELARLTG IPEIREIYVDGNPFTRTHRDYRITIFNLFRQTPGYTEDITIDGSGPSYSEKRYLVERA ALPPAVPVVKPPPEEIPAVDVSKPAIIYDAPIKEPAVLRKERPQPKTVSSEVNTSSTR RRRAPKRRIVDLATNDTPIPHAQPIDNQTRVPSIKTTAVLEPESNYRTSQPPDTQRPT ANVLGETVAVPQGLAPEVPRIDTSVIPQLPPVYDTGENNTEWDVGGEIYRRKIEALRD KVGSGYLSVLSEEGGYPTSLPPDYHEPADFGAASPHSITPRAASVQAIHSGRTLG QC762_709540 MASGPTTPRRQSRGRPRGRPKGTGSTSASRVRKFPEDLPATEPP LKKRKYVPGGPGGGGRYLDDDGGEVPPENLGPATTVSRQRASARTDAQPSPTVYPRRE RSTRIRTAVNRDELDDMQYSSATALAAAVVQSEGYKPREERGWEEFHPNLDIEATFAV LPADEVDGIVTKPAPPTPVALDTASLVNGASTPTKDGAFVFTGAKGTPNGQGKPSFSS LADTPSRRRLARPTRDSVSLYATRPLDLGLTPQVPKVLPIHSQNAKERLDLKMPSYRK IDRIALFESKTFGQARYVDKSMMNVGYQESDNFIRPDRKLIKAIDANTEEDLEQVAVV SAAGDPVQHTAGVVGRVEYDMDEQDDMWLEDLNSRRKATELDPITREIFEITITKIEK EWHALEKRIPKPNPKPPQTHRPRSSSAAAVNGEPQAGEEQDSKCAICDDGDCENTNAI VFCDGCDLAVHQECYGVPFIPEGQWLCRKCQLIGRGIPTCIFCPNTDGAFKQTNSSKW AHLLCAMWIPEVSLGNHTFMEPVMEVEKVPKTRWKLTCYICSQRMGACIQCSNKNCYQ AFHVTCARRCRLFLKMKNSQGALAVLDSMPLKAYCDKHCPQDYAKENAVAEATKDAKR FYKRTMKGRIWANSQASALQLAATHRHAITEHPPDESQLTGAKMNTVLGDKKKGQPAK NIWKLPSGAPIIPQAVFDTVESSLSRFPIRKRKDYVAETCRYWTLKREARRGAALLKR LQLQMETFSSMELTRRNFAAMGPSGKTRLARRIEFCGSLVKDLEQLKELSDAIVQREA SKLEAAELEQDFVDSCYFPVYKKLQPVLDKAFLLDKNIFKPGFRELQDKLDQRFYTTT LHFATDLCQAINAGINDPPAVPAAEESRGIGIDASPVKNGGNADVKDRRRIGKRMLKS LQPLLESALQAEAEICKKPLEGLQAELEAIIEASTELRQPGPAATIMVSGDGSLAPGI SQDVDMADAPVEGQIIVADQLDEDVDADGDPDDPMEGTEHIGDGSIEVKSDEHDAETN GALSSAHSVTAEENNDTQRTDIPQILTNGIPKESNSPPSFAGYNPASNPQPQNHSGPL SPPQSNGSFGINQQQGHHNVLSDGGIPWYLEEFELKGTSAVEKQWAGREAVRSLSEEL TDMDEEALRDLEFDVDDENTITASPVDVGKGSGGLKVEAGTPSGRRKRADVSKFRKGV RSSARRK QC762_0112440 MARILSGGIGEKFGTTRILPSTMDGKYNGVDEVKFLRSWAYYWH DFSKEAFARLAKLGTRNKEIESSETRLRRLHHGCIGSRIAVHGIFTRTEDSGQQTTID ALVKRVEDLEGICTKNTMADQQKTIGALLKRIWSSRGQQVDNLHDTNLQPVLTKQQKI IRGLEERLEDLEDETTGQTVAEQQTDIGELKECLEYLEVNSTSNTLAAKQETAIDTLA KRAEQLETSIKKFSAFASAASTIELAVTAGDADAR QC762_709550 MRLGGVECLNLSDPTVPCRGLFTADQQITGIFSKTALPTHHHHH PKAETTLIDTLQRCGHLFNRNTTMSKVTFAIVAGAGAAVGAAATAALFNKSSSSSPEG PRAPSSPSPAAAAPGAKPPSKPPSGPSPVNPSGLFDYGFPGPTSDLASRTSFISSYDR RTRNPHWVAEHITPQSLSLKSGDRKNSQFLEDPSIPEKFRAKLKDYFRSGYDRGHQVP AADCKWSQSAMDETFYLSNMCPQVGEGFNRDYWAHFEDFCRRLTSKYPSVRVVTGPLY LPRKEEDGKWYVKYEVVGSPAPNVAVPTHFYKVIFAEEKDSKDGPVAVGAFVLPNAVI SNSKPLQEFEVPLEVVERASGLEFADKLDVRRRKRLCAETSCSVIVKEYAQRQKAFEK K QC762_709560 MVQGDLNNDTRGWIMCAVSGIACIAGASIICIDVFVRLIPGQRD FRIQESNVFLACSLSLSFGVMVFSALNSMLPSAMRYLLKDEWDRQKAGLLMMGCFVGG FFGIQLISHVLHQYLPSHIVECDHTHEEIPDEESQHHRHHHHSRAHLPRRQSRRPSGP PSMVEVNEATPLLPTERNAHIHTPVVNGNAEPGGEVITHFPSIDTRRPSESRRPSFFS KRVMSFFKDTKPNCDEDGPCFGYTDPCGQECFKHVNGRTALSRNATIKSIPTTIAEDH VESVTSSHHHSHNISRSHSCASLHDHHHHHHHGHAHEESLSHSHSHTTDPTDASSISS CCSHPSEPEDNDHQQHHHHVPTNAFLAIGLQTVIAIAVHKLPEGFITYATNHVNSALG FNVFMALFVHNIAEGFAMSLPLYMALGSRFKAIAWSSLLGGLSQPLGASIAVVWFKIA NRQQLEINATAYAVIFAVTAGIMTSVGLQLFAESLGLGHDRRLSIFWGVVGMVVLGGC NSLVEGH QC762_709570 MASVQMSPAVQHPGSAGPSGMSAQQMQELYHKYQQMKQQGVPPN DPEFIKTSQMVAQAQRQWEIMKQQAQMQHQRQAMHQQQRQQQMQMQQMQMQQQQMANG ATGVMPGQPNRMPQPGAPQATAPPSSTALEASALPAGANPMAANPVGPPQPPKQNKMS LSQDQLALLRDQILAFKMLSKNAGIPANLQKTVFERRQRRQPSTTEQEMQISAANAAA GVSSQDTPKSGPNGAAPAQESTPSVPNPKVYKTFKSPYDGSLVRNTISYMDHSRRKNR LIIPGVFPAGIDFEQLRTDREKIVFNRMSARYAELKSLPGNLAHWDAAKDSLEADDTL KRKAIIEMKSLALYSKQRALREKIGKQMLHYDNLAMTTNRASYRRMKKQNVREARITE KLEKQQRDARINKEKKKQSEYFQAVFTHRNEILGNAQTQRNHSTKLNRLMFAHHFNIE KEEQKRMERTAKQRLQALKANDEEAYLKLLDQAKDTRITHLLRQTDGFLHQLASSVRA QQRQAAQNYGNEEIPEESEPEEEDEESSRKIDYYAVAHRVKEEVTAQADILVGGKLKE YQIKGLQWMLSLYNNNLNGILADEMGLGKTIQTISLVTYLIEKKHQNGPYLVIVPLST LTNWNLEFDKWAPSVSKIVYKGPPNTRKLQQEKIRRGEFQVLLTTYEYIIKDRPLLSK IKWFHMIIDEGHRMKNSNSKLSATIQQYYSTRFRLILTGTPLQNNLAELWAMLNFVLP NIFKSAKTFDDWFNTPFANTGGQDKMELTEEEQILVIRRLHKVLRPFLLRRLKKDVEK DLPDKTEKVIKCKFSALQARLYKQMVTHQKILVSDGKGGKTGARGLSNMIMQLRKLCN HPFVFDEVENQMNPMSVSNDLLWRTAGKFELLDRILPKYKATGHRVLMFFQMTAIMDI MEDFLRFRGIQYLRLDGTTKSEDRSDLLRDFNRPDSPYFMFLLSTRAGGLGLNLQTAD TVIIYDSDWNPHQDLQAQDRAHRIGQKNEVRILRLISSASVEEKILERARFKLDMDGK VIQAGRFDNKSSETDRDAMLRTLLETADMAEGGEQEEMDDEELNMILARNDDELSIFH KMDEERSRDPIYGTKPGCKGVPRLMAENELPEIYLTEGNPVEEEEAVVLGRGARERTK VKYDDGLTEEQWLMAVDDDDDSPEAAAARKAARKERRENKRKSLLGGSIENSPSASRA STEEVETPVKKRGRKPGSKNQEKRKAEEGDEEPPAKKRRGPQGRTKSLGANGLSGGGM SSAVREKLQKSLKRVYDGLMDLAVDDDEPVPEDEKDDDDGPAKRLIIGPFVKLPPKRD WADYYLIIQNPICMKDIEKKMKKEEYGSLGAMRRDLDLLIKNCRTFNEETSGICMDAR IIEQFFISEFEKELQDPDLRALDEPASSSAAGSTKDTSVAPSLTGDTPQPSSAAPPAG PTRIKLVSNSGSNGQLNGGVGSSRAQTEED QC762_709580 MANSDSDDYWVQEPELAPNDPMRNFMPTSFGKTSKEANIAAQIE QTRRKVEIPQKEKKEKKAASDSDSNSDSDDDDDDSESDEEDEAARFPVSHEVVFTTHD RAVTSIALDPAGARMLTGSLDGTIKFHDFSSMTPTTLRAFKSVDPWGSNKSGSTDSHP IQHIEFSRHSGGAFLCVTAHPQAKIMSRDGDVLTEFVKGDMYLRDMNHTKGHVGEVTT GTWHPTDQNFCITAGSDSTLRIWDVNNKRSQKEVIVFKSKAPGCAGRTRMTAVAWGAP SSGGAPVIVSAALDGSLVMYSGNGPFSRPAAEIRDAHKADTWTGGIDISSDGRMVVTR GGDGLIKLWDTRKFKQPLVKVEHPSTSDRYPMSNIKYSPDSSSIIAGSASGHLHILNP GNLRPEHVTPITPGVPLIVVDWHERLNQIITGSANSETHVLYNPEKSHRGALEVMSRA PKVRHIDDDPSAIMDQSSGISGDAIVAPGALGGTKRGGVTASGKSRDPMRPQMQTITP FMRSQPDAKHISENIPLSKMLHEDPREALLKYADAAKKDPMFTNAWAKTQPVTQYAET SDGEGEEGPDRKRVKR QC762_709590 MSALTPEQKEHFLQHGWVKIENCFTREQAETITSNVWTRLGMDP NDKSTWHTLRTNMPSHFDFDSSTFAPKAWAAICEICGGEDRIDPAFKYWKDSLIVNLG TPEGENKPVPPENFPEWHVDGDFFVHYLDSREQGLLVTPLFTDIEHHGGGTYICPPAI PKIAKHLYENPAGVCPRMEPRGAPNFNQQENLDWFNNCAKSCAGEPGAFVEATGKVGD VYLMHPLMLHAPSDNSLRRVRIITNPPIALKEPMNFDRDNEQEYSLVEKVTLKALGKD RLPGWKITGPREAVTPLRVKIQEQMRLEELKRLEALKKQAEQTQTVTAAA QC762_709600 MAPPEVDPLEEEYVSEEDSDFAPEDDNAPAAEESSASADEDEDA IAKPTAKRKRPAGGDAAEDAGFENSGDEAIISKGLKKKKRRQTKEDAEDEGGEGGLIK TRAQRAVEKAEKRAAVSTGPVTIDVDALWAQMTSEAAAPQPPSPPPSQEEKQQEKEAG KPSKETAAEKDLIKIKRTYNFAGKVHTEEKLVHRESAEAKLYLAEQAGRTDTTESEEP TRKLKKAFRSVFEPVMPIDPATGQRRSDLNLGVASRLKAREAAAAKAKKLNTVEKSRM DWAGFVDKEGIKDELELAGKSKDSYAARQDFLARSEALREEEARRARMAGKV QC762_709610 MSTSTKPIALVVGASRGIGRQVAIDLAKAGYHVIVSAKSTTPLS SLTTLSPFPPDPNSPQSTITTVALEITQLGLSATAIPVDTTSQTSIENLIHDIITSHK RLDVLIYNTGAIHWGPLSTTPLKKFLLLQSVNPTGLYITLHHSLPHLKSSPLGGRIIV VSPPIYSRFFRGKTAYAIGKVGMSVLVKGLAMDFDREALPLPDKNRQKGMAITGIWPA VAIESAATEQFTRRDQEYKRDLRKPTIFSDAILAILDAPAERVNGELLLDEDFLREER GVTDFGKYSLIPGANPKRIMPEVLPDLRVKEQDDEGMRIDSSKL QC762_709620 MRGGGTWGMSQASYTNLQLPISHCFSSLYLYVYISVYLCVSVSL LKRFIVPYPCKTVKMGGKIDCYLDIASLYSYLAYLDLLRNRPILASHGVTVEFHPTFL GALNQATGNKPPWTLPAKAAHGPFDAARSIARQGTYPNGSPLNITFPENLFINGKTIL PLRALHCIKSKFPPETLDQTLLYLFHIFWSPPNLNLTVPENLKSTLLAVPEGFSGPTA NDEKKKKLFSAEQVEEIMKGAQAEEFKGKLKKTTDEALERGAYGAPWIWATNDKGEGE PFFGSDRFHFVYKHLGLPYRDVEVLANGDDPKWGKLWRKENGAKL QC762_709630 MRAKWRKKRVRRLKRKRRKMRARSELSTLVVPLDYHGDANRSLT LPVVKWANRSRQPPRVFSNHVLPGTTLYNNGIHCAEV QC762_709640 MGDPSEKKRRRAEDDTPKSKKKKSEGAGAAMEFSITKVHTPQVS PPVVAVTPGFLLRDKHAFDVYEKVPQQANKRRKSSGIPQAPEMALHSSTHVSIDYTAR ETEQMLNHYLAIVDPKTKEIEIISAKKMMVRHKVRAQQEERKEPGEESAAQTNYERRT ALGEEFGTRKAKKALKSVADNAIMASTDNLGQAENTMLQNVKEVFAKSATKEELQAAV DQVRPVPKGNYNAEHIQDVYIPREIIGSEILNAVPVMDWQEAVRNNEAVNVPSRFVAH RIARVAANKEDKERLQVLRYLLWVIILWVTTGKGRERGTKTIAKRDKLRELLSPAPEV VIENIRRKFSDNGVMRKEHMELLMTHCCVFASIIDNFEVNMNDLREDLKLEQKQLAQY FMEVGARVKQSKAGGVMKHIAKLQLPLVFPRIRSGARR QC762_709650 MPSKRKRDPLDGFDPNKSDSEDENFDPTEDAPAPRRSAKKSRST RTKKTGGGARGKRSNRYRGSDIEDDDELEDSDLEDSFADDDHDDHEDESDEDLPTNAA GRRARKAAVKHQSYRESSAEEEEEIKESGDSASELEKTPKKSANKPSRIVVLKTAQAQ RSSKRGKEEPPSKPPPAPAHPTRRTRARTEEVEEPLFELTNSGRHAQPARGASRSKSP EALARATRGNRGAGKGLKQPPQPTIEEATQESESKDEEPAAAMEGEEAESVTKGRGEE PSPVRGDVEPEVPEAQPEDEDAPMEDQPAEAAESAAPSAPADDEDDDDDDVPITRRTR GARAAASQPAEEAAEPEPETVGGSRRLTRKSRLRGKKSLQEPSSDFEPGEESADHMSA SEAPNEEAPNEDDESTPTPRRGRGSRAASRRSRRNQRDSGDEEIELDKDEMAEELEEL RESSRSRPRRTRRRSPSIQYEERETKKRRTKPVNYSIPAIDPAAFEVEDDDAEPAATP ARNRRGGKSGGTQAWERALNTTFGPFGGGGGAGSLLNGPWGTGATGGVDSDSSDDEMN MRSGVGGTVGMTPTSAAPPVGLFNPLGSHNDGPGGIGGATPQVGKVKNQKAFADADPL GVDMNVDFSKVGGLQGHIDQLKEMVTLPLLYPELFTRFHVTPPRGVLFHGPPGTGKTL LARALANSVGHGGRKISFYMRKGADALSKWVGEAEKQLRLLFEEARRTQPSIIFFDEI DGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGATNRPDNIDPALRRPGRFD REFYFPLPDLEGRLSILDIHTKDWGLSPQFMRALAENTKGYGGADLRALCTEAALNAI QRTYPQIYSSKEKLVVDPSKITISATDFMISVKKMIPSSERSTSSSAVPLPRTIEPLL RNQHKALIGVLDNILPREKKITALEEAMYEPYADADTGFAREAAHAEFGRSRVFRPRL LISGLPGMGQNYLAAAMLHHLEGVHVENMDVSSLLGDGRPVEQVIASRFVEVKRHKPA VIFIPNVDLWFRSIPEVAVEMFKTLIMRIPPSDPVLLLGTAECTPELLDPELLVKLFG FSKKNRAVIEPPERSYRLEFFENLLVHLRKSPHEFPDPANRKKRVIEELPVAPPPPPR TLTKEEIKAQRKADLHHLNLLKMRLQPIMDQIHRKYRKFRQPVIPLQQIAYLFDEQDP NFVRPDLAEGEHRPYEIARDKEGTEGIRDTATGKFFYNLETTTIEERLANGYYARPHD FYKDINRLYLDAKNIGDKDRTLKANELRTNVEVDVHDISTSLANQGIRFDEIYERQLQ RVREAEEKARKRKVVHSVIDLIQSDIPGDGDSDSQGPVGIGLPLSRNAGTTAARFQPI LSPPSRGHGDSTQSRPLTNGTPASKVGDGDVQMGGMDEDTQPLTGRDLISPLQWPIPR NVGGPLGDSARATAGNMSQRSAITSVPPGMSPSALLNDASTTKTSDPSTNHSNNWSTQ HTNGTTGQNNPDETSQLEDTQSQSIHPSGFLAGQSHSQGSSSSSQAWAHSQAAGIAQG ILQPRGGVPQVTAQRTPSHPLSNVNRVTISEQGSPTSSQKPAPKAAAAPLANILNDEN ASSSGGKPSQNTPSTTTTTTSGPLTVISGVPTPGSKGSHHSSQQPVLHEGRLSEFLDI LADRTAGCSIEQLEQIYRELMDEIWQSRHDWNRMAVLSRVAGVFNDAIGDIEFVQGVL VEEERDEEEEEEKRKGKEKVLESVEGGGRPSQGSSQGRGGDGFWAGLGLVGNTRGEKE QEGWFYLR QC762_709655 MSNHLPTTYYRPRIASDPAVVERLEAQFREINWAVYTRRIDLTH NEVGLRMWNWANWFKQCAEARGEAISWSQNPASDGGVFEDRATPVSGPFEENDEATEA LKEDMGESGTDVENPNKSLEEAMGDLNKTLENLNKTIQDFNKWMQNSLRDTKNLGKQD KPPTFNFSSTITGGISAVGTQINTSNLTVKAESPASEAGLSVHEASRTKGLAEVKPAA SCGQPWGP QC762_709660 MKGPFFFLASLNRVRRCIYTPLPPPRPLNPIITYLPISSHHTHL TPNYTSLFFRMSQYFRPNEHPTGHTSLPNTTTTSTTQPTTSATEPTTTTTSPDQPSTR TITTPYSTLDQTATLPTTSAPAETSAPETVSGLDQAHVKRPKLTSEEDEEGAELEGVK QKDGRQEGGRLGEKIEGRIRGAVGGVFDDLKGAVGQGGEKEGEEGKEGKEGKKGLGLL WSS QC762_709670 MSIDRLLTKVLELYQDVHDDARTEQIYGSTTALLTNLSNPLNLS LLTSQLLIAPAIWGRPDAMRTCYRVISIFNSAAIHVRRNELENAKHKGPRAGGGLGSD AWAAAVLKGADGQSNRWQHLLVFAGVLMGMESGNRNSLSGGMRRTVERAVVTAANLAL RKNEPVPQAPAGPVTLALNFTFSLLSESSRASLNCDALIPAATTAMLGADGLEDGYFL GAVDIDVRQAVERFTWEPNSPSYLHITQLEKKPLVSGLGPLSRLLGYAIQNARNSQVI LQLQDDLIAFTGKLFQHWQVNNKLSELEISEESIYLTPETMSGTWEGLWNFLKKVMYA IVAVSQAVVARSLLDWRLKKHDVAPVVAAKTLHTLRNLYFISSRNGSDSFQVYQFTYL TSLDTLSRFGDASAAFLEEIKPNTEGTIPFHPLHRTLDLFYLNVAEHLPLHLPPEACD KLIIQPAITYLTNAAAPLSPRMMELFESAHSAVLSVLSCPHNAPITVKIVPFYAETLF SSFPKHISPRQFRLAFKTVMQILSPPFPIAASHPQLAETLLEMVRYRASIAGQDPNGQ APLPPPPAADPLEAQEPMSEQSTLVLTLIDALPFLQLDIFEDWMTLAAHAVNEIRDSA LREVVKRRFWEVLVSGEMDVERAAIGVAWWGTKGGREAVLFGRAPERQEEMYMMSGAL SRPERGSKL QC762_709680 MDRISRHIRRDAPPPGYTQPPFPSLFWPPQDSKVALYELDDIWK FTLYWTLILYGLFHLGAVGVAVLMQIGKRRSTWKYLWIVPLIYAFIAACEAVIAGSVV GLMVGAGYLAGNFTMSTWVPFVWGWVNVLVLLVASFRIQGGL QC762_709690 MERAYRAWAPDSAPAYNNPDRLYYPRQPKSLAGIAVRSFCLGIA LTIGISSTLYILLFTSSPLWRLPFFLASLSTFHFLEFWTTAAYNTRAAEVSSFLLTAN WPGYAIAHSFATLECLVTNVFFPNAQWAPFHIGKLVCVAGFALTLIGQTVRTVAMCQA GPSFNHLVQHQRNAGHVLVTSGIYAAFRHPSYFGFFWWALGTQLTMGNVVSFVGYAAV LWKFFSGRIKVEEEALVRFFGEEYVDYRRRVGTKIPFVP QC762_709700 MSQASLSPTNDSKMNTATATGTRLDDPANGVTRRTGGEDGGVVK KTDQLNGNSNTETTISTPEKPKRSYRSRKYRHVEAIHSESQPSCLSHDTTETPSFLGF RNLMVIVLVVGNLRLVIENIQKYGVLICLNCHDFRPTDLQIGIFLYFLIPCHLFLAYF IELVAAHSARSSLFPKPKPSPSGTSSPTEAQLSKFQSTWTLIWIFHALNITTCLVLTT YVVWYHVHHPLIGTLSEVHAIIVWLKTASYAFTNRDLRHAYLHPVTGELDALPELYRE CPYPNNITFTNLVYFWWAPTLIYQPVYPRTSKIRWVFVAKRLGEVVCLSVFIWFCSAQ YATPVLINSLDKIASLDYFSIIERLLKLSTISLVIWLAGFFALFQSFLNALAEITRFG DRSFYEAWWNSEGLGMYWRTWNKPVYQFFRRHVYSPMRSRGYTHKTASFAVFFLSAVL HELLVGVPTHNLIGVAFLGMFLQLPLIQITQPLEKRKSSSGKLLGNTIFWVSFTIFGQ PFAALMYFYAWQAKYGSVSRQQQHQSVQAVCPAPH QC762_709710 MARRALAGVATMVLCHRELEVDLTEPSFPPPGPVTDYGATIVHW MRNRRPGYQGSYRGEVERPSASYIVDMLPPIARRSNPADTVPTKHLHSSLNKIKHPVN VVRWTPEGRRLLTASSSGEFTLWNGTGFNFETIMQAHDSAIRALAYSHSDDWLVSADH DGMIKYWQPNFNNLESFRAHQDPVRDLAFSPNDTKFVTASDDSTLKIFDFAAAASEQT LTGHGWDAKSCDWHPTKGLIVSGSKDHLVKLWDPRTGRCLTTLHGHKNTITKTSFEKV RGQCLATSARDQTARVFDLRMMRDIVLLRGHEKDISTLTWHPIHPNLLSTGGAEGSLF HYLLDEPNTPPGHAPSIAVYDSPDPQSCPAQTIYPAHKIPYAHDFAIWSLDWHPLGHI LASGSNDRITRFWTRSRPGETEFNDRYHIGEAAAEAQGTWDRRGNRHMRQVEEEQEME DEMDGLVDQKMPIKPPGIPGFGNIPGLPMQGVSALPGLGPPPPPGLAGRGAGVPPNLP FPLPGLPPPPLPGIDPKNPPDFAAIAEMMKKAGIPPPPPPSSGGIPPPPPGMLPPGLI PPPGFPLPPGFPPPPPHLAAQAAAQNFGDGGHGDREAGGRRRAPLPSQEESLRMEQNR GHYTRAR QC762_709720 MADQHEVDLDSIIDRLLEVRGSRPGKQVQLLEAEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKYVHGLGGGSRTSTPRKASK QC762_709730 MLLYPTYWAANNINVLLVQKGLSSCKRETKSPIDGTGGKVPI QC762_709740 MSDFGDDDRDPIADEPAFEEDPDEYYEPVEDDEADNRPVGDDED PNQVVNSGDPNAAANHGKGTEKSHKDKKIPNDQRKTTPYMTKYEKARILGTRALQISM NAPVLVDLEGETDPLQIAIKELREKKIPLIVRRYMPDGTYEDWTCEELMQ QC762_709750 MSARATRAMKRKADNGGESADSTKRQQLDLADQELDKGSPEQET AESTSIYDGNDAASDTASPPGDTNTVGATSISTSRRGRKFPSDMKTIKCTFPGCDKSF NRPARLVSHLRSHTGDRIHRCTYEGCDKSYLEEKHLTQHIKGSHTHEKNYVCNVEGCG KAFVTNTRLKRHAAVHEGAERFRCRDYEGCSESFRKRETLQRHIRTRHLNQAGFPCLQ DGCQEGFDSAGALRRHTEREHGQLRFWCDECAKETDEDGEEKRVGFTTLNMLKTHART EHRACPFCDKKIGRQFQLEEHMENMHSGKSVEERKDVPCNWPGCESMFTRKSNMMTHY RSAHEGKKFVCGEVNTFNTPDIADWNWQEEGCKAPFVSKLKLEEHIRFVHLGRKRPER TITLNFDGPDEVDEMTAAVDKKKLACSVLGCEARFIRYADLNKHLESHQRQASSIDDG YAQQAQPNVAVEAGYEPRDFILSAAQDGYGNQDHMLVVPDAGYGDQGHILTANSAYVA PTNRYGNQDHILNDLKNEPGIPDLTGDAPHDLALDPELQASTMDMRLQGPDDQQQQQQ QDLDPNFYPALANMLGGDTHANADWNVMNELLGHGQY QC762_709760 MAEQQVTESTATTTRLPRVTIQFCTQCKWMLRAAYFAQELLSTF STSLGEVALQPSTGGVFIVEITTSSPATTTEAGSSDQVKLLTKILWDRKTDGGFPETK ELKRRVRDVIDPGRGLGHVDRDYSKPKSGEGEDKGEEGVEKGKEEKKVEEEGKVCTIE GKENCEDCQ QC762_709770 MDQQPRKSHNSSASSRIPTAEPQHQGDINDASPREPPQQSEQQE PSRPVNSPSSIPNGRPRSPVFSAKPERKPPPASLPLIPKRDSAGTPKSAPRKPPKMPQ YTSRDVGDPSQIKKTKQSMADLKLRRLTELNNRLREDLERERIPVSQASKSIIAYCNS TRDYMVPSVWGPVPKGEDPYAPQQSNGCCVVM QC762_709810 MPPPPHQKPENVLKRAHELIGVKQAPAALTLLHEHITSKRSRNV PITSLEPVMLLLVELSVEQKKGKLAKDALYQYKNIAQNTNVGTIELVLKKFIELAAEK VTAAQQKADEVQSSIEATTTSDNVEDLEASETPESILLATVSGEQSRDRTDRAIVTPW LKFLWEAYRTVLDILRNNARLELLYQSTAMQAFDFCLKYTRKTEFRRLCELLRNHVQT AAKYSAQMHAINLSDPDTLQRHLETRFQQLNVAVELELWQEAFRSVEDIHTLLNLSKR PPKNIMMANYYEKLTRIFLVGENYLFHAAAWSRYYNLLRQSAAIVAAGGKKSENPPTS EADLQKAATFVVLSALSIPVISTSRSRGAMVDFDEARKNKNSRLTHLLGMSQAPTRAL LFRDALSKSLIRRCRPEIRDLYNILEVDFHPLSICKKISPILAKIGADEEMQKYIIPL QQVILTRLFQQLSQVYETVDLDFVESLAQFPEPFQVTRATIEKFIMNGNKKGDLAIRM DHATGVLSFDADVFSSAKAAHAGSSAGSAESETGSVQRLQSTPSQIVRSQLTRVAEVL YTTCQYIDPKFNEARIQARDAAFARAKAGAEKEHLEILARKEIIQKRKDKASEAQAAR DKENARKKMVQEQLLQQAEAARLAEEQKLREAKRLANEREQIKRKEVESMLKDMKLED LAGEDLDTLDSNKIRMIKLQQLEREKNTVAEKLRITGKRLDHLERAFRKEEAKKLPED YAKQRERDLKAYELTKAQTLKEAEEKHKADVEIKHRLSRLMPFYESFRSNLHERRRDE FEKRRRDADRELEKMINARKKEYRDRKIREKREREEKERALREAEERAAREKEEEERR KEAKKEEMARIKEEREKEREKAREAQARQQQREEEAMARRKAEKAADTAPIRREIPPV EAPSAAGPPRIALAGNRPSWREREAAKAAGGAPPEPAAAAPPPARAPPVERTDSNERP PAAGGPPRLALAGKTGGSSWREREAARAAGGGAPIPERPAPQGRVSSGRGGAPIEREG SGRGEPAKDGATPEPLKASGAPGKYVPKWRRDNA QC762_709790 MASLKAVAALAAVTLVGRVTATEVFLPPCLDPFQPFVYSGCFSE ASGTQILPYRSPATPDDMTVEKCVAECKGNGYRYAGLVYYGVCYCGQTVKGDLTEESE CSFPCKGDDTQICGANGKFSIYQDPTFVPVDQTTIADYDPLGCWTDNSPQGRALSYRQ DSVDGATMTTEKCLQACHAGGFPFAGTEYGGECYCGVVIGNDTYSAPASECNMACNGA PDEKCGGPGRLNLYAADELLSLEPCGYEPPVVSSSSELPVVSTVTTESSTSTPPVEEE PTTSTTVEEPTSTSTPLPTLPPTTSTTAPVSTTSTTSSVCVTTTVIPPKCEYKCGKWC SNPLPDWENDAKSCKAAWTNCLLQVASCFKNAGFPQSLECFNFGQWCADVDDYCVKTP KGKKHDFFGKKPPKGGSPATTVTVTTACPTAAPTSTKPATTTKPATTTTICPTPAPTN ICKQPTNNYYGYKPGKPVGGIDMPVVTCNDLVSDWPSYPFKRYSNPDTRKCKKYSRST PSTACQDACKEQYEDCLDVYAEGCRNNKFRPRQDSYFQQMEKRTFWGGWSDSFNGAKD KCRAQYNDCLSVNRNVDVKGKCNKFCE QC762_709818 MNVTPLARRPLSCLKTSLLRQAREQKMFSRSLATEVQAVAAAAT SKTPSQGPDQNFYRLVDKKTKSVRSAFALYPPPLAAAGKPLSPPSTTNPVAALHDLQI KKLDPTGARTALFARTREAAKVGDILLVTHRRGGEPFAGVCLSIRRAGIDTAVLLRNH IGKVGVEMWFKIYNRNVAGIEIVKRRLKRARRARLTYMRKPKHDMGSVAEFVSAWRKS RRVFQTKGAKGKAAGQGGQGGKKKK QC762_709820 MFTTSSAGALRAGARRLETTVCHNASLQRRLFSVTSQRAGGFIV FKKGSNPELQERLDTLYNKIVLPSYLSQEQQRKLTKTKYKEQLRNDPITMEIDGEIHK FRYVDPTKDIPSASKLVRQAVEHMQHEDYHNLHLILKGFKRANRKLHDELALHIIRKA HQADRLDAVIDCAKQVELTGFKLDSPLKLSSLLVGAQTRAIESGFNPKPAKKALKQTE KVIDLLEFEGKRHQPSNRSKTQRPFYHEPMFLGLRLNLAATLAVKARDGQDEDGKVTQ YAEQMVHFWPENTGILDLQPDAAYEDPQNVQYLLDRNEFLYHVSPVLHGLQMAAKVVD PGLSMKLQNRADALEDEIRQAYEWDERMPASGEVMYNWLFNTEEMLGRIKAEKKKKKV EAEVNP QC762_709830 MFRPRPSTIRTFATTTRRLANAPLPPAPPSDYLINLSKSQGVAQ GLTGAIGYTPLIRLNHLSSQTGCEILGKAEFMNPGGSIKDRAALYVVKDAEERGLLRP GGTVVEGTAGNTGIGLAHVCRSRGYKLVIYMPDTQSQGKIDLLRLLGAEVYPVPAVAF ENPQNYNHQAKRHAERLDNAVWTNQFDNTANRRAHIETTGPEIWYQTGGKVDAFTCAT GTGGTLAGITRYLKDKSNGKVKSFLADPPGSVLHSYLQSGGKLIERSGSSITEGIGQG RVTDNLAPDVELLDGSLHIGDEKSIEMVYRCLDEEGLYLGASSALNVVAAKEVAEKLG KGHTVVTVLCDGAYRYADRLFSKKWLENKNLLSAIPENLKKYIVLP QC762_709840 MTPPTPLPDETTSTKPSTPVLDSLSSSDSDSDSDIDATSVKKPS PHLQQTEWLATSRTKRSTAGNRMKALLANEAPLLTTTTTSTDADADDLELLFAEDEDD AGFTPEAKDDASDVQMDSSSDEEDNDAANADEDEGEKELERQAREKRAAQRKRKTQEA IPAKFRKKVRIEPPGSSNAGSTVASPAPKPPRPKKKSERASWLPTLADMPTRASERST TRMSKQQLHEKMVTDEIRRRKQIERMEKKAKLLEAMKKPPMTQAERLAEAAKVEKRNA RSLNRWEEAEKQREEERLKKIAALNSRKLDGPVVTFWSGIQELSEGQGKHVGNLVSME ERAPRKKRQSAAAVLAAKEMGVGEEPGTPTTTPVEGEKMKVGGKEGEVKAEKVDAKML AGTVPTETPNAGTTAAPVNSPAVPVATSTSATQGPVFAPTTEPPVSVPATQPPSTAPV SAPAAPLPTPLASPAPAVSAPGSTPTPPAVAMPAPPPTVAAPAPVPALGTFQPPVPSP PPPPPPAQIPTAASAPPSGPVFAPPLPPTTSVSPPKGPAAPVLSMTPPTASSMAPPPI PPPPEARPPTSGVLAAPILAPPPGPMLGAQMPMLGFPPSGGKSNVLALPNTSHTPSPL SMPLSAPAVPAPALPILSTPTMSAPVPPTVPKLNIPTPPIPSTPAQQKSAPAQTPVSA TPVSATPISAAPVSATPTTAKQPDTPQSALPQSAATNPEPPAQDQQPQGKVTRSCIIL QNFDDAAIKDKQIQNQILFGRKMNRLAKPAHPPLCVITNHPARYRDPKTGLHYYNSYA YKEIQRVSKGDYKWSSLLGSYVGRGWGVFAAKGVPERFTDPSRPSGIVARGEMPEEVG VVEARLVPIEGAESVVKMEGGGGQQGVVVPAAAPVVMPSSPGQVVTHAGGGSAPAAPA GVVMNPPPCPRPPIVSPVVVDAPPAVPEVGMAADQNNHHQPVQTQ QC762_709850 MSTDKIDSLLQQYLLLLNEYTTLRTTLNNLQSSLYQHLARANFS AQPGVRHYGQDYYDERMQSTSHLDITISSETDTPEFSLVRPPVTNDKPSEKPKPNDNT KEQPQTQDGPGSTQHANNSNPSSPHPPPKDEAGDGKARDEAESKRDDSQPTPTSKHKN STNPLHWFGILTPLPLRLAQADAKTAVEDIIPKLATLSEQMKALEVEVRRERKKRAKA EKEESKQQKDQNRGRCGGISNYQPKRVK QC762_709860 MVLGTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQVDLRDDPSVQQKLAKQKMSPVSKADGERMAKDLGAVKYVECS ALTQYKLKDVFDEAIVAALEPPTPKKSRHKCQIL QC762_709870 MAATQDLADRTAAQKVYIDPASGKDDASADGTEAKPFQSLYHAL ITHLETTPAPTYLTLVKKEDAATSWEEPAKSALKKAVGRVDAYKKKLAKEKDAARKEE EERLQRLKNLEDSKKIVLKQDESLPKAERIKLSQKDEGLIGKRVKVFGRIHRIRVQKA ATFLEIKDGYGKLQCILPAGDLTRNHDALLFAQETALAVYGLLKRVPEGAEAPDGREL HVDYFEVVGGAPSDLEAFSNKVSKDQDPWEASMLDNRHLVLRGDNASAVMKLREAIEL AFVDSYREMEFTKVSPPAMVQTQVEGGSTLFGFPYYGEEAYLTQSSQLYLETVIQSLG NVYCIEKSFRAEKSLTRRHLSEYTHVEAEMDFIEFDDLLEHIEELICRVVDKVLANPT SAAYLKLLNPGFQKPSRPFMRMRYADAIDWLNAQEEPILNEEGKPHVFGDDIAEAAER KMTDIINRPIFLTHFPVEIKAFYMQKDPKDLRVTESVDVLMPGVGEIVGGSMRMFDYE ELIEAYKKNDIGHEPYYWYTEQRKYGTSPHGGYGLGLERFIAWIANQHTVRTCSLYPR FMGRAKP QC762_709880 MQDISAPQTGSSSHRFGHASKPSNSDTGFSHGAFASNISGFADR HPRRGNIPTINTQPVSHQQQQQQQQQQQQYNQQQQQQQQQNHHNGELTSPGTGFEFAS LLPSQLLLSPFQPGTPAAFASPHFQNVSSFQQLQQQNLQQQNGASSPIQQSISSQMYG GIVSPSAYGAPQFYSPQSPTASFNNMAGLQMPVQPASPMPMTPGLVTGTSRTVYLGNI PPDTSAEEILGHVRSGQIESVRLLPDKNCAFISFLDPASATHFHSDAILKKLCIKGQD IKIGWGKPSQVPTSVHLAVQQSGASRNVYLGNLPEDISEEELREDLGKFGAIDTIKIV REKNIAFVHFLSIANAIKAVSQLPQEAKWQAPRRVYYGKDRCAYVSKTQQQNAAQYLG IAPGYAHMLTGADRDLISSALAQQSVAAAAVATTAGGITNLGNRTIYLGNIHPETTIE EICNVVRGGLLHHIRYIPDKHICFVTFIDPTAAASFYALSNLQGLMIHNRRLKIGWGK HSGALPPAIALAVSGGASRNVYIGNLDETWTEDRLRQDFSEYGEIELVNTLREKSCAF VNFTNIANAIKAIEAVRGKEEYRKFKVNFGKDRCGNPPRQLQQQAQQSPRGEQVPSPS ANGSSQSGHSPTGNGHNQQNGQAAAALFNQNSNPLTMYLTHVSHQAQQQQQHQHHQLA AQQAALFGTAQSSPNEPGISLEVPQGHGGMGHQQSASISNGYATSSGATTIGGLLAPV NTRGSHNRAVSLPVLAPGFENGVGNGNMGGGNDDGQRRGHQYQASYGGMGSGFGLAIQ GNMNGWTVEEEVVN QC762_709890 MASRSDTELLTEHFGYPPVSLLDLIINTTNTLADRALTSIESGL LNAPPSALGFRPPAFSSPADSHRNEVEEGVHKLETLLFAALDKNFDKFEIYTMRFLLT VNPEDEPYTTLSHYRGLDFETGEEEVGVRGVNEIRRRLQESMKLGVMLEAERARNEGL LGELRRLVGTQGGVKSEGNEGGKEKSVFGFLAEGRRGLEGVDRERPLETTARFGRSQL GSLRELSVELGGLLPRLAQETAAEDGGATTRDWRRERLEYVEAAARRHLENVRGLELT RDGAVRDDNAEMVVGGPKTMEVGDLERVVGLLGVGEAEEKGTGKEAEGDRMDES QC762_709900 MERFKQWIATKPFTAQRCKQFIYTRPRWLFEFIFPQNQGFPFIR YHYYLIISLALIGALITWGAGKHSGGTAEIRFIDALFFTAGASTQAGLNTVDINLLTT FQQAIFYLWPMMANPITVNSLVVQLRLYWFEKKFQHITRNARLVRVPLAKSFTKSRTK AKQQDGDVEKGVNGRKITVMLNGKKSRINNDGTLLDDAKNKGRHGLGLTAANRTATDG GLDGPLDKADRHTGPAVTSSMTEPRRPALKFADTVTKSDGLGEDFLKFPRMRSDEEHI AIVERQKKGDDEVLRIPNPRDVERGLGPKRVEAGDNEDELLSPHAEAFNLDGEPRAQA ADGRHPAITIEEPDRRKLQETDSDDFVDDVRAAAHTFDFLKPHFPRHKKKQDDVEKEP VIPGPSQNRRRQSMQTLRTAFSNNKAEGTPYLSWEPTVGRNSLFPELTEEQREELGGI EYRSLKTLARVLTVYFWGYTALGVVGLLPWILQATQYGQVVEAAGPNKVWWAFFTSQS AFMDLGFTLTPDSMNSFSTATWPLLWMSFLIVIGNTGFPIMLRFMIWVLSLIVPKNSG MYEELRFLLDHPRRCFTLLFPSKATWWLLGFLVLLNGLDVMFFIVLDLGQEGPVASMS DGQKVLNGIFEAASTRTAGFSCVNLSLLHPAVQVSYMIMMYISVFPIAISVRRTNVYE ENALGIYSHGEEEEHSKANHNDWSHVGTHARRQLSFDLPFIALGLFILAISEGPRIMN PEDAANGFTMFSMLFESISAYGTVGMSLGYSTINASLSAEFSDVGKLVIICLMIRGRH RGLPYGLDRAILLPSDALNAKDAMAADTEGRLARQISRMSVATHRSDTTHNQRGLGHV LAAILHPGPPVPPEPPMEAIHRRSTDPCSDAEPQPMRVTSRRTEPGVVRRSVSHLFSP RPRTAGGHEDD QC762_709910 MRDLGRNVCLRCEVQLLAAVRGRGPRPSTPRSYSSNSSPRPRPA RTATNSTRQQHEEPEPPSDLLEAASRKRAAAVAMFRSILGDIAQPDGPVRPPPTPAPP APSVPSIKPSGPSTRSVKLSSPSVPQAAHPAPVSAPAEAPERIEPAPMPESKETIVEQ AVMETTETPEKIETPEAQEIPEVLEAAEEPENPQPISETRNAREETREEKEGINISPV GSSLEFFEDVAKLKQMMTVDHDYEAALAFFEEKLQPLMEAKAGIRELMKDEVARDFLS YLTRVKIANPDDPRLPSVSRITEVTFRLSNLYYVAWGKLILTLVQHITRQETTPDAFQ TLQDYEAAMANRAILIRDLLQSWDVFIEHNPEFLKEVPRRNAAPTPDTKTLHETVHGL ETSLAVPYTQLFISLSPEKLQLNTSRYNISWAAYATYRMLTDRINTNYQTRDQASMFT KMMKNVLTRAKPPNDRDLEYNFAEYQDLLRYIRDLGEEDGNHIWYMRVRTPEAERRQQ REGIHRRIGTALKRANLREVKAAWVDFWGPDKNPDEERIRVMSEDPTLFDYFIQAFMQ LRQTELTNHVWESMHRVNVKPTIRTWSALIEGCSRARSKGALDKMWENLITAGVKLDT HIWTSRVAGLFKSGDAEGGIRALVDMERTWASREKNPLVAVKPSIEPVNAAISGLLKA KRQKDVMTVLAWAGKQGINPDIHTFNILLRPLVLKMDIPGIRRLLSQMQDAGIEADAA TFTILFEGTMRNYADRPKGEQLAHVRKFIKEMEASGIRANMMIYAKIIKLLVDQGEEG KETLKAVYGQILQSGLEPTPHIYTMLVEHFFNQNPPNSKAVADLIKNRRLHGPKANVD RVFWERVISGFCQAGEVEKAKEVFRKEFEGEKEVGMTFGMLCDYLVGLLNSGDREGAR RLVRRAREVREDLVVVDGVKDGKGGMRLESVRPGAGMGREEGELVMKARWWKHRFWHI AERAGVMN QC762_709920 MTTLQPRPPYTDAELQKLYPPNLDLQLVQILMRHGERTPVSPRF QNTGLPPFWPYCTSVRQITSTILSPSSSSLTTLQWTRRLETFSRESDSPTLALSPTSH PDNICDMGTLTDLGRQTTSSLGARLRDLYITRLGFLPPAITSPSQIYLRTTPVPRALE SLQQTFSTLYPPNTRLPSPETGIFPTPVIITRAHADETLYPNDGNCRRFAALSRAFAQ RAADRHNNTPDMEYLNQLIGKWMDGGKVAVDSRPRLSGVMDTINATLAHGPETKLPKE FYDEKARGIIEKIGVEEWFQGYRESEEYRRLGIGGLVGDLVERMVKSVEGRGDGVRFG LLGCHDTTLAGMLASLGAFGTERWVPFTSHVAVELFRDNMKGGREREGWFGGLFGKGK EIGRRPAEELGEEEKKKLEGYYVRLRYNDEVVTVPGCRMEGRHLEGDESFCTLAAFKE IVDKFTPKNWKQECRMSRGETVSLPKVPEPAGY QC762_709940 MVGVPGKYKGCNTNLEDDHHLLEESQKLTQHLQCDNERPLCRKC LDSGRECAGYERETVFIIGTIEDQGRCSSHPPRVVKSKKSSGKHSSSKRSDDTGSFQL VANTPLRPAWDDLISVSCGGQTFQVQIAALFTPLSSVTRAYATDEDEGEQNRTIFVSF PTYQSPDLTPYPGEDDFQLFSQCMVHLAPPSESRGGQGTQTDSIFMFLYEHNNSITYN STLPPWKDPSLQTSTVRRLGPSGFRQFPNHHFFARIYRPAAIWTALLSSTPTFLSSPE WQTTPYESHPPSPLDNLLSLLSLLPALFARFNSITSPDTPPTLSRRLLAQDLLSNMMD IELRLSAWFTSLPRSAFWIADPATLPYQPEIPFMETFAFRDTQTGLGLLFYWSGLVLL WPKMWRLYWVLFEAVIDGPIGVEVTLSGKLAGVDPMRWGWKETRGVAESVCRGGYWVL QGAAQPDLVGWVVEVLDWFYGELPGMMTGWDEGVMVGGDGRLEMGWVRGLRERVQGRG RDIGEVVGGRGWVDYVSF QC762_709950 MAARCQLTPILRRLARSTTEVSRQPLLRQSLSRHTVRHISTSRP RLFQPTAVSQKGELTSEKYPDIQRDSRFAQVTPEHVKFFKDVLGSESAVVDGVTSDAA DDIAPFNSDWMNKYRGHCRLVLKPGTTEEVSKILKYCNDNMLAVVPQGGNTGLVGGSV PVFDEIVINMSRMNKIIEFDEVSGILVAEAGTILEVADQFLASKGYIFPLDLGAKGSC HIGGNLATNAGGLRLLRYGSLHGTTLGIEAVLADGTIVDDLCKLRKNNTGYDLKQLFI GAEGTIGIITKVSIQCPQRSAAQNVALFGIESYELAQQAFREAKGQLGEILSAFELMD EGSQQLVRDVTGNKSPLEEKYPFYCLIETSGSNAEHDAEKLQSFLEDVMEKGIVADGT LAENETQIRSLWTWREGITEALGHLGGVYKYDVSIPLKELYQMVEDVKARIDAAGLLG DTDEFPVRAVVGYGHMGDANLHLNVSTRRFDERVEKVLEPYVYEWIAERQGSISAEHG LGLMKKKYIGYSRNPTMVGLMKNIKNTFDPNGILNPYKYL QC762_0112900 MRASALRPAKARQTCVSMRPILEGVMRVSWSFMAERFSQPRTTT SWPLTPTAQVPRLTASPAYSTWKTWPSGLGRGGISGGLDVNSGGSSTGSEV QC762_0112890 MASGYDRALSVFSPDGHVFQVEYAGEAVKRGTCAVGVKGQDVVV LGCEKRSAMKLQDTRITPSKIGLIDTHVCLAFAGLNADARILVDKARLEAQSHRLNLE DPVTIEYITKYVAGVQQRYTQSGGVRPFGISTLIVGFDKGSEVPRLYQTEPSGIYSAW KANAIGRSSKTVREFLERNYKEDMDREATVRLAIKSLLEVVQTGAKNIEIAIMAPGKT LELLPVEDIENYVKNIEQEKQEEADKKKKGRTPGQGSAAILTRQKDESEQ QC762_709970 MCKHILNAQVSIRSPCCRKWFDCVDCHTESQTHPLLQSFEMVFA CKKCKKCFRKDVKEFEEADEYCPHCDNHFVLDALTPKAAITVEADDVRVDARMLKDDR VAAKKERPTVFDPDADVDKLG QC762_709980 MPPKRKAPGTQGAAAKAGRTSALSTPGPGTPRSLDSSMMSEEDE DFLNDEDVDEAQKQREDMLAKEADQFVNKWALTSKNVDGGEEAGQRTYDAASQYFKKR DYSHYQLKPDHQNRPLWIEPDGTIVLERFSPLSEQATDFLITIAEPKSRPSLLHEYRI TTHSLYAAVSIGLRPQDIINTLDRFLKTPLPPRILNFISSCTQSYGKVKLVLKNNKYF VESVDTQLLQKLLADPQIRAARISGTTDISTSYAPTMAGLVIPGTKNAAGVHQADLKQ GNNQNGEQGQAGAEADVFAALNEEDDDDEKEAVHSFEISDASVETVQKQCLEIGFPIL EEYDFRNDNVNPNLEIDLRPNTLIRPYQEKSLSKMFGNGRAKSGIIVLPCGAGKTLVG ITAACTIRKGVIVLCTSSMSVVQWRQEFLKWSNINPEDIAVFTADSKNKFSGSTGIIV TTYSMVTNSRERSHDSKKMMDFLRGREWGLMLLDEVHVVPADVFRRVISSIKSHSKLG LTATLLREDDKISHLNFLIGPKLYEANWMELSQQGHIAKVQCAEVWCSMPPEFYDEYL RANSHMKRTLYAMNPRKFQACQYLINYHEARGDKIIVFSDELYSLKQYALKLKKVFIY GGTGQAERMQVLENFQHNPDVNTLFLSKIGDTSLDLPEATCLIQISSHFGSRRQEAQR LGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSSKRQAFLVDQGYAFKVITQLANIND TPDLAFATPQERRELLQRTLVDNEKGFEQDAETDDLFGKPSGRRGAGGARGRKAGNGV RRTAGTLGELSGGQDMAYIEQNKAANKGLKGKGAGKKADAGGQNSFFKKIQREKEKIK GAR QC762_709990 MSASQTTSSPELTMAVIGCGTMGIAILSGILASLDEKSISPTPS GTSTPLYETTTTPRLPSKFIACVKRPESAKKLKTAFSSYTTPVTILTNSNLPAVQSAD IILLACKPYMVNELLSEPSLAPSLSGKLLISILAGVTETQLSQTLTTANGGQPVNCQI VRAMPNTASLIRESMTVIGISNPPLDPETMGTVTWIFKRIGEVVYLPPSNMDVCTSLC GSGPAFFALMLEAAIDGAVAMGLPRAEAQRMAAQTMKGAAGLVLNGDHPALLRDKVST PGGCTIGGLLVLEEGRVRGTVARAVREATVVASQLGQGVQGVNGTRFPGNNFQ QC762_710010 MDDSHKPPIAHQGPAAQQPPSSSNTTVPRQQPQNPQPERAAAGH GPKVNNTPNNTKWSKNNSQKIKNLSNYINNNNPTGTNHHHHHHHHHHHHSTKKMADPF EVRMRFTNQLRQLNASVTSAQKAAQFALKHHEMGEDLHSCILEQLEKNNMNTRANIMY FIEHFLDLAQKESHPEYVVLMQRDIIRVVDAVAPEDGTGIANVKVARKVLQALAAKSF LDGELLSQIEEVLRERYSAAQQDLALMSSPTTAVGDGDVGWGGGVPTPQTRVSTGGGG GGGKGKMKLDRKQVEQRIEEDRERHKRQREGIWAVSPENEAELSKLWEETSELGEDDE RMCDEEWEEWEEAMGGGCGHWGERTNGDHHS QC762_710020 MADDDGAWTASANEAFVISLVAPGSNGKESKFHPRFTYPIFGEE EEIFGYQDLQINLRYNATDMRPNLQIKFSKQFPAIGDAEPLDIKEVLSEFLPEVAFQP LKDWKSELAKPRDDWTPPGELLTSFTNKAGRFEVWKGSLSDPAVKQLVKRIQILVPLF IEGGTAINVDEKDAGHWTVFFLYQKKTDPSNKKPTYIFAGYSTVYRFFFLHLTPTPPT TPLSDNTSPINDPIVIKEDFPLGTPTVDITTLPCRSRISQFLILPPFQHLSLGSRLYH TVYQTYLSHPPTKEITVEDPNEAFDDMRDINDLRRLRSLPSFQALQINTSTPIPKTGP VPKNIIDEAAAEKVRKEAKITKRQFYRVLEMQLMSRLGESVRPGIGLDESKKVATATE RKEYALWKLMLKKRLYVHNRDALGQLQLEERVEKLGEVVKGVEFDYARLLVKMEDQEQ KLREEGEGVVVVMNGKGKRKATTEGVDDNGESVKKKARVEDEDEEEEEE QC762_710030 MSGLIFLVVTSVVMAVASFLAGALPLSISLTQSQLRFVASLGAG LLVGSCLIVIVPEGIEAIASASSDHHHDDNAPHRHRRDDLPQTEDDELPAFHIGLSLI LGFALMFLIDRLPRHLTDRFTPPPQSRHVSLDNLSTHQPDSDLEDESFLGGLTPSPKQ SRSLATTIGLVIHAAADGVAMGASATTSNMNVGLIIFFAILVHKAPAAFGLTSTLLKQ GLSKRAARVHLMVFSLAAPVGALVTYFMVGMLGGEHLEGRRGGKWWTGMLLLFSGGRF CMWLCMLCRRRILLGLGGMIMLGREVEGVIQRLGMGGIGGGGGWS QC762_710000 MSYNPPMSFSERRGAVFNEELSLNTHHGIKSLAQPRPRGPPTPS MSTPAADFDQVTGSPPPPPTPAASPGPSNSRPDWKSADETEEAYLATIRQYFVKCNSA QRGRLLSDLLNLCTSQQLSFVHQFVSPLLKKDPFTTLPDELCLRILSFIDDPKVLVRA SQVSRRWRDLLSDDMTWKNLCVKHDYQRRMSEVQAMRAEFYGHAQRDDGAAAGDCSGA LTSNSLPASFGSSTGARPRLTSYKSHFKQRYLVDVAWRTGGRNISKNLTQDGGVVTSL HLTSKYIIVALDNARIHVFDTEGNALRTLQGHVMGVWAMVPWDDILVSGGCDREVRVW DLTTGNCLHTLRGHTSTVRCLKMSDANTAISGSRDTTLRVWDIRNGTLIKLLVGHQAS VRCLEIKGDIVVSGSYDTTAKVWSISEGRCLHTLSGHYSQIYAIAFDGYRVATGSLDT SVRIWNAQTGECQAVLQGHTSLVGQLQMRGGTLVTGGSDGSVRVWSLERFCPIHRLAA HDNSVTSLQFDDTRVVSGGSDGRVKVWDLKTGQLVRELITQGEAVWRVAFEDEKCVAM ALKNSRTVMEVWNFSPPEEMLNDRPVIPLKRTLDAPAPDGSRPLSAFALDYRGAGSSS SGNGMLDVDMPDAGPSTAPLQQSGGMFFRDP QC762_710050 MFSRATRLTRALPVRVAAARASPVTASLARRTVTTNAASAQVDK SSVPQSEDEPFHVTLSDESFETYELDPPPYQLEVTKKQLKQMYKDMVVVRQMEMAADR LYKEKKIRGFCHLSTGQEAVAVGIEHAINKSDDVITSYRCHGFAYMRGGTVRSIIGEL LGRREGIAYGKGGSMHMFAKGFYGGNGIVGAQVPVGAGLAFAQKYTGGKKATVILYGD GASNQGQVFEAFNMAKLWKLPALFGCENNKYGMGTSAARSSALTDYYKRGQYIPGLKV NGMDVLAVKAAVQYGKQWTEEDNGPLVLEYVTYRYGGHSMSDPGTTYRTREEIQRMRS TNDPIAGLKQHILDWGVAQEDELKNIDKEARSFVNEEVAAAEAMAVPEATPKILYEDI YVRGSEPDFIRGRILDENYYFKQ QC762_710060 MPPKGSRQATLGKFFTQANGAAIPEPKVAAQNKTQTKLSFSTKV GGEQSPKRKKKDEEEEVRSDAEGGEEGFKPVEKKKKRGRPAKAAIITTTEKKTRKKEV DEDGDESMEDAEPAQKKARKGGRRVVRDEEDGDESMEDAPAPVGDDRVSSPEGYQYEK GSEPRMLNATPKKRQGKKVRQDEKEWNFGVESPEGYDYKGGSPPKLFKGDRLVAVGGK KVGKEEAGKEEGEKAGKKAVEKEEEEVVKEGEETASLASDVEMEEEEEEEEKPEVAKK ARQKVQATLKSADEHPFPDWKAGEPVPYAALCTTFSLVELTTKRLEIMAHCALFLRQV LRLTPDDLLPVVLLMINKLAPDYAGIELGIGESLIMKAIGESTGRSLAIIKQDQKEIG DLGLVAVKSRSTQPTMFKPKPLTVRGVLKGLMGIATTTGNGAQGRKVDGIKKLLSQAD ANGAKKVDITKDKGGPSEAKYLVRFLEGKLRLGLAEKSVIVSLSQAVVAHEAAQKGVA PSAADFEKGEAILKTVYSELPSYDVIIPAMVEHGIMNLRDHCKLRPGVPLKPMLANPT KAITEVLDRFENKLFTCEYKYDGERAQIHYVAKDTAEELSQSAANASKEVGNGVAAIF SRNSEDLSKKYPDVLAKLSTWVKDDTKSFVLDCESVAWDVDEKKVLPFQQLMTRKKKD VKIEEVKVKVCVFAFDLLYLNGEAVVNKSLRERRELLHKSFTPVEGEFAFATSMNGQE LDEIQTFLDESVKASCEGLMVKMLDGEESGYEPSKRSRNWLKIKKDYLTGIGDSLDLV VLGAYFGKGKRTSVYGAFLLACYNPGTDTYETVCNIGTGFSEAVLEELHAQLSKITID RPKPFYAHSSGGQHQPDVWFEPKYVWEVKTADLTLSPRYKAGMKEGVDPSGEKGISLR FPRFIKVRDDKKPDEATSSRQVAEMYRKQESVSKSKGPSVDDDFEY QC762_710070 MSVSCRYAAQSCARQLRTTAARTPLRTSSAVALQRRYNSTETSP KISAIVDQISQLTLLETADLVASLKSRLNIPDLPVGGFAAAAAPAAAPAAVEEAEDAA PAAAEKTLFSVKLMAFDAGAKPKVIKEVKNLLGLSLVDSKKFVESAPKLMKESVPKDE AEKIVATMKELGATVVME QC762_710080 MATIDVSLQRRSLLRDAATLQFLPRELVLIIQDHHTHSSQLLEA IATAALIAPATDRIFAHLDSCFADICARWVLSHPKLDVKVFASFARILPFAPALSVFL TSHIQGGGGAGGLRPGQQILDHLDLTSFSDIDLPIILLALWRLNNFDKRTYSPLSSPS QLQSLFTTHANPAVRCLAIRIFCQLHDASDQKLEALFTKYIAQDTSLIADLDGRRADY SFLSLYEDARSQEVQRLRSSIQTEQQQQQEGPVAPVIQNLTPLVVTYGKTVLPRPLGP VNTPSTLALTSTTVENLENLGRLLQKPGPVLLHGLSGAGKTSLVQEVARELGKQSEMV TLHLNEQTDAKMLLGLYTTDEKPGSFSWRPGVLTTAVREGRWVLIEDLDRAPTEVMST LLPLIERGELLIPGRGERIQATSGFRMFATVRTLMGMNDKENLPNMIGQRLWQLLHIK ALPRDDLREVIVGRYPLLHRYTVGILAVFDRLIASTSGSSRLSLGRTVLDRPIGTRDL LKWCGRLDDILRSAGVKTGEEPVTDTTRDRMFLEAVDCFVSNIHEIAARNLLIQAIAK EMHLSPERVRHYLSHYIPDMVDSESRLTIGRASFVKSRRSGRITKSKRPFATTVHAKR LLEQIAVAVKHREPLLLVGETGIGKTTVVQQLAESLGHQLVAVNLSQQSEASDLLGGF KPVSAQSLAMPIKEEFEDLLEKTGVSAEKNREYLDRIAKRFVRGKWREVSKEWRKAPK MFEAILEKLESAQTKDEPAEEQPAKRRKTGSTKLQRLRDLRPRWDTFSQSLDQFDRQV ASGGAFAFAFVEGKIVKAARNGDWILLDEINLASPDTLESIAGLFQTTPSLLLSETGE IERIQAHPNFRVFGAMNPATDVGKRDLPLGLRSRFTEIYVQSPDRDKKDLLTIIKTYL KGNNSTIDRLADDVADLYLEIKQRAEAKQLVDQASEVPHFSLRTLTRVLTYANDVAPV YGLDRALYEGFCMCFTTLLSEESERTVRPLIHQHLLRKSNILTQPPKKPLDGKPYISF KNRDKTHEYFLLQGNHEPIVRDDYIITRYVERNLLNLVRATSTRRYPILIQGPTSAGK TSMIEYLANYTGNKFVRINNHEHTDLQEYLGTYVSDSEGKLRFQEGLLVQAMREGSWI VLDELNLAPTDVLEALNRLLDDNRELLIPETQEIVRPAENFCLFATQNPPGLYGGRKV LSRAFRNRFLELHFDDIPESELKEILQQRSRNTAPSDCGRIVNVYKQLTRLRQESRVF EQKNSFATLRDLFRWALRESETRQEIAEHGYMLLAERVRKPEERDEVRKVIEQEFRVT IDSAKLYDLDTAPELRNVKARNSQGVIWTRAMRRLYVLVKRAIKNNEPVLLVGETGCG KTTVVQLLAEFEGQGLHIVNAHQNTETGDLIGSQRPVRNRGAVVDALFRDLEELAGLL GRERGALEELQEWYRTLSADELARVPEALRSKIHAGITRSKALFEWSDGSLVHAMREG SYFLLDEISLADDSVLERLNSVLEPQRTLLLAEKGVDDSFVQGTEGFQFFATMNPGGD FGKKELSPALRNRFTEIWVPAFSDVEDVHDIVVSKLDKRFKQGKSIKSKPVSQIIVEF AYWFGKTFRPSSATAFSVRDILSWVQFMNTCPFKSTDLALLHGAAMVFIDTIGANPSA LISVDPKEMASQRQQCLEQLGSLCGKDLSQLYFQEPELEITPGALSIGDFGVERSEAA KPDAGDEFAVPTTKMNAMRVIRALQGTKPILLEGNPGVGKTTLITALARACGRPLTRI NLSDQTDLMDLFGTDVPVEGAEAGNFVWQNAPFLEAMQKGEWVLLDEMNLASQTVLEG LNACLDHRGEVYIAELDQVFKRHPDFKLFAAQNPHHQGGGRKGLPSSFVNRFTVVYSD VFTKEDLLHITAKKFAKIGEDTQHRLIEFMSKLDDEVVGRRSFGALGSPWEFNLRDTL RWGDLLTSDKALLADRKPDDYLDVIIRQRFRSERDREAVNKLFAEIFERMPEQHGLYH DINPYFCQVGLATIKRNALSQPTHFPAIDPIARLKEIESILIAIEQDLPCILVGPSGS GKSSLLAHVAALAGKPMVIFPLNADVDAMDLIGGFEQADPHREVQVALSRFRQALQDQ ILSTLPSMVARVALDVLTTLGSSLTREAHQYETLLPLIESLQVDPAVNQELATLLDQA KEALHKPLTLENPRFEWLDGVIVRAVETGAWLVLDNANLCSASVLDRLNSLLERPNGI LSINEHSGPGGEPRIIKPHPDFRIFLTVDPRYGELSRAMRNRSVEIYLDVLPAETSVV VERIAPVDGTLQRYHTAAKLASSQGTEEGQLIPLAFDAISLGDSSRLDAYINIIREAL SDGSPSPVQSPDVLQHLDLLLSYIHHEDAGELREAIAGLYTPSPDKMLMPLHPLINSP MMPLLDQAREGLTCWLAVCYELFIDILKAEKAMAGQLSKVNVSKPSSLNRLQRSFVAD KVASLAKDSTVNSVRFLVSVAKAIKGYISTSTAEPGNWKQRRAILRRVVLFWKRTFSF LIASQFEEARFQSHLTQGANLLRRSLAMAGRDDEGIQKLLVSIGEFLERDFVVGFKLL TGLSMETMWRALRPDPIADQATLEQVMELERLADRFDEMRWRVDVDIATLRTVQDSMA RIYAVVRTGKVDGASLVKDLQQEISALEAKIGVHSAAHEPFFASSFEGLRQVEVLHRI STGGRIREGSGDVDVLSSLPTASLMRIQCMKGTGLQGVDCVLAQQGQGSEVVHPWEGE LSKALLRRYEAAASASLGQLRSLEVEMPIMGRALAGASEALAADPLGKAEGLLVRLMG EVMSAHDPSRKGAVEVLFESLVKGARMGAVELGELGGWLEQSEQLQMMEGGDWPGHVV EVFRGHFAKALLALVAARKGLQPRSAFASIAWVRFALGCVTLFVPDKIFDPHHRAQIE AEEHHELYVGLQREIAALEAFEVAFTGQKTGIRLKALAEEAGGLGEPPAVQSIYRPGG GELRSLQGEFSNVLGALLANDVATTHLKSIMSSSTEVTEELSLVEQNVSLLIHRLTSR FGAYQDLTMPLVSFLRCLKMGLSLGRGVVPPATASEDNEDEDNKSEVLVSVTPFLQGT VYRAETEDLPLRNFAFLYFVQTVAAVEGRNQLPPSMRKQLFESLAFIHEVYSKKFEED KRKEVEASGLSYVHKGSLEDEKEYDRQEFDSLFPDYQDEGDDDDHDKTKVRKPKKSKR SGKDLAVMLAEAHEKIFLGNQEPQVMIKELCTQVARTVARSKGGQTGPEMDAMLLPAT LLVFEDSVKAFSASVETGDYNFYTDAHLAEVRKVLNLVNAIRNRFLELQGIDEIGHHQ TLADVILACDKVLEMAIDDPLAKIITAIEKLHAHVYEWHEGGWATAANKAVALYEKLR DTICDWRRLELSSWSRLLDVEVKKTHDAAKAFWFDAYNDVILKSCVFLEEGLLKEYAV ELLETLSTYFTGDRCTVGQFKARLGLLRQLKSQLDLLTRDEKEFGVVGDAVENSIRYY GRYLGKVEEYIKNGRQPLDRSMKDVLLMGKWRDKNIEALRASARKSHEKLFKLVRKFR AVLEQPVRVITDQGLPEEKYEGVVEGEMVVKKVEHSVDPKATEVCREMVPGIEAHPQW SRMVNLPGVLKAMGRHGSLPAGVVGAAKTVDEYITDLVSSIAELRKETPSTLTDENKE AVRHLKTRKVTLFSETLKTLRAMGFSRNLGTNILDKQSSTQKVLVGSGLVPHLSGPEL DALEYFYHKTLDLAPKVRKAANDHNEDLNREVVGRSVGFFEGVLHVMFKQRQFLSKTA HADQALEEAIAYVRQLSIAGEGSFAPETKQTNYAQVLKWLVQIVKFGVHLVDVHGKLG QIDNEPVESRLGAWVETFAKLEKTQLNLPQLPEGFVSLPQETLQADVERELAALKTDL PVLAQERPDLAFVMEQINLWTDIQTTAEITNGPQHSGIDTFANSALTLSSKILVALQN FQKVASTLPATTEDPSWLVTYGEALQKSIDALRMPTITNDINSLISQLRSIPTPSVAT PILRLVLPILQQYSASCLENITQFTSLHRTLCRLGYTLSTSFAIISSQGFCTPSEPSN EKSNETGNVENDGTGLGDGEGAEDISKDIQPDEDLTELAQDKNNNTQGDIEEHDDAVD MGDDELEGELGDVAGKDEEEEDKDGSDDEGDDEDVDEQAGDVDDLDPTAVDEKMWDGS GEDEAEKDQQGEGEKGERDESDTVAAEEKKDDKKKEEKNKGEGNEKGEEDKEGEDEEE EGEPGDENEGVAMEELNKQDQNVDEQETLALPEDMDVDISDGEGEEEDDLDGLSDVDP DKIDEEEKITGEDDADSDNGEEQEKGEEEHQKDEEIDEAGAEEEEKIDAAGEREEEMD VDEQGDEGREDEEEKPKPMQDDGGGADQNEVAPSEVQNGGGQAQDDANDVEQDETENK AAQRESGAVSKQQAEQDKAPGTEGQLSTMDQEQGPSDQPEDDSKQAQPFKKLGDALER WYRNQKDIQAADDQERPEKQAEDMSKAEFQHLQDDAAEADAQALGTATDEEAKPMDDA MAIDNEMEEQGQQVLPEDEQPEEGGDVDMEDAEPAEPQDGIKNEREDGRSGVATHRGA PDNNKNPGDESAPNGAEDEDVQETSTQLSATHLTDEDRPLRPYDEALELWSTFQQKTQ GLSQSLSSQLRLILAPTQSTKLSGSFRTGKRLNIKKIIPYIASSYKRDKIWMRRAIPS KRAYQILLCVDDSSSMSDENRSSSGRLALESLVMVARALTILESGQIGVLGFGEEVFT AHSLTSPSFSSGDTGARVLQNFTFQSAGTDMIKLMRGVIDQFRDARLMSQGGEDLWQL ALILSDGLVQSRDHARLRPLLREATEQRVMVVFVVMDDVRDKKGHSVLELKEARFGAD GVPVVRRYLDSFPFEYYLIVHNLEDLPGALAGVLRSWFQEVNA QC762_710090 MDPTATLFTFMLQTHPSVNTVHLIGSWDNFNKPYTMERDSRRNK GQWRGCHNFEDIICDGDVGNAPKRSGGLKMGQTYYYYYEVNGSSEIHDPSLPSTTACP YLPGQPVNTLWIPVEQSLRKRSGSLNSLRSTDFKTMDPAAKYAKLKPAAPIVDTAAAP RRLDTAPQRMQQQHKRSARSISPGSGWSFSPRKLFSRKTSSSSLKESTLPPTAMSDDE RATARSEGSRSRDISPESLRRFLVDDAPLEEEQSANNTLAIPEDIVEENEDDDNFATS AVSEFMQYTGLSPPPQRGASPAPTIAPASLSVESVELPGSSPLPVSRFSNLPNQPSPI VPVSSRTGVLRSRFTTAPPAVSEPQSPDSVGGVPGFYHSDNDDIDAEDDDGEEEEVEE KKSEVAGLGSKVNKSTYSLPKTAGTVGDKPFESGLPVLGGLGGGLVDDLREELGWMAD FITA QC762_710100 MASSSNTTTASYPPLPPLPSYELKPLPDLLPFISDFWLSIILPH VAYWVVSGIFHLIDTYDLFPQYRLHTPEEISQRNLASRWEVARDVVLEQILQVATGAF LNLTEAKQMTGSEGYDVAVWARRIRIAQRALPNMLGLVGLNARGLSEKVALGGYPLLA GALAGGDYPFLTTELVVEGGKKAVMETVPAFAVWEVVLAKLMYWVVVPGFQMWVAVAV MDTWQYFWHRAMHVNKWMYTNWHARHHRLYVPYAYGALYNHPVEGFVMDTLGAGIGYK LSFMTNRMGMLFFVTSMMKTVDDHCGYKLPWDPLQHITSNNAAYHDIHHQSWGIKSNF SQPFFTIWDRWLGTKWEGDVQLKYERTRANAAAKDEKKRLVTGKERNGSVTVNGKVKA K QC762_710110 MIVYSDVIKTSSADEPLAFAPNDEIISDGYTLIPCNADEIDALA KKPAKTVGDVLGVDDEWERQPADVKAKKEAESILYFVRGERVTEAAANVDTGANASAE GGDDEGAEDTAKEVINVVSSGLLESTSFDKKSYMAYLKGYLKSTKQYIPWAQKNREEN DWQWKALSAEEQEAEKKKVEQQIAIFEPKAMAFAKWIQANFKDLDFYTGASMNPDGMV ILSNYMEDGVSPYFLFWKAGLKGQKC QC762_710120 MPDYKDLLKNGWHPEKSGTSIKGSVKSLVGRGDDKNKYEHHTPR PLSSLQDPASFAPPPKRTNTGSISLQEQQQAAGEEEERERPKPPKPWSLNTTGLSTAN LPPPPARRDVPSRPSSTSSTGGLGGPPPPPARSSPGVGQNPRVPPPALPPRLPPRSPA GGTNALDNLTKSISAASINNDPTVPKPNQGAMGRLAAAGVNVPGLGIGTASHPPPPPQ PPRTSSPSSSSSYTGLAKAGLNHYRSSAPEQKASLRNAAANSLTQQPQDSTTQSSSPH TSLAKAGLNRYNNSAPEQKAALQSAAKTGYHRYQSATPEQKAAVQNAASSAVRGGLER YNSAATAPEQKAGVSGAATSMFSAAITAKKKPPPPPPPAKKPQFLVGRNNTGEDGDAP PPIPLGTRPF QC762_710130 MSSIFRFSRHRGGGTPEPNGPKAVLQRIHEVFHPHHRHSSISFS QAEDTWADSQRRRLSITSTSDTTVNDSSRPGLAIRTGSFWRNDPLRSAAAADDDDDGK LAPPSHDRRRVAQEDGSFSLQQSRHTSKSDKQGGQSSGVDTRSSSTTDPFSPSELLTL SDTIRSSLPDSLLFPDPSEPTQQLISFLEWALTAETTPPHHPSHDHRIEFNTIQHAHL DKLLSEILLTGKSLLPPTTTPNWPLSQCIDLADSLQRAWRRRFKERYFRIDESRTRQL LTKGGLQGVCWSDDNPCPSGENSPSPVMPRQEQEKWRPRLEGRYNVYTLPLLSGREED IGGHGNRSKYVRTGGLKEMHVKLITLVGKEVRVLRGYLLRSGIAPGVGVRFDGIWKLA SYRHKLDLGTGEYKLELGLERVANGQRAMREVLKVPRPSQMDEWDLFEKLEADKVRQV QGEAASYAWRMQREEARVEREMWKRAHRFRESICSLGSGGSFVEGLGGVMRKRSEVVL KTVLPAPPGKVKGGGEEARKKVRMDSRASMVVYDVSTGEAWEEEEEEGVKRSSTRISW EDVLNDALEIAKGSVGEWGPGGGGSVDAGEV QC762_710140 MSETMPSTAEEQQGPAASLKKIQTLLSAKDDTSRFVGLALLKSV LDNTPELRANEDGIASLWNSIPRKFLDRLIRTGSKQQAKGTNDMLDLAVSVLHTFTVL LPEKSKQESKLINRIPQLVACLLYCSDETTKLVLETLMSLVNQPEGAEVFDSIDDLST LTEIAPSQPLVLDTLYYAWLGAMATTADKKALRAKIDQAIGSLVISFKGTDGVTLLKF LGNFLPRLDPELLPPNPKWLTPLAKFVRDLVISRPTADGRAALANLSAALLESYPVQS PQLLFADDVDSKSTATSESPFAFLLVNLLLIDIRSTIPTLLGQLNSPSYSITAQRLTS AYNAVSNFMGYLLRTLEALDSGESSNNQWIMKPDLLLKLRTSISETMSLTAEYLRDRW DASIAGAMGLHPEARTGAANESGISHFALAWDSKSVHSSQDPLILAAIRTLAIWLRED DGEQLRKEAAGLSDMFVELYQTSSSPEKGLDFRRPVLVAFEGILEERKGREAFLENGG WQALVNDLGNILQASSTTSDENEAARGVEIVRNLLQIAEAERPGTREDWMDLITKTAA WVVPDEKQPPMVEEFQVAVLQLATALLVNAHPGLRRRYTHSTTAIVGIANQLRDKVKR DEGLVEALSDVLETLTVLRQ QC762_710150 MQPTMSPINNGSSSSEPFPPFNNDHHYATSDADELDLLDDTDTA AILDNDPLSHHHHHQQQHQQQQPLSFKRKQKRTGIFSQPARLFNALTGRANPPRNSAD IPPPPPPPPPIQPPTGATRLDYIPGSGGSKSDSLPTDWLAEGPGRRVGYEDLTAIDWI FEYTKERQRQRSLLSRTTSLGFPLLGFLQRVLDASQVWVILILSGLAVGTLTAGIDVT TDWLGDIKYGFCSTTDGGAFYLSKTACCYGYDEISKCQGWKTWGNALGVTSRGGVWFV EYAVYLVLAVMFALSASLLVKEYAVYAKHSGIPEIKTVLGGFIIRRFLGLWTLITKSL GLVLAVASGMWLGKEGPLVHVACCCANLFIKLFPSINNNEARKREVLSAAAASGISVA FGSPIGGVLFSLEQLSYYFPDKTMWQSFVCAMTAAMVLEAFDPFRSGKLVMYQVTYSS VWHGFELVPFVLLGLLGGVYGGLFIKANMWVARWRKSATWLPGPVIQVVAVAILTALL NYPNNYMRAQCSDLVSNLFSECSKLTDDQFGLCKTGAASAGTIILLIFAAVLGFFLAA ITFGLQIPAGIILPSMAIGALVGRAIGIIMEIWQHNHPNFIAFRSCEPDVPCITPGTY AIIGAAAALGGVTRMTVSIVVIMFELTGVLTYVLPIMVAVMISKWVGDAFSRRGIYES WIHFNEYPYLDNSEEIPIPDIPASQIMTRIEDLVVLTATGHTIASLTAVMEQHPYRGF PVVSDPRDAILLGYISRAELAYILHASTQPPRLLPPETEAFFAHQPLADPRTTLDLRQ WMDQTPLTLPRGSRLHLAVSYFQKLGLRYVMFVDRGVLQGLLTKKDVWYVLNGAEETR RTAGRGEPMGGGVAREGGDGEREGLLGVGRGEEEVEVVSPIGDGGSNLL QC762_710155 MGKKDNKSGGGGGSKDAGKGGKGGKGKGGGGDDSGGKQQKGAQS INVRHILCNKMGEAEKAIERLQNGESFNTVAMEMSQDKARSGGSLGWKTKGSLLPEFE KVAYELPTSTTNKPSWGMAKTSEGYHVIMVEGRK QC762_710160 MSDVEETQQVADVEVEVSAEASKGQMSVLDALKGVLKLSLMHDG LARGLREASKALDRRQAHMCVLNESCEEEAYKKLVIALCSEHKIPLIKVPDGKQLGEW AGLCVLDREGNARKVVNCSCVVVKDWGEESQERSILLDYFQSEA QC762_710170 MVRTSVLHDALNSINNAEKAGKRQVLIRPSSKVIVKFLQVMQKH GYIGEFEEIDDHRSGKIVVQLNGRLNKCGVISPRYNVRLSELEKWVVKLLPARQFGYV ILTTSAGIMDQEEARRRHVSGKIIGFFY QC762_710180 MRNRQIGVLGAFLFLVFCVYSLSRSPGGLPRPRSPQKEPEDKPP EPPRIAIPITWTDDSNTKDTKPAPAPEGSHPIWYLTNQAEKEFEAVKGRQSRTLGEAV GEYKRRYGIPPPPNFDKWWDFATERGVVLVDEFDTVMELVTPFWGLKPATTRRRAREV IGFDDSLMGVQIRKGNVTSIFKARTAEWHQRGVLGMLEKFVGWLPDMDLAFNVNDESR VVVPFEDLERLVKVGLGVNMAKLKREKEPRNGWTDVGKVEGLDYEGRFDEGKLTRFNT FAHQPTWTHSRISCPPGSPARILEEGEQFDDRGRYSMGELGFVTNWTAMADICLTPSL QSTFGFFDRPNAYSVVHDLVPVFSPSKISSYQDLIFPSPWYYSGKVPYDPKKDPDWEE KMNRLYWRGSTTGGFSRNGGWRRQHRQRVVKKFNAVDKANVLTNVGSSTSPNWTVASV PRGDYKSLLDVYFSHIGQCDPGDCAAQKEFFEVKEYAKQEDALKYKHVLDMDGNAFSG RFHAFLKSKSLVFKFAIFKEWHYEWLRPWAHYVPMSLKGEEWLELVRYFGEEGEGKRE GERVAMQGREWAGKVLRGEDMEVWFFRLLLEYGRVVDDDRERIGFDM QC762_710190 MSRPEKYSQDYIARIRYSNALPPPPIPPKLLNIPSVGLASGQYT NPNFASHLARIQPLNIEADGELGMPLDLVGMPGVFDGDESSIQAPSEPPPIHPHDRAL LRPLGSLGKPKSQNQGVSFLRRTEYISNTPTTVSRPKADPFLRPSAGNAAPKRPIKRK ASPEPDRGTPAWIKRRIEKSFEAAAVGLADRTKVKHPSKRTNCTIVESFPLLPDLEAF PDSGAYVTVKFQTNPVTATDKYDTRMLSGILKPITRSQAEDEAYQQAYEAWARDPDHT PKPLQMMNYDFYLPQDGKTGERFREKFDVDNPDKEKESLYTATDGEGRGVFKFPRVRA YETATEKEMDHHTKYAEEVILAYRNDETGSKGRRDDSAQKGVYYYPVMQRTTIRNQRT KNIARTIGVLPQGEEEEARVGELEVTVGEPSEELRQELERFKSMPVGMLRGMRIELEE KVAFLGSGLWRVIEG QC762_710200 MWQVLGQKFPLVGRPPKLAGSFVWLNRLICHVSSYLRTRNQPLP PFCCCCACSAVHQPLITLCLFFSFTTPTTTTILFFDGRIIHKMDAVEEPMSAFGAVTA QTNKLSRQYQALLDQSTPHTLYRWVGTGVLLVFFFARVFFAQGWYIVAYALGIYLLNL FLAFLQPKFDPSNEALDNDMEDGSLGSLPTKQDEEFRPFIRRLPEFKFWHAATRAISI SFVCTWFEVFNVPVFWPVLVMYWIMLFVLTMRKQIQHMIKYRYVPFTVGKARYTKNSS QC762_710210 MHPTARLAVRSSGFRAAAGTARLSRFQPSTLPRVVVRFSSSGSN PQYEFIQVTEPRPGVGQITLNRPKALNALSTPLITELNTALLTFQSTPSIRAILLTGS QKAFAAGADIKEMAPLTFSSAYLNSFIESWSNLTTTLKKPLIAAVSGHALGGGCELAL MADIIYCTKTANFGQPEIKLGTIPGAGGSQRLTRAVGKAKAMELILTGKSFSGEEAEQ WGVAARAFGSYEELMEESLKTAETIAGYSKVAVQAAKEVVNKSQELGLRDGVEFERRV FHALFGSEDQKEGMGAFGEKRKAQWKDQ QC762_710220 MSTSTNPGPAISLEAELTCSICTDLLHTPLTLLDCLHTFCAPCL KSWFSFQASSLLSRPGPPPPPDFAVYTCPSCRDRVRDTKHDARVATLLEMFERINPTC ERIKSEEEKREMDGQYKRGEDIMPRLPFQDRTREEVERDEEERRLLERVQAVSLREAT EGLGRRRGDSGERRRERRREREREVTHQSSLRSLISTEGVDARDIEREVEEFARQIQE EGLLDGLDLDNIDLENNDELSRRITEAYRRRHRERVRNNEGGRVRGSGTSSRSHNTEG VRPRSRTATGVVTTTREGSRPASRHTVHSRAPSQSGNESDREPRGRYPPSTSFAGRLE VQEPGRSRRRTSSSGRSATVPLPPAQFPEPVRVGSRVQTDPVALGDAPAPLRPRLRGG TSSSPTSATVTTTTPSSRRESPGERASPLREPIPLVPAGTMPIPLIPAGTIPTQQSPT ELPAEVGPARRELARHDSPTLAPTSGPLSNDINSPPLASPRRAQPVWYKEPLIQCNHC SREHIQYDLHYNCNLCHEGNWNICLDCYRRGKGCLHFFGYGPNALQKWKRLGPDLPPP HTLVGSRYLPPSNLPGGAEGRRTLTAENPADRLQRGTFCSGCSAFTRNDLHWGCDTCN AGDWGYCNTCVSKGKSCPHPLTPFTYMSKPSPQQSPFAPSSIAVANSTTRSKGVYHPS DPKQHCEQCTKPIPRNQVYYHCYSCPSQLPSATAGDCTNLCVACYNHFLNMNHLPVEN GPKGWRRCPKGHRMVMLAFSLSSPSASETVYKRRIFKDLVGGRRLRIESIPKNSSYQT WSWKIDPNSSSSSSGGGSTRKARLVGVDMVTSTKGVNPRSVADLKPEQLSELVQDEHD FPPDGGTDPKAVANWGWVPAEGVGDELFFPKGAEIGEVEDVNGEWFHGWYQGKGGLFP GPYVKVLGG QC762_710230 MMWWRRKEAGAQCTSNGRVTAQLLLSFLALSGTTIATAPRNHQG DAQQVLPIPIDISPIPGLSPEAPQPAEHTFTLRHVFHHGTHKHPGLHRKQDVDATKAN IFLAAEDGYGRERIGPLRAQSDAVTIQRLVDRRPAVVDPLVAQSRQQGFVSVLAPSAW TMDEVPGPNITDKQTVLTMAYMAADAYVEHEGEADWEEVGRPFNRSADFGWQGDGLRG HIFADQTNSTVVIGLKGTSLAVFDGDGTTTNDKENDNLFFSCCCAQQGPWTWRQVCSC ATSTYTCNTTCVTQALREENRYYQAGRELYANVTELYPNANIWLTGHSLGGAVSSFLG LTYGVPTVTFQAVPEALPASRLGLPVPPGADPEAPQARRYTGAFHFGHTADPIYIGTC NGATASCSYGGYALETACHTGMECVYDTVADKGWRVGIGTHKIRSVIHDVILKYDEAA KCKFTPECRDCGNWKMYESNGTETTTTSTSATSTEKTRTRTETCKTPGWWGCLDETTT TTTGTITTTTVTTTATTTTCLTPGWFGCKDKTTLTTTITSTTPTPTPTPTTSCATPGR FWGCYDDITTIGTSSTTTTEQSTITPPPTMPSTTPAPTVTETAGCRSRAWYGMCKEYE GEPSVKNDEM QC762_710240 MLSSLSPNPSNSSTDSSIMSSNPPSATERSGSSRPLRSFRVERS PSPEPTSRPKRASTIMTGPTPGAKSMVRTASGTHVRLGSETERPDTFESRISEENADS EPPRASVDLDDLPIELVSLTDGFIDSLSAKVHSTPPNIDRLSQMFQDFYATASAHIRT HIDSLATLQRRDVSPTPALSTRASAASLLRAKAASLGTKEKTKPGVVRRESEMLTPEE LAERKKARRALEQKKGLLEEAVERRLCEGVYSKIYRHRTTQDEAQDAKLRSKTAALAV VDIGPVDLGVELGTPENNPEAIAKKTAEVKEWLEGARKELTLMSQARYPLRKINHLKA AFKSIIDTLAHFHPSSSADELMPMLIYTLITLPPQNLNAISDINFIQRFRWEQKLTGE ASYCLTTLEATISFLETVDLSTLRADETPGGPMKAPGSPPKENTFPPAFSPTSPSPPT AATAIAAAAASSPNPNTATASLSANLIRPPSPSPSSAAAAGFRSTVSQQLRNRRLSDL VNTPAQAFNAASDAVLSTVNTADQSLKTIGNSLGDSYKFLLGKLREPLSQPDGQVLVP KTLDDARKLIGTPPPPLDRADSPSPGPGPVSEDRPPLLSFISGTGRKISRDPSADSTR SGGSRRALDEPNLRPPASTTTTTTAVATGGGGSPVVTSPLDSMRQLGNSFNPLGRISA GIGGFRNFGRSTPTPSSPAPPTPTKDAVSTLSTRGLGVTTGAAGTEGGDLATAFPDLA GSLPPKKIEGPIQKFVNMQNAAEMKVGDVFELLKDYKRLAGAIKEMGGFKE QC762_710250 MAGFDSCAAGRQPAPGLPGNSVGLGLELERFPDLDCLQQHSGSH SATTTTTIVNRPSALSVSSVASSNGSSEYSTDTTFDICDNNADDDEYRRASIASTASS SCTSHTTHCTDDVPTAKSPSSPPGGLGVHTGSPQPQRPPLTRSRRDAKQLHAARLQRS GSTSLALASKRENSLKGRFKRASNTPAADALAATEPPHEQGLTRMAFAEQQRWITVQQ KTFTKWLNTKLEVRNLEVKDLVQDLSDGVMLIHLLECLSGESLGRYAAKPKLRVQRFE NANLALNFIKSRGIQMTNIGAEDVVDGNRKIILGLIWTLILRFTISDINEEGMTAKEG LLLWCQRKTACYDEVDVRDFSASWNDGLAFCALLDIHRPDLIDYDALDKSDHRGNMQL AFDIAHKEIGIPKLLDVEDVCDVAKPDERSLMTYIAYWFHAFSQMEKVENAGRRVEKF VNNMQGAWEMQSAYERRMAALLKAIRAQIESWQTAKFEGTYADAKAQAGEFASYKRGV KREWVAEKSELATLLGNIKTKLGTYRLRPYDPPAHLSLDTLDREWSNLTKSEMARGQL INETIRDIKNALRKSFADKANDFALALNTMQLAISGLEGDVEDQLHHVRKLSDNLPPL DAYLVTIAAVDAKCQEANIEENDFTTYTYDELVYELSLVKSSVSKKLAFLDNQVVARS MTNLTPIQLEEFESVFRHFDRDDSNSLSEIEFSAALASLGLVFSEDEMHEYFLATSNG RDRVTFEQFIRFMVDVTEDQNTAEQVFQSFREVADGKPYVTEMDLRHSLVPDEVIEKL VEIIPEHKGPDVKEDRGKRQFDYIAFMERLIADEGGRPASSGSGRSNVSGILGERTNG RTSPAKSVASGKNGVY QC762_710260 MAQRPNTHLPAVRLETEKNLGITHRSAALNFAWTGDIRKRYTDF LVYEINIDGSVIHLYDFIEDKPAHSPTESQLQNKSTALVPASTGPRKQENKAPIVVQE ISKEDQEKLIKLIGESTAKKLIEVDNNVQRKVLMSAENRTVVFDPIDDRSQRAEVHQE IRRIFNSRVETVANSEGVITATPSKWAVTRGSSNNRTPSQTFGSRRDNTRGQDRNVSF AKLGGEYLHFTMYKENKDTMDAINTIARLLKIKATNFGFAGTKDRRAATVQRISVRYQ RASNLTWLNTRIPNVKVGDFVHKEQPLSLGQHGGNEFIITLKNCSPLGGSECSVAQRM KMIQQTVECGLAYLKHNGYINYFGLQRFGTHTIGTHLLGMKILQGDFEGVIDAILYVD DHYIQEAFNNVGSSHGTKRDFDHSHGNGNNASTANRDDYDRARAITIWKTSKNASKAV EGLPKRFSSEIALIRHLGKNPKDFTGAILSITRSMRMMYIHAFQSYVWNHAASRRWSK YGARVIEGDIVLNEDVEDATTRPSEDDEFDTYDDNEVAHVFPQAHVVTAEDLAAEKYT IFDVVLPTPGYDVVYPQNEIGEFYVEFMGKEENGGLNPYDMRRKNREFSLSGSYRALM GRFITEPQYAIRAYVDDTEQMYPTDLDFATHKKAQQKKSLAQPKPASQAANSWNAFAA NPAAFDDALAEFQRRRKASEGPAFEVKTVIKETWVETGVNGSAKRVKVARHQQEIESP PETPQADALTRRSSDPELQARMKAALFPGNTEAPAVVPQEDLEMVDTPCAPTPARGPA FLLKSPPVGKGVSLLDSYSPIAEPAAPLQQKPGILSGDGGLGVPKGPNTSGARGLSDT FYAAGGDDPFVGQTSPPTTIVKKEESDSVAKSESDEWYGKKELAGLLGGPTALVKTEQ EDDAAPSTSQALVKVEDDCKSDSSVEELINGVPLPKFYTASNNPLLPPKNIVDVDTLD PRARKIAVILKFQLKTSNYATVVLRELMGTTVE QC762_710270 MVASDNQSSEAAAPRPQPPNLTLEFPSPQPSPGSSVPKMNSVPL LRPALPGGNRSGGRAPRLGLAIPPSPNAKPLSNPGPAPGRPALPTLHLATPMGSSSVV PQEQPQHIKPALSLQSASGGSESSAAHSRSGSFGPFDGRASNPTSAGSQFSALSFAEQ YGIGPTRHAGTGDPVSAVGSLYSNASESVGMERENSLHGLESFDKLSLDKARSADVED LDDEGWRIVSMEKRIVELGSLGEGAGGAVTRCKLKGGNTVFALKVITTNPDPDVKRQI VRELGFNKDCMSEHICRYYGAFVDPSTATISIAMEFCEGGSLDSIYKEVKRLGGRTGE KVLGKISEGVLQGLTYLHSKKIIHRDIKPSNILLCRNGEVKLCDFGVSGEFGTKGDAN TFIGTSYYMAPERITGQSYTITSDVWSTGVTLLEVAQHRFPFPADGTEMQPRAGLIDL LTYIVRQPIPKLKDEPEASIFWSDSFKYFIECCLEKDPLRRATPWRMLEHPWMVEMKT KRVNMSKYLTQVWGWDDKK QC762_710280 MAATHLIEDYISSHHTDVMPSAASKKTGKVKPVPVDEDIHSDDV NSGPDSGSDSDDSNHSDWDSDSLDSDSPRKRRRTEPKDVQVVDDDDNDDDEDDVPKVI QSAYSVASRIKPQKSQADKEAEAVKATLPEPTTGVTVSTDSNTTFESLGVRPWLVQSL ANMAIKRPTAIQRESIPMLLKGRDCIGGSRTGSGKTVAFSVPILQQWAENPSAIFGVI LTPTRELALQIFEQVKAISSPHSLKAILVTGGADMRAQAIALAQRPHIVIATPGRLAD HIRTSGYDTVCGLGRVRFVVLDEADRLLADNGPGSMLPDVEECLSALPPAEKRQTLLF TATITPEVMALKNIPRKPGREPVFVCEVDTEKLAIPPTLKQMHLQVPVTHREHYLHMF LLTEQNVDKSIIIFCNRTSTADFLHHLLRLLDHRVTSLHSKLPQRQRIDNLGRFRASA ARILVATDVAARGLDIPEVKMVINYDIPRDPDDYIHRVGRTARAGRKGDAVTFVGQRD VDLVLAIEERVGRKMEAWEEEGVNLETRVVREALKLVSEKKREALLELEEGKEVGGKR KRGMEKLRAT QC762_710290 MGSTAVEQDGLVIPIINFSDFLSGSPESKAATAKAILTAFRTSG FLYLSHTPIPPDLLTSVFVNSASFFDHGEDFKKKYLWTTPDANRGYSAPGREKVTQLT KPDEVESLREKVPDLKESFEIGREDEPGHPNCWPELAGFREVMTEFHRRCHELNVEVM RAIAVGMGLGEGFFDGFVDRGDNTLRLLHYPAVGKERFVDARPVEGTVVVNAGDLLAR WANDGLKSTIHRVVEPPPPKEEGGSEEVKEYPARYSVAYFCNPNFDSFIEAIPGTYGG EKGEKKYKGIYSGEYLVQRLSATY QC762_0113240 MSTMLGLLVWGSVVSTAGAVGLEGSPCAGCWGFFFLLEGILGGL LGVKLEGVKEMWLKEKANEGCLLQAKTGYGRAPAV QC762_0113250 MPSSKKKKPQQPAQGEPSNPTAPAVETTDPQTNKPNIVDIHTHM YPASYIKLLESRNTIPLIRSFPGHPEPRLVLLPSEVPLLSNPSPSHPPGRPLTEAYTS LDAKIAFMDKHNISISVLSLANPWLEFLSTSPDPSSLLEPALRINVEFEVMCNQHPGR LYFFGVLPLHPENTLCALPLVLSMKMKFKHCRGVIIGTNGLGKGLDDPDMEDVFEMLE EDDLPIFLHPHYGLPNQVFGERAEKGEYGHVLSLALGFPMETTVAVSRMYLSGMFDKF PKLKVILAHGGGTLPFLAARIESCIRHDGYLHKKEKEGRGKIKRSIWEVLNSQVYLDA VVYGEVGLKAAIQASGERGVERLMFGTDHPFFPPLEEGEEEWGSVVMNTEAVNKGLGE GSKEARMVLEENAIKILNLEKP QC762_710310 MSLELDFSPSADNTDGHHAQPESSAALQALKLESSNDGMGTITA ATHDLVDATHFGDFQFSYQDDSPMAGLAPNFDDHTPDVFAHSHPPSVTSMHDQQNQAT SITVQTSYSDTNGRRQESMDTSENGSPDSNQNGGNSLEEPMSDEFGLATGGLGDGTDM GGKSKGDKTDATPAWSELKTKAGKERKRLPLACIACRRKKIRCSGEKPACKHCLRSRI PCVYKVTARKAAPRTDYMAMLDKRLKRMEERIIKVVPKSEQDSMAASVTRAVVKPAIP GTLTSTKGAAKKRGADEAFGPDLDNWARGTSRSKLDGPHKPSTMLVQEAEESKLLLEG GDALPSKEIQEHLAEVFFENIYGQAYHILHKPSFMRKLKAGALPPVLILSVCAIAARF SNHPKLATNPNFLRGEEWASTARDILTKRYEWPNITILTCLLILGLHEFGTCHGGRSW SLGGQAIRMAFALQLHKDLEHDPLRMTGKTQLSFIDREIRRRTMWACFLMDRFNSSGT DRPCFIREETLKIPLPIKEKNFQYDMPGPTETLSGQVLEPTTEGQATEAKDNMGVAAW MIKAIALWGRIIGYLNQGGKELDPHPMWSPESEYAKLLKQTEDFELPESLAYTPENLH LHETDNMANQFLFLHISVQQNILFMNRFAVSSPNGQSQQDVPKAFVTKAGAKAFAAAN RISELLKDAESHFITAPFTGYCAFLSSTVHIFGIFSGNPSMEATSKRNLATNVKFLSK MRRYWGMFHWMSENLREQYRTCADAARQGNPAQENAASPIFQYGDWFDRYPHGVSQSD FLDPATYKKKEKGEDAVLEQKPELHTVEEFFTTLSPQSGEHAGGPNGISRPGQHLKRK SIVRKASTAGGSQRGANDQVLSPLQTDFARAQQHHHHHQQGAAEQMHAAARLHQRSFS ANAGVGPQSSGPSPFNPLTITNASAYHALSPVSPVAVSHLSHHHPHHPHNSFFPPDPF ALGALGAHGLPGLDRQLVFGAYGNGVEHHPLASGLAGWAAQDHDGTGGGRGGGGDGGG GRHHGGGGGGGGGGGRGGHTAQQDAALHAAFGAEPSSAWFMPFNMEPPEIGGPGDGLG GGVLGGQGGVGGGMDAFGSMFGMGGMHHH QC762_710316 MERHKIGKVTPTRRLPTSSARVCGTLFPSTNTLCGLELSSRKKQ GKLGITGVSATRQDTAVINGDESRRFGPSWSRLSGRDGTRCLLGAWSTEPCSEPRNIT RLPAHPCHSPQTS QC762_710320 MASASAKTARSGDKIVPKKQSLFDDSASSSEDDQEDGGVTLGGQ TPGLNINEEYARRFEHNKKREELHRLQEKYGAGEESESSSDDESEDDEAALITEDLDA EISATLAAIKNKDPRIYDKEAVFYKPFDPTTDVKKDDEEKKEKPMFLRDYHRERYMAG DVGADDDATAADPNVPRTYVQEQAELKNAIMAEINNAAGADDEEWSDDDAFIKPVKKA EPAPAANGVHPSRAAVVEVTELDVKNADKNPEEFLSKFMASKAWAPDHKWQAFDSDEE DAEDDIADEFEHAYNMRFEDPTKSNEVLKTYSRNLANARSARKEELTGRKKLRALEKE RKEAEKKEREAERARLRRLKVDEASERLKKIKQAAGMSGKQLTDEEWVEFLDKAWEDD DWEEEMKKRFNDDYYNEVDDMVLDSEEEEASGSEDEDGKKKKNSKKPKKPKWDDDIDI KDIIPDFKEDEEEAPAIALSDLEADQPAPSVEGSDSDDSDDSDRPAKKRKTTKDLKKE KAAAKKQARAELAKIEALVDTKMEIDQPRALEKKGKEQFTFKYRETSPTSFGLTARDI LLAPSDAALNEFAGLKKLASFRDAEKKKKDKKKLGKKARLRQWRRDTFGKEFEESGPT YGFEKLLDEGKGKKKEKGSGANSVRVEKREKKKEGGEEKEQEKKVAVDGIVEGERKKK RKRSKKGKAAGGDGEGDE QC762_710340 MADSGAPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKEPT IGAAFLTQKCNLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKPTSLIK AKHWVAELQRQASPGIVIALVGNKLDLTNDSAGGDGEGAGAGDGEDARKVTTEEAKSY AEEEGLLFFETSAKTGYNVTEVFTAIANAIPETSLKTARGPGASSAAARTEEQRVNLN GPRDPNAKEGCAC QC762_710350 MAASFTITEAQAELIRSLPQEDIPAKLRCAICSKLAVNAFRLPC CDQAICEGCQSTLPASCPVCEHSPLSADDCKAHKALRTTIKVFLRTEEKKRESNRPKE ATPITPVDPSPVSATAPVPPELNEQSAESVAPAGDNQEQSSSEAPAAETSVETSHGQE NGEAAPGQNDETLEHDAADSDQPSHPAPEGEGVGEATSTELVKQEGTEVAEGEETEEV NGEEGDGNGNDQEQNADGTAKPVTGGFGMGFGGNFEQMPMMMAMNNGFGSFPMMGMPG MNMDPAMMMQMWSGGFQGMGMNGMNMNMGMGAAAAAAAAGYGGEADNWSGQQSWNVGQ DNYNHPSASGMGNGDYGSFNSGFQTGYNQGNYGHPNQFNDYRRNQWGGFPRGRGRGRG YGYGGGGYGRGNFHNGGYGGGNYNDQNFNNGQQYPGSMNGQGSEFGGGENGEGAVGPN DGTVDEFGRSIRADDGAEGAADGHQDGVPHHQGEGGEEGAHQGEEGGPAQPADEVLIN APKGPKAMLRGLPNTSYIHLQARGWVDDGKPNTPNSANGTAAPSQAGDHPRSRSSSPS GSRRGGGGEDYHHHHSHRDRDHEAYHSSRRERGKSSRHEGQSTRTHSPSAVGSRSGSR SRSEDRKEKEERHRGGRRQRSHSPDGDREDDGEDRRHRSHRSSRKHDDRKEKDEHTRS RSASPPADESRRSSHRSSRKDRDSDKRRDREKDRDRDGEHESSRHKSSGHRSSHRDRD YDKDRSGRDRDRERDRDRNRDRDRDRDRERDRDRDRDRERDRDRDRKERRDRDRDRRD RDKERRHRSGKSTADGAEDGSSSKSKGLEIKGGGGGSKGHGENAAGDSVVGGRRSSAA TTGPAVQDPHAAERAARDRERLLKETRRMASFASIAGSKRGRDRDGEGDDGERRRSRR KGRRGEVVDGEGEERERRDYD QC762_710360 MRPLPGDDAFGGRPRTSTMAGGDSDTPAFLNMSSAAAAAAAPQM TYFFADEASIGDSSNARPPASFHHHHHHHHSRSKDSKRFPSLDHPDHYSHHIPKQYPP RSSPAVQYEDRDVSGGRAISSSSNSKGKAVVAAADNTAVDSKPNDSDDLATPMPVTSG NFPKLSSPRPPPPLSTTSNSRPTTPFLSAGPASTLGSISSRRNSLSLSSAPSVIGTDY DDHLYPDEDEQQLQETEIMESSGSVPQLVMPSIQMPSRRPFTEQGKQMGRLKVLIAGD SGVGKTSLIKAVVQSCESVVHVDSIVAGEGNGMLRSLPVGGRRGKGKGSGETREITEV WASTKPYPEWWSEVEAGGGGLGRRKSLGDEVLDRNVCFVDTMGYGFGSSSMDTITPVT EYIHGHLQRISSGSLSDGDVLSMLGGEGGVQVDVVFYMVSNRLRPVDIHYLQQLSPLT NIIILLAQTDLMSADQVAASKEQIYSQLKEANIRLFSFNTTSFNSDKQGVYATSSANG SDHDNMDASLLMSPDYVQPLFPTELATLVEQVFNKDGISRLRHAAARKYIQWRKTQSP SPPSLSQRSLRMSNSFSQNSVSGSQMLNPLGSPPSYALARITDHTQREERLAQVRFAN WAADLQKSIANERAQYLALARGDRALWLTERLNECIQEGSLVPVNSRQHTHRHRGRSG SDGLESQRYNNVRRQQQVRQVHRESNLRKEPEGKQQQQQQQNSDHDPLGLLEVAADLR RKGVVVLEVLGSIGVLGGVVVWVVDRWVHVQAIGWVLGEWERWWEGR QC762_0113320 MAAISRDKIPAIGLTVIYEPEGEANLDVVFVHGFTGHPVRTWTH NGGSERLDQASEPPPKARKVNIFSRPHEQKKSTPSSASVYWPQDLLPKNLPHARVATF GYDTNIRHRHVGPMLNKSTIYDMAKDFLYRLEALRRSNSSRPLLFIAHSLGGIMVKEA LRQAYNNQNRHIQLRQTFDSTIGILFFGTPHGGADPRGLVVGIIERLAKGLGFEANQH VLNTLLPDSECRQLRDEFNPVLQDQNWIIYSFQEGLALSGLGQRVVENSSSCLNFPKT EMTISIGKDHREMCVYDPQYQKVAAALDRIAEQVSVSPSTSDVGLPASFPDEQEPPEA ISLDHQSIQTLLDSLRFEQIDSRQESIRVAHKNTCVWVTKTREYKDWLDINKMEDHHG FLWMRGKAGSGKSTLIKSTLRSFQNSKSSKGTTVIHFFFNARGAELEKTTRGMYRALL VQLLEILQKKMPHFQRDVFRSAAIKTWNVREGREWSVAILEELFENAILSIGQEEVTC FIDALDECDDEQVEPMIRLFERIGEQVVSNGLRFRIFFSSRPYPDISLDTCLNLLLDG QEGHVRDIKTYIQKELRAGDQQTKDQLLLRASGIFMWTVLVVDILNKEKRKGTPNHLV TKKLKKIPGDLWELFKSILNRDQEDKDQLLLCIQLLLFTRKPLGPEEFYHALLAGIET DDDSVFEDNSEFDDYAVLGENSDSEHSPSFHVQSDPDKDSHSLDEGTSATGDALRATV PDIPRFIRSISKGLAEITQSKKAPVVQFIHESVRDFLLKEEKRGLRVVWPELGDDFEA QSHERLKQCCFQYYMRRDILTILSVGFDIALPKASAEEGRALRVKATETFPFLGYATL NVLWHAECAQITQVGAISQQGFLDKDFDLRI QC762_0113330 MASKSRGAVDAFVDYLAALQQIPPSTVGEWRSETGTLPSMSTTS FRFSQKRGLLSYAVEYGDVALCHLVGRILGHEEINKRDQEGRTPLWYAALGVHSDVVK VLCQEGADPNIVGKNDISPLLSADNNGHLKVVECLYRKGANIEASSDFGTTPISCASK AGHVDIVKFLCEKGADINKPDSTKRRPISYASEGGHTAIVSFLVREGADLNAKDAYQN IPAVYAVKKGHVDCLKILVSSDFDFEAKNKWGETMLMMAVKGGHQKMVEWLLEHGASA INLRDERGRTPFSYAVASYKGQDVVKFLLSTGKVEIDSKDDDGRTPLSYAVARSDNHA MVKLLLDTGKVEVDSKDNDGRTPLSYAVARSDDHATVKLLLDTGKVEVDSKDNDGRTP LSYAVARSDNHATVKLLLDTGKVEVDSKDNDGRTPLSYAVARSRNELNLKLLLGTGNV DINSKDDGGRSPLSWAAGNGPYHSVKELLLVGTVDVDARDLQGRTPLVHALDYLLPNV SDRFDRRRGFHIITAFLKTGRVNVDLTDNRDRTALDIAAAIDGKGTSYEGRYRWWRKG NSVVKMLQEYKEGRFSGLPNPELLY QC762_710380 MSTTTQTHSQKLQAASQSIYPASPSPYHLRKSPTMSIAQTYFLA HKARAKLSSEAARPDHNLRLLVGHANLLDSLMLDLADAEREQESWFNQSVRGATQSPP PSTNNRHIQWADHMEEPQHDWRAEDADSDSDDSDCSYDEDEDDLDSDEDVEMADAVVA LRRIPSHASMLPPKGYNYRDEEEEDYDMEDDDEDYTQLALQRTSSHSAPSSPPELLDD SDSTTDDESSMPPSPPTTTLPAFDDKKSTTIQSATGHGDKDAFYEEGFYLPPRNPARI SIY QC762_710390 MQPVVRRRIAIAAGSLPSTTTTARVFSSSSRPSYSPPPLSRPKQ TPLSLPRQHRPFSTSPLLRQEATSETTPVDNGLPHSGISPLPSRSLISLSGPDAAKFL RGIITNELPTTPSTLTYAAFLSAQGRILNDVFIYLDPRSTSSPPDSFLIEVSTLEAAT LVKHLKRYKLRSKCAIALLPQEEASVIAVWGSPDSIPAQGESLRYCPDPRVPSWQRGL VLGGGSGLEGVEMQSEEVYTLLRYANGVAEGQEEIVRDGGLPHESNLDLLGGVDFRKG CYVGQELTIRTEHRGVVRKRILPAMLYPSSASSPPTSLRYEEGDLAGRIQAGSNVTRV GARGRPAGKWLGGRGNLGLVLGRLEMMTDLKLPGEAAVGTGMGFKEGDEFEVEVKGEE GEKVRVKAFVPGWLREKLEEKMEKKGKRH QC762_710400 MADQDLLLFARESASRSDDLYALLSLDATATDQDVRRAFRKKAL TAHPDKAGDNYDPALYERLERTRDVLLNPEAKEVYNNGMKAVLEKKRQREQMGAKRRQ LVEELERREEEAKRQKTDGEGDGGRDKVRDMAARGRRKMEELRRKREEAEERERAVRE REEAEQQQQKQEEKVEEKDYDGEIAELERKLAEKQRLKAEKKKAKRKEETKNEDQATS SSLPSDTIRKEKDMADRGDKVSAATTTTTTTNANSDAGATGQPGNKFASTMARLRAAQ AKKDEEKRRREEEEAKAAAAS QC762_710410 MDTNNNNATTSQTAAAAVRSAVASVATCTPATSALLKSLLLPKE ESSSGSSTPAVDEPPSRTTSRAATARSRANTATSNVSRAPSRRGKTPAPNPPVDTAGG LSSRDKATLATQVINAALKALSEAAKASSTVTPATEDPPPSPSLVKTATTRQTLRRTS SAPISPLQPRPLNRQSTSPSVPRHVRSPSKPSLLGSSPNLLSLVECARVALSTLRQIV TAGKVTLPELQLESGISALVSRLITLGLHEQAIRELRTLKRRLETLSGNEKKAPVTEP KTTAEAFSEILDFGSVKLSGPALLLAVTTQIQALRVLGLGKKPSAIEAVVAHLGYDKT CSPVRLLLQAAAEKGADKGKLGRQMETAAQCLLALCPSVSGKDDGVATEQRLSVSPGT ALELQVLGLEMRLQWWEMVKHRGDVEKEVMVPLSRFLGAFIRRTAADAKTSYKVVVGA WERVDTRVRGLNFKPNEGSRMPLAMIYQTLATLAKEGGLVKEAVGWGVRLRDMIGGGG SAESVVKKVSVAAQLLGCQLKDRTKYLHDDGLIGEVVAGMMGPLRGDMSELDELLGNV VQARKAAISVLVVERKSEVSSLQKGTRDQLEMLVLQTPRFCLRWLGKPPGPKGSTKDY LRYEQRRQLLQGSLQQNLESAFLVVKVRLEEGRMAWEVTDSVLGDCVTLLEYTGSSNG GGEGSSMYVKISHFYYLQYNALRQTIADPKDPSPLRALRRSVDCVKYRSGKEKEKAQL ILKLERQSELAKRLGRGEEAISALFAIRTSLLEDGVLATVAKGLATQSPVAVWERDEK TEALSRALVAIAQMEQVWMDWTVDLSEAERAAALEHRLRYVLLRAGSSYTDVTLEHPC VDQLLRIYIPTRYPIRRLRVLLSLLCAALGKLDKAEELLAVAKDASQVEDLGEDTGLV GYLQHMKALFGSLAAAVDGYKNAGALRESVVVWQGIIKSCQDKAALEKQVDDVAGLLD YLQSIADFLRMKGKDEMLASVLELVTDISQVAATQGSKAEELIQHNSALAMQYTNLGR STKAEQLFTKARQHLGPQASGETTAAFYLACAEHAVALGDFQQAEEHLASARTAYTTS STSTHTRLQKKQLVAYAFYLHSILAQEKGDSHHALVYSRESVRAIMAEWVKLEAQLVA SSITSSSSSPDPTDLSLATATQHLPVAASGPAFWKMFHGLFRNTMRLSFVYAHLGLFQ ETMYYAEQAGKIAGQVDSEFYNCAVAGWMGEVSWRSGGIEKAGEMLGKATGLFTEVAG EGNWFGAAELAVRLSEICVGVGNLKGGGWLLERAEGIVKGLVKDKGVEDVEEGMKKLK IEEGSKGTTARGGVGGRKVVGGGGVKKPVRKTGAAGARAAATKAKPVVKAAAPAPEPV VVVEDAQLAKLRASILVQKAVLMLKQKEWAGAQSILGEAASATTVKSSDLLPTRQLAM ASCLLGMSMEQMAQDPVFSVIQDSTISFPALSGMERLSSVQASPMNAATSPKKGARAA GKGEGAKDLSQGVYVDNLREAHDYLLEAHSVASKSGDSALIHKISGMLQHVGLFLTAT SSSRTKATIHSAQTSYSVELARNLIWRRERKAMIQEKHAPRHDGTEWPPALQSVTSRR SSLGFTLDLHKIQRDYIDIVPKNWNVISIALSEGNQDLCITKLQAGQNPFVIRLPLER ATSRDADSDVFNFQQGRSELLDIIKEINATCHSAKDMNQKGAKTEWWNAREALDERLK ELLENIEQIWLGGFRGIFSQHCRRADLLARFQKSFLAMMDKHLPSRRQVRGKKTKVAA AGQKVQLDLNILELFIGLGDATRPGTDFDDELTDLLYFVVDILQFHGERNAYDEIDFD SMVVETFDALMGYHSAVNEGRSRSSRGVEEETGIHTVLLLDKALHVFPWESLPCMQGL AISRMPSLACLRRLILEQRLSETPVGEGGLLDVEGHHVDFGKGKGKGAYILNPSGDLA ATQKTFGPALEKNLSSFESIISRPPTEPEFERFLAEKDLLLYFGHGSGAQYIRGRTIR RLDRCKAAVLLMGCSSAALTEAGEFEPSGPAWNYMLSGSPAVVGTLWDVTDRDIDRFA GRMLETWGVLPKGAVEDDGKGRDTGKGKRARGDKKRSLVEAVAEAREGGCRFRYVTAA GVVVYGVPVYVWKE QC762_710420 MYRPTLRLTLLSPLLSQTTATPLLQATTALPSRASSTVTEPSFW RSMIPKPLRKDNHHRSLPAQKQSPKKDWNPATFFICIFLFIGSMSIQQIALRKDYEAF TRQSDARISLLREVVEKLQSGQDVDVEKVLGTGDPEREKEWDEVLKEIERQEATRKRQ QAEAAAAAAAQVKADAEAAAARRPAPKSALSSQGFF QC762_710430 MPTSFMRIPYRDLLPPPNIIPPSASTLPGAITALQEFFHNPPPS GLPPSTVVLTGAGLSVASGLADYRGVKGTYRVNKTHRPIYHHEFISSHETRKRYWARS FLGWTTMSMANPNSGHYAIRDLGKLGVVKGVITQNVDSFHPRAHPDMPTVELHGYLRS AVCITCRNEYPRDVFQTELARLNPVWKDFLKEAISSGALETEDPNEKRTRGVKMNADG DVEVPGAPYTTFRYPACPTCLTRPPPLADGTKGVVEVDQDGALEPISNAGILKPAVVM FGDSISPEVKHDADKTIDNAGRLLVMGTSLATYSAWRLAKRAKDRGMPIAVINMGGVR GEEAFFTDLDPKQAGGQGVRTEISTESLLPALVQAMRKPEARSRRHHHHHDAPHAVAF ERTNPAEVFKDFLS QC762_710440 MLACRPCPGLRICATASPVAASSRLRLTRQARSYHPPLLFQFSP RTHHPLRPPPPPLQRRVYYTALSPASRVAFSDRSLHPNSSFSTTDNYFSTTTRPTTSS SPPQSPLKMGQQHRTHAGHSHGHHHHHDNIYLTSQNKSDAGVRITRIGLYSNLGMAIA KGLGGYAFNSQSMIADAWHSLTDLASDVLTLATVSWSLRPPTANFPMGFGKVESLGSL GVSSMLLFGGIFMCMSSCETLYAHIMLDPAAAAEALSHGHGHHHHGHGHGHSHGGGAP SLHAAWLAAGTVAVKEWLYHATMKVARERKSSVLASNAVHHRVDSLTGIVTLLAILGA NFLSNAAWLDPVGGLLISLLVIKAGLSNTLSALYELADRSIDEEVRSSIKSQVSKSIG ELGESGNVELTDVSGVKSGQNYLVDLELGVPGDWTVEQVRQVEEKVRERVGGKVRGVR RVRVRFVPTGLEGGRGLGEEFIAGEVSARSSPEPEEEGNGHDHGHEHKKEL QC762_710450 MLCIKGCHHQRIALRTTITAKQHTTAAAAACKRAFTSFSSSIAR PSLKTPFRLRPLHYTTARVPCYYFSSTSSKMAPPPASAHEFLDFVNASPTPYHAVQTA TSLLQKAGFHPISERTPWTPSTISPGGKYYLTRNASSLIAFAVGSRWSPGNPIAMIGA HTDSPCLRVKPVSKRTANGYLQVGVETYGGGIWHSWFDRDLSVAGRVLVRVKEGGFEQ RLVRVEKPIVRIPSLAIHLHRQSNFDPNKEEEMVPIAGLVEEVLNKKGDVEEGEEEEF EPLKAIDERHHSEFLKLIAKEAGVDKVEDIQDFELILYDTQKAVLGGMNEEFIYSGRL DNLDMTFCAVKALIGSVKDGKGLEEETGIRLVACFDHEEIGSLSAHGADSNLLPAVLR RLSVLPGVDGNQQSESNETAFEQTLAKSFLISADMAHAVHPNYAGKYERNHQPQMNKG TVIKINANQRYATNSPGIVLVKECARRKGVPLQLFVVKNDSPCGSTIGPMLSAKLGVR TLDLGNPQLAMHSIREMGGSWDVEHAIGLFEGFLEGYGGLEGGLVVD QC762_710460 MAANIPPQMVPNHMMLLQQQQQQQQRAKSQQQQQQINQLVMTYV VNTQPEAAPNTWQSAMSHNERYGKTLNLVTNVILAMSTGNFTAVFQHATDFEKKVWLS SENKAMYDRALQGKIDEMVARRQGNTQQLQNQLQQQQQQQQQQQHHQQQQQRQQQHQQ QQMLMNQMAAARMGPTGQPGFPGMQNPMQVPQGTPQAQLGMGLAGNPQNRPGQPPFGM QMGQPARPMGPMGQLQPNEINAVNEVAQRLMSQVPEPQKAQMRQKIMENLGPRAAQFH GDVLVWHFQQEALRQMTASRQRQAQALGQQRMANGMPQGQPGQMNPNQLMINPLAQQP VMPNGPMLGANIETIRNERQQALLAQQQGQMVVPASNGQARTVTPGPMNGMPGAQPSV NQASRPMPAGQNFGVQQPGVARGPMMGHPMQGQPGGLAGPPTTSQSPAMNTLNAPMQQ PPVPMGHVGRQPPVNPGNPAMGSLNPQFSHQNNTRPPSAMPGVMNGAALAGGRGIPEG AMGNWNQQQRAAMMSGLSMGTPGQMPGAPEQLRAGQMNMANQGPGPNGPPNPAVQAKM LEYLQTPHGKTAIDNMDIAPGVFGMLANNGTHVGPNIKKWFQLKALAVNNPAQLNMLQ AVQHRQFTVMWNQQQKRQQANQPQGNPGAPFQPPQLPPGEEYPPHVAQLTPQEVQAFM SRSANHANQNPAMVSEILRRLKYTDYAKKIWEKHNKQQQQQQQQQGINNANAGGQKAP SQIVPPTPTTQPGAPPMAQPNMPPKPSSTPVAPPASTPARQTPKIPQQPQPQQQSQPA PQSQQQPGQHGPNPSPVPAPRHNLKRKPDDSEGAAQANNAAQRPAPGPGAQTNIRAPP PFKPLPEEQVVALGSQERAEYDATLRLHQRITAITTEEQLLAQGQNEVPVPMDAELLG KTRRTLLGALSTMRGVSAWSQAWFFKTKDEERLRIFLRARHKLYRQLVPNKDASQPST ILRPVLTISPQELDQIQQMLKNMATDIKTYCVPSLGLQNSWSQANGGTPTQRPAQAPT PLSQANLEKQTQALKQAQNRTAAKNVAAPAAPTTTQPPFSFGAHKSPAGNPEYLSEPL LTRDGLQAPPARKKPKTGANHSSPPAIQPGTGSLSPNVKAPSPVVSRKQEPAKTAPKF MCPEPGCEQMSVGFESEEALGMHKQDEHIRPFQDPVAFMRENMAAALKLDEQGRPVSA PAMGGSLSKQGQTPMSKPDLAATPMSRDASMRRQGSAAGGRESTATPRMAASTPMAPV DEMWAGTTIDPQNLFAGLGPTIDATTGNMMHEFGTYRSITPNDTPESTASKDSGVSEP NSDILEGTGLDINLTFQNFNEDVLMDMNRINMDSLDSLVDSDLYGPGGGFGGGQEAYQ FTFEDMTMGNDFSKPFQFDNSGYMMDASV QC762_710465 MDATTQTQTQTQTQTPRVPLSSLNPNNASSPTKKRMLQSPFESQ TKMMQTRTPPETGVKKRVLSGTGTVDSSPTASRAVAEEERVVKKARLSYSSSPPASAG PASSVFSSPHHHHHLLLLEEGEGDVSMVTTIPDSTPAPAPASVSASASASVQRRSLTR EQARQKAEILRLRLGLANYKVRTGQEDVSLDQLERRLMVRLWGGEGRVREQGGERSSQ QQHQRCGSQQMLPPSTSRGTHHQRRSSGAGVVEEKENWRERLWEVMGRRKAERQQQQQ QQQQQQQQQQQQLSQEDRGEETEGEGEELELPRLPREEGDNRGLEAVGGLLSLARG QC762_710470 MSSKEEQKRQRAVPKFGSFQPKSTPEPEAGPASGEAQKPVRDRH GDPGGESKRGHDGRHRERRRDSRNRGDERRRDRERDGDRRRSGSRDGDGEKERDRHRE RDRDRDRKRPKDREQHHSSREDSVQPKTLSDNFFIDKKGDPLILRYSGNDRSKIPAYH RSGHGKILGSRGHLILHRDGPRDLFSLSFSREGLGSAFRDKALLSQIRRAKSRRIRSS TKPPPSPTDQFISLTPPSSKKRKRGRESPSLSDPEDQQDRKPDYRSIYGKAKPPPSDS ESESSDSETSSPEQEKKEVSSARKESVTLTRHLRSSPADIPSWLRLISLQDALFAQDV GHSRPRTGNETIALAELKLSLYQEALAHLPSRSSGEKEVLILGMMTTGLNIWDDKTAA KKWESLPQKYGVAPDGSFELWRARVGWEMGRVGSCTVDRMRDVFVEKLGGLSRGLVGV EEGDERGEVCRQIVYVFLRLTKLLFEAGYTERAVASWQALLEMTFCRPGTATSAEGFE EFWESEVARFGEEGARGFEDKRDGVDVEDYKNPYQLWAAVERKRAARTRMPARTLDEG VDEDPFRVVMWGDIKDFVVWFPAGVLDTAKKLVVEAFGVFVGIPSGCEEPFVKDWRRD PFLAPKSQAFRPWERREGQNTESVDVDLSKRPPEFAQQGGDMATSPELLFTKEGWFKC LDSWDKTHPPEETQLDLPWVLATLERLVTDHHREDLAEIYLATVWLNTDAKTTKKVAK TLLKQYTTNTSLYNAYALLEHSNNNPELAFKILDSATNLPNSQLLFNTQTWLHLLSSR NDLALTTLCRSVDPSVSSPPSPATLLKARSQFSTTLDFSLSSLSLPTAAAHAESLLLL AYLSPSSPPSELTSSSQGNISSALGAITDITQKFTSRSLESTPCLEKLLQTACRLSHH HSQTGPYRPALFCSTVLSHLELFPSNTIFLELLSWAQPPLLVKDPVRELLQTIALQPG HDSPSTQRFAIMHEVRNGSAHSVKKAFESAITGSGKGNGEIWRGFVRFCAGRKEGKGV FYRGVEGCCLDKGLYLAAFEEGMVMNMTGEELRGVVGTLVGKGGRVGVELEEFLGGGR LESVMFLR QC762_710480 MTGRRHTPRLRDVFRDASGGTDTDSNNNNNNNNNNSNSNSNNSN NNNEESNQPSQSSQYLSLPSSPTSFRRPRIMSRRPSNSEGVPDERTSLLGANRTSRIR IASAHGSPRVPHLSRNQSYADSVKSHRHHSRANSWGSRLIQALGDRQESYGGIADSKS SLYPDDRVWYDQFTSTDWVHDSIADAYRVKALRQRKDFWGRVYVLFDGAQGWILSALV GFIVAVLAYAVNVSEATVFDFKDGYCQKGWLINEKRCCPHGPCVDWRDWGEVLNGWPF GKDWTEWFVYIVMVIALAVASCLMTLTTKTVVPSAYRLTTLDENLAAENAAHMGDHDN DDGANISPRHSCVDGQGSSSAESAPMIYYSAAGSGVAEVRVILSGFVLHGFLGLKTLL IKSLGLILSVASGLSLGKEGPYVHIATCVGNIACRLFSKYDRNDAKRREVLSAAAAAG VAVAFGAPLGGVLFGLEEVAYFFPAKTLFRTFFCCITAALTLKFLNPYGTHKIVMFQV RYLVDWEYFEIGSFILVGVLGGAAGALFIKASRRWAKTFRRIPVIKSYPLLEVVLVAF VTGLIGYWNVFTKLPVAKLLYNLAAPCDDRDNNLEDLGLCPERAEDIPPVLRDLLTAF LIKGFLTIITFGIKVPAGIYVPSMVVGGLMGRTIGHVVQWWVMATREWPVWGTCSATS ATCIQPGVYGLIAAGSTMCGVTRLSVTLAVILFELTGSLDYVLPFSLAILVAKWVADA IEPLSIYDLLTEMNSYPFLNNKHKPVFTSELADIVPRVRKERIIDISTSPVVPAMSLR TKLELLHRAGELDGGLPIVRHGILVGLIPAPDLEYALDNLQDEGSSLCLMASVPTIDD SDDGMPDPTDFTPYIDPAPVALDIRSPMDLVYECFVKLGLRYICILRDGKYAGMTHKK TFVKYMRELEEKEGHM QC762_710490 MDAQIENAIEVAWNPSSSQELKGQAFEYLNQLRVDPQAWQVCIG LFTRSPPASEVVRLVSLEIINNAVHSEALDAASLVYLKQSLLEYIGRTYTSNTQSQVD PAHLQNKLTQTLTYLFVFLYREHWSSFVQDFYAIAQNDNLPGVILYLRILSSIHDEIA DLMLSRQEQEAKRNSDLKDLIRERDMARIATSWTDILSRYSNQHDGVVEMTLKIIGKW VSWIDISLVINQQMLGLLLPLVGRSNASGGEDKVRDIAVDTFTEIVSKKMKASDKIEM INFLQLREIITELLASPPLNEWKGTSQYDTDLAEVVAKLVNAVMSDIVRVLEDGKVDN DTRAKAEQLLQYFLPSLLRLFSDEYDEVCSTVIPSLTDLLTFLRKVGTLPATYAEMLP PILNAIVLKMRYDETSNWGLEDEQTDEAEFLELRKRLQILQKSVAAVDENLCIEFMSN LVGNMFSTLQQQGSQMDWRDLDLALHEMYLFGELALPNMGLAAKSQPNPVAAERLALM MSKMVDSGIANYAHPAILLQYMEICVRYHSFFESHQNYIPRVLENFVRLVHHEHVRVR TRSWYLFLRFVKTLRAQVGNVAKTVIESISDLLPIKAEVPSNDADDDMSSDESDHSAD AVFNGQLYLFEAVGCVSATSATPVADQALYARSVMEPLFSDMSVHLERAKAGDAQAIL QIHHIIMALGTLANGFADTPLGHTKARAQPAQEISAEFTRASEAILIALNQLNSSDEI RAACRSAFSRLLGVLGSAVLPQLPQWIEGLLSRSSSKDEMAMFLRLLEQIVYNFKGEI SNILDLLLTPLLQRVFGGLSEPINGTDDEIQLQELRREYVSFVQVIFMNDLGGVLVSA ANQGNFESLVSSIFSVAKNLNHGNLVASRIAFNVLSRMITQWGGPDIITPGENPVATG PPSPTIPGFEQFMLSQFHGVCWDVLQDGGFRPSSDATSRQILNEIAGIQQAIWMKTAD LYINHVQNQLGQDSNDFLRTLTTTTTGRKPLVDWFLALLKGRK QC762_710500 MAAIESFDNIYLDLSKEHGKCRFAETGLGWKPVGGGDTFTLDQG NIGGAQWSRAAKGYEIKILQRNSGIIQLDGFQQEDYERLSKIFKNWYSTNLENKEHSL RGWNWGKAEFGKAELTFNVQNRPAFEIPYSEISNTNLAGRNEIAVEFAVGEGGKPGQN GATPGKGKKASAGKDQMVEMRFYIPGTTTRKEAEGGDAGSDADEEEKNAVTLFYDTLI EKAEIGESAGDTIATFLDVLHLTPRGRFDIDMYDTSFRLRGKTYDYKIQYEAIKKFMV LPKPDEVHYLLCIGLDPPLRQGQTRYPFLVMQFKTDEEVTLDLNLPEEDLNEKYKGKL ESHYEQPLHSVVAQIFRGLAGKKILSPAKNFQTHRAQSGIKCSIKASEGFLYCLEKAF MFVPKPATYIAYDQTQSITFSRVGGAVSALSTFDITVHMKGGGNSQFSNINREDLKGL EDFFQYKGLRVKNEIDEDANMLAAAMRAEDMASSDEEVVQNKADRGSADEDEESVDED FQADSDSDVAEEYDSNHESDGSGSGESDVDNEVDDDEDEEMEDAEEEEEERPKKKKKT G QC762_710510 MSGPSNRLRNVLGHIFHSATPESAPHHFSHLSPTYFLERAAAIE PDAEAVYHVTANNKILRRSYMELADRARGLAYYFRKHGYKRIGLLAPNTPAFLESIFG IVAGGGVIVPVNYRLKPDDITYIFDFAEVDCIIVDKEYENLLKAFTESHPNVKIIVDL DTDATEGVLSGPFDEAVLEGLILDRTTGSKGWSNLISARVPDEDSTIALPFTSGTTSR PKGVIYTHRGAYLATMANIVESGLNLPDGRCKYLWTLPMFHAVGWTFPWAITAVRGTH VCLRKIDYPLIWSLLKNEGVTHFNAAPTVNTLLCADPNAEVLPNPVRVTVAASPPTAR LFEQMTALNLYPVHVYGLTETYGPITRGYLLPEWDLLPPHEKFAKMARQGHGFLTSLG VRVVKTAEDGSSLGGEPVDVAKNGKEVGEIVFEGNICCKGYYKDPEATRKLFEGGVLR SGDLAVWCEDGSILIQDRQKDIIISGGENISSVALESMLVEHPSVLEAGVVAVPDSHW GERPKAYLTVKQGQTIDEKEFIDWAKHQSSISKFMVPREVEVVRELPKTSTGKIRKNV LRDWAKKGTPGDVEL QC762_710520 MSLPSDFLWGFATAAYQIEGSADVDGRGPSIWDTFCAIPGKIAD GSSGAVACDSYKRTKEDIDLLKSLGAKAYRFSISWSRVIPLGGRNDPVNQKGLDHYVK FVDDLLEAGITPFITLFHWDLPDALDKRYGGFLNKEEFTADFENYARVLFKAIPKCKH WITFNEPWCTSILGYNSGYFAPGHTSDRTRSAVGDSARECWIVGHNILIAHGKAVKVY REEFKPVNGGEIGITLNGDAVLPWDPEDPADVEACDRKIEFAISWFADPIYFGKYPDS MLKQLGDRLPTFTPEEVALVKGSNDFYGMNHYTANYIKHKTGTPPDDDFLGNLETLFY SKSGECIGPETQSFWLRPHAQGFRDLLNWLSKRYGYPKIYVTENGTSLKGENDMSLEQ IVEDDFRVKYFDDYVHAMAKAFSEDGVNVRGYLAWSLMDNFEWAEGYETRFGVTYVDY ENDQKRYPKKSAKAMKPLFESLIKKE QC762_710525 MSLLHGGCQCGRNIYIVQFPKQETPSSSTGSQAARLLFNQRHPL TPLLRVPLSSYRSLTLPVLPDESHSLIHRSYTPPNAPNTLHTFCGYCGTPLSYHTSSP EGEGEYIQVTVNSLWESDLGKIDNLTGTSPGDSDAEEEGKGQTGIDGLEVKRGSDWFE GLVEGSRLGRLRRREMRRVVREERGARGVRRVEYEISEWVDGEELEGETEGEGGRKRK FELAEGGGGREMGS QC762_710530 MRQPPPDRPSTQTRSPFRTRGTPFHRPLQRISPARLWNPTNSTP RTPTSSDGTARRRRAPSSPPPPPVPLTVTSATAEEPSRAAAGEHPLLQSPEQRPRQSI SNRISLHLERNAGAEQRISLPPSVRATPSPSPVEACHPGPSFLRQQPPSQHLFSVSEF RKTRSRGQSISSRLRVPFGLSFDQSTAKHQRGDSKGKGKGKEVMAPPPLPSQPDNNVG AGDRYSCDLERGPDVANPRLSMASRMSGIGSEMSSDSSIMGDPDEQPDNGEEWGPQHP CYPHLNPHVPIDSPEYTTTRIIRIRRDWLLEGDLAPTFSNLYPDILDPAGVSEQEFRR VIEKLNSELVPAFSAYNWRNILDGVLGLATGWLWDDFGLTGVKSRLKGLEKWIEEWNK EMEKTVRADHPGDEGGNVIPPKIVPLRRTGYMSLDIQIPDPEIAPASPTMSGASGGEG DGQPQVPDLTVPPPALTA QC762_710540 MPSPTSLQFSRSSTVGSCSVYSCHHYDFSNRFLKKIYYLVVVPF CYMRLASIIPPIATSRPPAEPRHWTSLPSHQGKHFFHIPVMNHRLRLTTSLDSCPGPS SQGISTALETMHEESESVHSGHRSPMSGGTAPSAFEDDRGRRRSSTFSVYSLNEAGRG LHDEILDPGPFIHRSEVSWGATLPLVFALLPALVGLVFKNGSSVITDLILLGLAAVFL HWSVTVPWKWYHASQQVREEEGSVVESAFPDDSGSDDAVSPRQQNPGPDEQQPSQPDP QTSKMDRRAKTASQALTLLGLLECAALLACFVAPGLAAWMLHHGRNLLSRGSEGLVSN FNLVIFFIAAEISPVSHFMKLIQAQTLHLQRLVHSNSNPYRQEKVTMNQWRELIARLD ELETRGLTNAPSPVEANTRRVHASLVREVRNQIQPDIDALNRAVRRYEKKARVLAVHT EGRLRDLRQRVDDAISLSAVVAGRGRKGTEWDLVGWCLGGAVWVLMLPVREVIRGLAK VLAGVGWLMGIKEEGGDEVMRGKKKGEMDGSEVKGKGMERETRRSGSTSGSGASGGNG EMDGSVVKGKGVEKWMSGGRSGSGSGASGGKGYLGRRM QC762_710550 MKLAQSSGKALVCLGLLAGFAAAGGLGPAKRDEVTPSTTVTPTR TLTEAGSETTRSIAPSGSPVIGTLNPSSTLPPSAKSTVDPASLFNTSALDAPLPDDQL PLQPVITPGWAVSGAIMLITGFVYAIVGIKTKWLHTFFSTAYLASLGTTALILYVMVP PVSDAVQGAYVVAAVCTGAVLGGLAIVFKELTECLAGLLGGFCLSMWLLTLQPGGLVP STVGKVLFIASFTFSGFGVYFVPWTQIRAYALIACISFSGATLTVLGIDCFTRAGLKE FWAYIWALNDKLFPLGANTYPLTRGIRVELAVTILIFLAGIVSQLRLWRLIKDRRSKR EEEIAEGERNLRIEEEIIGRQVEEMTARELRRWERIYGENTPTHQASDSALGDMDDEK RIRHSSATLAYSRRTKSPTDTDGIVAAEVYVDPLADPTPSEKADMNETVIARDVGDGR RFTVRISEDELRGRSTPEVTSSHTHDGHMRRESYIMMNSRRSSQRNSQRNSLHTPEVP ILSLPFPLSNAKSEEGRSSFAATCADEEGRENVPGPRIRNSTAEKLAERLSSGSAKLL RGLSRRSAHSKRGIIEGVGESAEELAVESVQNRDDLDSLAAVLDDLSSLGEVSATPSP TTDVKMELPAWKSKPQSADSSTPGAKRTEAAVPEMKQEEETSLSSGEVGDMIPEIPTR LSDVDVLAIAESRLAKSSPALEQGGFVPEEKNPAPLPQFQADFEVEKKMDPDEDSSRA DPVGSPKHDEDGAKDGDDAAVRGESLDSVAASLTRGNLPPALSRVALCYRTNEWAKHL SVAEIPDPDELQQEIVAAQDGSDEEPAPLDIVDLQQTAENATIPPYAPRSASAMSNYT GQPVSRSSSRTSFSAYPDSNASAIQPGSSPDLQQGKLNSPYRSSSMTGVMLKGRNSRL IAEPIAEEGDHEPTEHVSTSFPSDTTWTMPNTNSASAFPHGLLSSTSVPNMTRISQQS LYSPPTLMGVRETLLRNKASGSIYAQTAEPIPYGIPTFPVARPSSEHESLHSHSAPNN VPSDCSSPAVGVDLDDLPLSQRRALIRQSSMSMQPQQALIRQRSGLRSPTPPATSHPS PCATTESTTFDSYQPLRHSTVPTEAVRQAQLANFRNSVQADLRANARPKTLARHRSVG GDGFHHGPPLSGGLLNLGSTTSMTSLKGAFQSVGDRHSGNTPGSSVEAQRNIDIQRGV MMEQKEAEAARLESTRLEREGRQREFEERMRRDRGMLEAHRDAMRRIQRGVRDV QC762_710560 MTNLETERHRLPGDDNNNTKMYLHPASSPPLTGRAWPGWLPSFS NDAGGGHDDGDHPDKDLQNWSSLIGIITAICGNVLIALALNVQRYAHIRLHRHKVAMR ERARQALKHANSASQSSGLGGYGAAETSHSDGVLSEISEETRDSTETDPLTHSFRSDD SRWSGSSDSDEAKVPSTYLKDPYWWLGQVLITVGEMGNFLAYGFAPASIVSPLGVVAL VSNCVIAPIFFKEIFRQRDFWGVVIAIGGAVTVVLSADSEETKMGPHEVWDAITTMEF KIYMGVSCGLIALLMYLSPRYGNRTILIDLGLVGLFGGYTALSTKGVSSMLSSTLLGA FTTPVTYVLLFVLLSTAIMQVRFLNKALQRFDSTQVIPIQFVLFTLSVIIGSAVLYRD FERTTKERAAKFIGGCLLTFFGVFLITSGRPRHDDEDEAALSDAEGIEETIGLSNQDP GTNSLARTSSRRGSDSAVVGRSRRSSRVSFTNKPLAALSKQKPSLINEDDYDEDHEDA PLLGPWRDSTPPNPNHFRHPGMGAHTISSDSVPSVIPSAAVSDTDHNPLVTLSSTPPP ITTIPPTTPRSHPHPRIFTTVGVTAGGNPVISPSPFSTTLTAVVTDKLLSHLEGSPTQ LLPTHKRNRPSLRNSLFVPSEEVSDTEQGQGQGQQTVSAGVESTGNETGFIRRRARSL SHTLGEFLGVSAAPSRAASQSEEDGGGLARARTSGGEERRGYNSVETL QC762_0113560 MLRNMMFHINTWSNNSWHLWFPSFLRQGGKTSPNTPEEWLKARE QEREFWTSRDELTEQRKRFQKLLGMDVNNIIQAQWFRGVRNPPEEHLAVDKDGSSFFG ALALSLPLMHYQLRNGDLVEHEAALSQRPPEQSWPLATMLTLISMFTDHPVRPSRDYS LLVNQGRFFHYWDGIVKIRDRVGEGKEWFIKNFLGNLHRHHSLMLQDVENPHKPALRW LCQQFSPKKETLEEGKAKWDRKPEELFVS QC762_710570 MSSLRILLVGNGGREHALAWKLSQSPYVESIIAVPGNGGTAGLP KVTNTTAVKPNDYPGLVEFAKKNNINLVVPGPEAPLVDGIEGYFREAGIPVFGPSREA ARMEGSKTFSKDFMKKHNIPTAAYENFSDYEKASEYLKTVKHNVVIKATGLAAGKGVI LPQTQEEAQQGLKDIMQDKAFGDAGNEVVIEEFLVGDELSILSFCDGTTIKSLPAAQD HKRIGDGDTGLNTGGMGCYAPTKLATPELLARVEREILQPTIDGMRKDGFPFKGCLFT GLMIAPDGTPKVLEYNVRFGDPETQTVLPLLESDLAKIMYACAGPIPYLEDVPVKVSN KFSTTVVVAAPGYPESYPKNIPMQVSAAPQDITIFHAGTKLTGDVLVTDGGRVIAANA VGESLRAAVDKAYEGVKLINFDGMYYRNDIAHRAFRESEASGKLTYAQAGVSIDAGNE LVDRIKAAVATTRRPGADAVIGGFGGEVDLSQAGFPGAPIVVGAIDGVGTKLIIAQKM NKHDTVGIDLVAMNVNDLVVQGAEPFMFLDYYGCSRLDVSVAASFVEGVAKGCLESGC ALVGGETAEMPDLYTGDDYDAAGAAIGVMKAEQRLPRKDAMVEGDVLIGLTSSGVHSN GFSLVRKVVQKCGLEYTDACPWDGSKSVGEALLTPTKIYVKPLLKVLASEPGAAAVKG MAHITGGGLTENVPRMLPKHLSAEIDVAAWELPPVFKWLAESVEPAEMGRTFNTGIGM VVAVAAEGVEGVVKGLEEAGEKVYTLGRLTKRPEGGNGCELRNLESWA QC762_710580 MAPRATRSTRSSTAGGGATSRASTTATATSRSSPAVNYNIPEES PDNALRQQVAAVFRDAQRTTASHRKLAVTLRKMQEACCYEPTATKKTATTTNLGDFDE DDFNTEFVRCVLRVMPVKKSEGVGEKTVRFIGLFLRHAIDKDNEITGEADMDTSTMPE TPATRLTTYLMVTILPLLQAKDKFVRYRSTQLISHIISSLDAIDDDLFQKLRSGLLKR IRDKEAMVRVQAVLGLGRLAGNEVEAEEDSEDSEGGGAGSGLLDKLLEVLQNDPSADV RRSLLVNLPILPKTLPFLLERARDQDAATRRSVYSRLLPALGDFRHLSLSMREKLLRW GLRDRDENVRKAAGRLFRERWIEDCAGTPPPAETGQPAEVSPPNLDALLELLERIDVI NSGGENGIGLEAMKGFWEGRPDYREAMVFDDTFFETLSAESVFVCRTFNDFCRSEGNG KFEQLVEEKLPEVTKVAFYLERYIKVLIDAIKRAEEQEDLEEEEEEDTVEQEFIAEQL LHIALTLDYSDEVGRRKMFSLLRQTLSIPELPDEVTKLTVNVLRDICAPDAAGEKEFC SIVLEAVADVHDTIVDEPPASENDNDDESFHSAQSEVSTPDGDTTPTKSSKNKNVPAL SEEEAAKKAIKEIMINMKCLHIVQCMLANVTGDLQQNDHLVSMLNNLVVPAVRSHEAP VRERGLVCLGLCSLLDRSLAEENLTLFMHFFSKGHTALQITALNILTDILNVHGSQLL SANPTLLKVYIKALRSGARHPEVQASATVAVSKLLLGRVISDHDFSAELLKTLVVAYF EPASSDNQSVRQALNYFLPVFCYSRAENQDLMRIVALDALHTLYNVREGLEDDDADVD EEMVSLATIGACLVDWTDPRKCYYPGKEEGVEGERKNVNADVHLEFARGILERLSGSV PREEKKIIAALLGKLYVSPASSEELTRDIYALVCEAVENGLLTDATSRNSLYKLHVGL GKIVNQLDAAAVAAQMNSAEGQVQRYRRSVSRASSVGLGSVAGAGAGNNAGREGSVVS SRAGSVIKEEDEEEEEATVVLSRTEPVMKSIEEDDAEEDGDDKSLVSELLDEDEEDEG EDTL QC762_710590 MGADKKRQREDGPVEATTSSTDQSSSNPNKRPRVDKNRSLFVRS LPPSATSESLTNFFSQHYPVKHATVVLDPKTKTSRGYGFVSFADPEDAIEAKIKLNNE LLDGRRLRLDIAQPRLRDAAKASTEVAARVVTEKRQREEELAEQRKAPKLIIRNLPWS IKSSEQLAKLFQPFGKIKFADLPNSKGKLSGFGFVTLRGRKNAEKALEAINGKEIDGR TVAVDWAVDKQTWEQHKEEEEGGEETKTEKKKAKKAKKEEEKEEEEDPNMTQEDRDLA NFFKNYGKNLEDEVEDDDEDVNSEDEDEDDDEEKDSDEEMDEDGDAEFEDASDDEEEE AEPEKKLSTDNSTTVFIRNLPYTATDEQLKAHFETFGAVRYARVVKERGTDRPAGTGF VCFFNHDDYVSCLKGAPRRPAPTLAKHSVLQDETLDPEGTYTLDGRILQVAPAVDKSE AARLQEMSASKKDKDKRRLFLLQEGQIPASSPVFRTLSDQEIKMREASAKQRKKLIES NPALHISLTRLAVRNIPANMDSKALKALAREAVVGFAKDVKEGKRAPLSKEENLRGGE QDKEAERHRKEKGKGVVKQAKIVFETVQGSKIDEKKAEGGGKSRGYGFIEYTSHRWAL MGLRWLNGHAMKSEAGKTSRLIVEFAIENANVVQRRRQHEQKIREEGPRAPGSRAERE KRERERERGRKNRWERKEEKKRPDTWNKSSKGKKSAVPKEEKGKAEPQTKEGKKNAEA KLAMRTKIVARKREMRKKKAELRGEK QC762_710600 MSPRRSRDGGILSTLLLVAANLLVPIAIFVFGKGFFPYKPLLPG LATYGESSVYGEPPKAQFDKLVFMVVDALRSDFVYTANSGFKFTQSLIRDGGALPFTA HATSPTVTMPRLKAITTGSIPSFLDVVLNLDEGDESSSLASQDTWLAQMKRKKKGKLV MYGDDTWLKLFPGMFDRFEGTTSFFVSDFTEVDSNVTRHVPEELERKDWNTLVLHYLG LDHIGHKGGPRSPHMLNKQHEMDGIVKQIYQAIESKDHLKSTLFVVCGDHGMNDAGNH GASSAGETSPALLFLSPKLKKLNKRLRAPLPENPDFQYYDTVEQSDLAPTLAALMGVP ISKNNLGATIPDFLPFWSSSEVKAEILMRNADQIMQVAHAAFGQKMYEWSFKEDGKPE TDDEKLAAGYMEFEQLYQQVYRGASPDLYQEMIPKAGNWLHEAQSFLSGMASNYDTPQ MLLGFGIALSALVLALISSPTLPSIPFSLVTLAYGAMMFASSYVEEEQHFWYWGTTAW FGYLLQHLTFGLSSLPIWLSFATSIGLVLAAFTFKVAFVVEDAPELLTEFVKKMLEIN FSGGGRELVERARAVFIGLGILMAASLGFMGAKKQISQGQPGVFTALTELTLFLVTQS RVTDIPLFLLYNLQFRLLLSYVPELELGEITLSSLLLQYASFFAQGGSNAISSIDLSS AYNGIATYNATFVGILTFLSNWAGPVYWAVATVILLLSKRQHLLSRRRGGEEGNVYKL HVSLMTVFITAATAAVMGACGVLRDHLFIWTVFSPKYLYVVAWAGGVHLGVNVGMGGL LYWLGVREGSGREKA QC762_710605 MGKSSRASTIKQNNRKLKANVFGPVEAARAERLHAKLAELIAQP KPVKEVEMNDEPVDEEAKEVEAEKEEAAMEVDEKPAAPYKEKERKRRGKKSNIVFRKK GPKTNSKKK QC762_710610 MADKTAELQNLTRTVQNPALQKTVLQQICQVNGLAKTGNKVDLQ RRITQQLSNVSEKQDWQQFEELRKNILARATPPASRPIATPTASPAVVVPQAALPAVL YNSQSQYQPPRPATPPQYATMASSYGSSGYRAAYGGYNSHSAGMPIQKPPPARLIEHF AFKSSPFYELRHQLGKPRELEVMTSHRNTEKIELRGSEMSVCDENPSMRVLVFCANGT TPIQDIAFPYQCELRVNGEEVKANLRGLKNKPGSTKPVDVTHLLRFRPPSYTNRIEVT YALTQKKFYLSVVLCKTTTVDALVPQIRQKIRKEHVIDEITKAASDPDVVATSQNLSL KCPITYMRLTNPCRGVKCNHIQCFDASSYLQMQEQSPLWVCPICNKVTPFEQLAIDEY ARDILARTSESTEQVTIEPNGEWALPGAKKDTGVSKSQEASYIDDDDLVVYENPRKPS YSSAQHPSSAAYLGTPQSGASRDTSAAPRSSSKRSAPEVIDLISSDDEDDAQPAKRPR YY QC762_710615 MSFDPVPPPYNHLDPTARFLSSSALDFLLIEVVPMSYRINSEIS EGEGEEEEEREAAFYRLEGIGYRVGQGLVERFAKDRGKFGDALDVIKFVCKDLWGLVF RKQVDNLKTNHRGVYVLTDNNFRPLSRMSVETGGRSGGAQQAAVVRAQPFLWVPCGIV RGALAAMGIQATVQAETTELPGAVFQIKTLPAK QC762_710620 MVKAVVAGASGGIGQPLSLLLSLSPLVDELALYDVVNTPGVAAD LSHISSKAKTTGYLPANDGAKAAFKDADIIVIPAGIPRKPGMTRDDLFNINAGIVKGL IEVAAEVAPKAFILVISNPVNSTVPISAEVLKSKGVFNAQRLFGVTTLDIVRAETFVA EIVGKANPQELTVPVIGGHSGETIVPLFSKVTPSVTIPDDKYDALVNRVQFGGDEVVK AKDGAGSATLSMAYAGYRFAEKLLKAAAGAKGLVEPSYVYLPGVPGGKEIAEKTGVDF FSVPIELGPNGAEKAIDILGDITEKEKALLAAAVSGLKGNISKGVTFAHNPPQK QC762_710630 MSNVSADLIWEVTRLQNAFLVKRKESGGIQFSRDPLNLTNVHSR KYAGYVNDKAIGVVAGENNTIQVISKKVSAGNKPASGRTVSTIGASKANRKTYKSIAK QTAKYRGDLRQVAVARASAIRASQRPVKPSPEPKLRGNAAKKAAEKSE QC762_710640 MTYLFYSTLTLLTFILTTLAYLFRATWLPHLSQSRTASYLYSRL PGNSSFEADMEAGLSSSNFDLNANLAAGDSRSGLDEGAKREILQIMKKRRLKFDEARR VYMEQRFAANGIGADGRPRDPKFVSFS QC762_710650 MDVVLEVCDTFLFDYMYQWVLPARPAPSGLTSQTFANGTSMSTW QYKPATEYLYLTPSQAAYGSLWARDNIWRQGVSLFLILWIFGFLVYFVFASLSYLFVF DKKTFEHPKFLKNQIWLEIKQANEAMPIMALCTAPLLVAEVRGYGFLYDTLDEAPWPW WNWFQIPLFLFFTDFGIYWIHRGLHHPWVYKHLHKPHHKWIMPTPFASHAFHPLDGYA QSLPYHIFPFIFPLQKVAYVALFVFINFWTIMIHDGEYIANNPIINGAACHSIHHLAF NYNYGQYFTLWDRIGGSYRAPEQEMFQKEVKMSEEHWKKEVEVMEQIQLEVEGEDDRE YEPEMETKKRQ QC762_710660 MSMYPGHRGMGVAPPANPNGSRQNELLEGIRAEFESHQRQIEGY EHQIQAQVQEMQMIREKVYQMEQQHVQLKQKYEDEINLLRRQLETRGGGPPGPMNPPP QHAGPSQQPPPQIGNMGGGGVFNGILSGQGGQGGLAPPPHPPQEQQQPPHMPPAPPGL QQGPPPPPPPPSQQPPFQQQYQGPAPGGFPSQPPQSTASPGPGSKRGQPIGRPPAGGP ATPQINTPVPYNGPGQSPQVPTHPTPDHTRMVQQQQQQHQPVPISSQSNALSDLDPER LPSHIKKVKDDWWAIFNQAVPRVLDVDLVHTLQHESVVCCVRFSADGKFVATGCNRSA QIYDVQTGDKVCILQDESIDLNGDLYIRSVCFSPDGQYLATGAEDKLIRVWDIKNRQI RNTFAGHEQDIYSLDFARDGRTIASGSGDRTVRLWDIETGLNTATLTIEDGVTTVAIS PDAKYVAAGSLDKSVRVWDVKTGLLLERLEGPEGHKDSVYSVAFSPNSRDLVSGSLDK TIKMWELAAPRNHNQMPGGIMKPVGRCIRTFEGHRDFVLSVALTPDNEWVLSGSKDRG VQFWDPRTGHTQLMLQGHKNSVISVAPSPASGNSGGWFATGSGDMRARIWSYSRIRH QC762_710680 MAAIITYTGYFFAYYAALIMFFHLLGLVSSKAAFVARSLAMYIS ILIASAYGLGAAVFLSLIGKRGLSQWAVGRCFKLCMLLGTGLKFDIEDPKNHLGTVRP AVFIGPHQTEVDLLMLGTMFPQYCSVTAKTQLKKIPFLGWFMTLSGAVFIDRKNSKDA RQAMQGAGEQITKRKQSVYMFPEGTRSYTKEPTMLPFKKGAFHLAVQAQVPIVPVVVA NYSHVYSLKDMVFKSGSVPCKVLDPIPTAGLTTADVDELARETREVMLREMIALTEKA RGKTVPLTVPAKQQNGVVKVSGTDMRVAA QC762_710690 MGGLSAPSRCILFLLFIYFEPIAKYCMTKYRQYLTGNTSAVERD ILGGGGANTVLTQPVIQCVLNSTSEHVKNGMTAAQVLLGVMPTVLALMGPSTEEMSIL ANVARRPLLALMLAAGSPSVYFSRAFEYHDPAQILHDHPSRLPQWRPSMWYYKLSISM AEYIIALAAIANIATLNWELGVKATCTL QC762_710700 MAESRRGHPNLSVRLPQPGASVALPDLPPVSALFLIDFDVKAGY TIVWKRAVPGLELEGAVEYKSLPSGLHTVTDDLIYFVHDASHAGLSAFINTPTDEEET RHARMIAVGVLVPLSYGRLGRAWRHAEGLKEMASKLVQDRKQTQILEEYWERNGARET SAPQPLKDEPLASPALSFRAVRPPLGRGHTRNRSASDGTALIPPGHRLSPYHPAWSLT SLLDTFGPLIFPVHRAALLRKRILISCHAPVHEVCNFVYDISVLSNIPLSICDVIDPS APVQRLRPLFTIGVHDISYLMEHQAAMKKRPNQDDQHFDPSEDSTSGWVACTTDSILA MKGDLWDMLITLPPVYSSNAKERAWPTIECPKGVPVKATQRDLRRFRTLRAGLAHLAA AAEVSHSASQPQPEVQTPDEAPTPSAPAIRLSKPAATSSSRPGTSSGNHPRQAMIISD EDADQIVEPTTWAALAYNGFMWWASAGEKRHSDEIDEQSHDASLLADLGPPMSPSMTA VPQRRPSFGTAVSGTMIDSLSSLTARKPGEDAEEDDEKAGVELAIIAYFHRLTTGILR VLAEIVDSGDDDDLMSLDLDYGETPGGGGGGREGGG QC762_0113740 MTFRTLQLPLRKPRSAPAPPLPPRLPRAVTSAERAHRDAQNAAA IAALRATLLPPSRQSSALASLLSRSLHQQGPFYFGPEQTKKRKWWRRDDEEECCGLPV GGSWKWLAA QC762_710705 MNRFLELAMQTVSWMPKLEIMEIGNSGEWTEEKGHACMSRYTFN ERDGPMVTWSSTWPAEKELVLSPDLIQKWQVITSHTGHQLKVERKPLVGVGLEWPYQL SCLHVAVVPWLLLKKDILSGLSRFQLISELHSRFRDRELC QC762_710710 MRAALSLLALAATTLGANIPRGKKWETVVTEVVVTYTTVCPVTE TYTKPGHTYTTTYTTTSTVKTVKPTTIVVTETGPAVTKTADEVVYTTLTSLCPVTETT VVDGSTVEVTWTSTSTIIKVAPTEVVVTQTAPPVTKTNAAVVVTTLTELCPVTETTVV DGSTIEITWTSTSTIVTKVAQTETVYTTSLVTEYETTDVYETVTCPETTYTTVIEGET VKVTKTNTITKAVTDVHYVTEIIPVTITEQVDYIATVPVTDRKTVTGYSTVVVSASNI IYTSEPLPPTTIIIPTSVTAAPIPTTTPNNGTAPIQTAGANANNKAPAAAFIAGLFGA LAML QC762_710720 MRGWASTLFLLASSAWTAKALEIALDQTDENRQRCAGMYDKQAW GGPVDPFILVKFTDVGKDSGPDPITSLLIVEWKDTDYIGVGQEGGGREAICRPKDVEM GYCKEAQLGEFILAPNATEKSENLILTKAVHLKKASPINYPITKTGYYCVITDQFTDN DYEAIVEFRNAYGELPATQIPKLPFYGGITILYALVLVYWGFLYYQHRTDILAVQNYI TAILIFLVVEMLMTWGFYDYLNRHGSNLGSKILLVVVAVLNAARNSFSFFLLLIVCMG YGVVKPTLGKTMIYVRWLAIAHFVFGIVYAVTSLVITPDNAGPFVLLIVFPLAGTLTA FYVWTLNSLNFTLKDLRERKQHVKETMYKKLWWGILISIIVIFAFFFFNSFTFASASD PDFVPFHWKSRWFILDGWLNIVYFADVAWIAYVWRPTANNKRFAMSDEIAQEDDGTFE IANLDIGNPDESDDEDEEQRIGKNQQQERGFAGASVAGGVLHPPQQSGVASSSSGQGQ QQQQRKASPERDSFDGETIFAVGEDGDKFSSDDEDDDSEEEAKLVRGRK QC762_710730 MGKGTDKLYITHSEWSSADAYGASVGANAGSRAQRTGAHASFKR LPFNFCAASLQPFKNPVCTADGTIFDVEVISAWLEKKGTNPVDGKPLSAKDLIKLNFA RNADTNDSNDRNGIPTDGKGDFIDPVTFKVFTDNTHIVAIRHGNYANVFAWETVERMN IKPKMWRDLVDDAEFGRKDIITLQDPQNAASRDLSQFKHIQDGEEAALTPEQAETRKE SGINIDALGRIGDKVLRAKEAVARAREARQSASDINQSASSKTLTKSSSSTTTPRQSL IQENQKPSNSAIYTTGAAAASFTSTGLTPSTSGSLALLSEEEYLLKPRRLSNSKHPAY VRISTTLGDLTLELLPEFAPKAVWNFLRLSQKGYYNNTLFHRNIKNFMIQGGDPTGTG RGGTSIWKKTFNDELEGPLKHDKRGVVSMANKGKNTNSSQFFITYREARHLDRKHTVF GRVVDSEGTLAKMEGARVAGDSRPLEDIKIKEVVVLGEGEKEREEEKKKEVERKGGTE DDRTTWTGKRLRGGGGGWEGGVGKYLKEVKGQRQEGEGEGETDTWEEPVKKKTRVRGG GFGNFDGW QC762_710740 MVYCGKPSKGCSNCRDRKIRCDQRAPGCGQCEKRHQTCPGYRNL VDLMFRDESSHVIKKAKARARKKGNLIVEPSTPSGSEGRLSVTPEPRGKPSVTIVVPA TPAPTSPADSDAWGFDDSLLMSPESGSWPTTPPAMALYNIPAVCQEHGFAFFFSRFVT AHETACHQKFDFVREVWKPSRTKRERQVDSVLASLTAVGLMGMASLQRRNDLMDAARK SYGVALGLTKDALKDPAEAVKDSTMLSILILGVFEMMAESPARARTVTAFQEHVNGAA ALARIRGPAQFQTRAGRRMFSMLCQRVVISCAMKDMPMPQHLKELWHEMAKTLEPEDP TRHLMPLVWRVLQLRHDIKNHVLTDPEIIVEQILSIENDLEKVTDNMAPSWRYRQFKV TQHHDAVFEGYCHLYPSLHHANIWNCIRTTRILILETILSQISEDFSSFNPRLNSSRY IEEYNHARRKLKRLVYDICASVPQHLGLMDPVDGSIGSQDEDDTSARIATVEVRETPS PPTSPSSRSCDSTSPSEPRRHLAGLTILDVTKARDEDDEAERYMLLVSATSTVVWPLY LVGMSTACTEEMKHWVVGRLRMVTMETGIKQADALANLIADEGGVGGVDWIDPTLEQT MGGDGLLLSPDMLSHDGWGNGGGLYGLGLSPLQVPMEYMGDDFGVGVGGGDLVWV QC762_710750 MASTFSLLHTGTSMNTPSPASLWSHTLLETRVNRRGRGGRPSPV HYSELHVGVKIQRVMLLSSTLDFFRQGLPISELPRTFQDLIVLARAFGVRYMWIDALC IIQDSTEDWEHEGAAMWKVYANSLCTIAATASTSPEDGLFRKRDPVPFTRQIKAPSGH PCRDNHDYHVFDPGFAERCISSGPLQSRGWAFQERIMSPRVLHFADSQMLWECRKETQ CEAAPFYTTLLSQKSTKGSIQYQGMRNRLEVTADHDAESSASLSSSTPAVNHVGKEYD VFLDGWKSVVAIYSNCSLTYDEDKLPALAGLAKAFLRDKPARTYLAGMWRENLEGQLG WKVNTPGNRLSTPYRAPSWSCDQCY QC762_710760 MYSIGVSTLVICLSNLLGRVLLGIRQQGTQTFISPRHQRLAQAV MLIGLILSIVGQSMMSGEIGDAVSGTTGQQAEVVTVPNESQAGLGFVVLGTLVTMVEI RAVEPGEKRLLFGVGLASPFMIVRIVFSGLATFGTDPRLKSYGGVGSFVWYFLGMGVI MEMMVVLILEGAGLTLNRQPSAVPVGRWREGKFEGY QC762_710770 MPLIILTGLPTSGKSHRAAQLQTYLAERIASSNPPSPYRLHLIS DETQSVSRTVYDLDPSSLPAHVRSANASEKDARASIYAAVKRVLSPKDIVILDGMNYI KGWRYQLHCEAKNLATPSVILQIGCPVDKAREINEERLRTEGGLEGYSTGNWDNLVFR YEEPNPMTRWDSPLFTVIWDDDEEQARRVYEQIWDSVAGEGAKKKVIPNKSTVQRDKD PGGDYLYVLEKETQDIVKRILEKQNEAGEGGTVRLPKVKGGDDGGEELVLELPGRKLG MVQLLRYRRAFVGLNRGGIGLEGVGKLAAGRLRESFVGYLNDAFEKDG QC762_710775 MPTKTSQHAFAGSERWVVPRYSSKPGTLIRLGSVLTDPEDLESS LNLDSIPPIPPNLLRDATPEVRMSVQTELSKSDSTLAKAAPALEGILTLGGGVEASRS QGVSSSLNISGTVKATVFRADKSYMDVLLKDKNVISYAKRGLGKPMFVVVGVATAGRV EMKETRHATRKAGVSGKVGVEVIGEVEVGLERERSDKSCNEVRGEGGLDFAYRVREFG YSRVRGTVKDKGDWTGKVLFAGGKGPVVEKGGEVVPVFKEFKEGEVKLRATGSFDVAA KA QC762_710780 MASQPQVPPPGPPHQDEEKAAHRHHTGSSSSSSLGITPTAPAPV ICPSHTTPRRLTTKIDLHLVPFVIILYLMAFLDRVNIANARAFGLETDLGLSGTQFNT ALTIFFVPYILFEIPSNILLKKISPRIWLSGCCIGFGFITMMQGLVQNYAGILTTRFL LGLFECGMFPGCFYLLGMWYRREEAQKRFSLFFSSTSLAGAFGGLLASGLGSMDYMRG YRGWRWIFLIEGAATVVIGAIFLFTFPGFVEEAKWLRDDERDYIKARLHADQGHSAAE RSITLKDVGKVMSDYKVWLGGFMYFGIIVPAYSYAYFSPTIVQSYGYDKIQTQLHSVP PWAVAFVFAMVIATASDWLKHRFLFTVLPICISISGFAILLNVHDNLPVQYAALVLIC MGMYSAMPIQVCWFNMNLGGHHRRAVGSAWQIGFGNIGGIIATYSFVGGDFRKGYIIC VSFICLSALSCIIYAVSIMAENRKKANQTLPEGMTEQEKAELGDLNPDFKYML QC762_710800 MTSAALPAPHPPVASQQQQQQPSQHHHQHQHHHQHTPSIPAAPT QLSSAVSPPSKRDLKSWWKGFKLPSKHQEANVLLQNIVLEEEKRAAHSASANMSPPLP ERPKRPEVPKLDAIARILTWRLRRFARGSCPKPLCRAGPKLIVADQARPQGIFGVPLR QSITYANVAISLVDEDGKSYIYGYVPIVVAKCGIFLKERATEIEGIFRLSGSEKRIKE LKNIFDSPDRYGKGLVWDGYTVHDAANVLRRYLNDLPEPVVPLDLYEKFREPLRGATR PGAGEAEGPQFVETFDMDAAIRRYQQLITELPPLNRQLLLYILDLLAVFAAKSDQNRM NSQNLAAIFQPGMLSHPHHAMAPEEYRLNQLVIIFLIENQDHFLIGMQGTGLDEKTAQ QVQNPKPPHTPNRKSGVHRSASTASAGAESVRKNGSIRRNKSTSSRRSMASNGAPSPA SPAVATTPTGGLNRSNTVPSKKSPALQAGRFGNRGEAVVSPLTPVAPPTTAVIPPPAV LEEVATPEETEANTQLPPAPVPVPVPETTAPSGPRPEHLAVPLPNLAVPGQEKLLEPI SPVPEVTTPSKERKLPILFQRIATSDGEGGKPNKLRKKRMPGSANPSAHSSQASLSHS AAASPNTETPNPLETISSGSKLAIPGDAPAEPKSETASDTTPQAPAPPAPQPTVADAE TQHHTLLTPNDAENTLKSKKSPPTSLNSSFNESSDMDQVDELTAVTSEVTSPESGEKS QKKRWRLSRKKEDTGPSYPPLSSPRLLGTHSNAETSTTSIGSSGYKGRQSMTGDSLDR AIASGEVSSGEGGGNGGKDKISSWIKNKYREHKENVEQRRAKSPPGGERTVSIGSSLL SSSRGKSLDLKRAEEEGNNVAGSGGVPAPAPPPAVPPLPAAPQQGTNTEPQET QC762_0113860 MADSDLARPSPKTAAARDVVLWRLDSGFRTMDQSGQPCPSVPAA QLFFKPPSHSRPGRGFNSGRRPPGHLFLDGFARGNSISEGGMTTEIFTADPGI QC762_710805 MDSLTNSEQQILADRIQKRQMKQFMGIFGNLVDSCFTSCVDDFQ SKALSGRETGCLSRCVSKWMATNERMGERFAELNAAEMERQQRGGR QC762_710810 MSTDYAYDEEGYLWPFFVFTLTLIITLPLTYILVKRSRDPAASF PRIRTNFKHKHTDVVDSLRKKEKRKDRKLWLIIAVAVGWVVMGYMLVLIQNTETPTQK LWNPYDILGISESATEKQIKSAYRKLSLKFHPDKIKPDASKNETMDDLNARYVEITKA HQALTDEEVRNNYIQYGNPDGKQGYSINIALPKAIVSDGNGKYVVLLYSALFGILLPY LVGSWWYGTLRRSKEGVLMESANRLFREYKDNIDEGGVISALSTGQEYDELFRGDKAD SGLSKVESRILAEGELSPLAGGLSVKDKEKLEDLESGPQRKALALLWAYLGRVELDDP ILEKAKFAVAPIAEALNKSLTAISLAYMNTAPLLSSYYASQLLIQALPPKSSPLLQLP HFTPATVKAVDGDSKVHTNVQDFMDRPDAKRRSLVVGKGLLTDEQYREAVSVAKQLPF FRVAKAYFKVTGEKFILPSSLVTLVVKGRFVPPGSENVPEIEPLDLEDIDPAEDDLDA ILGRKAKKQIGKDEKGRPIYEEAPDEPISAPLAASPYFARDHSPRWHVFLTDSKQGRV AVPPFTFAQFDKPIFEADGKTPTFAMQTLKAQFQAPPQAGHYTFVMHVVCDSYVGFDT KMEVTLIVEEASKAAEMEQVVEDEISEPEEDSLAGIMHAAKGGAPPKPKKKVVKESDE EDSDEESGTDEESDDTSDTNTDTEEEDN QC762_710820 MKFFSKISKKPNSPDEEEPYAYEDLPPNYETVIQESQQQQQQQQ QQHPPSPTKAPTPKTSSSRSPTKKSHRSTSPPTRESKPPSSSSSSSRPSRSSARHATD PISSSSFRRKKIDPEEHPLNLPPEVRNKRFSELSGLSERKSMDVEKDPVNGGAAAAAS GQQKPTAPPHTNSFTVPLSNGTDASANGAATPADEAVPAPPPHRSSPSSPVQSEAEQA ESFKNDGNKFFKAGDYKHAIEFYTKAVVLQPNSATYLGNRAAAFMSACRWTEALQDCK KAVELDPHNIKILLRLARIYTSTGQPEEAIATFSRIQPAPSAKDTAPAKEMLRHIRAA QSALRDGTAASMVLHPLDMAEKLLGMGALKPRKWQLMRGEALLKMGDVNSLGEAQNIA MSLLRNNSRDPEALVLRGRALYATGENDKAVQHFRQALNCDPDFRDAIKWLRVVQKLD RMKEEGNSEYKAGRWQSAFDLYTKALEVDPANKGTNSKLYQNRALCRIKLKQYDEAIA DCEKAVSLDPSYLKARKTKANALGLAEKWEAAVREWKAIHELDPEDRTVAKEVRRAEL ELKKSLRKDYYKILGIEKTATEQEIKKAYRKLAIVHHPDKNPGDAQAEARFKDISEAY ENLSDPQKRERYDSGVDLQDPADMFGGGGGMHGGIDPEILFSMMGGGGPFGGGGGGGF PGGGFAFNGGGGQPRGGRGGFPHHFQYS QC762_710830 MAMPFKPSPLGYGSPRTSPFRRPVSPGSPNTLRQTTPTASPTKT AGPPSPVLDFKSSRASPGRELPTPQARLSPRPVASKTGPVGHGSAMSQLQPSQVRTLR EGFQILDRDSDGVVNREDVVDMLNQLGLPSNASEVTQFFPPSAPQTMTMALFMNSIAG SLAALSPSAELLSAFSAFDDDDSGQIDVAELRDALLNTAPEPGQQPLTSLDVEKVING FTSRRAFTKSKTGGGLGKRGEVFRYQDFVNSVVGNNTGSERASEDSEEA QC762_710840 MASAEQPLPYAPRTSSISAFVEAPPSDSHRRSSSSVPTSKFAAD MSQPPPRPARSPLPHGFNPADMDRLSDPNVKDKIISTGTNPSSQHSSLSVPLPKVRHD PSLRRARKPRSQYPRSSTESHVEYILVASFDIDRGPIMEHQYPVAITGDEHMLAELML PDQAHVRNQDWTVFFLHKDSSQEEDDAERQAKESRRARRRRRRDRAKGILHESDDEDD GGEEDLDNDDDDWDDDVSTDEEPEGGEGPPLVYVLNLVNTKQDKTVKRGAVVKAMAIC TRHPFLHIYKPLLLLALEEYFKSPVPETLSMLFNAVNEMDLSLMPKLSLLERHLLQAS DNKDLFVEKFERMIQARISEETAEDVADQPFDASRSPPKRPGIFRSGTKSYIETHTGA YAVPRDTHEFESKVMYKGIPIPIKVPVAVMPETVGDFSLIKLIQNFSDSHAKSPQTFA LHPHLTTNGPTTHPIIVLANALLTQKRVIFLGHNMPSGEVAEAVLAACALVSGGLLRG FTRHAFPYTDLTKIDDLLNVPGFIAGVTNPTFEHHPEWWDLLCDIPSGKMKISSKIES APITEGLVYFQQQNPAYANFINGASAGSGSAAAAGQQTGDLTGDAAFMADILRCIANR SGERVVRAKWREWVLKFTRIAAAFEETVFGASALYIGSDGDGDGGGGGGDGNLGQAAM GHGYVWADEATKAKELAGNVTRIEGWRNTRSYYSFIQDVAQSYTVRPLKGLDLAHMHD RLRTQKLTPQQSKEIFEALYNYVWSYDEICLLLSVAPESHAGLFYIALGLFHKDRDVR VKTAELLDRIAEHEAGQHWWRSLSRFEKLAYVRIKKEVEQEGRGGREGGVVSPVDSKR VSSGRRVI QC762_710850 MSLISTLARRQLTRQVPQLTHTTTRSFTTTVKMALEIKAEITTF NGKLYKLTHPSTTTSTPMSLNLFIPPSALSKTTPAPVLIYLSGLTCSPENCTEKGFFQ HRASQLGLALVYPDTSPRGLSIPGQSDSWDFGEAASFYLDATADPWKENYKMETYITT ELPSVLFSSPHLGPYLDKERVSITGHSMGGHGALTLYLKHQDKYKSVSAFAPIANPTK CPWGEKAFKGYLAGGLEEGKKHDAVELLRSGIWKGGDLKALVDVGTGDNFYKNGQLLP ENLEAVVKEMGLEGLKVRYHEGYDHSYYFMASFSGEHVEHAARHLGLL QC762_710860 MSTKPRLPNSTPYHPSTLSYPATNHAHTTAITANMSPLPKRTIF LLGLFLMTTQANPLDAHPKPRRSIGGVLICTNPNATGVCTHETYQLNKCYNLPDALRN NAATFAPDPGNFFCYPYLKVCGGICTSPEGCTMGPVDSDYPHRYNLTAVSWDRFITSF DCLLK QC762_710870 MVKMEKDTVKKPPGPRIEKPAEKDGRVHAQVAAAQVGDILSVLL AFRFINSLFVKTFFQPDEYFQALEPAWRMAFGEGSGAWMTWEWEYQLRSSLHPAVFGA AYKLAETVMSAMHLFPPFIASMLVVLPGALQSVFAALGDFYMWKLAMDVYGRESYAPW AALWMTVLNPWQWYCSTRTFSNSLETTLTIAALSYWPWELLADAKETKEERLKQKGRL NSLRTSLFLAAIAVLLRPTNLFIWLGIIALTLTRLTLDGQSPITHTTFPAFLRETVLC GSVALAISVVSDRLYFGFWTFPPYKWLYFNMSQSLAVFYGRMPWHYYLSQGIPLLTTT FLPFALLGIYKATLTSAKPTTLLSARPTSLSTTSSNTLKTLSFALIGMITILSLISHK EVRFIYPLLPILHILAAPYVTSFFTTPPTTPSKPLVLRHKIFLANILSINLLLAGYLS LFHQPAPISVLSFLRSEYERLHPDSLSLSAPPQEQKELFALFLTPCHTTPWRSHLVWP GLRARALTCEPPLHTAPGTPERENYLDEADRFYAEDAETGKYGGVFLRREMWPQFFDG GDGRKGEEIPRFVVGFEGIEGVLGEFFGGEGEKMGVKMKKVWEGWNGLVNEDWRRGGR LVVWDTGVYPDGGGEVVDGGSKDEL QC762_710880 MAPHASSSSSSSSTTTTKPTDPYHASSTTTAITTENTYAAHNYS PLPIVFARASGCHVWDPEGRHYLDFLSAYSAVNQGHCHPALVKALTDQAARLTLSSRA FHNDVFPQWAKKVRDVFGYEMVLPMNTGAEAVETAIKLARKWAYKVKGVEQGKARVWS VDGNFHGRTMVAVSLSVDPESRDNHGPYVPLIGARHPSTGKAIRYGSVEDVEEILGEF GKETAAFIIEPIQGEAGVVVPEDGYLKRVQELCREYNVLLICDEIQTGIARTGRMLCS EWDGIKPDVVTLGKAISGGMYPVSCVLSSKEIMGVIEPGTHGSTYGGNPLGCAVSIKA LELVEEEGLVDKAQKLGEIFRSEIRGFNSKIVELVRGRGLLNAVVIDESETNGRTAWD LCMLLKEKGVLAKPTHGNIIRFAPPLVITEDELRSALKTIKEALEELPNATKHEAH QC762_710890 MADRQLSSASSSRRQKQPTDGAVGQFVIDKEIGKGSFAQVYSGR HKVTGALVAIKSVELSRLNKKLKENLYGEIKILKTLRHPHIVALHDCVESATHINLIM EYCELGDLSLFIKKREKLITHSATRDIARRYPIEHNQGLHEVITRHFLKQLASALKFL REGNFVHRDVKPQNLLLLPSPLFRETHQSAKQILSASYDSLMPAAGLPSLPMLKLADF GFARVLPSTSLAETLCGSPLYMAPEILRYERYDAKADLWSVGTVLYEMATGRPPFRAG NHVELLRKIEAAEDQVKFPRESVVSPELKSLVRALLKRNPVERISFADFFNHTVITGP IPNLHEDDLPKPEPQQVKETVRPEGSLSLSRRDSQRGKAATGVLSSPRPRPSSPLATP IEKPNPLEQVPGPRAGLSYSPAGDGLGITRRPAVQPSTSAPARPVMYVDRSRANSTAS QRPPRESIVPDPSQTLASQPRPKSRPTKPLTEEEKAAQDVALERDYIFIDKKGVEVNA LADQISMYPQSVPKSGQIVRRATQQGHPTSTTGAVSARNNHLRQGSYDKPLSSSPGST TSAISKAIQDASLRLFGFNVAPHLLSKGQSPPQIYSPFPAYPTPSAPAGLISDGKHTT PVDEDSRVAQCIEDWATRSDVVYGFAEVKYKQLVPLAPSMDYGLGGVPADKMEEEDGL TLEATVSLSEEALVLYVKALSLLAKSMDIASLWWTRKSRSDNSNSIHSAARDSVNSQA LALKINRVVQWIRSRFNEVLEKAEIVRLKLIEAQKQLPEDHPSHPSNHQTEVGSVTGA EGVILSPGISAEKLMYDRAVEMSRTAAINEIASEDLPGCEIFYSTAIRMLEAVLDSDD DHLPKRRISTSSRDEKAEAAVREDTSEMSSEDKQSIQKMIQMVKARLANLQKKMLAIS RAQQQQQQDIISVRRRSGELAPRSVPV QC762_710900 MAAPTDKTYFPPLEDCLKGRAPLLSWRLVASALEDSNSDRLTST AVSDFLRDGFVHQLLKEPTKPFSPPTNQSKLDFQTRTGAINVVPSPKDPYDLKQIKED ATWLSKNANVNEVAALRIVVVEYQSRAHSHLTGPFSTQDAANIREAAGVSDAQASSFL SSLNVVAVVDAEANWAEFESETKRRQRLITTFLTERRSFFASADAFTTFLLHSRPGNR TPAADELRQDIMKTAFNWDDRDFQVADPSLLKAIAPTYFAVLPELVEEVEKGPRELEK TVLTSQIELDWSRTSITEVIHAMSVAFQIMDLEGPQFHSPELVAQWFTLMDNYRFLEP IMPILEQHDMLAELVLPVRSLMTVISLKFLNIDRALLYLSPPDDVDRSEVLVDGEEPY LNSAELLKQIHDILQAATSVGVPMAVPLAFAWSLIIHQMHVGYQDRAERRDLINNQRA RGTFESEAIAPPTPPEGRQRRNSGGSLISIEQSPYDAFLEVANLERDMQVAETMAMVA TSRGHVYNIISEMISCLGYGETAAFRPMIGARSRVVFEDLLKRTFHVVGYQTEPVACL LYLLSGSKSYWDISSDVPNSAALDIYTQMLTDPLLRTQYTEQSHNRYPYEFIPFTEIC RSLLAALVSDKQSSEQIVGWLYRTPSLTIEWNPVWDRSYHLVNEDENTNSFCVDEDID LFSARSFRKVAPQEKFTIPSGTYGRFVTDVGRVAKLEFEHSALALLGKRLEVYLSDGA WDSGLGQLTTDDLAEAISLLAVVLRTEVLRSTGRSPKPNTESAIKVLQETSSSLPRNN DIIKVVCGILNGLIEEDPTQLDAGKFKVMTASLQFLHALLPVVPGRVWSYMSNCGLIS SEGRSGRLSRITGNLDMYAERVDFLQSAVKLFSSLVENAMSSAAQRKTAASPNARVRI DENPWAGMSEKILSQVCLAIAQTAVDIFENSATWRFESEVGESIVITEVVNIMTSLLF YTYSMGSPESPKNLTSCLLEASRYIIEGFLAPASSSLRFQPLLTSLLAAFKITDSTLY PYRSRIVSERLTGVVSFATIILRVASYLDHPTAAIQTQLFKCAALVARLPAIKHSFKM PVIALLSALVENAGKGSGEPPSLLGYLGPQVSHSFIQIASQLDKPFDRIPEVVRTWNF FSIILRNRQQWMANCLLTGKTPRQALKGDAKMAEISPDSVLSTALEKLRSIATQPSQE ALAILDFFTSAQNYWAWTIFAMQKDKSFLGSLRSYVKNLKAPELVFKNDPAEAGYQAR IAAYIAETFAMQLYHLRQMRQAQDFATKIVEDLDYFLRHGVQVADYNVSLHSNFAKNF SQRYPGLELDDFKRTVLVPKNLGAQYYYGMDFAEKMLGYDAGWAGAGSKKNGFRTEME KANLNLSLVEAEVALFHAWEYLILELSSCLLPKSGIISRQMIQVAEQCLEANQRPSAP DHIFVRLAESRANLTLTLLKRLADCSQLPKDFTQLLTLVATTINVVDNPFATENITYF RTLLRILFVTLRGTKLSSNAPAPAKAGPETSVAATQLVITILDRVVAQSFRALAGLVH EPGSPTEPDDMALVTGILQACLAVPGLDQCQGQIITIMSNHNIFQVATSLFSWSDKLV GRNGDPVYGEISLLFLLELSSLPQIAEQLAVNGILGHITSANIAGFLRRKNITPFTES VNKMRCYSIWSRALLPMLINILGALGPTIAPEVAFVLNQFPNLLESSIERLAAPGMDR TTPTMSFGSEDSTRTHPFYITLATMNEIHSLSLLAKVLGALRENNTRDIPEVTGWDSG KVLEHVEFWLQSRKVLRERLLPLTEREREWWGMKTREGAGGGDCQTVLEEKVVGVLEG VRDVLMAGEEGEE QC762_710910 MPAIIDHSPHHPDPSPLVPTASNLILIDNYDSFTWNVYQYLVLE GAKVTVFRNDQITLEELIAKKPTQLVISPGPGHPGTDSGISRDAIKHFAGKVPIFGVC MGQQCMIDLYGGEVSFAGEILHGKTSPLCHDGKGVYAGLDQDLPVTRYHSLAGTHVTL PKCLQVTSWIANQDGSKGVIMGVRHTEYTIEGVQFHPESILSADGRLMLKNFLYMQGG TWAENERLQKASQESKIVLKKATPAKNNILQKIYAHRKEAVAAQKQIPSQRPSDLQAA YNLNLAPPQISLVDRLRQSPFDVALAAEIKRGSPSKGIFALDIDAPTQARRYALAGAS VISVLTEPEWFKGSIEDLRAVRQVLNGMPNRPAVLRKEFIFEEYQILEARLAGADTVL LIVKMLETDLLERLYEYSLSLGMEPLVEVQNAEEMTIAIKLGAKVIGVNNRNLENFEV DLGTTGRLKEMVPKDTFLLALSGINSHQDILDCKRDGINGILVGEAIMRAPDASKFIR KLCAGPEAAAPQSVTNPLLVKICGTRSAEAATEAIKAGADLVGMILVPGTKRCVSDET ALAISKAVHDAQATAGEAVKLPKTATDFFSVSAELLRKHRPLLVGVFMNQPLEEVLEK QRLYNLDIVQLHGDEPLEWASLISVPVIRKFKPGQVGVGVRGYHAVSLLDSGAGTGKL LDVESVKAELEKDAELQVLLAGGLEPGNVTESVKALGALGGQVIGVDVSSGVEEDGKQ SLEKIRAFVKAAKAIR QC762_710915 MPRGIRFKVKDLTFKEKGMFAKFTIAVCGSFKNNPNTHWNDTNL HRWITLRGGRYHKGATITRDVTHFVTDEDELRSRSPRAVEALRNKRIQIVPLEWLEFS MINRKVLPAVKGGEYDLREGVRREGERVRRERRVEMGRVLGERAVNTNLYRVYTDGTF YRYEVELFRESNAVQGPTPETTQVKLQLPALSKGVSDLDSEVETKAEMGSENQTPTEK EPGFSLHHHVVIFEDGPELDAQPKYRTPMDLQLAYRQLPTLTTVTPESQDKVDPEDVE MDIEAEPETPTKTARVDRGEKYTLTLYESLAQPPLYFFCAKYSKSSTDTFPKYYRPSE TPQLFWTEYTHFIEFFHKKTGVEWRKRLLFCGEGKSGAGSKRKGKGKEEDDREAGEGE GVEKGWFTYSPPGGGKPAGWVPEEYIPKEKEGEVERSGGTDGRE QC762_710920 MVRFIPGVTAKSPVTNMNGSDPLTDLTLLLNRLQRTILHADAER EARLKESEFEREKVLRNITYARSLLTKVEQDTLGIKIHARRQDLQRDLVRKRELLEQL AERLADLAEVGSRRDNEEENEDDTSEGEDILADIIVTPSASESQDSISRPTDEEELDE DDDDDGVLGTPQFQGEPRLPRLPPPPVHTPSQENLQAGIAAAQSTTETKAELRPRKGR DDGPTKEDKPSAISSSSALFGDRNNKSAPTTALSAVTTTEAILDHQRAEQDALSESIL QLASQLKASSQAFSMSLEEDKEVVEKAGEGMNKTGEGMDAVTRRMTTLQRMTEGEGWW GRMRLYAIVYGLMVVLVLVVFVMPKLRF QC762_710930 MASPHRGNETEKTHPLIEAAKANDFEKVKELLDQAEDVNVKDEA YYGQTAISWAAELGLLEVFKLLYEHGARLDILDVDGNSPVFWAMNKEYVNVIEYLLAN IKEEDISHKYCAGRSMLFVATEYGSAEDVKRFVSSDSNVRDDDGLTPLMFAALYSRNA VSNAAALLEAGADPLLEDDEGRTAIYYACQRGKAELAKLLLDRVDGGFDVDGRGPEAR PLIEAAKQGDVTMIQLLLERGPQLEFPDEQGKTPLYWAAKNGHIENICALIDAGADPY RLCRPPVPNGDWNLTAFAIAATNCEEKWHDFIHQDLKPDLTIRNDERGATAFHLAAYF GVEPAALQRMFAAGCLVDTPDMDGRTPLLLASERGHSSIVAILLEHGADTEVTDSRFN MTALMLAAANGRDQVVEQLIPVSKVDARDGEMATALHFAARSCSASTVEALIKAGPSL IDAPNSYGGSPLFWAANHDRPEAVQALIRAGADIYMVDVNGRPAISFCIHNLNMIKAF VDEEREQPAPGNKETPRVRAIELALRYACDEEEYSGSRSPIFPLDSTDDEVYLKAVDG NGRNLVSWVAQYGHSLEMEKLCKKPNLDFRTADDQGRTPLHWVAQNKITSATETVMMV RSLLDLGVSRDSRDKAGRTPLSYAAETGLLEVMQLLVRKGAEEDSQDNNKRTVLSWAA AGGHEDCVRYLLSVKSVRPDSKDVDGRTPLSWAAGMGRLEVVKMLLARRDWKGPGEDS HNGRSKKGASATNEAAVDINSRDNKSQTPLWYAADNQHLPVFETLLLYGAKSGIKDNK GRLLKDYLDERIQKDSVSETRTLRTMFGKLNPSGFLWREPSTMTTRVDSEFSATLLYY SKDNLEIHTPTVASILQGKRPPSREDMACVWTHLPANNMRWVEVLMAKHYEAFGEGWR ANVVLKPRLWEQHQHKSQDGQYHARFMRPACRPFAFPEEDPTEQGLVLFMPYLHWEQE EEQRKLKDVLVKKSEAKKKIFPTEDAAKEYRRNLVRTALDDRSLCGTEKLYWAYLDEE HPLHGRRTLDQFYYHTLADTEKRDQDQTSLRYFNERRQTLHSKESEDLKPTITMVDQL WMWVLPKCGKSPRTIITAFPQRSNRMTTKTSKIMTSLVSNIFDRFREQSAKGDASVDE LAKIIVAECARIYFDPMSNRNELVQFVEIYRTSIGEITEDETNRFLSFQNNIPTADEE QPDASSDNKAGESKALSSHAEFDEVPKKLNAMIDIKADIEDLRKIKDIRDELHIISSI FHIQKNIVETLDHILEDFEEQRNTVILEQERNLGPPPPFRRRDDSRAFSRRTVSPPFR RRSSSPPFRRGNPYPPSRGFRSPSRRRTPDIIYNNAGIEQDSDRQKYHSPMLEVVTRN IAEVVRLEKFAERAIQAIEQLLDLKHKQANLQLTRGIYKINDENDRQGKTIMYFTVAT IIFLPLSFMASFLTIEVEEFPRGDTGNLSLKFVLIIIFSISVGLIIPSVFLAFNLDKR TRDQRWQNLLNSFKEIMGLTVRSTSDGLKAGKSWVKRRGDRAVKTKQRDPEIGGGVTL VGVDNSQFRSGVENRDGDVGQKGVTVTTVIGSGGLHKRQEAKATSHVGSSTTDGIK QC762_710940 MKSAVPRALRNARRIFPRASSTRSSSLSSLPRLGNNRLSGTTTL TSQHRNFSATTGLRDIDEDKDRKWSTPLAKQLAAAIELTGPIPLASFMRMCLTSDIGG YYTGAIEKDRDQFGLKGDFVTSPEISQVFGELIGVWFLTEWLAQGRQSRGVELIEVGP GRGTLMDDVLRTIQSFPAMANSIDAIYMVEASPELRMAQKNLLCGEDAPMTESKVGYH SVCKYNALPIVWTETIKSIPTAPQKMPFIVAHEFFDALPIHAFELVSIPASKSEAPSS TDNSSPSSKTTTPTLQWREMLVSPTPPGSTHESLKTPATQSRETPPPDFQLTRATSST RHSLYLPESSPRFRALKSTVGPGALLEVCPDASLYASDFAARIGGSPQHPKPKPSGAA LILDYGPGDGTIPTNSLRGIRKHRRVSPFAEPGLTDLSVDVDFAGIAESATKASEGVE VHGPVAQGDFLELMGIRERAEVLAKRAVKEEKGRAVEKAWRRLVDRGPGGMGKVYQAL AILPENGGRRRPVGFGGDVVQ QC762_710950 MSRAGSKRDKFAELRALRESGKKKFDTYEVEEVEDLYEEVDENQ YKKIVRQRLNEDDFVVDDNGEGYADDGREEWDRIPAACDSESEDGVEERKERKSKKQR DEEKAKRDANDRNITEYFTKGATKRQPKPKVVKTEDDDKFLADLLGEVDANVPAPVVR SSKKRDRSAERRTTRALSPAREARQPAPKKKKVIDDRLSSPSPDYDMGEDNFLPPAED EPAAAPDVMMSDPPLPSSPAAKVAQRRVQPKAVIEEEEEDEDMMEVAYTGAVTAASVN ISASRPVKKIIKAEPLPSAAITSSPMKAAEPAIDASSWNGLNQKLNVVGSSQAEARGI GKIDYKDAIEEDGSLNLFWTDYTEVNGSLCLFGKVLNKKTNHHVSCFVKIDNILRKLY FLPRKTRVQGKVDTGEEVEMMDVYNEVDAIMTKKNVGMYKIKACTRKYAFELPDVPQE GQYLKLLYPYTKAPIDMNTTGETFSHVFGTNTALFEQFVLWKNIKGPCWLKIEDADFG ALKNSSHCRLEVLVDHPNMVAPFKDSENVEAPPLTLMSIALRTIFNAKANKQEILAIS ARIYEDVSLSDTTPADKLPCRTFTVVRPQGTAFPLGFETMAKERKRGLIKLVKEESAM LSFFLAQVDVVDPDVIMGHQLEGVDYSILLNRLHEKKTHQWSRLGRLRRSQWPASMGK VGGNVFAERQIMSGRLLCDLANDAGKSVMMKCQSWSLTEMCNLYLPTDVPRRDLDNEA ALKSLAVTKDGLMDYISHAEIDTYYVAALALRTQILPLTKVLTNLAGNSWARTLTGTR AERNEYILLHEFHRNKYICPDKEPFKGRARPEDEEDGGDAGKKKDKYKGGLVFEPEKG LYDKFVLVMDFNSLYPSIIQEYNICFTTVDRTFLSEEDGVPQVPEKQDLGVLPRLIAT LVDRRRQVKSLMKDKTATPEQLATWDIKQLALKLTANSMYGCLGYTKSRFYARPLAVL TTFKGREVLRSTKDLAESMQLQVIYGDTDSVMINANVDNVADALRVGREFKAAVNGSY KKLEIDIDNIFRRILLQAKKKYAAVNLVETDGKYVEKMEIKGLDMKRREYCPLSREIS KKLLDEILSGNDIEDSVQKIHDYLREISSKMREGKVQIPKYIILTQLGKSPTEYGQNG DTMPQIQVALREMARGKTMRKGDVVSYIITGDVKQSSEHFAKRAYTPQDVLKAGSELS PDVEWYIGKQIFPPVERLCANITGTSTSQLAENLGLDPRRFANNNSNSGSGSAGGQDL EIHPLESQIPDQVRFANCKRLLLRCRACKGTAAFEGLLGSVEMVTPKGLACPSCSATL STLSIVAQVEAQVREQTSRYYEGWLVCGDADCGNRTRQMSVYGDRCLGPKGLGRDCMG RMRWEFGERDMYNQLVYFASLFDVDKAKEKATSRATGGETGEVVTGETRERVMVMAEH NRVRFGTVKGVVDRYLDKCGRQWVAMDALFGKLGFVAN QC762_710960 MFVCRSCIINMGGMRPPILLESRLLRPLQLAIRNPSSARRKYSI HKSLAEAYGVNRRDLEKGLEQVKGWIGVDVPAEMVLDQNEIRAALGEDGRRNGPYVRE KEKQALRRPKKLKMREAMRSNAEWETSSEPPQSSVLTTEDIEEALKQAQESEEPKVAS TPEESFRNSYPSNKELTDTGSEELPSQQLLKVKKVAKKHLQYLKDPLHIGNHVKKALA AGNWDEALMMTRMASVDTKVEVSWNHLIDYQLDKGRLQAAIKTFNEMKKRGQRPNART YTVLFRGCAASAHPKTAVAEATKIYRSMLSKHQVGGMTLEPTTIHMNAVLDVCGRAND LDSMFQILETANNGLRSPDSITFTIVLNALRFEVKSHTYKFSEFSDAQIRRNVEQSIQ KARVVWEDVVARWRSGRLIMDEQLVLAMSQVLLPGDKKEKGSIFDLLKQTMLLPVPDS ISRKLRRATQAREQRAAEQAAREQEEEGLEEEEVDEVGKAPPPPALRAGLARTGPAAT IQYANPGRQTLTLVMEVLSDLKQTAIAPKYWEYMTEMLEIEPDHINYNTYLKCLRVGH ASGEVAKIIASYPPSMLNRVTFRLGMNSCVNDRNNTNVFDNGTKIIDIMLKELKKPDL LTMRLYLHLARIAKTKFRKQDIETRYQYGEQISNTILRLFPVLNTHARTLAWSEEPTK SPLEALEANKEEVKEAMATARHMISAIDVACHERLVRPAVAKQLMGKRRSLSIMIEGF LERLYPERRAGRQNPSPDLKDEDWVKNASTDEITEDVKENWRWE QC762_710970 MAPTKDTVKVASDFEKIIQDGRARKKNEALAAKIFSTGRRSSTS GSNGSAPKVAAPGGTLASRAGVKKQPPKGPRHSTGNINGEWTHDLHTQPPKGPKAMNG NTSSLATRITNPNAPPSHAPTGPRAKRRAAQIANGLERSGFQVQPPSGPKPPSGPKQK QKPIPTGPSANNNAFNKGLSIRGLAGPYVVMAQNFAPGTTAADIESAMTPVGGIITSC RILKQSPIVIAEIIFESKEGADNAIAMFDKQTADGRVLSVYHKVPNVRAPHTSSQNNR NSGYDEDDSVVDGRWGFEEPMQVDSNGSSSGGNGKPPPTGPSLYSDSLVSKANTNRWG RGFRR QC762_710980 MEQWQSYTEPTSAGGSRRYNGSSQMSPREYSSNGQPAAQPPAGF KYDQYQGGLNPQQQQQQQQQQQQVQQASSSSSPMTSPQIRDGNGDVAMQDAHDGYSGV NSSVKYPLRPHHSHHLSGGRAANLQQEPSTAAQRYSPMEVLSPTSPYKSTGGSQYAQP QQRQSPTRSSDYAPQNPYYNSSRQTASQLPPLNPYSSIPDNYSPSNNISAMDGSYMDP KSPKRVPQQAMQLHDKGPVPEFRKIRGPSDLKPKISAQPPFRRANPEGGFISPLQALT AHLPATYQICNPSFKYSSARNPRRVLTKPSKGVKNDGFDNEDSDYILYVNDILGSEEA GHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVIKNRTAYFNQSMMEVSVLDLLN TKLDKNDDHHLLRLKDTFIHRQHLCLVFELLSVNLYELIKQNQFRGLSTTLVRVFAQQ LLNGLTLLNKARLIHCDLKPENILLKNLESPIIKIIDFGSACDERQTVYTYIQSRFYR SPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVSRIVEMLGNPPNWMIE VGKQAGDFFEKRQDDFGRRTYHLKSMEQYSREHNTKEQPSKKYFQANTLPEIIKSYPM PRKNMKQSEIDREMNNRIAFIDFVRGLLTINPLERWSPQQAKLHPFITQQKYTGQFVP PMNLKSSALNRSPAPGTTQQIQAEALSKQRAQQAQAQAQASSAAQGAYGSMGAPQYQQ PVHPQQPPLYSNNTMYSPSGSHTGAPPAYASQPSGYGQMGVQQPPAQMPPANYAAGGI PQANMYSQQNRQQQQPRPRASTMEQQQSGIPAAIQRVASHLDPSQPIRLQPSPAYYPP PPDGLVGMDQGGPSTRTGRRGSRAQQPGRNFIRNLEERTLEEGFMGNNQSPWH QC762_710990 MADNGSASASGQLPPPPQANAGAPGYENGQGNGNPAHMPPPPLH IPQNTNPIPTAITSPLGGGDKSGIISPTSGGPFARRAAPEPNKRALYVGGLDPRVTED VLRQIFETTGHVQNVKIIPDKNAKGYNYGFVEYDDPGSAERAMQTLNGRRVHQAEIRV NWAYQSNNTNKEDTSNHFHIFVGDLSNEVNDEVLLQAFSAFGSVSEARVMWDMKTGRS RGYGFVAFRDRPEAEKALSSMDGEWLGSRAIRCNWANQKGQPSIAQQQAMQQMGMTPT TPYGHHHFPTHGVHSYDMIVNQTPAWQTTCYVGNLTPYTTQNDLVPLFQNFGFVVESR FQADRGFAFIKMDTHENAAMAICQLNGYNVNGRPLKCSWGKDKTPQAQQAQFDPNQAY SPQSAQTPAYPGTPSTYFNQYGGNFGPGQQAAYTGAQAQSPAAYGGGPMGYSGPPSAG GYGRGQGPNPNNAQQWNQGQAPPQNFGNNGYSGYQG QC762_711000 MAAPSASQVRAPKVPVLEPLEKGLNEILVLTGKAFRAAGKDPKK GTPQETAAAINAQVPAVIGRFNNALDDLECDLLRAKAVLLRDLNQLKASRKPPPPPKQ KPVAPSAASIPPAPMESPVMAKKAQVFKGNMPGSSRPASSPVAVPVHPTKQENKPVAP IPNMGGIDLSSPELKHSPSPKTVPRNKPVKNSPQLASVAAAAVAAGRPASAPPKKESK ILPPQIPRPGTAAPQFPSGPPTMQAKAASVPARNMSASPAMANSTPVAGAAPQQSQGL PQANSDNFFTDMTFTVAPSAEQPGQHQQPQQIDLTKLDGSNNFGVGSGSSTMDVDNEI DNLFEDISMNMDYNLEGGDSAGDNSNFNDMYFDLEASSGAATSGNNNNNGGGGNNLGL DDFGFPQ QC762_711010 MKVLSLLLTLVTGISALPNPLPQDPAPTPGVKTPPPLPLSTSSR WILDANNKRVKLRCINWAGHLETNIPEGLHRQPLDYITTWIATQNFNCVRLTFSSDLT FSGPTTPVHTSFTTVSQQQSKPALINDIYPLIITKNPWITPNTTTLDVFAAVVDTLWS KGIITILDNHVSKASWCCNLTDGNGWWDTASGYNPFNSRFFSTSSWLSSLAFMATWAK SHPGVVGLGLRNELRAFLLQDLNGRRDWYANIQRAGNLVHQANKDLLILVGGAQSSTD LVHLKTRMLDTSGWEGKNVWEMHAYSFTVTFPDPFKNCDLVKAGYGFWSGFVLEQDRP YTGPLIMSEFGVGMQGSEVDSQYGGLNEQDHRYLDCLVGYLEGNDAEWAVWAIQGGYY IREGTVDYDETWGLMDREWKGWRNERFRQKIQGLYAVTQGP QC762_711020 MAAEVQTQLATMSLGAKPEEARREYVELPPTSIAIPPQPTARLM SRLADTYSPVNQNGSFEFDRVIKSGYVQKRARKTKTWRTCYIVLRPSTLSIYKSDKEE KLRHKIHLADLTAVAMLKDPKNKRPNVFGLFSPSKNYHFQASSQQDAQEWVDLIRQGA RIEEEEEEMFLASPAVRRPSFFNTSPTHEVDPQNTASAMDRLASSSPEPLEPPPRTFA RPSPRRPSHLESSGMSGTELASHSDFSDYDVQRVHGASFESLGVQSPPTASSVPSKAP QGGGAPGAQAVPSASQASGINLEQDPDRIIWQGWMSFLRSKGGVRQWKKSWAVLRPRN FKLYKDDSESSVLFIAYLSNIVNVVDIDPMSRTKKHCLQIITDEKSYKFCATDEEALV RCLGAFKSLLAKRRELEAKVAAPAPAPPAA QC762_711030 MAASKSHPRSQQVAEQVQEELNGTPYKLTSLEPLSGGLANFLFR GRLTNPLPDSSHNVAIKHGESFIAGMPESDWVIPTTRCQVEEECLKAVQSMPIPEAPC VTRTPKLYYYNSDTNTQVQEYLPDAISLKDYALKHFSAARDVSRKPACLDIGKSLGIW LRSFHHWANQSEQSGLRGALKLNANLQELRHMTNYQTLVSDVDTCPEILSDAKEVFEK VEKMAAEERGSGKLEVIHGDFWTGNTLLADRPLEDGKRPNIFIVDWEMCQLNVHPLDL GQMMAELYELFLFKGIEEGKWIIEGFVSGYGNIDDKFAYRIAIQLGTHLIVWGSRAQG WGTEEQVAEVMAKGKEIIVKAWHEDRTWFEAGDLACLFSGRS QC762_711040 MAPRSSGILQAPRVVLVVVFPMLNFILYLILSLGCSSTSLSDIS PVIARTDSSINIGGQDIVVDLRVGFYGTPSPILSVPSTLMLTSVIGICLGPPPLFCTS SSSILNSKRESDLARSIPLSKGGGNFALAGLALSLQSSFIVLSGFPLLLSLIASILAN MIQIYFSSQGMIELHAKAALWARSLDWAAAAGAVMGFSAYQSIVAAAPRLIRVLMSGA MVDISMGGTASSLFAGVVTLTILGAVINTLLTGGDVGSDAFVAGRTMGKQKTTAGGQV GDRERMSRMFMRRPAYEVFP QC762_711050 MTTNSTAGSSPQLCTKCRTQEATHDQRSHAVCVDCFTKFINTKS VKQLGILGKETKPPIIPNPSGSGPPQIGTRRYLLGLSLGVSSTCLLQLLHENCQFQLS KGRPAPFELTVVHIYNDTFTTPSTADSLLLPHKTRYPTFTFLSHPLSSSLTLPSIDWP SFLSALSLPPSPVPTLPTLLSSLPPSQASQSDIISLLTRHILLSLTLSTSSQALLLGH STTAIAELTLSQTAKGRGFSLPWTINDGLLSLPNLSQPVLVFHPLRDILRKELVEFTK LTSPPLTGLIPDVEKSNNPEAHQVLNHKDLSIEEVMTRYFADVERSYPSVVANVARTS ARLVRIFDEDRKGCGLCGMPMDGEGDERWRGELGEGDVGDEEGETEEGETRRREGRRW LCYGCQRSALG QC762_711060 MSTTAGAFIAGGIAACGAVTATHPFETVKIRMQLQGELQTKGHQ PHHYRGPLHGVSVIVRNEGIRGIYRGIGCAYIYQVLLNGCRLGFYEPMRAGLAKLILN DAAKQNLGINMFCGAASGIMGAAAGSPFFLVKTRLQSFSPFLPVGTQHRYRNALDGLS QIFRAEGVRGLYRGVGAAMIRTGFGSSVQLPTYFFAKRRLQKHLGMEEGAPLHLASST ASGFVVCVVMHPPDTIMSRLYNQNGNLYKGVFDCLAKTIKTEGFFAIYKGVFPHLARI LPHTILTLSLAEQTNKLMKKLEERILSPPETQSI QC762_711070 MAPVPMDLDDASPALRGLGGVNGPPTAPVTEVIANFRPTKLFSR EDIEDNKSNPYILSIDFDDPGELCMTSESDRTIQIYNVKEGRHDKMLISKKYGVKLAK FTHTSSSVIYASTRENDAIRYLATHDSSFIRYFDGHEGAVTCLAMHPGTDNFISCSLD NTVRLWNTQTKNWTGTLYLNTPYLSAWDPSGQVFAVASPSSGSILLYDYRNYHKAPFS TFDLVKARGPTDPEMAFRGWTKLEFSNDGKHILLGSRGNGHFLLDSFDGSLKAFLRKP NGGTRRLAAGENDGGHVESSGECCFTPDGRYVLSGGKSDLLVWDTLMTPDSKQVLEPA HILEEKREAAVVAYNPRYNMIATADQELLFWLPDPPNS QC762_711080 MPYYSKSEDWLHHSSLLLAARPTTTRISTNYHLSPARRTPKSAT PERVVEDEKRGKRGHLVITTFDPKSGVSLKYKTSKAQEVGRLVQMLGTLAGRAAGREE ERGVKKEEGDVEMGEAAADGGAGEGQGSGIAMPVVAAAEKQVPQVGGAGGGGGKKKKK GKR QC762_711090 MAAEITPSKQAASAIDAFKMESPVKKLNFAPSDKENKPFDADLT TLEAEMDAKFENKKEEIKTVAAPEPKQELLDEPLLTENPQRFVLFPIKYHEVWQMYKK AEASFWTAEEIDLSKDLHDWSNKLNDDEKFFISHILAFFAASDGIVNENLVERFSAEV QIPEARCFYGFQIMMENIHSETYSLLIDTYIKEPSQRTYLFNAIDTIPCIRKKADWAL RWISDKDASFAQRLVAFAAVEGIFFSGAFASIFWLKKRGLMPGLTFSNELISRDEGLH TDFACLLFSHLNNRPSKQLVEDIIRDAVTIEQEFLTEALPCALLGMNSNLMKQYIEFV ADRLLVALGNDKIYRSTNPFDFMENISLGGKTNFFEKRVGEYQKAGVMNSTKKFEESA EESKNENGGDFAFDEDF QC762_711100 MNVAIKILEKKALKKLGKDKTNTNSENPYLEKVPVYKNGKVAKY KTQERPIPQGLSKNDAKILRKIRRRAYRWDMGFSCCCIPIRFGWSAIIGLIPVIGDFA DFLMALALIKKASKVDGGLPKRLYGMMFTNIMLDLAIGFIPLIGDIADVFYRANTKNA WLLDAFLTEKAKALQAGVVQDPETGSIVEMPDELAGAEGDRDVETGVTRTGTIPSLAT PAAVTPAHSGRQTGDMTAAPQSAPVAPVRNLTPPRAPIGGGLPGRQITPKDPRDNRGK AGRR QC762_711110 MAENKMQLEDWLDDLCVRFIINLPKEDLSSVARICFQVEEAQWF YEDFIRPLDPTLPSMSLRSFCLRIFQHCPLLASFSAENHMRAFEEFLQYKTRVPVRGA ILLNEAMDSTVLVKGWKKGANWSFPRGKINKDEDDLDCAIREVYEETGFDIREAGLVP RDDEVKYIQMSMRDQQIRLYVFRNVPMDTNFHPKTRKEISKIQWYKLSELPAFRNRKG NQQDDAAAASNANKFYMVAPFLVQLKKWVQQQKQKDAARGSHVPVHIPIEEPLTEDDL GTQTEPVAGPTESIETIEGATAELQRLLQVQPPPSGTHVNPHPAPAVTANKGNALLAL LQKNTETEAAHLPQGYHEQPQHYQQLPQQHHQQPPQHQHQYYQQQQYGNQVPHTPLEL TYTTAPEPHTPHHHHPTNRLPVPPNQPPPNFPIQPHGNQNVSQEYFTQQRVPHQMPTQ AYGDNSYMAGPRPVQKEPVLLHPQPLPPQVQQSLLTRSILQTPNIPETAHHNGMQAPQ GSHHSIPVQGQDGTGYQNQGAPRKAAPQLTHHHMSLLNAFKSNTSRTQETTPVATPQS DVAPAGQQHAGYHPSGQGWPSAPSHTQAPNPAAQYLPHHAANVPQGYGERYGPQPQHA VAPQVSQHAAANPVVAPRPPQSTESHRSALLDMFKKPGRGSPLSNEITRFSIKSDGGR PGYGSNNSQPYGGPSNAEAIARAAEANGAPVQMNPELNLPYRAVQILTRPKQPESAQS NDSMDSQIHRLQRRLSPQRKDARNSGSGLPSPRDRSLFHQLDQETKRSPQLPPAQAAS LPYGQPQPQRQSPYASPTFPPQQPVAPPQQLQQHQQYQHHQRQPSGNADQKQKLLSLF GKAQPSPTGLSANGKMKESMVYDQVRSTTPRSRVASLASQGNAGGMAPSVTGVENVHA TSNSSRVPSATNSRRGSQTPISPADRNFLLLYLESVSNQARSQT QC762_711115 MLYTPTPDTLYHPPPHHIDPLGYSRLAIITGCSSGIGLATTQLF LSHQFQVVGLDIAPFDYDLLRVEDHGRFHFHLGDLTLPGQIEEGVRIAHHFGGRVDVL VNVAGILDTFSSADTLLDPDWDNVIAVNLTVPVKMMRAVIPYLKQRPEGGVIVNVAST AGRSGAVAGVAYTASKHGLIGATKNVAWRFRNENIRANAVLPGSVDSSVGNRITKEQR VDLEGYRAVEPVHALQANPIAPLPPITALEVAKTILFLVSDQARTINGVELPVDRAWG VV QC762_711120 MLQTRCLFHRLNMTSSSPLQDNMVRSIAISISSTKLSYFPENLK RNLLRGGVDEATVALLSQGDEAFQSENNEDTHASTTDHGGVGLSSSSTTQRHHASSHQ HGNGYSHSHSHTDSSSNSYGHSYDHPCHRSHRQYQQQTASTWDEGDYDEEDEGDEDVS IEEGSPVPGANYTGPPQEVKRHQYERNCHRTLQIMHLAEGTTHSDITNSVRGGQLLDV YLRSHDRSASVSFLHAADAQKFYGYCRRNDLYIRNKRVEVKWNERQFVLPGHVAGKIS AGASRNLVIMNCASQHTEEVIREDLDHIHNLVVIKIQFIGGNCHIELNSVHNAIYART CMLSRMKYKGRRIQFDVDQCAHPYTAPIVLKTKEAPQPKRQSSVSNRFQLLNIDDSED EENAPPGFRSKKTPLVVA QC762_711130 MKFSSAVALLGLSSSVSALAWPGFLPELDSLVVRQNSDETTNSP KPTNTPSSNDNNNEEEKEKTTTGPATPLRTNLNTAGISQSGKATGSAAPNGTTSGKPR QTEFNPQDPAGAVVMITPSVMEGYQLYKIGDYITWAWNYTNLQGTPTAIDVLVTNTVA KQTWTLTQNMTFQEQGSYTWDTGAYDRTAVASPLLVEQYTLIIHDSDSEPTGAAPAGM LAPFNSFKFGLYTPKVYTPISDGWKCASCNGAGGMSIDSKAVAAAGVMSVITVLSFTW FVAGFGGLM QC762_711140 MASKAKSRWADDEEDALREVQLKREKEEKKRLKAEKARKLEAER KTREAAAAAQQEQPPQPQPQESPNGPPPKRRRVSPEQQETHNGVPREEPTTTNLLRFT PGTISRSRSVENYDKLNDIEEGAYGWVSRARCLSTSKIVALKRLKTDPKDRSGLPVTG LREIQILRNSSHRNIVPLLEVVVSDSTTPLEPSIFLVLEFLEHDLKSILEDMPEPFLA SEVKTLMLQLCSGVAYLHDNWILHRDLKTSNLLLNNRGQLKIADFGMSRYVGDPPPKL TQLVVTLWYRAPELLLGATTYGSAIDIWSVGCIFGELLAREPLLQGRNEVDELTRIFE LCGLPSEESWPSFRRLPNARGLRLPNNPTPGSTNSRIRTKFPLLTSAGVGLFNGLLAL DPERRPAAREVLEHEYFRQDPKPKQEAMFPTFPSKAGQERRRKRETPNAPVRGQKAAD LGAVDFSGIFAGREKEERGGGFALRMV QC762_0114240 MLSSDSLVRMENLTSASSGSSMSPTATDKETKLNPKSRVSIFAT LRRKTSRIFVTKNTPSQTPVPFTDYQPQQRRRWFNSVGNRHSQRQNIRDHFERDERTE TPTTPTTPTPYSNRDGPTPPHSPARRLLRTTSSMFLSLRGRFQQESPTSPESHYQQRA SNDDDNGSDHVIGVCDPVVPKAPVLALPADVKTRAGWLGRRSSFQLGVQKAVQDTVDK NFSLDSACDTPVSLGPRSRPTLPPLATIASMNSSLEEASRLYDTRIGLLTSARDGCLT SPSMSTCANPSGTPRDFSSDSPTLPSSSQQDSARTSLDTTVNGNSNSLSSPKTLSSNV SIPSRQRRILSDSSWSPESPEAPVCTACGSANQSRLMERSLCELNQLSDEELEALFRN KLGGLSLVSLASSRGHCGPYGSGSKVGADEAAELELNRAEEQDDKNTTASILEGDSNM CASTPRRSLREELLQVGEASQISWSTSQQLEGHVFGKPTKKEFCYDNLHISRNAWDTN LLKVNPEYISVNWESSGGGAFAVIPVNERGKLPDQIPLFRGHTAAVLDTDWNPFNDRI IASASDDGKVFIWEVPQGFTLHTDAEEIVDVAPVSKLGGHSRKVGHVLFNPAAENILA SSSGDQTIKLWDIGTGQAGHTLKHPEIVQSLSWSANGAMLVTTSRDKKLRVWDVRQEK PVHEHPGHEGAKNSRAVWLGEHNRIATTGFSRMSERQIALWEPGRAQPIGGFTSLDSI SGVCMPFWDDGSNCLYLAGKGDGNIRYFEYQNDKFEFLAEYKSGDPQRGVAFVPRRGI NVHENEVMRGYKTVNDTYIEPISFTVPRRAETFQSDIFPPATGVKPAMTAKEWFDGKE GLPNKIDLESIYDGNAPVEVASDYKPPVAIPAPAPATAPVASPKKEPEPAPVARAPAP SVADQKTSISAMANKFQDDEESGNDSEASSFEEISRPAQRQSIIIKSPNKPFPIATTP PPGTKHSPSQVSPVRSPGNVTPSVKHTPTPSAATAAAAAAAATPSGTPIEVSLEQIKH LLVQQAVIISSQSEKITSQTNVINRLASDVETLKKRVEAGSQEQSERIRLLELELEEA RS QC762_711160 MPSSSFSSSNNNNNNNNNPQQPSLPPHWHLTPEQQSQIFTTSIL PLEITPFIPPTPLTSSPKPTAIILLGQTGSGKTRLAPLLSSSLSPSPNRPPCHLIADT YKTHHPFYAPCLTLHPALASALASPSARVWLSMACFAAAEAQIPVLVESACRHPGDFE DIVKIFSGAGYTVKVVILAVPKVLSRLGVLVRFYRGLPESMSRGLPPRLTPRGVHDES FGGCVTGAGWVDEHPGHVHEVVVVRRGGGVGYQNGSEGGEWKTEPKAREGLETQRRKL TSMEREGAEQNLKVLEGLVAEGKVDNTTVEELRGLLEETKGDEEEEEEELKQFDIDAF VMGRKREAEQQQAKQKTTQEILDEIEFDDDDDINFYNTCSCCR QC762_711170 MTSTASQSNQTPATSNTAPVAAPSYASAAGANKKPTSTPLIATG SHPPVVAGSTVPPNGKSANVQPVNGRQNITPAMPVARGTTIANGSVADHTRKPSVTIS ANAPAGHLANGGPVGGPIPKFGFESPAIAHSTPQPAGATPIPIPGGANPRVASPAHSP SPIPQSHQSGGGLAQRAGAPAQGPVFGSFPGDADRHMKQHGAAMAQSPHVRRDSQASA HGEHGGPGHGRGNFQGGRGGRGSFSHGHNFNNNPNMGFPPNNRNFSGPNAGHAGRGMP AYRGGYPASPQPHRASPAGTPTMAHGTPVMQPNTMQPVPPNYYPPAMNMYQQPMSAPY MDPYRAPPFQPYMGQGNPYLPPAGGFNPGQPNFVPQAPYQPPSGAPPMSRNASQISDR PASSTGPAPAPPSTQGTPQPRAAVAPAIVSSTFARPKKGGIVIKDPNGNVLDINSIKA PPSPAPPVTQQSKTPPVIASTPTPPPKSATPSHVRNESASSKTIEQVRNEFKNKVMGS TITPAESKAKDDEAAAKAAADKAAAEQAAAEAEAKAAAEKKAAEEQKAAEEKKAAAEK AAAEKAAEEERLAAEKKAAEEAEEKKKAEAEAKAQAEAEAGAAKKPATEEEKKETEEE YMERMIREMEEEDAKREAEQAAITAKKEAEKAAQKALEEAKKAAENSPEALRAKEREM EILEERREQERAKGGSSSVAELLSKDLKELAISEKPAAAAPPAIKTGADKPKGKPAAL NLAPLKTAQVEAPQPSAALQSLRSARFLPGVKLDIYPEGVRSPNPALNAAVSKKGKVF KYDAAFLLQFKQVFTEQPSMEFHQQVKTLIGDSDRSASARTPGGGSGRQGSRAGASSA FPSGGAMGQFGAKPLPPGTTSEQRFAMSQGSLGGRPPMGTMGGFGRGGFPTSMSRNPS AAGGLPSPRTGSRRGGSKREGNFNNAKAEAQAAKTMPLTAGMELKPIQVSATGWKPTS INKPQDPTAVAQNGHMDPEMVQRKVKAALNKMTPEKFDKIADQILTIAAQSKNEQDGR TLRQVIQLTFEKATDEAHWASMYAKFCKRMLESMSPEIRDETVTDKQGNVISGGPLFR KYLLNRCQTEFERGWKSNLPPPPEVKEGDEKKSAEAAMLSDEYYIAAAAKRRGLGLVQ FIGELFKLGMLTERIMHECVRKLLEYQDLPDEAEIESLTKLLRTIGGTLDSTEKGRPM MDAYFQRIDSMMNLPTLPSRLKFMLMDIVDLRRSGWHSKEQNKGPKTLEEVRAEAEAA AAQKAAENARTNQRGVPGGRPMGGRQDSRNFSYANAAPNTVASDDLRRLKGSSNRSSS QNMASFGPTSMFNSRSNSGRRMGGPGGAFGRTGEDSGISSRTGTPPVSQRDSVGHANA FALLAEADHPGSPPSAHASPALPKASLDSTVTSDKKE QC762_711180 MPPAKRVKSSANSGSNAASGRPTVEDLEGQSEFATLARQHWLPR KPAEVKVNNDVLKREIWDTLEKENFPLKSLLVLEGLQALESYLWPGYGEDSSNFHVLL IILIVNAKRRERLDTWDIFSDRPADFSDLFRRALSLTVDSSLSWVIKTHVLLFIIHAF SSLDCTIVRKECAPLVSISIWHNISTDDKRDAILDSNTQWRKAWRASAKRYDAADDAT KARLRFERSWLYTSVLNFLNLLYTDNAKPDQVLYCERFVEFLTDLQSQLPTRRYVNTL LQDLHVLPALVLSPVYNDEANGLLRDLCRLFSHYTYFTIDEQTGAQLSKTEAYDRHCG DLAKLQRTALKHFKDKLTVLALSNYGAIDKKSELEGLLTVLADDEIESLCKLLGLRTA YPDSVQVPIGRRFLLEVLLSQFERRKTFQEVASELSLLPTEESLFEVGLGRTEHYDGS HPLALPKLNLQYLSVGDFLWRSMILYRCEAFYAIRQDIESVLSRLKPEAKRSGETVFS GFSKMALKITKPTILEAVPPLVGDDKPSTVRAEVTIDLRKLPQHVRREWESLRPDDVL FLLAVDASKPKLATNGGASVTEAEKLGLVAVRAAEVIQVLDDRGRAIRDAQAYFDGHN RSDIRRLQLRLDAKAFKDDTEGKQDVYEGINLLVRRSGRENNFKPVLESIQDLTLSDV PLAPWLHEVFLGYGDPAGANYKQLANRLRKVNFRDTFLDWQHLVESLPGKIVEPDDDV SGSFGPPYVLETVEKPAEEAPSKPSKKRRRDAEPALIAEIETLKVSTYKPPNNGPYPV DAPKLNSVRFTPTQIEAIISGTQPGLTVIVGPPGTGKTDVATQIINNIYHNFPEQKTL LIAHSNQALNQLFAKIVALDIDERHLLRLGHGEEELETEGSFSKHGRVESFLENRQRF LYEVNRLAASIGAPGAHGSSAETAGYFNSVYIEPAWAKFNEIIKVEDATPKDIVTVFP FHGYFADAPQPLFPPGADRETVLEIANGCYRHISKIFSELADVLPFEILRRDKDKANY LLTNEARIVAMTSTHAAMKRGEIAALGFQYDNVIMEEAAQITEIGNFIPLALQKPKDG QLALQRVVLCGDHYQNSPVIQGLAFRHYANLEQSLFSRLVRLGVPTINLDQQGRARPS ISSLYKWRYPQLGDLPHTRTEKEFTTANAGFKYDYQFINVPDYKGKGETEPTPHFIQN LGEAEYAVAIYQYMRLLGYPASKISILATYAGQKALIRDVLAHRCAKNPIFGLPRIVT TVDKYQGEQNDYIILSLTRTSRVGYLRDIRRLTVALSRARLGLYILGRREVFEACYEL RDAFELLLKRPDQLTLVTGELWPSERPLVVEQQEGGDGSADNIAVATAVLGEAAMQGV EHLGQYVYEMTKTKVEELKLLPQVTVPSVDVEIRDVLEDTGLEDEGAAGAGGEEEEEE EEEGRKVEGFEAEED QC762_711190 MPATIVDSHGIPAVAKAGEFLRQLLEHAETVKRTSSIEPPLIES HFDDLRGRMNSVYDEEPMDDSSEAKTARFAIIETAVRDTFKYLVSRASIDSPEFVKVW NLFDILSILSDSELCDPALLLWLVEELLDSQTVAGCRKVFDFLESRRERITAKHFKQK QLVILRTCNELLRRLSRALDPAFSGRVLIFMFQSFPLGDKSSVNLRGEFHIENVTTFD QIPAKTDGDGDKMDVDTDVGTTGDGSRRGRSNDVDTKKKALDPDALYPIFWGLQEFFN QPKLLFEASHFASFKSGLEATMATFLSMKFEQPPRVKERPDRPVEEPKHTLKRKREES NDALSSGFNPKYLTSRDLFKLEISDLTFRRNILIQALIIMEFLLALSPKAKEKLATVK VPNKSVTYSEKTLSEEDIKWVVETKESIKSYLKLGPEGPHFCRLVETVLSRDKNWVRW KVENCPPIELPQLSPDVFVEARTNAGKLATTKRLRPTPMGSLNLDFLEDDDEERALKK LKDCHRYKVPNLDSYKKGIADDDFEIGMPTNNESKTAAIEGKASKAWRALRIASKSKL VLFDKIEDDDKIDVIFEELPAEKDEEDQEITNGETAFPEDRRPIVVVDAGKHSRAATA LAKQLYAHHPKTFTKVAVHVTRKPAEGEVNGKDFHFVDTQAFNMMRDGDQFLEFSEEG DDTHGTSRKVVDAIVDNDRVAVMEMTFEAAQQVKDNGYDARFIFIQAPAPEVWEGQLK ENGLSEEQVQQAIKAATEAAEHAKSSPGFYEAVVDAEYQALETAIFGAEIGADSMEAG APAVTDEGDGKKEEGDGDVAMDDAVPSS QC762_711200 MADHDPVWKPKELLACPSCSNLLREPTIFPCGTSLCKTCLPEPL ERPRNITFPVLENRQKVYRCLCGKDHAMMDCGTDILASSIMSTVHGELERQPAAAPEE EESFLARLSKVLRPEFDCPICFELFDEPVTTPCGHTYCRPCLKSITTLGEDLYCPVCR QGLTLDGTPFLSEYPENRIIMKLIPVLWPDELEARKDIPPAPPPRQDEIPIFALATAM PTMKMPFRIFEPRYRLMMKRVLRGNKEFGMTMVDPLTRKESDVGTVLRVEAHRLLDNG DYLVKVVGVRRFRVLERRVRDEYWMANVEPFGDVSFEEEEAMEAMETGRQPGEEDKAD GVPFEVEGRTAIGTEDTAPTPTTTITDMTVESLHTASTQDLMAFAFGRAMKHRIDDPL MPSDPSKFTWWFAHKLRDPPKRQDFLVERSVRRRLKMCCEKFIELEKTAMTSWVYWLH RALRSFPLPISTALLIILLCLWVS QC762_711210 MEESPERQGPEILRPIPRRPFRLAFTSPTPPEEDSAPPSATRTP GITASDLAFLDIHNNPSQASRNPSTISRATSFMNLTGSTLLGIYSPSLTPGIKGDAVD TPSWDNNTGGQTPGTLQSPDPGDIDERTLTLIKKRRDSSSHINRERIRNSIRTSYFPS LAPTADLEPPSQAYIIFSMALRASLLFALGLGYGVLVTRLPRAQNFDPQQPPTTSDEP LDWRYLLFWGASGVLLGCLLPWFDQFFIPAAKKNGPLTGKPLPSSPQQQRQQNEREGR QQEADYVLVIRSIGLFVGIVFAIRKLSWTSTMQVSLTLALINPFIWYLIDRSKPGFLL SAFVGLVGTVGMIGLGLKDVFPGLMPAPSFYQHGDGGGLGSGRMRRNGSANAGYVAGS GGIKLVGEREVIETGVWMLSVLFCSCVCFGNIGRRLAFSGEGAARGRWGGVR QC762_711220 MAPKRKREAAANGDVAEKSNAAKKVKAPPAKAAAPPKSTAFKLN GDAPVHIQLIAGSYDRILHGITVTIKTTEVTSEPPAEAESTDKKKKSKKSITTTPITT TEQSASFADTFLFNAHNSAIRCLAISPPSAPAPKQTQKVLLATGSTDERINIYNLSAH PPSSRSISDPDTQLLSSLAPRPILENNKNRELGTLLHHTGNITRLCFPNRSKLLSAAE DSTIGVTRTRDWALLHNFKCPIPKVFGRPSGDTAGVGGTPQGVNDFAVHPSNKIMISV SKGEKCMRLWNLETGKKSRVLNFERTMLNEAGEGKHSTGEARRIIWGSSSSKGGEDEF ALAFNRDVVVFGMDCRPRCKVMGGINRTKVHVIKYVRLGDEEEDGALLAVSTEDGRVL FFDTAEENCQPATEGKTLATARLVGQLGGKEAGVTGRVKDFVVLPVEDEKGVRSWFVA TAGSDGLVRVWRLGSGELTVEEKKEESTRQVGKLLGAYGTQNRITCLGGFVMIPRSDG AEESEYEFDEEDDDDEEDSYDE QC762_711230 MTEVGPADRAKLLRSKREGLSSIVQSRKRKLRELYAVATDEDGI PNLDLSNLDAAPTAPAETSFLIDTDFTQGRRLGKLAKFPRRKVLFDARTLPAHSQPAT IENVPHSSIKDSRANEPAANVANDAQLSTPKSPLTVQDQQVLPQSSTTTTTSTPLPLA APDNSKAVSLGQNGLHTDLHEKASSSLPLTTATSNHIATNGTITTPSLAQPDHPSAPA SAKPRIITNAVPLEHAPGSMDVDSVASPKDSGSAPAMADLSRYQDALSSPGSTALSAQ TPAVADSANTSPENEGPPYVERADETNGVKAPEDVTAGDRGGERNASNPTSDATSLDE SNPAVMSGVEAQLLQESAAAQLAHEGSSASPQEPEDKALSPAEARSTGKSSAEALAKP VQNAAQVVGQTVMQSTPPLANGLSPTPGPVSERREASSVAPMDLDVSVPTRPTEVPQR PSALEAVTKATPTPVSRSTPTPVGISKDENVAVTQQRATPAREVPTITIEPPAPQATE PPVPEEADNDSEKNLSAPQLKLLANRERDRRRRSVPTVIFGKPAKKTHKTVDDSVLAI NRQRPGYIPSDDYFTPLFIEGFTRTSTWMKPIEKLLNQAHKTVSTSDQYLSILDHQAC KILRRVYHLQQHDKWSLRQPMRCPEPTRPPSHQDVLLQEMKWMRTDFREERKWKRAVA RNLAYACAEWVYSSPEERRALQVNAVVPPKPTAPGQDVQMTDSGEGGEEPLPELDHSD SPAEHEDEHLEAMVETVAPAMIFALQDDEVVFGLQPSKTAELLLENLPMYGSPLQVPK FDLVGPEYDPDAKWKRPAVPLSKFVEGEMVLADKGPPRKRRRFDYLSDSDKEDGDEVI FGTQPDNNAHSQPENSAVALFNPEMKAVRDRLHAGHQFRPPTEYPMPSQSFFECRMAS QWTFAEDNQLKSLALEYNYNWSLISSVMSTKSLFSSGAERRTPWECFERWTNLEGLPT ELAKTPYFKQYQLRIDNAQRTILQQNQTAQQQVGPNGAVTPVPRRRPTTTMRVERRRN QKHLAMIDAMRKLAKRRETAIQKAQQQASQVASRKVNEASRQPIPPAKTPRDYSIMRH ERDQKLAERMAQYTARQAVALGKNLKPQPHAVPGTPAALAAAQAGQMPGANSLMAAAA AARLNVPAQVAQNRVQARVPMQAPLGAVPPAVQARLNGLGALVPPMAGIPQAQLQAAL QAQQRMPMATPQPDLNLVLQAQTIQQQQQAAIRLAQQQRQAAQQAQQAAAQQAHQQVH GHQQQQVGQQQHQPQQGVAQQPQQQPQPQQVGQQPQQHPQVNGTQNSPSPMRSVVNGL NQGAFMANANAQAMMAAFNGGGLATSPGAGLTMPMLNPRVAGGALNPAVQQRIAELEV HYRNKSPGLTQQEARNLAMEQVGRIIVQNAQNHQLAQAHQQAAMSAAAGQLGHQPGLN AMTATTSPHQYASLLRAQQQAQAAQIQAQQQAQQAQQQAQQQQPHNPQAHQAAAVQQA QAQQVAQLAAQQAQHQQAQQQQQQQQQQQQQQQAAQHQRQASGSATPAPGK QC762_711240 MPSPPPKIAISLGGSGSGSQIKKRTRPTFGKRHRASANDQNYDD SDSDNSQSDNGRSGSKRNGRAETILTYGDDEFTSSSFRSRKDSHRGSDRERDSRRDRD GHRERERERERSRDRERSSHNRRRRRSPSPSQTTDQQDSKKPVQWGLTINPKSTNSRS SPLKRSPSPDQASKPPKSLNDEALESLLGASSQPKKRKLNFESEDPDREPQAEDYEAV PIDDFGAALLRNFGWNGQMQGKVKEVKRHADLAGLGARNLKAGEETGTWDPKAGMHKK DTRPVRLNDYRKEEEKKRQRREDQRGHNSYRRELEREREQERTCFYCVLF QC762_711250 MSKQKSGLGSGLPKNANDAEQSAQVELYNVTLAESAEQREREMT FGEALKADRRLIMYSIGFSGTIIMEGYGLALMTYLFTLEPFNRKYGVLTADGKYEVEY IWKVLLPLTAQIGSIIGIFVTTPCTKWFGYKWTTLLMLIFSATLIAMPFFAPNIMILC VGFFLQGIPWGVFQVVSPAYASEVSTIQLRPILTTWNNLCWVIGQLLSAGAIKGFSVA FRTEWAFRIPFGLQWIFTFLLAIGIWLAPESPYWYIQKGEIARGRQAIVKLVRKGDPS MAEEKLALMQHTIQQEHMKDAEIAQLGKWERIKLMLKGSDARRTEIACIAWTIQAMCG SSIIAWGPKLFENSGLDASQSLSVNMALPAAGLLGTLASWWLMAYMGRRSIYFYGLLV MALLLVACGGASYAPANVSGWAAGGVLTVYTMVYDLTVGPICYSIVSEIPSIRYRAVT LSAARGAYLGSNLINHFLTPKMLSAPSEGGWGLGSRTGFVYAVLCLISATYTWFRIPE TNGLSARSLDILFQHGVSARQFSNATAAQYEQLDREKNTRLTNTASQNSVFSVHAVSS KAQ QC762_711260 MSKPDAANGNGTALNFKFIETPKAGPFTFEKSEDCGVRTTSYPT IKNAPLPADAAGTDAFSNAALFSLLIGVPWYFSWKVGGGLKTTIFFALITSLPIISGF WLATSTLAPRKNEKAKFPGRPVEHYLTFKKPEDKAKYAGKNKIPMETFHEMYFDGEVD FNGDCLEVLEYRHDWASFRFTLSLVKFFFTGMIPEVIMHTRSQDEEQVRDHYDRGDDF YGWFLGPRMIYTSGIIADINKEESLEQLQDNKLAVVCEKIELKKDEKLLDIGCGWGTL ARFASVNYGAKTTGITLGRNQTAWGNKALRNAGIPEDQSKILCMDYRDIPVPEGGYSK ITCLEMAEHVGVRHFHGFLKQVHNMLDDDGIFFLQIAGLRKHWQFEDLIWGLFMNKYI FPGADASTPLGWFVDRCEGAGFEVKSVDTIGVHYSGTLWRWYRNWMANQDKVVAKYGK RWFRIWEFFLAYSTIISRQGSATCYQIVLHKNDNGFHRIEGTPSQLGLHGALANTKAD LTAWAAREASEFPQVPAN QC762_711270 MATFSPSISLSAPARRLTTLRRITTSRPSLLSYFTTTPRISAAC FFSSPSRTLSKGGAPLAHNNEPVPTSSPTTDFSSLDVLGNTPVPSTSIDVCHHDGFSL NSGVQITNGSGALLIGGEAFEWKPWLTKDGKGTRKKMINSKWQWEIDPESLGVLSVVW PRPDLLILGVGKYNRPISPKTRQAIGEMGMRVEVLDTRNAASQYNLLATERGVGDVAA ALVPIGFEE QC762_0114400 MRTDLELARGSGGAVVRSAVGGCRDVLTWRSSPRLEIIWAELDV TCRGLESPKPGLYVYGMQPFSREAMRPSN QC762_711280 MANNRVTYRRRNPYNTSSNRTRVVKTPGGELRVLHIKKRGTAPK CGDCGIKLPGIPALRPKEYAQISKPKKTVQRAYGGSRCGNCVRDRIVRAFLIEEQKIV KKVLKEQTAADKKK QC762_711285 MASNHSTQAQPAKDSAQQEEEKLEDALYHLNQLHLKATEAMYGA FMQSVDSTTKELGSFRDALGSPETKAIWNRVNASQKRDPKGIKQWRAVDDPFWGDPDR KRVKTE QC762_711290 MESQGAPGVSQQPRSRKRQRTSRVPEAGNTASPALTPVSQLPQG WGAAMANNNHPQAVRSHVIDTVPIQSSFSSQQLDRSHEPDGLMNSYSNQHHNAHSQYP DPQPTLASAPHPDTSGLSSLSNLAGEQHQHHGQHQQQHPQHPHQQHAHHHHPSQQAQQ QQQQQQSQQQSQPQQQQPTQHQHQQQQQQQQQQQQQQRPSISVNPSAAKPDSRALFQQ QYGGVKHTSGDSPPANVANPTSAGPSYSNLPVWHGTPTPTGSSQPAPQLGSASSMPPQ SPVPGIPGGGGLGPPPESIYQTFDELLAAVQRHAKEQGYSIVKLRASNYRDGKPTRYD LVCDRGGVKYNSTAKKRNPSTRKVDCPWRAKAVCEVNLGNQWRFVVQEVRHNHEARVA AAQPGQENTPVAQSIRSLNHKIDRISHEMSQGFSRLEQVVVQRLDNMEKRLEALETGR PAMLGNGGVPSMGTPSMPTANMGGGNMGNAPMTNGGMQPLVDSRMGALESRLTQIEMM EEDPSRLSLMVNT QC762_711300 MSLKQEIETWVEALKYYDNNEFDEALQAFERISDTSKILFNMGV INATLGQHEQAVECYQRAVKLDQYLAVAYFQQGVSNFLLGDFEEALANFNDTLLYLRG NTLIDYGQLGLIFKLYSCEVLFNRGLCYIYLEQMEAGMQDLQYAVKEKVVEDHNVIND AIRDEAKGYTVFSIPVGVVYRPNEAKVRNLQQKDYLGKARLVAASDRANAFTGFAGAE MKQSTGPIEVKDDRPQEALSFAASNLVKPGLQSRRQQSEPPNSRNVFPPTPPPENDKP AQPSRAASVRNGPKPVPSRLNLEKSRPSDRYEKASPDEGPREQQRRPSRSASAAAPPS RGYSQRDPPSSRRRREEEEDTYNDDLYDMYQGGGGSRNSRSQRSNRGGNQPRDRYIEE EDEEGSDYDDGSFDEGDFEMMAPRRPGPGSVSGGSKRGSSRRDALRTIRVKVHANDVR YIMVGPAVEFPDFAAKIREKFNIPPRGQFLIKFKDEDSPELITMVDQDDLEMVMSGVR QNARKARSETGKMEVWVELQ QC762_711310 MPPIPKFSFTKPIAYSLSGIALLASAGAYTYRRQLLHLQQQQQQ RSQSPTFPGNKSPNSPIVSAATASPLKMAVTTPFQTLFAVPMHCESCAKDISQALFKV SGITKVEPDVKEQLVTIEGTAPPSAIVDAIQATGRDAILRGSGASNSAAVSILETYYR RSVQEAAASASASKPAGSWINQRLVRGLARMVQVSPTETVVDLTIRGLSPGKYRATIR AYGNLQDGVTSAGPIWSGTTTTTTADSETKPTTPRGILGTVEIGSDGRGTVFLNHPFQ VWEVIGHALVISPNDESDEGKPLTNDENTVVGIIARSAGVWDNDKTVCSCTGKTLWEE RKDEVSKGML QC762_711320 MSQTIGSTRLAYSRVWHHISASAPHPTLSTVKSPREAVTPPSLG RLASRIAMILMGKHKPIWDPSTDCGDYVVVTNCAALYTTGHKKWRKTYYRHNTRPGSL RTITMDVLMDKFGGAEVLRKAVSGMLPKNRLRDKRLARLKAFEGDAHPYKENIIRFGG KKVGQTGWEDIVKAVREGDKATIPS QC762_711330 MARLAAARYGKDNVRVYKVHKDEATGTQSVTEMTVCCLLEGQIE TSYTEADNSVVVATDSIKNTIYIKAKEHPVNPPELYAAHLGQHFLDKYPHINAANIKV IVHRWTRINIDGKPHPHSFYRDGNETRNVEARVSREAGIELKSAIQGLSVLKSTGSAF HGFVRDEYTTLGETWDRILSTDVDATWNWKTFATVTDVEKGVERFDQAFEAARNITLK TFAEDESASVQNTMYKMCEEILEKAAEVEVVGYSLPNKHYFEIDLSWHKGYKNTGKDA EVYAPQSGPNGLIKCEVARS QC762_711340 MVGPSTMLSASATPTQKSYVSQQQSPLRQQQGQQQGQQQQQTQQ QQQQQQQQQVGSYASTISNPRPSLDRGDGLQPPGANAGRNLSASAVTFASRGGSLNPS PMPGSFSSELRSQLNLSRAGSRADMFALEKLDEDDNRTQERNIAYLRDVLSRELKIKE GSENMLEALNSKKAKQTKEQRQRVEAELLSSSKRIKDLRQRITDAQQRTKAKPTTPTR SRIDVTIAAGSSLRSPPSLSRSGAGSDIDEPTESPTFALAETLQALEVAGMTPDYYVS RANSLVDLFRRHPTLKYDLVWSVFGLRMQALLMSESREVVAAGYRMTRYAISDITSLQ NIRTLNTDYLVTWSLAKDRKSDVEREQALKFVRAFLDVKDGVREISRAVVRAIAAVAE EPEERLRPICLETLAEILVRDPRLLIASGGLAPLHEALADGSYKASESLTAAFLYLLD APERRQYLRPGNELEVLFTAFTDELSSNERILKQSAKAISSALKSWSGLMSLSMYNFR AIRSMINSMVAQKGSIRETIMDLIFSLLRIKSPAWATSFLAGRRLTTYGRVTNLKTTT TTTTTTTKAAHGEYEDDGGEQNFVEHYTALLLAIFIKSGVVPGLLKMTQDNENPTLKR KSTLLLGEVLKLASHLLPRSWSSEIQLLPELFITAARLGDENRFTASGVIFQISSVSR TLYRSSPSSFLPSPSNNIDLSILDEHPKSNTAAVNCDDATFRVLLLDSVVLNSSNYNK WNWDVILKLIEGPLVNGKRLEEAVKASKFLKRIMSFYRPFKYRFSALKSSRSTQKYVR AGCALMHTLLQSPEGVRYLTDNKLLRQIAECLAQCDPTSGLTAQDPMFSRDGLTDTLS GGYFPMLGVLSGDPKGIQMLDRWRIFNMLYRIVDLKQRPDIIKLMISNFDYSIQGHSR IILSKSLTAGTKDIRIHATNALRKYATRPRLDSQGHEPVDSKWAIQLLVTQLYDPEVE VCQTAVKILEKACNTQNHLKYIVECRPALDHLGEIGAPLLLRFLSTSIGYHYLDGLDY ISNEMDDWFLGRNDSYVGVIEASLARSFMDHQDDHTNRISVFDDEQEMEADSHVPPHF YRELTRTQEGCRLLSDKGHFSEFVTTIREHGMQSDDPEMMVKVKGCLWAVGNVGSMEL GAPFLESCDVVEQIINIAQNHEVMSLRGTAFFVLGLISRSIHGLEILSENGWDSNTNI LGQSLGFCVPTELDQLFSLKPWKHIPVTNIQLPDAQKTEREKLPSVPSRPRSLSLIKA IQAEADAQEDTPTGSVPDEIQRVELDPDPVNQRILELMIDMANMVLYRRARAELMHIK QQTQVRHQQAQAQTQPQTPTQNNQKRVLGSGASSFSQPHLFRRVMGLLESHHYRLQDR AMIVGLFERSVFRAIVYGDEDSQEERGSSESESDSDFGDANQAGVGQRRQGFVMQRDQ QHLQQQQERNKEEGSSGEESSDEEEDESDEDDAYSGSEGDEQRTERLRSVSDPAGGST TRKHGTGRGRGGTQGAF QC762_711350 MPPGRILVIAGSDSSGGAGLEADQKVIAAHGCYAMTATTALTAQ NTLGVHDIHHVPAEFLRKQIDAVVTDVGVDVVKTGMLASAGTIEAVAEVIREYKLKTV VIDPVMVATTGSPLLPNTSLSLLREKLVPLATVLTPNLPEARLLLADAGFGHLPMEKV SDLEGIARAVGSLGPKWVLIKGGHCPFNGEGMIAADERKREKVVDVLWGRDEKGGEVL RRVETPYWESKHTHGTGCSLASAIACNLAKRMDMPQAVEAACRYVEAGIKTAPGLGKG NGPLNHFHSTYTLPFAPGHFIEYLLERPDVAPVWKRYVTHPFVLAMGDGTLPLESFKG YLIQDYLYLTHYARASALAGYKAKKIEDIGAAATIVTHIFHEMELHINYCSGFGISKQ DIESCEEKEACTAYTRYVLDIGNSEDWLALQVAMAPCLLGYGDIAKRLFSDPRSKRGG NVYWAWIKNYVEDDYLLALKTGSDLLERHAVLQSPARIDELASIFIHATKMEIAFWEM YSSH QC762_711360 MSYGGGYGSRGGGGGGGYGGGGYDRNGGSNGYSNGGSNGYGGGG GGGYGGGYGGGGGGYGGGGGGDRMAALGSGLQKQEWDMSALPKFEKSFYKEHPDVTNR SPAEVEAFRREHSMAITGKDVPRPVQNFDEAGFPRYVMDEVKAQGFPAPTAIQAQGWP MALSGRDVVGIAETGSGKTLTYCLPAIVHINAQPLLAPGDGPIVLILAPTRELAVQIQ QEISKFGKSSRIRNTCVYGGVPKGPQIRDLQRGVEVCIATPGRLIDMLESGKTNLRRV TYLVLDEADRMLDMGFEPQIRKIIGQIRPDRQTLMWSATWPKDVRNLASDFLTDFIQV TIGSMDLSANHRITQIVEVVSESEKRDKMIKELEKIMEDKTAENKCLIFTGTKRVADE ITRFLRQDGWPALSIHGDKQQNERDWVLDQFKTGKSPIMVATDVASRGIDVRNITHVI NYDYPNNSEDYIHRIGRTGRAGAKGTAITYFTTDNAKQARDLVGVLREAKQVIDPRLE EMARYSGGGGGGRYGGYRGRGGGGGWRGGRSHGANGSNAMPLGGKGRW QC762_711370 MLRELPPQQATSLPRPGQEQLPGKQHMERTADYSGLPSPYPSTV GDAQSEASSVDPATVASTTYSQQPEVRSATAYPAAGTPTSSEYSVYPPHSARSANGTF PDTHLQRSYHPASNHQGSSGGMAQTPTSPLPLQDGRSHHNPQQARSDSGVPIDPSIAA ASPTTYSHPQYSPYAAPHQDMSHQYAHSGVYQTSRPDWATYQSPGGVMAGGHHAVFAP SASAAAQPRPNQVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLNA HVTMQGHGNKRTPEEFKEIRKEWKARKKEEEAQRKAEEERQRQAAASAAAAQGTADSS VADGAQPATTYSGSRAVQLPPIGYGPAQYPAPPSAGMQQPLSEYQSAAHVYPSYSPPT YGQTSQPMYNQHNGGQNNH QC762_711380 MEQITQPSTRDQNAGHGLEQDITVRPNENDEWHESIRDALRILD LPDNFTVGLDLMTMTTTTSFLGFQKFPPGRHFLWVQQPGAPFRSGYWFVSKNWRGDFW IIKWDKFNEVLAEPTPEEKKDAVDRADFEKLVPYTLDGLTNNKGRSGGEPVVADYSFT LTDSSQPVWSRDSASLWAMLTDDITTDTIPRNKLEPRNNEFLVDSTTDRRPDLPLESS SAMQAKYDGQFHFIPFSHIPREAGSGRLIGRLHDEVMDEILSEIQFSFLTGTLLSNLA CLEHWWDLVLRVVLKSWDLVWARPKFVGDMITILHAQLYFTEVCLEGDNTPVLGGAKG KSKTEAGPNPDRVLYQVKRGSRERLKKALESFRQDMKTRVQGQDTIIRIPGQSEKIAE ARERFEELECFLFGLGWDLGGKGSGANREDSSSSEDEDAKEDENWNSEDDDQPVMVEL DEKGREVGLVSFND QC762_711390 MSRKGVGLAAFDRSRLTSASYANHGTALRTTNAQALETQLSVFR SLLQQFAQQHGKEIRSNPSFRAQFARMCTAIGVDFLAASASHEGSGGKGGESSIWGQL LGRTVNDFYFELAVKVVEVCSDRRGENGGLIEVRKVRELLQVRQEKQMGGPGQKEGGG LTEDDVLRAVGTLKPLGSAYSIVRVGSKPYIRSVPKELNTDQSAVLEAVQVLGYVSVS MLMVNLKWARARAKTAVEDLVGEGMLWVDKQAGGEWDYWSPGFMLEGQDGGGFEG QC762_711400 MSTTPPSKPPPGFSGPPQQQQSPPYGDNDDEGKGKQRVLPRNLA LSNYDPQAVPVRPHDMSIPLSSLTAYQLRPPVRLSRAWRPNCHHSVMSTLYQVNERFK CDNCKRSHPFGWLYRCVMDREPLIIGIKELGFQIAFDQLGHNFSQQMTLGKHGADART EKYSFLDEISQEQLWNYTPEELKEILAQRDHILDVITNERLRDQDFNNQYGFKYPDDD RPWMPDPKYECQHKVCHRCHPIGRQKSWVSLDGVLNGDIPPTVATGYSFSQVKMRPCV DVETVKNLGCRPVPLPRGHKARAPVTSSSSSVSPNEIIDDHVMTESTGMVYDQDTGDL IASISTFGPPVLASPPITAEPVFSVDLDGLWTRITAPGYTTSVKDDDTESETDLSSSF EHAEHNKTDAEEANGMDIFGSEPLEVIEGVALTEEAVEFGTADIVVTTTANGVADTTG LTTPTLGVPPGLSPSSGMSNEQ QC762_711410 MSSIKIERDTVQHTITDAAKSVSKKDVGFVGSTAAAIAEAAHGD LPGTTGKHPISAVVGTALTGGRKNAGTKGYLAAYLRQLETNPLRTKMLTAGTLAGAQE LIASWLAKDRNKHGNYFTSRVPKMATYGALVSAPLGHFLIWLLQKTFSGRTSLRAKIL QILVSNLIIAPIQNTVYLVAMALIAGAKNFHQVKATVKVGFWKVMKVSWITSPLCLAF AQKFLPEHTWLPFFNLVSFIIGTYINTITKKKRLAALRKKHFGEGRAPGPSGAPSTLG RPEDYPPLGPNPPY QC762_711420 MADTEQAKAAVNTDESTTQTSSPQPTTLTANDDASSTATTSSLP PLSPTEFKIYNRLAEQMKYFHDHFVGMWTILYTACVNSRRPQNMSLKQFLDEGLRLVR YLESHHSIEETHLYPILAKKMPQFKAGKSNKLLRQHELIHEGMDQLHEYILMCKSREV DLELSVLKEKMDTWGEVLMKHLDDEVKELEAETMRKYWTLEEMRAIPI QC762_711430 MPPKYSVIMSPPEAESPDAAGDASQEIPQTDKPNPPSTKESNAA IESTMENPVPDLAAPNLDDEASALAHLTENVRDQDELERDITLQANAALIEAEDTRDR KRIEKAQIQKVRLENQRKTQQAKLTSGHLTPANRLRIQKEITRLDSEIDICEQDVRDF ETRIEARHQEGAAETQSKGAGAVGGRLPSETQREYLIRTGKITPFATFGGPRPDGVEG ELADAIIEAEDEAVAEELEEQVGDGPRSHQNLRRPGFAEETENSSTAELEFSLRPRKK RRVVQEVEGGSDDEFELEEDDARDDEEDESLEDDFDMTDTNSKKKRKRGKGVATAADG VGEEKVDLSNIDDGNEAVYQARLEDWVKRRTRARRARQLRLGQEVESEWDGEEEWFKP SPDEPDHQFENGMKLPGDIYPSLFDYQKTGVQWLAELYAQQVGGIVGDEMGLGKTVQL ISFVAALHYSKMLNKPVIVVAPATVLRQWVNEFHRWWPPLRVSILHSSGSGMFNVLDE GKREDVEDNWDKKSPAKSSKAAKKIVDKVVKHGHVLVTTYAGLQTYGDVLIPLEWGYA VLDEGHKIRNPNTAITIYCKELRTPNRIILSGTPMQNNLTELWSLFDFIFPMRLGTLV SFRTQFEVPIKLGGYANATNLQIMTAQKCAETLKEAISPYLLQRLKVDVAADLPKKSE QVLFCKLSKPQREAYELFLKSDEMASILDRSRQSLYGIDILRKICNHPDLLNPRLKNK PGYLWGSVEKSGKMAVVQSLLPMWKRLGHKTLLFSQGTQMLDIIEAFVQRLDDVRYLR MDGKTPIKQRQTLVDQFNNDPSLDVFLLTTKVGGLGVNLTGANRVIIFDPDWNPSTDV QARERAWRLGQKREVTIYRLMTAGTIEEKIYHRQIFKQFLSNKVLKDPKQQTNFNLND LHDLFSLSSYEDGMTETSQLFKGSEAKNFMKSGPKELLIPGQDRVLLGPSAKKAAARE VASVTVKAEPQDDGGNDLRNIEGVASLETFKAEPDPPANEEDRLMEGLFARSVHSALE HDKIMNGKKTVRADKKMIQQEANRIAAQAALSLRRAGEQARNVPIGTVTWTGEVGEAG RPVQPRRRIAPGSAIIRNAGASGSRPGTPNAQPAPDRTLRAEDFEKMIPAFIKRHGGQ VVSKLLVDHFNQYCTGTRQAEEFKIALGKVAQMEKKGTSMRATWSLKPDFQ QC762_711440 MTDGSIVVKFAILSGHEQHHTPTSPPKKPRGAQFPPILSIQNPA TSQGGSLWRNPCIGGTLAGNYRVGPDYNVDYSSQEPHTAEQSQAPHSYDTHHHRGSLQ HAGPPPLHEPPPHQSHHGLPQHYPATHQHHPHPHPILTDPSHLGGHPGARHLGHPAHP GPSHYGAGPSPHNVVPPLYPSLTPTHGSTAGVKRQRPDDLDLSVPGISELEQNELDSM QQTPLGAAYAQATGVPPAHHHHRLPDTGPPNKLMRRDGESSIGAGAPSVVGQAGMPAP APRPRGPKLKFTPEDDQLLIDLKENKSLTWKQIADFFPGRSSGTLQVRYCTKLKAKTT QWTDETDQKLKTALQDYENEKWRIVANKVGTGFTPAACRERAAQLSGEDL QC762_0114590 MNLSSDLINFGIHRSSSSKVPFFEPGRRWERGVKASLVTAYFWW IMTNSGEKDTSQANTYSDARRSTGTRSSPPSRPGFSRSPGRLDLFGDPAMASMLKLPW NLRSFDILTVPDFPVARFKLEDRCRILETRNHVNLAIAGA QC762_711450 MSREPPFDGPLQQPRDFATDPPAAPPDLDTAVDTTSHRVRYNPN IDTSIAENAAPTNDAATSPRKAPARADTGAPFSPTSRRRTTRVGTFRTVDNFEDFELR PGWHPGSEPGVDPLKPDGGHASMPQLSAPCEITIVDFSEDKLSIQNKDNSSLGSFLEV PQPKWAKCRWINVNGLSWDVIQLLGKHKSLHKLAVEDIMNTRSRTKAEWYPTHAFIVL TLQRLDDTYRDSDDESSDSDEADDTSSHASNRSIFSGKTGKYSRKLLRRLKRTFRAGK FSSDTTLEGGKDWPQDGSGPYPRASRSEYPEGPTRTLRRYHAAPDDPIARFMDRNSAL ISKNYTVACEQVSMFITNDNTIISFFEESAEVIEAPIIQRLQTSDTIIRHSCDASMVG HAVLDGIIDLAIQVASCYRDAIGDIEPEVLTHPNIGHTKKLYILTSEINALISFINPI TTLIQALRDHKTDMALDKAMAKILDPNHDPIITTLTYTYLGDVLDHCVLITDTLNRLK SSADGMIGLIFNTISAHQNESMKQLTTATIIFLPLTFITGYFGQNFVPFTVLEQDIGY FWKIAVPVVFATIILLQREAIVDYCKAIFQRRYLWELKKRRSDRRNKKRV QC762_711460 MALGDTPEIGQQTYGTVGDSNTTDFPPSHTKLTFAPVVDPDAGS GPKKAGKVGKKAKKSDADPDGPPKNAKEYWQQHYARACESGSNLKRKAVEEPYETPST KIQRMSGPDDKSEGLIPVRTEEAVSVKAEEGVSVKAEADVSIKAEEPGFIEIEAPVFV KAEGPGLIKTEEDTAFDYKIPLPSKTAVQAAVDDALQTIKDRLDKGDMQACKDFEELR EATLSFGVNNCKPVEGKWKLKGFKTPLYNHQLIGVRWMCSREFHPRGSNGGILADEMG LGKTVQLLACMSQNPSSSRRDKAQKTLIIAPEKLLTQWYREIFDHCDDKGLRVLVYKN ANAMADDECANSDIIITNYAQVQRQASKGLAECEESEESEDPSDFRETSLKQKLHRHG PPLFRINYHRIVLDEAHAINNRESSTSLACRYLTGKYRWVLTGTPLTNTTAEVFPYLD FLGTKFKKYDTFVQAMGGVKGKMGDMEEFQKTLSELTLRRRVDTQLMGAPILQIPKAH PVQVVTVNFSPFEMEAYGRTNRRQMALEVRRQAYQDAGQPYDPGPEKGTMQKIVDHLQ FFTSHPALVEPDWYEEQENQDKSKLPELSEVKCNCFCRYCRRVVTPASKLADCGHPFC ASCFGNLITRHHNKEKACCPSCNKPVGSGRSGKGSCPSHQGMPILPRDNGHTYRHFGD DDNGFQPRFSKHQETTGKGTKKKARRAKSRKKKRHPSKRRKATKTNNKGGKQKRQTVQ TVQQTRANTLNFMKGVDSHPWDPVPHSAKTKATLDLIDGWQSEAPEDKIIIFVQWIPM LSTLGRMLTQSGYRFVYFWGDLDQNDQEQSLKTFRKVPAVKIMLASITCTTHGLNLTV ANRAIMYDHWWNVCRQQQAFGRVHRIGQTKEVHTAKIVVAGSVDERIIQIQQDKETAI SGVMDGMDEIKKRPISMAKEILGLGDLSDSIDENADLDEDEDYYEDSDDEDEDEEETD SESESTSDESGSESGSGSGSYDDDEDDGEHSGSKSD QC762_711470 MAPATIEASIVDTVEPKKDTLALPEPARQRLIRSGVDLTNGYPY RPLVPLYLQDVYQLRSAERIHEDAGARADKSKKNLFSAASKVTDLTAHIGTEIEGLQL KDLTPEQRDELALLIAERSVVFLRDQDISPQQQRELGEWFGEVEVHPQVPQVPGVPGV TVIWPDLQAQDLPANFRNPGGASRWHTDLVHERQPAGITHLHNDTVPPVGGDTLWASG YGAYEKLSPEFRKFIDGKQAVYRSAHAYLDRENPSAGPKFVERVHPLVRVHPATGWKA LWVNRAMTTRIVGLDKAESDLILNYLHVVYEKNADIQVRFRWTAGTSALWDNRITIHS ASWDYEGKYSRHGTRVTSLAEKPYFDSKAPTRRQALGLLDEDEKEALGLARDRVGDHS P QC762_711480 MSELRSQPSRQTVAVIGAGISGVCTAAHLLKEGLSVTVFERSSI AGGIWHYDDRVPGDPPYPSNTPSLGDYEVSQRGQFSYVTPPSEQRNETPQNLTFRVEA NNYLSDLEAHFSPPGPCYRGLRNNVPTYLMESSLGQWPEGTEPVVGQRDVENYVQGLA EVHDVNNQTLFHTRVDEVKKTHDGLKWELRSVTLEKEELGVRLTERLHLFDRVVVASG HYNMPRIPDITGLKEWKTRFPARIIHSKQYRNPQRYRDQNVVVLGAGVSALDICRELD GVVNKVYQSARGGQFDLPVSLLPSSTRRVPEIASFILDNDERHQHFPEEGQHIPGKIA LKDGQVLDKIHHVVMATGYITSYPFLPHLHSDTAPITEPGEYLVVTSDGNMAHNLHKD IFYIPDPTLAFVGVPYHVVTFSLFDFQAQAVARVFAGRAKLPSQKLMRHEYERRVLER GLGRGFHSLHQEGKELAYVRELVEWVNEGDVHGEGRNDCNMKGHTEEWLAGYYEMKAK TRGLFPGRGIKSVAEDTTLRN QC762_711490 MTRFSLTAAVLIGLGHRVLGALEQCGPAQYDPTNYVCWENQFLC PVTAGEGLSYCNGACYSKFMYTCNNNILSLLPPAESAFTLTVSNPALPQLDGKPVTAQ GLRLWLGGETKSYCPSVVDPNCPPGNVTSIVAGGFGGAGMNTMVPGGQQVYLTPDWNV GYTQAHSAYMPSGSTSTGFAAYQGGGFINLNGNGWGWVACPPRASGPAGPEWTLYGRN STNAESLNYCTPINLKVTPYPGQGAAAWQYT QC762_711500 MNLNDIHISVPKYQEMAPHSPTTSNNGGVSERTSTLSQPQFLFI NGKYIPSSDNETFPVRNPITGSVLYNCASASKADYETAIENAHSAYQTWSQTGPSARR RIFLKAADIMESYITGDAPEFMSQEVSATMHWVKINVFATAGLFRETASLATQIRGEI VPADRPGTTIWVERQPVGVVFAISPWNAPINLTARAIAVPLLCGNTVVLKPSEFSPKS QDLAIRALTAAGLPPGCVNVLPTSAERTPEVTELAVKHPKVLRINFTGSDRVGRIIAG WAATCLKQCVLELGGKAPVIVFEDANIDDAVEAVVFGALAFSGQVCMSTERVILHKSI SREFIEKLLKKVETIKTGNHLEDPAVSISGLFTSAHAKRVMSLVKSAVDGGAKLLAGD LQVTGPRGTIIRPHILEHVSTNMDIAHVETFGPVMLLSEFETDDEAVASANDSDFSLC GSVFSKDTMRALDISKRLRLGACHINGPSLYVESTLPQGGTGGGSGYGRFGGMAGVEA FTEKKIITVVKPGLKLPL QC762_711510 MSFPPPPPGWGPPPPPPPPPPPGPPSSLPPPPAIPAPPPPGYQP PTNPQIAKFAQKKKEWLRDQRNRFGEKRKGGFVETQKADMPPEHLRKIVKDIGDVSQK KYTNDKRSYLGALKFMPHAVLKLLENMPMPWESAREVKVLYHVNGCLTLVNEIPRVIE PVFFAQWATMWTTMRKEKSDRRLFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMEL DEDEEAAVYEWFYDHQPLLDTTHVNGPSYKTWNLTLPQMASLYRLSRPLVSEVVDQNY FYLFELKSFLTAKALNVALPGGPRFEPLYKDIDPNDEDFGEFNAMDRIIFRNPIRTEC RVSYPYLYNALPRSVHLSWHSHPQVVYTRTEDHNLPAFYFDTSINPISSRAVAPKNLT ISHEDELFGQGSNEEPEEEEFELPVGVEPFMADEELYTDDTASAIELWWAPFPFDRRS GRMVRAQDVPLIKHWYLEHCPPKQPVKVRVSYQKLLKTYVLNELHKKKPKSLQKQSLL RSLKQTKFFQQTTIDWVEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLT TKERKKSRFGNAFHLMREILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLT GMYRYKYKLMHQIRSCKDLKHLIYYRFNAGPVGKGPGCGFWAPAWRVWLFFMRGIIPL LERWLGNLLSRQFEGRHSKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNK VNTVLQHLSEAWRCWKSNIPWKVPGLPAAIENIILRYVKSKADWWVSVAHYNRERIRR GATVDKTVAKKNVGRLTRLWLKAEQERQHNHMKDGPYVSSEEAVAIYTTTVHWLESRK FSPIPFPSVSYKHDTKILILALERLREAYSTKGRLNQSQREELALIEQAYDSPGTTLE RIKRFLLTQRAFKEVGIDMNDNYSTINPVYDIEPIEKISDAYLDQYLWYQADQRHLFP AWIKPSDSEVPPLLVYKWAQGINNLDQVWETANGECNVMIETSLSKVYEKIELTLLNS LLRLIMDHNLADYITAKNNVTLTYKDMSHVNSYGMIRGLQFSAFVFQYYGLILDLLLL GPQRASEIAGPPQSPNDFLQFQDRDTETRHPIRLYTRYIDKIWVFLRFTADESRDLIQ RFLTEQPDPNFENVIGYKSKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSVTTIE WEDTFASVYSKDNPNLLFSMCGFEVRILPKIRNQNDEFPVKDSVWSLVNNATKERTAH AFLQVTEEDIQKFNNRIRQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTVNLL DTIVKCETKIQTRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPTSDKRWS KQTDVGVTHYRAGMSHDEETLIPNIFRYIIPWEAEFIDSQRVWTEYSQKRLEANQQNR RLTLEDLEDSWDRGLPRINTLFQKDRSTLSFDKGFRTRAEFKIYQLMKSNPFWWTSQR HDGKLWNLNAYRTDVIQALGGVETILEHTLFKATGFPSWEGLFWERASGFEESMKFKK LTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQ IFRAHLWQKIHESVVMDLCQVFDQELEALSIESVQKETIHPRKSYKMNSSCADIQLFA SHKWNVTRPSLLFDNKDVIEATTTNKFWIDVQLRYGDYDSHDIERYVRAKYLDYTTDS MSLYPSPTGLMIGIDLAYNLYSAYGQYFPGLKTLVQQAMSKIMKANPALYVLRERIRK GLQLYASESNQEFLNSQNYSELFSNQTQLFIDDTNVYRVTIHKTFEGNLTTKPINGAI FIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTR KGLLDPLEVNLLDFPNISIRASELQLPFQAAMKVEKLGDMILRATEPQMVLFNLYDEW LKSISSYTAFSRLILILRALHVNQDKTKLILRPDKTVITQDHHIWPSLSDEDWIKVET QLRDLILNDYGKKNNVNVSSLTSSEVRDIILGMEISAPSLQRQQAAEIEKQQQEQQQL TAVTTKTQNVHGEEIIVTTTSQFEQQTFASKTEWRTRAIATSNLRTRANNMYVSPLDN DVDDITYVMPKNILKKFIQIADLRVQVAGYLYGASPADNDQVKEVRCIVMVPQIGGLR NVQLPQHLPQHDMLKGMEPLGIIHTTSGNELPYMSAMDVTDHARLLDAHPSWSKESTL TVAVSFTPGSVSLSAWALTPQGYKWGAENKDVGSDQPQGFTTTMGEKRQLLLSEKFRG FFLVPESGKWNYSFMGSAFGSLEKKPVHVKLDTPAPFYSDQHRPIHFSSFNELEDIWV DRQDNFA QC762_711520 MSRFFRGGDDSSSESSSEEEELYSTSEDEEEQEEQEESSEEEEE EDEESSSDDEAGPAKKGLSRFLLDQASSDSEESEEEGTTKVKSAKDKRHDELEATISL IQNGQKINDWGSIANEFDKLNRQVVKLQDGGKAPKSYIKCIAELEDFMNETLAKQKVT PKKMNATNARGLNAVKQRVKKNNKDYQSQIDAFRKDSDAFMESDDEVAPPPKPSADKL RVKETYIPEAADEDEDKGFARVDKRGKAMPFSPESILKHLRAILESRGRKNTDRIEQI KIMEELSKVANTPYLKIRVLQALVSARYDLGAGAGNVMPLEHWKAAEKELSALLKILE TEKDHVVIENAEEWDDDDKQPTLAAGESYIKIPGSIVSYIERLDDELTRSLQAIDPHT SEYIERLTDEGSLYNIVLQGLLYYETIRKDASLEVPQESLNRIMQRRLDHVYFKPAQV IKILEENAWKQVSAGVDSAITPRNKSEDASQLVNVLCNYLFEHSEGIIRARAMLCQVY FLALHDEYYKARDMMLTSHLQESISNFDIATQILYNRTLVQVGLCAFRKGLVYDAQNT LQEICGSGRQKELLAQGVMIQRFNQVSPEQERLEKQRQLPFHMHINLELLECVYLTCS MLLEIPLLAQTGSSPDIKKRVISKTYRRMLEYHERQIFTGPPENTRDHVMQASKALAA GEWKKATHFIHSIKIWELMPSAEDIKTMLAKQIQEEGLRTYLFTYAPFYDTLAISTLS AMFELDSRKVAAVVSKMISHEELAAALDQVTETVIFRKGVELSRLQSLALALSDKASS LIETNERTLEQRTQGSANAFSRKDGQRGGQRGGGQRGGRGGARTGGNAQRQAGGTQFT GGALGAAVRG QC762_711530 MPPKKVARPAQENISLGPQVREGELVFGVARIFASFNDTFVHVT DLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGITALHIKIRATGGN GTKTPGPGAQSALRALARSGMKIGRIEDVTPTPSDSTRRKGGRRGRRL QC762_711540 MAATSVPTQNDILVPETLLKKRKSQEKARAERAAELEKVKAARK EKRGVIFKRAEKYVKEYRDTEREKIRLQRAAKQDGAFHIPAEAKLIFIVRIKGINKIA PKPRKILQLLRLLQINNGVFVRVTKATAEMIKVVEPWVAYGYPNLKSVKELIYKRGYG KVNGQRIALTDNSIVEENLGKYGIICIEDLIHEIVTVGPNFKQAANFLWPFKLSNPNG GFRPRKFKHFIEGGDLGNREEHINALIRQMN QC762_711550 MPPLHCVNPPATASLFIQLSMRRRAIATSPSILDLLLPLPVRSA SGPTRAHHSVSTARSRQIPHQSGPSQIAARSPRSSWRPCSPRGNLTRRSFTTTMPRSA ATTAPPSGTTRALFNPQTDDDGQEMMLEITPRAAKRLSEIMAKDSNPYLALRIQVESG GCHGFQYLMKLVTLPPSLPSSSATDGAAESTSAIGEDDTIFTFAADGESAPTDLTAPK IILDTPSLELLKGSKVDFTMELIGSQFKIVDNPLATSSCGCGTSFDIKI QC762_711560 MVYIPFTQLSAGCCAYHSFLTFLLHFFLVLLFQSAARTSIPFFE QVDRLFSAVSHSFSSTIMVSSLTSLLAWAAVVGASPLVRHRAVDSLNEEATVEAHQRD NGATRAFSNVEIRTSDGKCLFVDELSGDFRANLTPVQIADCGSTDGQGWDVITAGAHI RGDGVALIVSSLTNACLNFDSRRQAGNQLLLFSCGGRADGGGDVTDSQLFDFDGGAGP LSLSPQNEGGNFCATARGNTLDIANCQNGDASQTFTIGGGAPASGNNDGNGGNNDDNN GGNNGGDENAGNGGNGGNGSSGNPSGTSTSSCTKSTRTVTVTPTTTPSAAPAQSTTTA PGAGNGNNNDGNNNGGNNNNNGGNNNNDNGNNNGGGSIPSVNPTEPVPVSRAGGTLQP TAAAQSHQRDEGATRAFSDVTIRAPNNKCLFIDPTAGDFRQNLIPVSLVDCTGSPNEK FDVITAGKHNNNRELAALIVSTLVSDP QC762_711570 MPGGVTVRDVDAHKFVGAYAAFLKRQGKLPVPGWVDTVKTGPAK EMPPQDIDWFYVRAAAVARHVYLRKTVGVGRLRRVHGSAKNRGSRPSHHVEASGSVDR KIMQALEKIQVLEQDEEKGGRRITQQGQRDLDRIAQTVAEAEGAEDEDDE QC762_711580 METFSSTSIKLTREALKLSELHIATLNGDLQHVRELLKSTSTSP GGTRKVIEARDRHGTTPLMTAVLYGRLAIAKLLLRYSASRKAQDLQGRVTWEYSRASL FDRKPKIRRIGNHHYSRSRLHKRAKDLVILKPVGGFETFKPGKEGNELLSTTAGFIAS ATTSPKDVKVEQFAVSGWKPNPGRGPRVLDNVVLTERVRDVIHLHGLKVRASQRDNGN QTALPEHKGRFAACHVEKKLAVWWVMKALKEVFNTSDIERLRELRGADVPNYYREAML FLDHEPCQDCWDFLHQLKRITGILICVESISFCVTGVSKLYMPEMYQPKGSTDESPIF RLGNPTRRRRQRCTDTETRPSEDDMQLPLIQSETELKGTEDKGVRQPHSRSHGGIYNG TAAPDTTVFPSTDLRPVAKPLNRIQKNIEASSRRICDAADPSNGAVLTFSLPHRPAFK SPVSSPGSEVPGRTQSVDPVSTMGGHSAYFEDDGHESDTESPVAHTSPPQASRQPLLH PIYRSQVSLNLERFTYAGAATSEAPESLLSAQLKKGRVGTIKDNDVDVVGGTNDNGSK PDVRTTRGKPKTSIGRRGKSNPRNRREVRRESSFRSRSTFARAVASRFD QC762_711590 MCPSVNLEREGWSNHTMQRSELLLRDEAPAPSCVGTLSSRDDLG LRIASVFIILLGSAVGALLPVWLARSSKLRVPKLCFFVAKYFGTGVIIATAFMHLLSP ASDNFRDECLEHILPEYDWAMGIGLATVMVMFLLEILVSRFDFGFHSSHGHQEPPETL MVDSAALRPVSSGLRMHGRELVPSSSPSGSPSPVASSIEGGMCGKHQIPVLRHEVSYP PGGENHLGHLRDHIEGDEHPNFAGQMTALFILEFGVIFHSIFIGLTLAVTENFTVLFV VLVFHQTFEGLGLGARLATATWPPDARRWTPYVLGTVYALSTPLAIGIGLIASKSMSL EATTSKIVNGVFDAISGGILLYTGLVELLAHEFMFNPEMRKAGLQMQLCAYGCVFVGV FVMALLAKWA QC762_711600 MREFMQYFRNAFYGATGWSEDNSYKDLNVTARELIDFPLPRGIR LSLSSLATPHFATSYQLCNVGVVDGSISYLHSSVPLAAVPAQSDKIPLGALMRSYRGL HQLGSRGGTPWSWETGPQIGTIPQVPAVADMGQVPNKDKSSLLYGRLYLPQSLLEAMV IKRFSSTLQVQISAVSEQSLRNGGTMLSVVQYDRGKYGVEGLYSTDGGLLGLRGLYNF GGDASVAVMGSQNGAGSPESTEKERIYGRFSAGGEMYYGTLNKSGGMSLGARFATLPT HKGTPLTATLTINPLMGNINTTYAVLAKDFLAMATRMEFNAYSYESDWAVGLELWSNR RPAGFLLGAEPSLDLESDQPELPSKKERSFQAKMEWRLDEPEPEPEPVKIAEKPTEGK EEYLGVFKARLSSNLDLGLVWEGRAKSLIFSLGTGVDLQRLGEPFRSLGLEVQYSS QC762_711610 MAQQKKQQITFEDYLGVTEAAFEWADSYDSKDWDRLRRCIAPTL RIDYRSFLDKIWEAMPAEEFISMISDKSVLGNPLLRTQHFIGGASKWEKVSDDEIIGY HQLRVPHQVYTDSSLSKVEVKGHAHSHNTHYYKKVNGVWKFAGLNPNIRWFEYDFDKV FASGRDNFGEH QC762_711620 MPSSSSSAHEPKVLHFTPVTPGYRHVPKGNIYITKNCRLLTHAA KQPLYVVVDKRDRTLGIRCPDRIYRQVLSSYHETAPKRAQAVQKRDALIEDKFEAIIL KLFPKTPKESIPVIVKHAVKKRSGRVGRSTKIGELEDKVMLAVRAHIRHVHTDYETLL REGVNREEARQRVWERVNEVAKEWGATTGSLFRKRADSARGKTVSRDRPTGAAPDTGK KRLHRPVMTKRVQVTAPETASPLDQSATEPPGRKQKKRRGRYTRFLERKARGGVMAIS PDRVRVTRRMTRQSLEKSSAEANDGVEVIEISSDEDGDEDGDVLEDDPIKVFIVDNDD EEALAVFSVDEDTSDNDYESDDSGWSGKS QC762_0114780 MNPQTAPTTSLPSLAAVAFGGLRYIVIPSHTIWYPALGGLLLGL GKRTNITPGTLGGFAPISYPHSGSLGNPPVVSILQAASGNPGTMMPSLHVHEPANVVG RNRTRLLCYSVP QC762_0114790 MCGVYRCISRAGAIRWPSFKLDICSNRRKAFHQSRRRSPKLKMH LSFATSITLVSLAAANPLSNRLAKRAAIDDCLRTANVPVDAPNSNDWRADSNPFNQRL KYTPVAIAVPTTVAQVQAAVSCAAKVKVKVNPKSGGHSYASFGLGGEDGHFVVQLDRM NAVTYDSATEIATVQAGARLGRVATALYNNGKRAFSHGTCPGVGVAGHSLHGGFGFSS HTYGLAVDAIVGATVVLADSSVVETSQTENPHIFWALRGAGSSFGIVTSLRFKTFAAP SQVTVFAINLPWTNASAIVQGWSTIQDWLKAEMPKEMNGRILGNRMQTQIQGLYHGSQ AQLRTAIQPLLTKLNAQISQQQQYDWMGAFSYYTYGQQVDVSRPYNLVETFYSKSLVT PALPSNVLQNVANYWIQKAMSNNRNWFIIIDLYGGANSAITKVASNATAYAFRDPNNH LFLYEFYDRVNFGSYPSNGFEFLDGWVKSFTDGLTTDQWGMYMNYADPTMNRTMAQDV YYRKNLPKLRALKTELDPTELFYYPQAIQPV QC762_711640 MIHRLVFHLAFACIIQAHAASPTTAKRLIIDTDLFSDVDDAGAL LLAATSSSADLLAVNINFPSTYSALAASAILAHYGSNTPIGIRRPLTNVTFFDSWFFE LGEYTSKVAYHWSGGSLPWGHAEDAWDPVALYRKILSEAPDQSVTITSIGFFDNLSGL LNSSSDIYSPLSGPDLIAAKVSELVIMGGEYPSGYEYNFWGSNPSITAHVVNTWKGSP ITFSGFEIGRNVTSGLRLINEGPRDDPVKAAYVYYGYTTARPSFDPLTVLYAMEGLGD LFEFGVEYGYNHVEQNGSNKWVYDKGVTGQRFLKLKVSEHEAGAEVDRRLLQAAWSTQ RDQVLTRQEL QC762_711650 MWNSSLELDQSEWVDMFLLVAPDLAAVPRQPLLVHRQPNEQSVT ARVITVRAADGERAVGPTSVCRPALTPVHQPTLDCHDVWVGGRPSKSGLASDRGWQGP TFTLPAMSCSFASNNTTAMIHDNAVASQAIPKTNGVAKQGEPAPVAQPPQRTLPDICY ELRGKIDAFLTEDITNDNVLRNVQSQIRVSIGVIEEALRRYGLDHLSLSYNGGKDCLV LLILILACLPTCISPPTSSGSVVAAPSEIPTPPSQPPTSEPVESAHSFHINKSLQSIY IVSRHPFTEVEEFVERTAQEYNLDLKRYALPMRPALEAYLLDRPAIRAIFLGTRRTDP HGEFLSHFNPTDAGWPQFMRIHPVIDWHYVEIWAFIRHLNIPFCDLYNRGFTSLGGVT DTRPNPALAVGEGASKFRPAYELRDDDEERLGRDS QC762_711660 MTTTAKDKRIASRLECLPSELIKPILANLTFRDIIALSICAEDN CQLANALPIMEGHLTCVCGSEIRVSDNHVSNSTLGGGSWHDPTDGELDLTPDMFRRRM ARGKELYGNGYDFFQHTLPSCLRSFWKSRPGSVL QC762_0114830 MAGPENVQMGGVPPSPGTEGPSTSTRPMPSTAEQVIKRLKELED EAQQTQDQIFAISTASKSTVKFPAPERYGGGKAELGGFLIQLKTYFRQYPDQFTNEES KVIFAATRLKEVFGEYDEARRAQGRLASLRQTRSAADYAAQFKIDSLRSTINDEGLMQ LFYNGLKEEVKDELYKESRPDTIDKYIAMAIRIDDQQFQRRTERRGNNRGHFGNNRPN DKRRRKHPSTSYSGTTHSGPMDVDAIQQQRSQGRGQGRDNLKCFNCGKAGHFKKDCRA PKRLGWKKEIASTTTSKGPRVVEVAAIGYQQDEAVSFEEDSYWHAEQEEAEFQELREA VERLKAEHEEGDLQIQALLEQVARVTTEIATEVTKDDNPTQWEGPTRWTIPGKQYGKH RIAVIQAWPKKLEYKSWEEYWKDKKVASIGIAKLPGDTPTLTRYIPCEGDTAQLHPRY PEHRRVPWFQCITHGCVEHHQSKSLHGHWPVRKVGKEGKPQPVRKTMARWEKANEELD DYLLWKTGKAAQLENGLQVIQFTPRWAACCLGYTNERYTVDSEVLGLGMALRWCSTPD CALHLRQKVRQYHQATEPKASAKQRRYYQRCARQNARKVRDGRWEEARRVWLKDFVLL DNPGQEDALERHNRLGNDSRPFARAGNL QC762_701600 MTFAVDQADLAWSRLLHSLEREPGPLHSTHEAFTFIIILFFPFA VRYYVELFINHRLYFDKNARRAQCSSSNLVIHQYHDR QC762_701590 MVSQQELDAIVDDHASLKKITLKVTVGVFFALSILSVVARVAIR LKTLRRLSLDDYLLFMAATALTVTTGLLLHSCDRIYLSAALQKDPALAFLISSELLMD LLNHATQQFHTFLILAWTAIFFVKFSFLAFFRQLIWKTRVQRYYWAVVGITIVSYLFF VAEPFILCSEFGIKALSCFSPSKNILYISLTGVVTGLDATTDLMIVSIPIIILYQAKI RTRQKVALGMFLCLSLVMVCIAITRASKIKGAQGIDIPWEFFWQFMEATIAVLMGSLT VFRTLLAFQTNKNSEERKGAAGPSPKSRALFSFHERMRRWREKRAQHSDEESLSDLPQ IPSATMSGMRTFIRRNNRDEGLETSAGTVRVLSQNDTLAENFDASSHHRVAVTPNKQD DHRVDSRQGNGVSWPLLSSGYVLISTEIRQERLTTERDELHSSHMTSLAGSTVQGDWE STYRESGQPGSVYRDSRQYAYNPQMSR QC762_701580 MRTSVVSLAVFIVGALASPINMDMARAEQQVEVPAALQIQLRDT ECAAKRNGDENKYNRHGHMTYYEVGPGACGHDDSGKGYTHNIVAISSALVSGQGTGDA CGRRISIKGHDGKKVTAVVRDKCPSCPPGGIDVSPKVFKELVGSLDVGKTEVSWTFI QC762_701570 MDFADSDEPPSKRRRFFSGAQPQEEHATQYANLSSPPPNDFPKT AATSDMNPAVIAFDQPTFESFVGETVSPDIITILKEHCGDNIERAINLYLDGTWRTLK RKAPPTATMRPGPKSPTQSNRASLKVPHQAPATFHSMPESRYIGAFGAEGWATRSGAG LVKHGDIVMIERHKIQPPKTKVQSKLTAATARRNDVIVRFSDRTGREIGRLAKETADW VSTLIDQKICKFEGICVYAPDRLRTNDTVYLQLRCSLLRSCFLGRGFQVADNRDASPF DQGESTEEKCLRLRQVALVRLFQEINLVPTRANSAAAKHRRQELLQAAEMEDKKEKEK PTTRSESQDNPSSSPNSDENEDGQELEQDQLDALYKKAQSFDFNTPEAEPADTFAMTL RPYQKQSLYWMMAKEKDQQNKEHEESMHPLWEEYTWPLKDYDDKDLPQVPDQPAFYIN PYSGDLSLEFPRQEQHCLGGILADEMGLGKTIQMLSLIHSHRSDVAVKARQSPPHSVG FVNQLPRLSVINGASIAANAPCTTLVVAPMSLLAQWQSEAEKASKEGTLKSMVYYGAE KNADLLTLCSEANAQNAPDLIITSYGVVLSEFTQIASKGGDRATTRGLFSLNFFRVIL DEAHNIKNRQAKTSRACYEITAEHRWALTGTPIVNKLEDLFSLVRFLRVEPWNNFSFW RTFITVPFESTDFVRALDVVQTVLEPLVMRRTKDMKTPDGLPLVPLPPKHVEIVDIEL SKAERDVYEYVFTSAKRTFNANVEAGTVMKAFTSIFAQILRLRQSCCHPVLVRHLSAN ADDEEAAAAADAAAGLADDMDLQALIERFTATTDDAADSNAFGAHVLNQIRDEASNEC PICAEEPIIDQTVTGCWHSACKKCLLDYIQYQTDKNELPKCFQCREPINNRDLFEVVR HDDDLDDGRPGDGPRVTLQRLGVNNSSAKIVTLIKKLRELRKGHPTIKSVVFSQFTSF LSLIEPALTQANMHFVRLDGSMSQKARAAVLEEFKESKRFTVLLLSLKAGGVGLNLTM AKRVFMMDPWWSFAIEAQAIDRVHRMGQEDEVKIYRFIAKGSVEERMLKIQERKKFIA SSLGMMSDEEKRVQRIEDIRELLS QC762_701560 MYRSIRTAGHGLKWTAPRWVRECRRGIVTDADVTQSRDYCLNQL KHSDYETYLIRQFVPRSANDAYHALRTLNLELARLPETVSNPTIGRLRIQFWRDTIER VFAGSPPREPIAILLHSAIAQLKSRAGDGSASSLKFWLLRFLKTRERHMENRPFVSLA ALEDYSENTYATLMYMTLAFMPMRSVHMDHLASHIGKACGIVATLRGIPVLAAPSTPI QGPSGANLGSTRSPALLLPLDVMADAGLKEEDVFRMGPNAEGLQDAVFHVATRANDHL ITAREMLKNLRLGKGPDHDYEHEGEAEHVYSSAAHNVETAGSDIKRGFGVLLEAVAAG DYLKRLENANFDPFKVKKSWKLPWSLWQALRHEQI QC762_701550 MPHRVANFFRSSTHSLEHQVSNFTKKSSSGSRRRASPPGSGRST GSELVAYTSEEELDDSHAPPYVPRLKMGDSRTSSFTSDSHKEKDHGHHHRLSFPGMHF GRSNKESHVHTPASLDWKLESPPIVMYGNAENSTGALLSGQLFLHIKDEALEIESFTA TLTIRVSQKKPFANHCAECTSQCTEIKKWSMLEHPLVMMRGQHSFPFSVLMEGHLPAS METPLVSISYEFKAEAIPRSNGITPVPPIRLEKVLDVKRSLPASEIPHHSVRVFPPTN IKASAHYPHVIHPIGSYTLALRLDGISKLNPRVNTIEYWKLKKLTWRLEETIKTVAPA CGRHSPRLGDSEEGAQPKKGIVRSDTRIIGEKTLFSGWKSDYTSANDAKVELELEYSL GKHARSACDTKSQDGTEVSHQLMVEMVVSQEWAPVNKPSLVTHTGVGRILRMHFGTIL TERGGIGISWDNEAPPIYQDVPPSPPAYSQETNLHSDASIAEMIEPLDGALTAGPVHR LVVEEEGGSSRGHWRASSEGR QC762_701540 MNNKSAKQLPHEKRKGEAALSDFAEYVEQQQALRFPLSRAPATS SVAHHSATAKSAQADADHEHHDELDDILDSLDLSDPAPRVRLREFLLSPESSTDALEG LSEIIQKRLLEGHGETVFDVGVEDNGDSMKLTRDEWDTAYKRIIDAAKKLGADCQLLL TKNVGGELDGAPTKDKDCSGKVMVRQAPSTVEEVIETRIAVVGNVDAGKSSMLGVLVK GDLDDGRGKARVNLFRHKHEIETGRTSSVGMEIMGFDTKGEVVVSDTPGRKLSWEEIG KRSAKVITFSDLAGHERYLRTTVFGLLSSSPNYCLLMVAANNGLIGMSKEHLGIALAL NVPVMVVITKIDICPPQILEQTVNQITRILKSPGARKIPIFIKNLEECINTATQFVSQ RICPIFQVSNVTGENLDLVRSFLNILPHHGRYDAEAPFEFHVNDTFSVPHVGTVVSGI VKSGVIHAGDDVQIGPDSLGRFTQTSIRSIERKRIGVPMASAGQSASFALRRIRRKDV RKGMVVLAKLDGTPPKVYREFVAEVLILSHATTIKTKYQAMLHVGPVSQTCAIIDVDR PFIRTGDRATVAFRFVQRPEYLAPGDRLLFREGRTKGLGIVKSVGYDPSQPLMAKQGD EGTAPLPQTATEESKGAEVMADA QC762_701530 MADVAEEAPSVSEPLDLVRLLLDEVVFVKLRGDRELKGRLHAYD SHCNLVLGDVEETIYVVEDEENDEEVKTISRKSEMLFVRGDSVVLISPQSRIH QC762_701520 MELLTILAVLAVVLPSLYIYTASVVSTRFPKLENKSICLLIAHP DDEAMFFAPTVLALTRPETGNHVKILCLSSGDADGLGETRKKELAKSGVVLGLRSTSD VFVVEKPEFRDSMTTTWDAGKISDLLVSAFAPQLNKSKGASSPPTASIDVLITFDAGG VSSHPNHISLYHGAKEFVGALVAGKAGWASPVDLYTLTTVPIARKYSAFLDVLPTLLS WAIGAGKRDKKHPGGLVFLNGLAGHGSFTTAWKAMTTAHKSQMVWFRYGWITLSRYMY INDLRLEKIKRK QC762_701510 MARQRKDPVTKVRLRQPDRSGPKEKTLLEIAQERNLFAEAEKRQ NALRKKTGKSGTVDGNSSSEDDEEDDEEDEEKGLSPTAERILETMLWASCLSMLHFTL DVLVQHQYSADRVVWPTVWTRFFQALLVFGLLVYTLHPHPSKPTLVPGLPLRYQSILR QSVFFICSICAGCYMIHITNMFGYLAVMKQAPSLGCLWVWSVIELELPWAVLSLVGAG GFLWLNGYDIK QC762_701500 MTMMRLSTLLSLSVGLLHSGGQAAPADTSRLAPRVPNGVIIEHC TVPGTVALTFDDGPFSYTGHVLDLLDAYGAKATFFVNGENWSHGIDDPSTTWPSILKR MIASGHQIASHTWSHQDLTYANWEQRRYQMQQLETSLRNVIGKVPTYMRPPYANCGGD CLPDIESLGYHVVNFDVDTKDYLHNSPGTIQAAIDTFSWAVNSGGQSSYLVLSHDVHQ TTAEILTPAMLEIIQENGLRAVTVGECLGDPSSNWYRY QC762_701490 MIANTDFVSIFPDPDDAGFDPDSLPKGVSPQELRYTRSQFRKFF HPTVPNPEHFDRRKLAEMLPFSDRPSLPLIAAPGDEKPSEKAPLLTVVGHDWDEFAEQ CEKGTMAVLKQVINTFMNPMWSRYNDGLLRLVHTPGNSNTVKVASGCGHFIQKDDAEL VVREIKHLLHNL QC762_701480 MKITTLYVYPIKALRGIKLKSARIGPQGIAHDRTFMLFQVSEPD GELKKMQVDSHPQCALFEQELSGGNISVRYHDPEIQDQDEQPLVIPLTVDTATLAKIN VNLHGSAPSSAYSMGSPYEDWFSARFGFPIRLVYIGDGKRAVLGDTLPPKQHQQTTQN GKGGWLSSLTSYVTGRGQEGKPWITFTDVAPLLVTSESSLHDVSARLPADEPMPMYKF RPNIVVDGQGEEAWAEDWWAELTFNNKHKLLLTGNCVRCVSLNVDYETGKPAVGELGS VLKKLMKDRRVDTGSKWSPVFGRYAFPAVMQDSQGASFELRVGDEVEVTKRNAERTVW DWPGL QC762_0114980 MSFEGIQSLEELTQVYEYLFNQFVLDMDYGHKAFLDKLVNTRYS IQKALENLEKRTAELLYEKKKWYNWVRDAQDDQDKNREKEQKKRFKQEHALFQRHKRE LEARLAAARAKEEERSQAAYLEEILTERMAASASEDSEESSWDPIENVVENTRGQYLD LIRHFLWIEPPIVENKEEEVCVHPTPAGAPGVGGGAEAAIEKEDEMTAAEKKKARKRA KKKSSKTAAAAQNQEAGSSVATGKSQPQPDKSKIESKEDVRKRLKEGVEKDYSHINRP MLIGTAQNPAELAKRTAPVKDEDFVKLIADITEIKELLFCRQVMSRSALLPAALKANS LGEFLADPSISDSDLLDLCIQVEQPSLQALRDACADFVRGDEPDKDEDDEDGEDDKYG LVTEYIHHHYRYGALEDLYREALSSMSRRVLRGSDKLFKDIAEDEKPKDKKMKGFVPF FIDLTAQQETTYNQLGTTRRKVLRKQSLVVEARNFICAHMKRNDPVSRRFIQYALMRP DEHFILVRDGKTGRIGDAPEDYNRWIVRSRS QC762_0114990 MSFELTGMQCQWKFSFSEYYEIYIWDFAPGNGLGKMYKYIKECL SKAHRIRGNRDKYKHKKPVMETLTREPDTMRVRKIQPGENVKSLYDELAGPDAQFYVR TNLGKMIRTCQDVPPGCSPYEYYNDTDAAEDAILFEEEQLEGVQNIPFVEISNPVQQL ESTHMPLSMLNHKANQLTGEMPDSLEEILGVSRKKLVEKKGNTPYAPGSEEFPFEAPP IWQQQHDIITETPLTSPRAKLLTSLDFASIKLKISMAELEETACAQEIMERDRSYIFK DTFHIGDLEPGAQDRYIESMKLITGLQKY QC762_0115000 MEILNCLNLKIYYDVYVRDPANPWPHRYILQDIVQAFMTMGLFF PNVEVTSIVQEHPGSREGQAFRNSKILDPEARRQVRPDARTRTSCAYRPRKFWDGWEN KVYTGDDLYIDKFPFDWNMAIRPIIAKFYRAGMIGPACVEPRPDVVPGFATANTEQHR PDKLDLFIMYSSTDEFVQGMPPSFIDYRDWPELLPAARRFAAIYKTKTPRFALLRLWS APHFYPLMMLLPMRQAVSFLDPVRRAWECSVYSKGRAHERMECTQHNDVAARVPS QC762_701470 MGAGQHPWRAKPDQKHYLEDDEGVVYRHDGSLPPRPHSTVQKKR QVLGLDLPIAILTFSLAFVTACLVVVAGLLGHKVLQLERTLPSIINTQVNTSTSHPQE QQQPLLPISASLTETIQVSVPGWSYFGCYYDNSDRVLSDYVQYDKENLTNQICADKCT DRIYQYFGTTNGRRCFCGSAADKLKRAPDWGCNAQCPGQKDVFEAWVCGLVYKRKGDL RPSKHLSVDIPVVAE QC762_701460 MKPPPSPTSIAWADANCKRRVPRECDFSRPAGIRSYLDPPRIQL RPVGIACDSLLHCPVPVPPHRSAAARRRPPLSLPPPCLSPPPLQSSACFYSVLAYCFP RPHPRNLDASDLSLPFTLSPESSEACNPFSVPRTMTVSSDAGSKLEGVAAMSNSAASA STTAAGGTAPVGDEVASTITVNTKVPAASFPTPKTDKPRPHVCGTCQRSFARLEHLKR HERSHTKEKPFECPECTRCFARRDLLLRHQQKLHQTTTPSSRPRNRRESASGAAPGAS RVRKNSIAGPSAAAAAAAAAANSNAASMRPRANTISHIDNAAMQNMLATNLQVQRGIQ PTHSRHASLVGLPMSNTFDTYGMAAALAQRGAPHGLPKLETHGISMDFTGGLRTAPPL PFNPEFEYDNLFFGPATSSTINPNALHYSDSPPPMSMDPLSPFAHGLPDMTGTQQLDD GFEWLNGFENQMSFNSGPNENAVDGSSPSAISTTSQSGISDVMVDGSNHQSVSTVVWQ PSIMGPPQMGNPFSLDMGGSVFPDLLSGAPLSPQPPPAGKAIGDGYFSTPPPSLSSLS PSILSGLNGPNLSQTLNMGAGPETPSSMNGSNHGTLPVSTITDSTRNAILGALSASQA SAFGTRRYSFAASNSPLTAQPPTNTSSSDQNSSSNLPSTRDLQRYVGAYLRYFHPHLP FVHVPTLSFETLPQSANGRNSGIGGSGCLLLSMAAIGALFELEHQASMELFGLAKKMI QLYLDERRKANVRKAESIRRTPLSDQNSHQQDPSVETPVWLVQAMLLNVVYGHNCGDK RSGEIATTHAAALVSLAQGAELLRAPRPEPAKDVDMMDVDAAWNGTARKEADEQVEWL RWKAAEERKRTLYAVFILSSLLVSAYNHTPALTNSEIYLDLPCDEEFFAAESASVFQS KGGAPAANRNRMTFHEALGELLRTNEKEQKNLALKNVHQPFGTAVNINDLPKSNLKPS SFGCLILINALHNYIWETRQRHHNKVWTNEETEKMHRHIEPALRAWHAAWASNPHHSP ERPNPFGLGPLSADAITMLDLAYVRLFVNLSRSKEKFWQRDWDGMAEELARGSEIIQH AEHSPASNTDSAATEQSDASGVSPHFVDSPLTQTSSPDFAASKFSQSGTINPAAVTQS QQQQQQQPSGTRSTTRREKHLRKAAFFAAESLSVSDKLGVTFANLTSRELPLQSTLCV FDCAQVLAEWVATLQDRVGRYLGILGRDSVDLTQVPAIMLLEEEDLKLLGKIDSIIRG AETKMNQDMAGGDAAVTGMDGKPHLGDSPGYAANLLRVTAYMFDKAAVWPVTRLITAC LETHAGHMRVRAEKSVMVHE QC762_701450 MATAITTTETRSRKANGGANGVLKTKVVEYPDIQTIRDAIPAHC FEPTILHSMAYVFRDLIMAGALGWAAFTYIPQINDSIVRGLAWALYGYLQGLVLTGVW ILAHEAGHGAFSKHQNFNDVVGWVLHSALMVPYFSWKFSHHRHHRFTGHMEKDMAFVP ATKEDRQKRRLADLYLDRELFEDVPLVQLVKLIFHQLAGWQMYLLFNATAGPASKQRE GGWLRVSHFEPTSAVFRPSEAVYIFITDVGLAIVGYCLYLASTMVGWKMVFLMYGQAY FWVHHWLVAITYLHHTHPDVPHFDAENWTFVKGALATVDRDFGFVGRHLFHGIIDTHV VHHLFPRIPFYKAEEATEAIKPVVGDLYHQEKGSFLGSLWKTFTTCKYVEADPTVPGT LKWAESK QC762_701440 MDSNEAQRQTCEEEGGKIIDAESPFDGPTHTYPKATSYTPPVPK SRNENAPGANVDHNTAAVPDDHGPRDKKPSLVGRLANRLGLDAGTLIIMFKGSLPPTI AIAMYQAAPITAYFTTLGYLVPIVSVLALAILPRGKFLMNMILNLFSVCLGAAISMLA LWSAVKARENTRSSAPRPASGTGGGQALTMVPYNSSQSAVCAVWLFFGIWLGNVVRAK LPAFNLPVIIFSIFVNVAATYGPFMTTTTGAQKFVRELLTAMLVALALAFAVNLFVFP MSSRLVVFKEFEGAIGLLRRTVKLQREYLVSLEKEDMFAVASHATGSREENGRRLTKE ERAAKQLKETGDKMRQLAGKLHADIPFAKRDFAWGKLNGDDIGQLFSLFRNVYIPVLG MMTIIDIFKRVSEHRGWNRLRNEDELSEDEIAAKEKEKRVWNEVMKQMHEPFEILSGA IDQGLEHAGLCLGLLPRPKRTRDVEAQGEQVRPGDPRLAKVMDEKVAAFYSKKGELLR TWVRERGFRLEDNEDGYGEEHLTEQRERDQSQLYIVLYMENLMHASGEAVQALVAFAD KKVADGTMSRNRVIIPSNKRLKKLFLSVFNNEDSSGEESPDLTETRNTIVYFGDGYNR KKDPEHLPPETAWQHFGNGLRKISAFLGSEESMFGFRVACATMTVGIVAFLEETQRFF MEQRLVWAMIIIALGMTMTSGQSFFGFLCRVGGTVIAMVLSLIIWYIVDERPAGAIVI MWFFVFISYYFFLKYPRFIPAIMITIVTQVLIIGYELQTIRLGKEIAERTGQPWYPTY LLAPYRLACVAGGSLVAFFWTIFPSPLTDRTWLRRDLSATLYLVANYFGVISSTLRSN LDDTAGDVDIPGTPAHQLHKISRKIFGKVMMLVPSMMQHSEWQRWEPTIGGKFPREAY DDIIAGSTRIMAYLTLISYTLMHPTRVYHATSKEHNGEDGDETHIRSREWLNALAKVL RTLRPTHHSILSTLTLLSNSLLSGQRLPPFLPLPRPYEMTRRLMTLSSSKSYPKHAND DEDNGSEEGPGEEDDEDNESDGAPVRLVDSRTGRDDRDEDRLLRKRTTRSSHKLDHIP EHHDGGRPRKRRPVEVNLLDPRNMEQPGYAEFAVLQVCTTLVCDDLEGLVKAVSGLVG VVDFSFRYEGSESTLGANSGNETASEGLRRRKG QC762_701430 MPVYYTPPPTPPPAIPSPSPPPSPPLALPDPDSYPPYPSSHSSR SRSKSTKSSLSSSSSSKPKHSHSSDNHEEGGHKIPYVFLGSIAAASFLAHKYWPKGYV YGDKEDWELSKYERRAREKLQAAKAAKRGKVNEREVKSYSPPPPAQDGREARRGGYAY KGPPPVSHRREVYEEQEEGGVYSNPGSRRGSLKGAAPRGRIDPRGYYNDDDREYTRGL TKYTTTPSILRSKSQSGRDQFYSDVVPSRDRSLPPRRASSVANEEYYMTPAIGPAASR NRDSHYPPPPPRSYVSGRELSRPRYLIEEPRDGPPLLARPESMRGRELQRRPYYDEEV VAPRVPREEVVYVYRDAPSARSRRASVDLGAGRNRAFDWDYR QC762_701420 MAETASPVVMVATPAHRPLHPFFTPNRMTPHALESEAAESSPIS TPITAKDSSKTEDDTAVNQTLESGGKKRRNVNSSDALEAEEPQKQKTNKRARVSGASV IASLFTKVKQSEPCPTDTPELVGKHEGSSATQPSSNDTSSTAASTEPSAPGPTAPKPK KLLLFDPKTGTIGPPPVPKATPTMQGTVAAGEKQAPKKRGRKPKAMVVCIKYGTDMET RTRIGTRINSILSATPAQPAVESEPPQASGTEKTYPTAPKPAAPTSSKSTHPFFLGKA KKQEAVPEDVKPSEPTSPQPTKTKPKIFGSTPFSPKKPRTAQVHKAPLPQFGVKNLGL KFPGAKQPAWPWQGMVHIRGDEDEPEAIGRPETQVAEDNLCLLAARKSKGLSVKVPLA ESVLALTAMTLNIESLVKDIRNINTDVVVPPPPELRLPQKHFESGSKLQARILPELKT FQTSAPGKKGTQRTLFTEGTGGKAQPPAQLSLLFASISSSLSAFDQSQCETANWVQKY APTCAAEVLQPGQEAFLLKEWLQALVVQSVDTGSKDDKVSPRSKAKHGKKKRRRRLDG FIVSSDNEDYGLDDFSGDEADWTASGARGILRKTVIRSLSLGKGEKMANTLIISGPPG CGKTTMVHAVANELNFEIFEINSSTRRAGKDVLAKIGDMTRNHHVRQHESSTPGDNND AKGEDETAADIKSGKQSTMASFFKPNPVVAAKPKSSTVASGETAAPTDVKKGSSRSQR QSLILLEEVDVLYDEDKQFWSTVVDLITQSRRPFIITCNDETLVPLHTLRMHGIFRLS PPPRDLAIDRLILIAANEGHALARQAVETLYDSRDCDIRAATMDLQYWCQMGVGDRRG GFDWFYPRWPKGVDLDENQEVVRVVSEGTYQPGMNLLARDSIVSDKTTPLQAEEELVE QAWESWGVDLGNWEDTLRLGTWAEKINPVNATPAGRLGVLEAFGEMTEALSVADICSL GSFATHKEETMDATLPRLSEKAQGDFVQGLSHLDSPCTTHYDSLVTSLPLTIKSFGKA SLKKRIEALQDQSADEFCPLTESQAVQCLQTSFTSPTPGSMAITRMDFAFAFDNIATP ESSSAIPASYLDPSVFDRTLKLIVLDVAPYVRGIVAYEQSLQKQRLKMSNLLSEGGKG TQGTKRMRTTRAALSAMEGGSRSNKRGERWFKAELNPYLVARTGGKGWNPGLDLDELP IPPSPLKRSPMKSSVGEGTSPEASPVKPKKAVEKRGKKPKVQKIVVDEEDTAGEV QC762_701410 MVSKLQIHTPYVLTTLAAPFADSQGNGRHVAGEVFGQKQGLKRK KRSELAVAIDGVAVTIYDVLSSQQVISHDVSTESVFTCPPYSLRWRPSSGKSASRYTY VSATTSKEKQITLFKEEVSTSQATAVSSLSHTPRTKTPIVHLCAGAAHTSPHSVPGDE IPNHEIIAVASDGTIVGLDGETLDEKWSTAPTVLVQGLASGSGSHLQVDFVQATTAAD VVDGLFGGKNELFGVFKENVSRDGFNPDILLIITSSKTPENVAQQNLHVLALPSGRQA QSIFVTRLPSFPASTEYQLDARSGTLQTLANGLLSSYTFSSGSPRLETKLQIPGMSSF LRISKTSVLAATPESFSIYNPIFRSLQAATPANSEGDCQLISYFGAREIAVGLHGSSL IAVQVEAPKNRNTKRRAEGLLTDAIRRGLPREQSETKRARAEHHSSAVLEQALPGVLS ETMSAEWRNGVANAEELLAAEDLRAWEEFLASIFKVQTKPIEAQEAGSAATNGIVASP QLPEWIWPSSRAEYAHVDRRWIFYAISKVFAWNQPAADSEEPRLSCPLAASSVLNYLV DAGHLSTSNVKSSFKDETCELDNVDDIIGAELPPLLAQVDPTMALLLEYLSGTQLGPT ELVSAVKLLLHSLDVDETPSSPEQKRLKGVAEKSADEDNDAIKMELDKAEEELQITEV HLSGHRFRGLGVAFSKLAACPAVSTVQSLRRLFKPEQIIGLLNVLRAELIKDGWTSDY REEIEAPPDGSLQLIADLMTRCIDAVGLGGWMAFDGVLANSASHEDAADYFGRFNHEI DSALQGIHDAIYLQSMLAEATRYAKRARRALLDAGKGMPTTVQFTEQLPVGLKTDARI STERIRSGGEIVQRSSRDIGQAISRRRAVYSVQRIPEELLLGVRGSRETVVQEAK QC762_701400 MTVANDRVLRVESVDALAQQLYRRSRTAGPDFSKLSKAVGSLQR VLKHLRDEIQDADSLLNQPGSANHDSPNGVYHEELTRLVQESDYTIKQVNTIIEKYGG ASAVSNGMGAESRDSSRTPDEDPTEKALKIDLVRERVVSQKAKLVNFLDMVQLHNPSK VHHSLVKLDNNSQMEDIKNKVDIVANRLFQKRRGSPVGEHQEELWQEFKTELENEGFS SDVLRNNKEVLRAYIRELESHKAPQDGTPPSVRGLLEWGTQQPMGEQGAFSSYPVPNP DPRPNHGDAPISNEGRRRIPTSDTEKTLQVHRHLQPPAISNHSSHLSYDYCTSSESSD SESSPVSQTALISTRDILTLDLYEANKMPGRLGSRGPPPNYNLSPGTSPGNRYLPPGV QPLQIPGADAISYDGQYSRPPRYTSPSSQFPPPYTRALSPSSSTVTPPPPYTSQVSLS VPHAGNDLSYHQHQQQQARQHSNLAPDGRGKQIPLDATWTRIKRSLVSPVVLERAGVR YEARPTFVAVLGKLSPEQIAEYARQTAEVRNARGPSNAPSEIYEPPNRARPRGYPEGR RMRGGGGARIRSNNNPPQPAHWDDGDSSDDQRDYRQEAARTKYIPRGYTPDKYRPDDK GARAHPIIISPPDSGYGEGSRVSPSATVEPKPILKQNHVRFNDGPRDISPGYYTDRSH REKGRRRSERDNQDRDGRARDDRSGDRDSRAREQREREWERERERERERERDRPRRGS SRDRERERERGRGRGREREREREREREREREKERERERDRERERRNRQYTDRDRDRDR YSRENSNGNRYRDRSREDDRSALRKSHWKETAGAIGVGGAAATLLSVLTDAVQYL QC762_701390 MYSSLTLTPVLILRSSRISQQQNVKVPHMSAAHATRLSAFPLSV RYSVVVHAASCSCSPGKHKDPMEADFDPAQPFRGVVICCTSIPPDLREDIASKTIELG GLHKYDLTPECTHLIVGNYDTAKYRHVARERPDIKPMATAWVEAVRDLWVRDAEIDFA ALEKQWQMRAFERDGGTMDPNHPRGQLLCCTTGIEDPAARQEIANLIEANGGRYTGDL VKDVTHLIAQKPEGKKYYASKRWGQQTVSVEWVRDSVERGMILDERYYDPVLPPEERG VGAWNKERAQHAAMGKRLRENAAAQEDGKRKLRKTASMKLNSQRDNLWGDILGMGKPP QPETAEPFETAPQQPAPSVSFQHVTQPSLSGPNPTKSMDTQGSKLSSFGRPDESGVFA SCCFFIHGFSAKKTEMLLNTVASLGGMICHSLEEVSSASGAQMAHRFFIIPQDSPPEI QPKVPENVSIITEFYIEKCLHKKRFFHPADHVIGRPFPTFPIPGFEKLSICTSNFTGV DLNQIDKSIRQLGARYEERFTADISILVCPTLSGIRPQKLKLALEWKIPVVNAGWLWK CISTGSIVPIRDFLFPELKQQDLQGPKHTMAYETPEATKGRQKPKQAARDTVDKDLLS KSTATTKPTRRSDIDASAFALEKTDTVAKPPKKRMAPEDSFLTTANTHFETAPTHHAP SESTKTPSNETPRAPLSEALPNCLNRPSSSSSASSRSRQAPETHKPLSRTRSEVADSE ATDGDVGQSADTASPLHSIPEVEARKDNAEKLRAMRAEQERIALSEKLTSALLKRTTS TVIAAGSESAGLTADRLSSMEGDRQQPQAKRRKREILGRAISNVSAVSNISAASSSEQ KDAENGSLGDKDKSQGPLVSSSQLHFEDPQSRKAKAALLGKLTGSTVEGGGKLTAEQG FVTIGDLGGYGPSNNASNAGAGAGALPQRRTARRR QC762_701380 MSSLPLSMKTLLQLSPHSPSLTLTTSPLPVPSHPSDVLIKVYTT APCKGELTWAAAFPDVIPSTKTPVPSQDLAGVIVSLPPAYTGGFKPGDKVYCRIEATR PGAAREYALARLSELALIPKNLGWIRAAATPLSSLTAWQALFTHGGLNKEGLLEGKNP AREENAKKRVLITGATGGVGSWAVQLAALAGCEVVGLCGPNKIAHVKELGASEVVNYK ETSLRQWASPEREVDVVLDMVGGKTLEGCWFAIKDQGKMVSICAPPESARPSHLVVKE GVNSNFFIVEPLGEDLTEIGQLIEAGKVRPTVDSVWSFEEYGAAFERLESGQTTGKVV LRVADFDK QC762_701370 MAFSLLLSASVLLLSSTFSGHAVASSLPFTNTTIPPTNVSIPHT HAPIKLINSSVIAHDDGCVHLPIVHSTNANHFSKKRGVQLQLANRSDIAYYAQLSIGT PPQPVFVQLDTGSFELWVNPDCTTVQGADAAFCQQVGRYDGNTSSTAKSLGTTKTLKY GIGTANITYFEDTITLAGTATALQAVQFGVATATEDTFSGILGIGYGKGIATKYKNFV DQLVAQNAIRAKAYTLALGSKEEKEGVIVFGGVDPSKFAGPLAKLPIIDANNSPDQVP RFWVDMNSIAITPPNNDTKVYEGSKMPVFLDSGSTMTLLPPDLAATIAKDFGADKEDD NGFYRIDCGLTSMNGTLDFSFNGLKIRVPYKEMIREVPSNPPACFLGITPSKKFTLLG DTFLRSAYVVFDLETDSIWMTQATSCGSTPAALGSVNDLSMVVGACGLTAEPAVTVSS AGGTTATPGVGVGGGGTGSDTNGASATVSTVVVPESPPGATSTAGSPVTSSSSRCHPM AVVAMAFALLALANMG QC762_701360 MADRCILAISGIPGFSQPLGRPPPPIISLGAHEIILPRRWQCQS CNGQTLCNAYELHLNPLISPPILVQPVRQQEILSSYLESSSSDYEDIPNIKDWKPTKQ KASHKPEKNKVPKLQPSTCWNCKKPMILSCILLNKFSNPICTLSGVNLIPNRLTPAGI QCCACERPHFLSLRPFSVDEQDHPPSQRPTTLHPSSKPLTYQSSAAPGARNPRPPCAH CLHPSTLYTAQIHQKLTQESCQGNCWIISRYGERLAKIQDLFTPVSLPWLLPDANPDD EPKQGLLHLHLRLCEDHVGECSSAVGEEKQRKNRAQKRAEASAARTTEREHPTRSGPG KGTSRVYRRVAAAERRVVNAEEKLSEAEKRRDEVVEKIGEARVWVLGVLTGRRASYEH AEGGIADDGDGSGEDGGDNRDAEMKDVSEADGGGNDNVVGHGQDREGDVNMDTAGEKQ DESPKRSRKRKVINWDDKSLPQPDPSHVRYCSYRGIPLYEPRPGRRR QC762_0115130 MNIPRGSSGRAAKGTVMQSLVKLGFIRPQDKITVATQPDAGVGM KRKRNDDDASLRICGLCNKTFDEGANGQSACSYHPGWHIQMDSYTSAVAVMARNLGGG MARTKPETRRKSQGVIELSQMKILDVGDKDEDNEENDNQETGDEENQDEDC QC762_0115140 MAVFDNISDILNAPPDHLKAALRVLCSKNGDVQKTLTEYLSTLH QVCTIPLLGRVDAAGTFKPAVKTTAPISLQSEFHLYERCEETFFDSENKHGECEYHDV QC762_0115150 MATHAERLDYLFNAPEEHLRDAVYALCNNDEVSYFYFYSYFLST RTSTSGRPPAPAPVRAAAPAPKSRVKHDYLECVQCEASFFVSEHQANECRYHPGKLEC DWEAGGWEDWDSDAGDPRDDERNKDLYLVGFKYTYCDKEDGRSGYMEAAVLRKMKRKR AHSDYQAPESNRYVRYRR QC762_701350 MIEAVSIKVIISDPSLVISATDINFKMHPHLPRSPPRPHRRTEP PPKLASCVPGASHQPCIVLSEQHEVDIQVPWRSVLRSISLGIRIH QC762_701340 MRAFTTLAAVAGVLASGASAENYLGFNSGSTKADHSAKFKADFL QEFKTAQNLESAPGTFNAVRLYTNIQAYSKDDPISAFEAAVETKTKILLGVWTSGTDS IANELNALKKAIDKYGKSFTDLVIGMSIGSEDLYRISETGIKNEAGVGAGPDVLLKFI SDYKKSVAGTALAKVPIGHVDTWDAYTNATNKAVVDALDWVGVDEYPYYESGKGNHIK NSGKLFDRAYDAVAAAVGGKPIWITETGWPASGPDWDEAEATVANAKYYWQEIGCRKL FNKVPTFWYNLRDSNPDNKMQFAITKDLSTKPLFDLTCPTTFDTPTGTSASSTASATG SQTSVSAPGSSATGGAGSTGGSTDSGSSETGTDSEASNQDDVVEGSASLGKGLSAVTI AGLALVAGVFALF QC762_701330 MSHPTSGQSPSGSVPSQPPKSEAYNAGANANPSAAPMHLRHPMT RNLSEFAVGDTPLATASPTANAPGTDYLTCRRNPYNEASGKLTAGSLRRGVHGGKPTS ERERRATHYEGEGGEALQNENEDAQGKMETCGEGKVADAVERTRRRDTSGEPHGRVRG EVSFLGEDGDLERMKARQEVERTQIKKMRERGEDVDGRGGKEERKPSVEV QC762_701320 MEFTSSHPVSIHLLPADACKPQDRTFSTEQTPAGNYQPASVFMS PASQLSPKFYISVVNLTPHRFILENTHSYQMSTFDFGDVPQGKSRQNAIKYRNRIATQ DDAGEAYYRIDGTDKKFALRVKGTGPLVNQRSVTLDLSGMNLGQRIYQFPGGESSVTL VITGSSKYGFYASLRHDRGGWMRWIYNTIKDRPVRHLFLPGTHDSGMSKITNKIRSIG NSFNTQNQGINIYDQLRAGARWFDLRVGSVHDDNNPARNLGFHTLHVNDETATVCIGN SGESLDEVISEINLFTRENPGELIFLSVRYLIGRYETPDRGPIYWDAQLWNDFLSKIR GVNNRCPNLDTSVPFQNQPASYFMDRNDGKGCVIFLLNGQNLKDVPKEAVDDGLYVQN RIGVRDHWSNIQDINALAPDQISNWKDLKRGGDKDFGHFHIAQWLATPNAVASTAYSL QGFAIQQVNPALYWAGVAGMSPESWPNVMMVDYIGVQQRDQTSWNMLSAEVYWLGIGM NLYVISENCGVNKLKSPLIKARDLESAGREKSWNGIIFADGRSIDHPSPELHPGHTTI LRNGTVFANGTVLETTIPNPWI QC762_701300 MTTELQVDSEVTLSPPSTFLSPCSKFRILVLGNPEATKQELFSK VFGVELEKRLVSDTFDPKHNIESELDLQGQNDRLAVHASPNFLNGDQRNYNRVLEFLS RRSASADYTEHVHMIWYCVSTSEEDRCISDLEKHFFTQDITSVHVPVVLVYTKYDEFV SRVMLEWMKGAGSTERGVSKVAVGHILKDISSKKFEEDIGRHWNMILPFSIPRVCVSS GDEDDDIRSFQQLANSTLASLKGEADVKLAFATAQRSSPVISTQFCAEAATDYYEVDT GHARKIHGVDMRDILPNFFAKAAQIFNLRDPSSVLTDPLLLNRVLDSTFGTHQKPLLA ESLRRSGTESGSILLSLSPHERAVLLTQALAGIVLFLHMLADSQWPHAETLPTSAPLS PGYTFSTPTTPHFPSHSGSINTDLSQRQISRELEDLRLGTGKSILLDTIESSSIFTEC QLKQDISELILKAVTLAEKSEITGAGENNYRFAYHQHHDAMFLEGGYGGDEDGVGKGA AMGDSLSAADMIRDMSMTFVNDNNELREGTAGEGKVKLACGLTILPLN QC762_701290 MKSFFLASVLAVVASAIPMPAPAPPGIPSKSTAQTQLNALTVKA NYDNGGYNRDLFPHWNTVSGACNTRETVLKRDGTNVVTNSACASTSGTWYSPFDGATW TAASDVDIDHMVPLKNAWISGAYQWTAAKRTQFANDLNTPQLWAVTDNVNQAKSDKSP DSWKPPLTSFYCTYAKSWVAVKYSYGLSITSAEKSALTSMINTC QC762_701280 MKRILLLCFIHGFKGDDDTFGNFPKHLQDIITNNLPDHEVASVV YPKYETKGELAQSTAAFLEWLKERVMDLRKTHLDNPWPPNDRSVGVILVAHSMGGFVA SDCLFRILDERRQDENASGPIFPLIQGILAFDTPYNGLARSMFVYGAFSNYQKVSNVF NVMTALSAAAPATLSRLASKRAVGVATGRIVKRSSSPAWKAWQLIAMRTGTVGVIAAG GVAAYMHRKKIIEGMRTVRSLTKEDVIQGYQQSVDALGQGLAYVNRGNIGESFAYLSD HFTFVGSLLKQNELNRRLERLASLRGVGFSDVFASLGENGKWSGGYFVPERTFCAIPT KDHPAEHLFTRHVIEGAKDEIVAHINLFKPDKNQEYEKMTNDAAQLVINWFNDDAEIW DDPKFAEPIPAESEETQEIAKAVDEEGVAKPPEEAVEIATGEEDQETVDDDVPDESPI DIAAAATLVPLPDDLDGNEGGEKTEASEQKKAYLRHLFGVAQETSTTLRSYLPSKLPS VEMPKMSMPTMPSVGMPSMPSMPSMSIPTRINLFASKKSSDTGSTTAPKTPEDGGMPT GVGEAKNDESDGDASSGGAQPGVVGPVAGGDGITVPK QC762_701270 MSVRLGTPETQPLLISVTDEGHHYHTCLPATSDSPADSADEDDA FLGSHNHHNRGNDEPIIIDFDPSGDPENPLEWPTPFKWAIVFILAFMAFTVTMTCISL VPLATEIVADLSPPGSTPSKSSSVLLVTIWELGEAAGPLFIAPLSEMYGRYPVMNVCN IIFILATIMTATSQSVTSLVVARMLTGCVVAGNVLNPAIVGDMFISEQRGSPVSLVYL APLVGGAVAPLIGSALAEMVGWRKVIWMTAGLASLGEFMFLLCFRETYKVAILRKRAK RWGKGYKTAVDYEEEVKLRVEGEQKGLTGQQGVWKKLGDAVMRPAHVLLGSGVLMAMS LFGAVVFAFYYVMSTTLADILQDVYGLSPMAVGSCYASFTIGSTVSVLLCNHCLDRIY IRMRHTHKGVGKPEFRLPLTIIGAFALPVAVAAYGWIPEWKLPLLLLLFSVSLLGSCL MLAMIPLMTYIVDAFGIYSASALTGVMVTRCLCGTFLPLTTAPLMERFGYGWAFSILA GGTLMLAPIPILMMRYGSKWRQRSKYSREQ QC762_0115240 MRGRGLDKGRRDSKPDATCAAGDEDCFARLIVFGFERGNCWIWS QICVKECDQHNLISGGLVAGFLWPRERMSCALIANDYEFDKKSGVVPNGLRARAGLSK PEPLRSDPRLGPLLARSGCSVSTWRGSRVSERSRFTPAHQTTRLYVGFYLRLPRFPAV IEMSGSPSSDATSPPRPRLRRNRIRKTCIPCAKLKRKCDQSTPWCKRCVEKEIVCVYP PRRGGATSLPIADDDEVVSRLLTEPERVPGASSTLTSDWFSTEKGKHPDISQARTDLD PRCPPLLSPYQWFLSPGSWRRSTLLDAHIMSLPPELEVAISQDSLPNFIDKHQQWLQL WVKEGHSPLIHRHLYRDLMPECIRDAYTARAAYDLAATPAAKELSLRIIQDRAADLIQ SQPDSHLDHTNNTNTNLPLESLDASNIMLGTFNHLARTQSLFVYELTQLFDGDIRSRD HAESYMETLHRWACQMLESARLDCTTGEVFEAYASSASSSGSSPSGNSDLIRGSKTGI SSNTSVGAITPPSSTHNPFALPPNPAPHTLWQVWVIAESVRRTYISVSFVRSVYQTIK TQWSVCPGGALFSGVNGLWDATTGKEWCAALRKGNTLRGQKDGEGAMSPWALMQSLEV WKVLEYASPDEVDEFTVAVAEISRGMEVVEQWIMEKSGMRGS QC762_0115250 MAVSLSLNFPPIKTLHRESYPAISPLEPENNQAGKTVLVTGGAG GIGFAIATAFVQASSSHVIIVSRRQGFLQDGVKRLEAEARTARTNTKISGYSSDVSSL EASEKLWAELKEDGIVVDVLVLNAVALGPGGTLVEANLELVWKAYEVNVRSLLDHTQR FDNQEGKRQKYLVSVSSSMVHNLDNENPFLSTYGATKTAGQVLFQQIARDVDPARLQM ISFHPGAIYSDGAREGGVTKDMIDVWDDGMFCLSRSWINEGVEANLATAALPGNFAVW AATPAAKFLHGRLLAAWWDVNELKHDALQEKLNSDWHLLRVGVKGL QC762_701240 MFSRRLQPLVFLLLISFLSLSVFAQYDPIKDFCRRHGHQSAVVD NRLYIDGGLVNWKPFTSSSSNYTNPFLIFSDLSTETKDMPTLHANLSKNATVPSVMGG KLWEDSVNKRLYLYGGETYQAPPTNFLLYAYDILKDKWDSFGPPTGTAAIIPTSFGAG VSIPARGEAYYYGGFHNNGSVPGWTGPPRASNRLIKYDMDSNAWSNVTGPDDVRRAEG EMVFLPVGDAGMLVYFGGSQDLYGNGTLTPEPLDTIFLYDLANSKWYAQKATGRIPES RRRFCGGATWAQDQSSYNIYIYGGSGFPPSTAGFDDIYVLTIPSFQWIRGPYPKDSNV TGPFPKNMMSCNVVNNAQMVIIGGSNSNATGYECDVDTVGGQHNMNLGEENPENAIWA RYQPKLTTYAVPTFIMSAVGGRNTGGARVLTPPGGFNAPDLSVLMTRKAVISTRTPTR DVNPATSSPAPTGEGEPAPPLSPGAIAGIAVGGAVVLIALLAGCCCFIRYRQKHYKGP RPPNQAIPPHGWGPSGPLTPASPAVTQISYAHTVPTQPQSPVMLPSVPVYPPAELGAN DNAHYRTARTSPASMAAKHDSSPWGTHASTPQTLEPPTPASGPYPARSPSYTERDPRD VQPGAPYWSTTPPQVQQPQFRPTQDAQGGNAWLGVQQAWHRPGSSH QC762_701230 MNRPSRTDDDFEHPDWNQNPPFLAPDLTTCEDLNGIANAREQRN GASGGAASAFGVLNDEKGSFFQNCDKQTRAWGRNPSAMSGHPPIAAPQTVLGGEGRAC HSQPLIRPPSGWIGWLLSVVAVSTMVHVAGHGVPVMFSGDHPVETTTASSSAVVPRES SLLLKQLTKRQDNGRCGTNGNATADYNMPLHVGALVIILAVSGLACALPMIALKFPII RIPERFFFAVRHFGTGVLLATAFVHLLPTAFISLGDPCLSSFWTDDYPAMPGAIALLG IFFVAVIEMVFSPARQYTPRPGRQAEDSDGSQAQEEPPHRHRSTSFGGHCSQAPVLAA ITRPSGTTRRGSQAVVEPVSEESVAVGRETPAVSPDEKMRSKELLGSAVESQQVGLTE EQLHKKKILQCMLLEVGILFHSIFIGMALSVAVGGDFVVLLIAVAFHQTFEGLALGAR IASINWQKGMLQPWFMVLAYGCTTPIGQAIGLATHTLYAPDSEFGLILVGTMNAISSG LLVFAALIELLAEDFLSDDSWATLRGRKRVAACFLVLFGAICMSLVGAWA QC762_701220 MLSWNTLLYMIAITQILTFSYSIMNHAANPTPTLRFGLEIELLL GPRKKGPSHSSWKSLAKDLSKRLAKAGIPNHVNDSNDKSAHNYREWSITQEVTIPSQP GKNLWGIELVSPVLSPLSTNFSPTLATIFSLLHTHYTVFPSPHCSTHVHLSQSYPSPF TPFDLASLAKSCLYFEASLDRLFPSSRGEGYWCQSNRLNPALAGLILGECMSVIDGAY QDGDGVVEAMNLFPKESAYARAHGWKKDRVRGKVYKWDFTGMLSAPVNKGERQPRGTI EFRQPPGSVVAAEAEGYVILALAFTVGALAYGSSLRVETVGDNEHGGSMEELWALLVA GGSVLGWDSLGEVEGFFARVV QC762_701210 MRFASPRQTVASIDETEGVGLIITEPEDLWHANNLIAIGDTVYA PTNRKVATETLTGSTFTQKVRIELAVKVTDTSFDPRASELRVAGTIVNENEIAAVGQY HTITLKHTDRDIKFTIWKEQGWDSVARALLAEAVSETANKDVVFAVVMQEGMANLCLI TESRTLVKQRIEHTIPKKRSSRKEAEGGMSDFYGKILSAILSAIDFNERSGIPKQLLL ASPGFVAHNFRDYMKEYAEKKANKPLARLATEAAVIHTSTGHVHSLNEVLKSPEAQRT MRDSKFTNETKLMDNFYQKLRQDDGRAWYGVQPVAKAIREGAVGRGGGVLMVNSAFFK SMDVAERQKYVALVDKVREDGGDVRLLSSDHESGQRLDALGGICALLTYPLHDLDEED AEEDASAAGTTII QC762_701200 MPPAKRHAQQLLHVSLEHNADMASIWSTIKAAAPSRTRAPEQQH QPQSQHQPSESEPSPIPPVRGVASRASSLSRSRVPGTTPAPPQQSVPRSTSISGEIRA AMATSLGAHAMAAGSNSSNQQSRSSPIPSPSAANTSTTQPPSTSQKTSSRLSRSYTSI GLTGKEKEREKEKEKEKEKGREQDVDDENADDTGDQSTAAGNGTAGPGITIGVNQSAH TSSPIPSPALDPLSQQIYLRRNSEVPIPGRRSMHMAEGLARSSSDFLRAVTPAGDVSK DKSKKGGSFLSRLSMRGGRKKDTADFDSDSEFGVEARMDGTNARVFSQTLSNPVMGGG YVPHHKEPPRYIRTKATNKKAREFNRMFLAQELVGTRPPKDEEKADATKAPVVTVSVA GGGDRKTARSGGAIWATEFSRDGKYLATGGRDHIVRIWAVLTTCDERRAHEEDENANG GPGERLSAPVFRDRPLMEFEGHTGEVLDLSWSKNNFLLSSSMDKTVRLWHLSRKECLC TFKHKDFVTRLAFHPRDDRFFLAGSLDTMLRLWSIPDKAVAFSANLPDLITAVAFSPD GKIAIAGLLNGLCIFFETEGLKQQTQIHVRSSRGKNAKGSKITGIQTMLVHPPAPAYP THQPPGSSAASHASTDVPSNAEVRVLVTSNDSRIRIYSLRDQTMEVKLKGHENSCSQI AATFSDDGKYVVCGSEDRKAFVWSVTGKGVPLTTDKDKSPCEYFEAHGETVTTALFAP TQTRMLLGQSGDPIYDLCNPPPVVLQSLDEVASAAASTTGSQLAPASEHLVKRPEPSP AYIARSTHYDGNILITTGDTGIIKVFRQDCAFAKRRHESWETGSTFSRKLAPSNGFMS GNGLGRSGSVMTRTSGGSVTRSRRGSLTQPFSPQLGPVGGSSDRILSWRQGIENGGDK RSSALLNGSATPAASERSMSPAKVIRTPLSSQVNLASEARKQPYANSTVTSRNRAGST LTSPTASVFSNAPSRVPSRLLRERRMSKEPEEEMSVPPTPSFTYMSASENDPPDSPAG TGVGSGGGSTTSSFWNLNRWRGITAFKVNASSPNQSGSGVEGHKRIDSRNSIVKRAQG DAGPGDQKEQGSPEQRVGTSRRQSTGTGLLPAAHVVRNSTDGNGKDRKHQRMSLPAGA VLSQADSEADVRSIPANGGMVAPVNPLQVRRDGSPYRHLWSRGSSSHGSGGRTGSDLG S QC762_701190 MAEPIKISTQDELNQLITSTKYVILDFWAEWCGPCKAIAPLFAK LSKSHSVPGQLAFAKIDVDASADIAKEYGITAMPTFVFVVDGQVGKGVDVQGRKLGVG ESADRVVQIRGADPRKLTLLANELGELAKKGAEGSAEEAPKEEEKEEEAAATA QC762_701180 MANLASAEIKARLERSYDAIATTYNTWAVNHPCSLRFSYLDRLL SLLQTENPTYDSEAPTKSALELGCGNGYPILQRLFSAGLFSCIVANDLSSVQLNSAKS ELEAKHDNVQADWRQGDMTCLSFNPCSFDIIIGLYTLIHLPRSEQLLMLEKISLWLKP GGLALVNFSKEDTEADIIEEWLGREEGWMFWSGYGADNMPRLIQQVDGLEMVVGELRP EDEGSANVEFYWVILRKIPTH QC762_701170 MGRRQTTDCHDHTTLPLLRWHSTHIAGYLMDGNITLRYVGGFGF EGPYTFLQEALANTTDPLASPYLQLAHDESYISITRDACLAITNMTADIYTAYDSQGI WNRLVTWKFPLVSLLFHFSRPPFPLRVWTNTSLFMLIHLLGSPVTNIASLLHTLSSCR HSAKKMQKKLRDIQRDIKDELASWRLENPLARELVRSHWGKSTSERKFASLWKQLSLI KVSYDEWGVESSEFLMRTLDSLFLDPLPLRYGSRSLVQRREVLAQIKKAADMLAADRA TYVLPIFIAQFVFIASISAAFWRVIGVFPQDGQWTNVEAYSIAMSAPFLYMVPAVFLS AIIGVSQTERSVVRVLNDLGEKLMKEEWVVERGVSSGTEGEKERAVVVSVGVAAGRGA SPVTSDTERDENPGSEQLLLLTPPGQATTAEPTTNVSAEAVKRESVRIPVVVEKQPIF QRVCHGGIYGWRPEILTARQIRQTWRHVVLALNLVIMSVVVASWISYRVPPEGFNCRN AGQAALLIMWLLSFVLDCVFAYYMDRWGHLESGKGYWYEAVFIKDFIVGWAAVVMILV VQVGIFNRCDCFSLWGKVPVALPQIPEVAAVLMHRISFEWPAVTFGWIAIELGICAVI WWTYRDAFSVYNQEDGGEEK QC762_701160 MARTTQIHRAQRDAAKIAPRTCVVQPTTPNPERQQPYQYPGINV DLRHLLRHWTLEPGVDYYRVGCFEVSGAHSKTQPIMVREVAMTLLMDRLTDKPGWHEK VFDDEIVAKWKQEAMRAPEDDIWNSIITEQILQNLEEIRQVLARDDPDEIEGYPWQWA YHPQKPARQRIISEQAFDYCIAELRCKAVEFNKSGLIFTLNTNENMAIKSDSVVTDEL REDLRAAFNKLVAEQGSNPDWHPRAQEMVQDLVHPSMHPFVYGKSLFLQDEVVGVEDA VDKWAGKGQVIEKPVTKPREEMSDFHDYNGQEYAFWSEKYQWLPANLTFQDDGTVRFT SYINNLHPKRHPEIYRTIERLIDTAIPAWERVLSGKATIGEPYIERRSSSYRNCGKKV VPVQQRFGPAPVFCSAYDNDAYEAQPDDLRPGLIREWEEKNGRPVPLDDHELYEVESW TGPDEWTSNPEQYEGLTLEEQKERLLLNYKWKEIRDVILPEPLGFQPVTYTTEHKLSE KFKETGLQVIVKMATIELTPEKPDFPVGGWHIEGMMSEHIVATALYYLDSENITTSSL EFRMKADEHPDLEDTIGQDNYRPTEVMFGCRFRNGEALQKLGNVETRQGRLLAFPNVF QHRVSPFSLQDRTKPGHRRFIALWLVDPHQRIISTANVPPQQLDWWAEAVFGGKDQVA KGELPSEVFQLLLEQGLADTISPPKEVLDKMNNRLPPELMNMVRKQRVMPQALMTREE AKEHRLKLMEERSTFHEEAESSWTGVQFNFCEH QC762_701150 MAAEVDSALPAEDAIHTEKALGTLPHEENARLDKILNRKFDLHI LPWLFGIWLFSFIDRSNIGNARLAGLPEDLGIAGVGTQFNLALLVFYIPYILVDVPSN LLLKKFRAGVYLPSLITAWGVVCLSIGFVKSYAGLIVCRLLLGLFEGGILGGVIIYLA MFYKRHEMLYRSGLFYCAAPLSGAFGGLLAGALGNIEVGGYKRWPWIFFVEGAATVVF GIVCFFFMPDTPAASRFLTEEERDWALKRMKIDASGSTVLETVDEEKFDWFWVWMAIK SPQMWFCAGVWFFLLVPLYSFSLFLPSIVAGMGYTSTTAQLFTVPPNMAGFVVVILTA HFSDKVKNRGGFIAAGTVVGIAGYVMLLVSEQNVVKYAGTFLIAMGVFQASPMLMGWV ANNLAPHYVRAVGVGIVISIANCSAFIGTFIYLQRDAPKYALGHSISLGALVITLFLT GLQVVYLGWENRKRDSGERDIRLVEGSVHRLGHRHPAFRYTL QC762_701140 MRVLLQLFTLLWVYTSFATAAISWSLQKASNPTSDQNDAYARIE NAMRLAVARYNRLAPRANKVVTVQYVPSVQTADGNFNGNIRFGSNRSYMNERVALHEI SHTLGVGTTNGFNQRCSQNNWPTATPLLKSWDGQNAKINCGGSHVWPYGLNYDSEMSE TNANRHCQLVNAMIIDGMF QC762_701130 MRVVDVLTLTGIHDCRVGVQIGSGQKQSRRYKKGVDKPRTETFR FSQLNIHNMATITQTQTTAATESAPLKLTLAYQEEIHEEYKYSAYLPVYDTETTFPPL KPFEFNDRGLVANKEKPNLLSKENAPALKVINLTPGIGTEIRGLQLSQLNDIQKDELA LLIAERGVVIFRDQDFKDIGIGKQKEFGRYFGPLHIHPVGAHVKESQELHNIYLGSDN EYRNRRRGNRLTTTGYHSDVSYERQPPGITILTLLSVPPTGGDTAWESQTAAYARLSP PIQALLENLRAEHSGFPQAEGARRDGLFVRREPVKTEHPIVRVHPATGQKALFVNPGF TKRIVGLKDEESEALLKLLFHHITFGQDFQVRVKWEEGTVALWDNRVTSHTAISDYNV HVPQEGLRHGFRITTLAERPRGVNGLESRWDE QC762_701120 MVNISGARPHALRITIALFCILSVFLFFDPIGFASTMNYNLAPP GSTTTVTHIVLFKFKPDLDDGAVDVACAKILSLKENCLRPNSQHAYIKSITGGRDNSP ENLQNGMTHAFVVQFENTDDRNYYVEQDPAHLAFKKEIEPLVEKITVLDYVSGVF QC762_701110 MPSPMPSPMPTPIDCLLSDHLIKNRSRYMSNQRLLNSQHHPTTL IMTLTIDTKLKLNSGYEIPQLGFGVYQTPPSDTARCVSEAVKAGYAHIDSAALYRNEG ACGQAIRSFSREKIFFTTKIMPYTLGYESVQSQIDRMLNETGLGYLDLVLIHAPYGGS AKRKESWKALVEAVEAGKVRSIGVSNYGVAHLDELERHIAELEEERGGKGKGGVISVG QWEIHPWCPRDDIVEWCKKRNIAVEAYCPLVQGNRWGEPIVKKLAEKHGKSEAQILIR WSLQKGLVPLPKSVKSERIVANAQVYDFELTEEDMKSLDTGVYEHVSWDPTTAPLKD QC762_701100 MARSDFAGSTLGSEPSSPTSPIGSDRSRGSSAPTTVTAASVDPL INPAQAIDGAEHQPTPSLASIAIEEPIPAEGWLDLARLMTKTPDFVAFSRFHDLHIKN LLYYQVELSVLREQLKDLEELDRDSNGLDLHGESEFHKEPEKIFWGPGTDSKQFLKIR ELRECLKGYDEALLQYSQISTLPEPSTHNMRQLVKWLQDEDHGNMTVQGVGSEIWGDQ QKKPEPPPLRQQFMNLISFWNKTEPPTRADLVAPRWRKDVDGLTRWLAEELIPFREAV KNPHKREADDSESTAGQEGSEKTSTNHVEDADKEAQKRRSRTDNVRAYSGDTLLKLTY RGATLVACMLPIVAILCLSIIPNLYHKLAMITCFTVLFAIAVMCMTEGTRVQVFTATS AFLAVLVVFVPVQSS QC762_0115420 MSGTEGATFVNGRSPQAWRLEKARLDKALTDAQRTLDGRKRSDP EGKDPRTADAVALAQYAVDVANVHVAQYHIRVAFASGDVDDKKKKSLLEQLAELETEL QELAQEKARRLQMARAGGFHT QC762_701090 MRVFSAVLLLTAGAVAQRGPYEQCGGTGRPDESCSAGWQCTTYN PYYAQCVPAATSAPAPTSTPAPSPTPVPSSSQLITSSSRVITTLTTVTTPPSITTSTQ AGSGSGPVVPTPTTLQSGWYWIRAVATPNYRSYLQPQPTSTPVPRAAVLANSKTAAQF QLTSGQLIQNNFGNSPNLYLNVENPTDKTQRRLRTWFDTTPNTYGTFGWQGDTLTWTV SDINRPNSAAWLVCGDSKEVFINTGAFLWDTPAGCFDHTVIHSYNGAQADV QC762_701080 MKTKMGFISPAMSTSLVSRPRGVLFLVCLISLVTIWSCRLPKIC LYESTYRPSLSPYQQPQPNPVDLSLPDSPFVRWPLERVCREQTIWRPGLVFICDNNSG GIGNIRNYILTCIRYGIEAGATGIVLPRIRTRSEKNLADLMLDYQPFTYFFDEDHFRA NLERACPRITVYDNDESIPNVQVPVKAEKVRPHDLGKRGGCDKRDLNKHVGVFNKAFS GYLDRTEKEFGWPELNEMNPRPVRFPWGVQFEWPTWKDGPEFTASFGGLLRFREDILA LGFRAKGYMREFAKRHGGSGRYVGLHLRTESDALSRWPDFGNQTEAYLTASEATGIKA AYLATGNKTDAARLVEEAERRLGMGVITKHDLLAKYSKEDLLMLEALTWDQQALIDFV VLVESDYFFGISPSSFSMNVALKRHLKTEGLHTRPWKIGGDGDGRSWLTGRFEQYWDD WLYMYESMWP QC762_701070 MSKRTADEDSAGPLKGRSRPDAMDIDDDKTNEMGEFEDEFEDEF ESEDEIIEAGVDGRPDAEREAEEGAMELDNPQGTFIVGRTKLEPGQTLSPDPTTYRML HNLSTPWPCLSFDIIRDGLGDNRSVYPMTMYTVTGTQAENTKASDNSLMVMKLSALSK MQGGDDDDSSDDEDDDEDSDPLLEHKSIPLNSTTNRIRAHQAPATGASQTPTTLTATM TESTNVYIHDITPHLASFDTPGTIITPQQNKPVCTIRAHKSEGYAVDWSTLHPQGKLL TGDNDGLIYVTTRTDGGGFVTDNRPFTGHTSSVEELQWSPSEASVFASASSDGTIRVW DVRSKARKPALSMQVSNVDVNVMSWSRQTTHLLASGDDAGVWGVWDLRQWKSDGKPTP IASFDYHKEQITSVEWHPTDDSIVAVSAGDNTVTIWDLAVELDDEESKDTGGVADVPP QLLFVHYQNLAKEVHWHPQIPGVLAATGEEFSVFRTISV QC762_701060 MSGLNSNQMDPRQHPLKATAAGIAAELVGERRNPRMTHMQSANE GPADIIAKVSGASAGGKREDDALYFTNNEAIPFPDPAHSKTIGGIPVASDVFLFQKQQ HFNRSKPLERMVHPCGSGAFGYFECTKDVTDLTKADFLSSVGEKTPVFTRFSTVTFGR EFPDEGRNPRGFAIKFYTTEGNYDIVGLNFPVFFCRDPIQGPDVIRSQSRNPRNFLLD YDALFDLLANTPEANHAGLMFFSDHGTPVGWRYNHGYGCHTFKWINKEGKFVYIKYTF LAKHGQKQFTDSEAVAMCGRDPDYSKRDLWDTIEAGEEIEWTAYVQVMQPEQADPDLL GFDPFDVTKVWPRDQFPLKEFGRLVLNKNPENFTRDVEQAAFSPGSMVPGIEDSPDPL LQFRMFFYRDAQYHRIGVNLHQIPVNCPFMAKSYASLNFDGPMRSDANHAGNKQYAPN SFAHKFRPDAAEAPYAVSDNIMSRKSHYWHEGKKNDYAQATQLWARVMTPQQRENTIQ NTAKYLGIVKYPEIQKKYLAQLYNISPDYSEGVFQLLPAPQFDMNEVKSLAENAHTWY KEKKFQPLNGEKLTGFAPPGPVYNY QC762_701050 MLASRFLTKRLIPRPGFSPTSVISGRVRPIQTQNALGVACMATI TQAITDDHRHIQDCYNEVVNSSDPDHQQRWGNQFTWELARHSVGEELILYPAFEWHMG TKMLKEFQSMSSSDPDYIPRIKQLWCKLEDHIKDEEGYDLPALEEKLTPEHSESMAKS FGRTKHFVPSRSHPLAGEHPPFESVVGLLTAPIDRLTDLFRKFPEKGEEAKPSGPRID IPPGSNGCLFPTPFISTWLDWNRGLKSAWNLYMSRQVKTEILLPLNELLSCLAFPKTV PYPSYLSSSLTSPRTVGACMSQDRNRIGVPPYGLARLPWYDGSSSLTLLRHCIYHR QC762_0115480 MPISIQPQCGQKKTGPSRPGKGDPDPEIGLYVEGISISGPGITP QEQGAGDPAASNQAPRKAQTTTKTTGAGTSHCLQIDDPNAAEPTTAAETEAAGGRRVL ACGQLLTEGTDGSTKPPQPNPDSSLKPAAAPPPITGGWSSKLFQN QC762_701040 MEITGRNQDATWSDSDHTNSISPSDTASFEDAEAQAPPPLENEK YYEAEHPGGVEDPNDYYPSGFHPVLLVRVHVVDEQGERDFELEPNVPPYLIQTANFDL SSGACSYNIAVIDFSMAYFLDNPPTICAIPFELSYLEGIFTNGRLGWPADIWSLACTI LWTVAGSYPFGDEDSESTPWLVVEEVERLMRPMPLRYRPLLTSCVSAGRELSERQMND PSKYATSNRRESHASTYHGDPDVIRNRIFVKHVLSWTSEEYEELAKHDEIWRKTGRLP KYIKAAGTGGETIWRPSLLHDREDGEALSDLLLSIFKWEPTERATTKTLLAHPWFKDH RSRGSDSQSASQQGWKYLARGKGLMWILGGLLVGVVSFRLRKRVLGN QC762_701030 MHLTIFTPLLASIVFSWALAEDFSGPGQIRTLDVRENNQDLGCL TSKGKWTTVESLCGEFNAQRVGSNNEFRLSSTGGGSCGIDGVTFKCGIQSGIFGTWGT EGPVSGREVVRYGAYGLMEGSGNSPPDAKDEAVEIHFSTGNEGGKAVWLGWKAL QC762_701020 MDLFHESLVGSASLEVPPCPGWRCCLRTWRGWSLLPSWPPTRTS RLEPFNKPLHLFHTSLLVCRMSLTTHAGNLTLTTVLWFDNQAEEAAKFYTSVFPNSKI LRSQRYSEAGQEVHGQEPGSILFVEFDIDGHRFSGLNGGPHVTFNHAVSFMIDCADQK EVDYYWGKLSEGGDVTKQECGWLADKFGVSWQVVPKRLKEMLVSEDVAAAGRASVAMM GMKKLDIEGLEKAFKGE QC762_701010 MTEPSPATVETLPETAPSAAVAKTDVFTEPRTSAQIRKENRATN PDRAPYHRIWSLFSRGILLVLELAAIGYTAYAHELVNRPDSPFEGGVKDFGTSFAAFA VGVAVNLSCVIACFIDRYDASGAGIAGFLDLIPGILGIVAFFSVALAGYGYGGSDYYD RVGYKAERTAVAQLSLAVGILHILSCFGACVGCCIVCTRPKSTTVKSRPQGDKEVSSK GGEPKIAAAGV QC762_701000 MVKPHTLAIGAFALFGSVKAVTVGSTKHDPKPGPACLSPKAVQS ASLYTGLEEGTTGIRPGLSKSDTNPSNFINFCVGQTLTNGRQNSAGSCNGIPMGKIPA SSNMITSIITHPQPGDIIPAQKTFNVTIQTRHLRAGFLVNPSVAYYTAPQDLDENGDI IGHCHITIQNIGSLRSVNPPDPTKFAYFKGVDDEGDGKGGLQAEVTGGLLEGVYRVCT IISARNHQPVVMPIAQRGSQDDCTKFVVQKAE QC762_700990 MSAELALAVVSVVDICIKLANKTLCICQAFRTAKKDLCDKVLLL ETIWTKLETQLVFLRGIKDHLTEELAQCHFDLLQRLQGTLLQAVSQLEAAASSIVAST STGQGHVLTRMLQLDKWRYAVAKRGLDALMTELQCWQNLFDPSWYTIMLIGGKVLDPA LQQLGQDRSRQLPHNQSPASPLDHMFALRKAIDYTINTDIRSANSIVMDETGWDFTTA TSIPFSSVNVVARPKSQSLYVMESIGTAPNTNTNTTSDAYQLCRILQSVDPSIFGLLR CKGLLEIIDDNKTFPPGPQIVYHTPAQADPLKPPTTLRSLLLEQRPVCLSSVIVLAKQ LVRSVSFVHTCDLVHKNIRPDNILLFPTALAALRPLELGQAFLVGFSQFRSINMETNR LGDTAWYRNLYRHPARQGLCILERYVMQHDIYSLGVCLLEIGLWRSLVWYPSTSSSTS STYHHSNHAHSSSSVPTPVPGYSLQLRKHLSDRDFAHAHLPGSTSWIKEDLVLLAKQT LPQRMGRLYTEIVVDCLTCLDDGNNEFGSSDVTHNKQDLIVVGIKFVERILGKIEGIT L QC762_700980 MAEGISELVNSSRLVTEFYLPNFVVHHLETVNEIWDLREEIGYG GSGVVRKEERRVHSSGEKYHGPMVRAVKQMRKVPQNPDQGQWNYRAELEAVVKFSQPE VCKHRDPFFVRTFGWFENQESVFLAMEYLPSSDLERFRRSSPPLSEFDTSLIVWQLIQ GVRHMHDAGFAHRDLKPGNILIASTSPMWHVKIADFGISKQAMQGVTRFHTMRIFGTL GYMAPEVLGYYANNTGTNNTTIAYTMSVDIWAVGVIAMTLLLGRDIFPLPGDYAKYVF GQRALDFARGQGEVLTDDCQDFIAALLAADPILRPTAAAALAHPWLMQATEATEPSPV REIPDSEADSDEDSAPSDSLQPSTKNPWATIGSRTWPSKGSKTYSDALLTKFKYLWLD IILLSPKFHTIEFEKTRFYVLRSDNATDIETSAAQSVWTSSQRVNKILDKGYRTSEGH VVLFFSVIGSRRFCGVAQMTSALDWENTDPHWVEDVWQGRFTLTWLSHTELSFDLVNH VPVKETTPGFRAIACYDGTEISPGSAYELLRVFSAAERR QC762_700970 MYSILALAALVPAVVGQTFYGCYTEIPTRALTGASTADFEAMTV EACETYCTDPTRNFTLWGLEYGGECYCGNSLDTGSFPTFPEDCSMQCTGDAGQTCGGP NRISLWGSSEEAPPHTPYPHPEVSAPVYQGCFSELPAPDRALAGGFGFSPAAMTIERC ADYCLNSGFVFFGLQYMAECFCGHELDALSVQLEDTDCDLACTGAPTETCGGSSKLSV YQWI QC762_0115570 MKTTTLYLILTAVAGVLAAPYSEIEAAQLETRATCKSPSICSVF WSGRCEAWCGDKAFLPHDRGRLQRPWCEEVLLQKMNAQLWSIRYVNPRGELLHKDTTN PNHQQEYCSSQAYRHELCSPVTQCNPLDWR QC762_700960 MSSCTTCSKPASEVPLKRCAKCTLTEYCSRECQKQDWKAHKKIC GKPGQPGAEGRPFANSMHSQPHMEGKNSFGQLNQGSWLHGRPEKEVYKLLVDVYRLRA DDQYKFEGDADEDGLYGGARDSIEPFRRFLKDVESKSELLPAWWNEEKAKACIELGSS GGWSSLRSAVEKSDIIDHYGDGFLPMQMRMFGESVYGHAPGAASGAGARIQQLMMAGG SMMM QC762_700950 MSFIRSPSNTHVTRKPPTEKPRSSWSTLHFDVDAHVNPFFPSSF LPRFPRAVAHFLGYRTPHPPAAHPKPPLGNIPMIFWAVVGIFSSLALIGAVGQEIPAF GEKGVPVIIGSFGAAAVLDFYAIESPLAQPRNAILGQILASITGIIVCKLFALLGPEE FERVRWLGGALSCGLATAVMALTGTVHPPAGATALMAVVDDDVSRLGWYVLVPVSLGC GLMLIVALLVNNIQRRFPFYWWSPSETGLFWNQAARREQQRQKEEESRPRLEKPGASA TSSTDSERGMGEDAEVVIKRGEVIIPEGITLRPEEVLWLETISQRL QC762_700940 MANEHLTVDPDEDNVPLMKSPVVRVKSFPKENPSPADGQGGKPN LESLGWRESDDDHPEFEKHAEATNIEVFYDLFFAAILCVFAEVQDVTNLQQLNSFIAY FVLLWLGSWALLGLFDVRFITDSIFERSIRAVHFGVMVGFAVVAPTWSLYENKSQTYR TLSLILMASRLAMASQYGSIMWHIRRFKKTRLPMGMMVALNLVSAIIYLGVAFAFKDG TDSKLYAIWFIMVGLETLITILLSLKFQVLSFSGTHIVSRMSLLSYIFMGEGIITVLS AVTKVVINHNSWTSATIGNVAAGISNLYLIYMIYFDWRRNLRMPLYRELLWSFLHFPF HLFLKLFILGSSQFVIWWKVIETYLSTNKMFMTALKAGDDPGFNVTTSWFVSTINGTL NEVFQLYRPKYDVTPQSISDGLALLMEIPDDFWATVQDLPEEEFLNNETVSHITNALT ELLTAMQNSLFATFNVNGYSAFEGSDEVFKNAAELEERVWELNWGKFKLVFTYAYVAA GLTLITMNLLYIVSRNGGWTPFNYVRKGLNFLIGVGLCLVTLITLNTEQVLQLWGTPW PLPILVITLFVVLILNHLPQPPPIFFNGANTKRLGGDKRKKQKTGWGVVRQMGFRTGA APAEKDTGYPGAGSAHPQEGYQAPAPGYQQQDSPVVSGQQRQYEQQYLQQQQQQQQQV YSQQQPQYQEVDIAGYPERQQYHQGYQHHQQQQGQGYGQAPYQQGY QC762_700935 MVNKGERVDPSKLAEVVITVKDGKSAQLVDLGMPTTTATDADDK ALFNNGLFDGVRLLEFAAQRRFTLVVLSLDCVRHTNNWFFDKLPLDKALIFNGYLFGP WDIAKYNELMPNAPERDCVC QC762_700932 MRRRTNKQQLPASSFSPFLNFSSRSNSPSVLLNRTVPLKMAFIT RLVSITNFAVATTALCFQVTVLYPWHKQLDEDFEALKTEHLKVLDAINKLTGSQLKPV EPAHPRKGSSLWEKISGR QC762_700930 MAMLSICTIPPTAGHENTIIFLHGRGDTARNFMHSFQEWRDSES RSLPELFPSVRWVFPQSELRSPVRFPGARMSQWFDTWDIANLHEKEELQIEGLKESVA SIRLLVDREVASLGGQREKVVLAGLSQGGATAVHTVLNVAKGLGGLMVFSGRMPFSGR TLAKMRSILDLAEVPEDDSAVRTTPVLVQHCTDDPLSRVQNGREVRDSLSSFGAQVEW REYPTGGHWFHSPHGMQDAAAWLRARVFNEN QC762_700920 MPHYRKSLNLNQQKILFLREWTASDGKNFSLGGDFIMNDMALVE LLFLSLLTIPGVHALPPRKGIAWPAPVPASSVPPIEWSDIGAPIFQPSGDFPQAQHSS SKKVSAANINFASSSLPPVVDWRNRSGVNFITTPQDQGVCQSCWAFAVTALIESQVRI EHSVWSKRSEADVHDGIGAICETTGSAEATLQYVAGNTNSSTHGGEKPGIADWACDPY QDSTTAHVHCSDRSGRTTHIGNFQAIGAIEDQKRWLHEYGPIVATFILYDNFGEWKPD PTNPHHVYAWDGVSGNTGNHIALVVGYDDERGTWIMKNSWGKAWGDGGFVYFAYDNAN IDIWVKYGLRNVNPDPWTRRRHQSGNMMQSGDGETHRNFELLLSDPVKGIRHISRNGD TGEWSEVSQLSSDEGVSVLGQPSIIGTSRNRDFHAVAVTEDKSIQQWTYTDKKWSQVS SLGQSKVDGFTGFTQIDDGSLLLVVRHIDGTLNEYRQEPQSTAWKMMQSPIAKNITQS GASLVQSNIGFDMYDLSGNSHGNLYTVAVRKNGKMQTFWREGKSDTWNEGEAFGEGVP GDAVPVMIQDNFDTKDETTPCGFQLVVAVNGSVEHWRWRPQMGEQWEMIQRVTAGPGQ EVKQVWSLVQGSSAGKMHMITESREGMADYWEWDGMWSLIDKLEV QC762_700910 MVRVLAILGAIAFGLGCVDATVFPYTSVCEQINGNLTSASDVIF PIQAVTYQKETQHWFLSSDQNPSCVVRVGSSQDISRVLQIIRDTKTPFAVQSGGHASN PGFSSTTGVHISLSLLDQVVLSPDKKTAEIGFGQTWADVYDKLEPHGLNVVGGRVIGP GIGGFTLGGGYSWKTNQFGLTCDTVELFNIVLPNGTVTTASPSYNQDLFFALKGGKNR FGIVTSAIFRTHPQGRVWGGLRIYPSTSVPALLNATRLFQTENTDPKAGLITTLEGGA LGTTALVLMFYDGPEKPPIFDLFDGIPFLVSGTLPNRKWTNFIASFPAELKLNLRGTF ASVSTSTLTTRFLDAIKNETDSIGLTKGLKTGTTVSYDIEPFTQYGVHATDSAFPHAD SPLPLNLYFSWASAAHDEYWYNRMKQSIATLKQVAIEEGIYSESFTSYPNYAISGTTA EELYGEANAERLREIRDIYDPEKVMDLAGGFEI QC762_700900 MAETAGLAIGVIGLAGLFTTCAGCYQLVRRGARLERDFKLLETK FDNQELRLLSWGKACGLSEMGMEQYDKRLDDPVLRSRVTATLECIKDLFQDESLLRNR YGLVPPKQRGSNRAPALQLLGSSSLGTRHPFFFWKKQQRASRLWNTASWAISDREHFA QLIQHLKDLNDDLEAMTRCFGDIALKQRHIVEVEISEVDDLDTLEEIALASKNDEDLI SDTVSLRLNSIRSASIRKGHDVETSVSTIDETLTFNQDRAFQPASPLKEPPIRTRFKC VVVGDRNAGKTRLLSAFAYNRLPGPYSPTVFDSCIIGCQIDGVDLQLELKDTSGQEDY DKLRPFSYNGADVVLLCFRAENPTAAKDAILGKWTWEIKSYCPEVPLVLVGLKNPDEE AAAGPFQEPQDSDDFVPLCITKDIGATSYFFCDPETGFGVRELLEFTLRTAVQSSQPP PATPTRVKRRSRVTEIVKDFMGQQNDG QC762_700890 MWSSSLAILAFVLLSSFTVAAYTAIPSDILSELTPRLSPTAKIL LPSSSLWPSASKRWNELSRPSYSAIIQVAEERDIAESVKYANQHQIPFFAFSQTHGSD YGLGRFHGIGISLSSLNRKIDLDKSGRWATIGGGMKSKDVLDYLWKRGKQTGTGGCGC VGAVSPALGGGHNWFQGQYGLMADQVLELRVVLADGEVVVVNDKKHKELFWGMRGAGH NFGIVSEMKYRVYDVKEPKWSIETFTFKSERLEEIYKYANKKLDGKGDPRLLMWGTWF FNPAVDAEKPIVQFQWIYNGPFSELKKLSKDTHDLKPDAYSSAEVDYPELSPSLGYAA DQYACQTDGQGNRLLRGIDVDQYDVKSLRKWYDAFAATLMTEPAFQTSFCILEGYSTQ AVQAVPDKSTAFALRGERLLFAPAIFWQKGNATLDEKAKKWSREMYLAASGSSKKKTY VNYAHGDEPVQALYGYEPWRLKKLKQLKQKYDPFGHFAFFNPVSPIGKRHGDI QC762_700885 MFGPRKQIVDHLGGEIQGHGQLGINAALLTWKPMKYKTYTLSLV SLLGLFATLTTAQDSPDKGVTATPTNTGFQASVSGGVSFDINGKNKTFGFNEVFGDDD SDSDDEDDQSKSGASEMIVRSSGLLVAGVIVGAGAIIL QC762_700880 MAPNTILSLSATDLPVWIVVVGAAVAGIVLLVASVRHALKRTTA SPPHVFILTFPPSRRHILSSFSQFEKFSVADQAEISPQVLQNRALTTTRKPDFSVDNF YTPTGFSTQEVRSLVGRFPDYASLSGVPHPSPVSPSWDIKRAIFRPFRPFRWNYTQNM ALMKYNPDFWIELEQNYLPTMAARQQLFAKHPDRIFFHGPGADLACRELMEMLIQFLC RRYPCHFHLSNDNTLFHNLLLETVTDLTSTPPLKVIFQNIPEDYAIMLRNEEDGLYYL RAASVCSSVGWHIAQHKDQPMKKIHTHVPDADKMQFSLDRWFAKLPTDKPVMRASWSI EDWQAMFSSPGVGTFQGENEKKWSRSAFADRPAELTVKELKLRCDAQTLRRLPVSGAV VFNFKAIFTPLEELRDEPFVPALLHKVLSEGKENLIDYKSDRNVKNVALEALKGWADE QVKEGVVPGDWDVSTLEQSPFYPGWEEKWKRKQGIL QC762_700875 MHLSKFLITAIFAAPYVAALAVPVQDAYNGDIEARYAEPEALPI AAVDVDAAEVTGIDVDADATDKGKGKKGKKGKGKKGKGKAKGKGKAKGKGKAKGKGKA KGKGKAKGKGPAKPPAKGKGKAKGKGKGPKEEGEAAE QC762_700870 MSSPSYKRVCLLRNEDATRCSKLVLNCLKNPDAALLVEEFIVDP PQRFGRFMNGEPDPALDDGDHELVVKYIESLGVRDDMKTKILEGVPRKKDKAKSEVNT QDDEPPAKRIRWNSGWPNIPHYHEALAILVISLCPNIKRLRLNPETFILGTLLDEYLV QNNYGEITEPTLQRLEVVHMEAINYGISDGCNYDTVRSLSFFRYFHRLPSVSTVKIEA ISDYQPDNTSFPPKSSTISKITIGHSDISGAILSSIIRIPQALTHFSFSNAGLWNTDG AYTYDVKPKTVSKCLLQHKDSLQVLDLDARLYDPSKYDKHRPALLEHQKDEYPEYYYG RYGSSQSDTGRGRGRTPPPDAKYLDLDRSFSGEKEEDLPLYAVDLPDTFDYEGGSIGS MKDFTKLTDLSIRIGNILGYDDTCKYKVTIRTLKHRLVDLLPPNLESLKLYGYEKGKF AQVDAHVDELLAQKEEKLPNLKTIHGLDECILGVAGTYPAELGNSELWQRPCEGLKWV EVETDDDEADP QC762_700860 MYTFNHPSSWVLGITTAATTTLAPQHYTSWEEVIWDFSLASVPV LVGLWLKPSPGTFAAGMVLAYWFTSIFTTSWLFRYYVFSGIVWSCYSSFHKYESLTLE DVPPTFGGWLQLVYWNFLAGWNNMLEPPKVKQDELPYRGRLFGLPQREGDRPKTSRWL PARQLSQKGPPSAFYKLNDMVKKLQARSSRDLRVKMSFLEAGIRGLFRDIGDRTAGTV NTRDEWGGEIAHIHAADGSLHVNLHPEDVSTVLQAGWGQRHPLAGGNDSKIFRFWFHG VMEKRLPVPVGWTLVYAPRTSEEEDVVEEIMIAAIWYATQGNVYAIGGDEERRVRRWN ARPEEFKREERWWDWLWRLVPAPPERGWKCECCRNCSRGCAGNKVEGQTTSPQRSKEK GEQKKEPAADPMSPDSASNPDAGRSRSNVHFSVPEPLPVISDPGIEGHRAKGRMYPLP ESPKPDENKTKSDPGRATIGSKGLQDNWTWSSDVF QC762_700850 MSEAHAIQIISKADFTAQSLTPAPSPSTPLTAPSSVRIQTRLIS LTTNTFTYAKLGGNPFLAWWNVWPLPATVSPDTHCRISAWGYSEVVESTVPSLPVGTE LFGYQPIGTRVEEIQLIEGNVPGDYDVVLPDDGLRKGLNPIYNRYHVFGDESNESKGL RALFYALWQTGWMLNQHVFAWEGGFKPSHPFGAAGGDGWDAKKADIKGAVVILLAPSG KTGLSFAHELRKGRPEGEQPEKVIGVGSEKSKGFSESTGLFDEVLLYEQVEDIERIAK KGQKIVLVNFAARGQAADTWASALDEKFGEDNVTVLLVGADPSATRPPVLYGKAMDPT SNVYQAHAGLIREKGIANLGSAEAYYNAQNAAWHRFAGDGAIPAIEIKWEKGLEKYKA GWQALADGHYGPETGLVFEL QC762_700800 MSSSEKGSIKDSKSSTKDVKEHGPEVVRSVDSDAASNGGGVDLA WKFLEQHRDNANDTGEYVDLAALRRRIDFRIVPLMFLCYTLQFLDKVILNYANVMGLQ KDLNMGGNDFSNTATFLFVALLCFEIPNIWFLQAVPAAKWLGLNVILWGTATACGAAA TNYQTLLVSRIFLGIFEATIAPSLMIISSMWYTKSESAPRFSFWYLGLGLGQIIGGLV SFGFQHMGPDARLSGWRTMFLTLGLVTVVVGSCVIIFLPDTPMQAKWMSDNEKVALLK HVSVNQTGVENRKFRGKEILEALTDPQVYLLLLAVILLSVSSGVVTTYSATLIRNLPG YDSKKAALMNTPSGVVSIFFTLLVGWGIRFQSHRWAWIIICILPAIVGGGLMSFLPKD NTNGILAGIYLVNAVVAPLAIFYNWTVANIAGATKRAFAAAIISGSFSLGNIIGPQTF QARDAPDYRPAKLAVMGTQAGCALVTFVLFLYYVWQNKKRNNRADNENEDAFMSPEVW TRMTDKENKGFRYSY QC762_700790 MVWVDNATPEVDAISQWRTIVTICAVLSFFSVVIVSARLWIRDK NHGLAADDWMSAISMVFGLLYSILCIVQTRYGLGLPIALRPKENLLPYSRSNFAGRPI YQMGISFFKVALLISYLRLFKGTNHLWYRRVVWIAMFFVVAGHLGCSLTLIFACNPVH KSWDPRVDGKCLAPGPSFTAYAIVTIISDVIVAIIPIPVLLQLKVSRGKKIGLIVIFL LGLFTTLCSVFRYMQIDNIQNGDGNSTMLIVWGVIEFNVGNMVSSLPFLAPIFLRKAK EYTSKYSGGSGNGYPSAGGSNGRKLGGGKSGSDAYKLSNISSGLGRKGTFISSKGHPG HSMGSEENILKDSPDGSIMKSVTYSVHVDESERRTQRGDRD QC762_700780 MDSTNQKPILIVGSGISGLLLAQHLRKSSIPFRLYERDSDLDTR GLGWGLTLHWSLPAVRSLLPDDLVSRLPEAYVDRSAVEEGRPSTFPFYDLSTGELKAS TPNADESQRIRVSRDKFRRLLATGLDIQFGKGATGKFETDEQDGSVRVHFEDGTVSEE GSLVVACDGGSSRLRQVLFPDSEKFKIPVRLMGVKVECTPGEIEPLRKLDPYFLQGAA SENDTFVYFSTLDAPGNGTGRDTYTCQIVVSWPVRDNFFNSAAPITYPETNLDSIKLI KAFASTWAEPFRSLAMTIPEQTTEVKCLDLYDWPPPKDLRTTGKVVLMGDALHPMAMY RGEGANHAIIDVKEFVDTVIPHLDGSPTDMRVALDGYEDKAVARTRPAVLASRQACLD AHEWEKIGPASPLLSKRAMNVGFDEGTMKLIV QC762_700775 MSRPNDLFREERGHTDRIPESRWEEFKDQIVAKYRTSTIDATKK YMEREFNFKASRRQYVHRLGRAKWNISKYKGGQLSAAPYEKPQHAPCTLLDD QC762_700772 MSNHESTNDDVEAQGTQLSRILVTKKAVVAVYGLVLSIDLVLSA LQEQLPSPHAYKLGRSVLIAVWLINTIISFLLGKEYLKQNAGNITVTFIVVALFLNTV LSTVIDGVSIIMAAFLAGYVCPEMLRGLAERAAMIGT QC762_700770 MDSLTAAFRSGQKPSFPAEAGTLEYAQSLDQQDKLGHLREEFNI PTRTSLKKKALNGVSPGENDSEDEKSIYFVGNSLGAQPKAVRRALESQLETWASIGVN GHFSTLENSPLSSWQDLAESCARKSVDLVGAAVPEEIIYMNTLTANLHLMMASFYKPT AERHKVIIEWKPFPSDWYAIQSQIRHHNLSPSTSLIEIQPTPDLYLTTESILATITEH APSTALVLLPGIQYYTGQLLDIKTITAHAHSLGIPCVGWDLAHAAGNVPLCLHDWNVD FAVWCTYKYINAGPGSTAGLFLHQKHHSRNLDRLEGWYGADKSVRFLMEKEFKPSKGA SGWQLSNPSAIDLASVSAALGVFESVGERYMERLRGKAVVLTGYLEYLLEGLIREGVG RKGEEQAFRIITPGDPLERGTQLSLMLREGILEGVSKVLAEEGVVCDARKPDVIRVAP VPMYCRFEDVWRFVEIFKGALARV QC762_0115810 MLQSGKAHHKTFISMHQSDPCLHTCTDSCLLNIELCLTPYHRLD STGFPARVVFVKQKICQDNIGRRLTPPTCTAFCTLPTAKAVVDR QC762_700760 MSPCEPVPATKASHSDVSRVLSDDFGVTSNAFLPEQQPLSRLPD QYYAPWETIVSSLSSHIQQQTLRQEVDRLPILSTDRLASEAEWRRAYVVLGFLTHAYV WGGDVASEILPPPITVPLLSVSRHLSLPPVATYAAVNLWNFSSVSPTSDLADLDSLTA LHTFTGTQDESWFYMVSVAMEARGGPIIPVMLSALSALQHHDLPAATEAINEITSCIH KLGILLDRMDERCDPEVFYHQIRPFLAGSKNMAGAGLPNGVFYDEGQDGKGEWRQYRG GSNGQSSLIQLFDLVLGVEHVAQGNASPDSYSREKKMESFHREVRGYMPEPHRRLLEF VEGRYPGGLRKGVEDLLVTPSTEGNDGERRELREAFTTATKALAEFRNKHLQIVTRYI VIPSRKENKAKGSNLATASSRLAGDDDKKLTGTGGTALLPFLKQSRDETFRAGDFSR QC762_700755 MSSTTTTPETKTVLVIGATGKQGRAFIRSLLFPSAASTPSSPTT TTFPRSQAENWRILALTRDASAPPAQALLEEAKQHNATSKITLVEACLNTPSTLRTVF ESHPNIYSVFVVLAYPGLGTPSTAQKEKAQGTLIADLAVEFGVNILVYSSAIPIGPDP SHGIDESRKQKREVEYYIEDLGKKNPGLKWIVIRPGFFYENLEGMFGAIGATMYRDGL KKETTLPMVASGDLGRVVAGLLQNPEPYFNQFLCVTSGPMTMREVLEAHKRATGKPMP AAPGFVGWILLKINKGAQDLVKEAEINHANRMSGKLPTFEEEVERAKSVCELQTYEQW KRALASGESGGDEMSRRVSMSSWNNLSLMKLLTGRS QC762_700750 MRSRVTLVHCFGVCQANSETSHDFSRSPPSSSTLSSQYSISFYT DLLNNNHVQMPLQQNTFVVVPNPVTFQQTLAMDGPESKPPMTTKQAQKAYREANRLPK MTKAERLRREQAERERERKEEEKLKASKRAKVLRDRKKERELELVEERKRQGLPLVRV RPSQATLSTFFKGNGVSRKRDSDAYQADRPAMMEVENKENLTPVGSPSESASIKRQRL GKTQSQQDFLQRDEPAAAFLHPSNSPEELLEALIDDFPTASQAARELEEPRVETTEPS PVRRGPPAFMKSSPLHRSVLKQALASSQKNLAPPLDALPDPAVDDDAGYVRPITSQDL VFSSQEVWDPEFEDDEPILVQDGQKQPDVVSDPINDNSQRVPTGRVSLPNRAMADQIS SEPVGEPSARVERQTEDTLDGFTYSQFFTSSFNSADEEVGQPQPLNPPPCEPESPKRS RSPQRLFGSSGNGIAILTARAAELQAEKEAEEERRKQAALERERQRHLQNFMERSREE ERLFAQQAAATTELFTSQHGGTRNGDSMAPLSQESDYGPDWTLDNIELMDSLVVPVED GSQDSDEYGPAWTLDNVELLEAQVRGNA QC762_700740 MTRHHRHYREGGRDQEPYEYGWDPISIPGAALPPVPVDIPIPAP APSPPGSLVSFTPPHRDHADHSDSRSRSRSRSKSKSSRRRGFSPTPSTTPPNPRPVSR RSVTGRSMIGMPTAATVIGSGYREKGWDYDEVDTPRTPRQRHRDFEKGGYFEDRGTAN LKSRWDRTSQLHSAVLIPPPSSGYRHARSRSLSISRTSPSSSVSSSPEFFGIGGFPPI RTSEHRHRKDNKHHNHHAPSKKHHPRSSQPQPWYQHDVASHSSPRVALAEAGWPLTTS RPVSRSPPTHEPYVQEYSRYGHHGSAGQNGSREYRPQHFGGYVAVDNLHHFPEKNDLR MGLQRMGLASTSALTEPNTNRTRRKVTGTARKRSESSSRVAETDDGVRERLPPTGQQY KEVHCLILTWSFHDLRAEDYMAPPAADYISLEDESERLIKTLKNYGWQVSEHHIDMNR PVESTTARLNKFCQLASDDVLLVVYYHGHGSLDEDNELVFSSHDHPENSEWAKKAAAD LYSELLSRQNGGHGQNKAAFEALMKKYERYRPISHLPWSTLRQPLLTCPSDVLFILDC CAAGGANLPSSSIPQSNTYTKHLFAACGFESSTSDDMTATLCNILDDNAAQGANKTVL TTKRLHQLIEESLQKKNNGVGTSQPIFKQLLPLDPERKIHLPNLGNHPKGRGRRSRRG SQSHANMKMVAVNRRGSEESMNGMMVHGVHHGMGMVRREGSVRGYVLA QC762_700730 MSSQEEEYHSSHQPRLEAGNLPETPPDTSFINDYQDYTFIRRAQ SRGLFGMMETITEYSEESESIHCGKEKDGEDEVAVHPPPLAHSYSSITATTNVSRPST RRGREQEPREPLDKSEPEPLALEDRLNMQAYVRAMVIYGGGAPERTSSIPQHIRSAPD DGSIGSLASMLTASDASLSTGISSNLTTQESAVSGRLRQELDLMSSARGAPAGPGSRP ATAIGNTGLPGIDTSNPFAFLAPGSSSLVSTSTITAFKPEPKPEAISEPTSDTEGEKR VPKDYLEGQWNVTPAFPDESILQFLREPSKAKEGRSSNTPSPSSSNATKRSTSTVKLS SLKEDGGPEGIETKWGSKETLKPEQPPVLSSALQDNVRRWYLEEVMFTRIIAKASVSI RAMPDMSYMLVPETVRAQIRRLGEPLIQFGYEIQRYCLEYIEGYDLAWVILQWQQCQE GRDDTPEGNTKRCQRDSAIDMCDPRGSPDWSHAGSSQSRARVSTTRLPAQLQLPPVEE TPRPEKLPNALAVPGCDDVPSNDTPSLKADARSNTPSSTASSEDTHASTTPSSPGPPL KPRPRPLTDEEEDQLVRAINAVVTELTHLRDDLDEIHDHLAKIKVSRLPTAENTEETK QSFHKLYIELVPRIPTQMDNLLALMDEKTKIIATILDGGPEGNPTIREIHTIPEMTMA GGLGLASNPSSPITSPFVSSPLMSPSLSPCPVALHERGENSPPTTRLKTHLHHLLHTS QKTYPFRLAFAHAHLYTLRKLYHCPRLDPFTYRSLSSLESRLERLRKQDRVNDGAGHL CAMSHSVLRMAHGIQNRHRPQQLDPGKGGQRDSNRKDSRRRGGGLANMSGRFLDRIVG KGKGWSSP QC762_700720 MDRSSPDLGFYSSDNHHDNNHESDSDSDLDADLDFYDNDDHHDH HHDHHNHHHHHHDHAEQEEAEFQHQLHHHLHHHLHHLHQLRHVLGINLAAEPNLNHED DYMNHIFGDSDSDGLDGFDDDSYLDNEDLDVGALLHVGDSDDDDDDEDESHSDHSDDS TANRESSEDSLFVDQRPSPSPSPNRLPPIAQLVPEVRRAGQLQGNRETSFGFGSLNSD EVAFLDQLVEMEVARPVRRPRTMAAARSMTPAANAEVIDLTQDDDDVRQPRPNHNPNP RRQTLNHRTPSLNRSDGSLLGNAGAADIIDLTMDDPTPPPRRQHAARAQARPNLPRPP PPLVDLVNDDEDDNLGGGGSFRAPGAFSHFVHQLQHRLQPAAMFGIHGILGLRDVTAL GRSPANNPLGAHGPDLNYGLHRAGQEHAAPKPAHVPPKPAREGFTRATGEASEDVAVC AGCEEELKYDPDESRADMPPQKRARNKKDNEEHHFWAVKECGHVYCKSCYEGRGRAKG KTAHFRAGPGKNKALCNVPDCESEVSSKMAWVGLFL QC762_700710 MLCALSGEVPEEPVVSRKTGTVFEKRLILKYIQENGKEPGTEEE LDPEDLLDVKTSRVVRPRPPNFTSLPSLLKAFQDEWDALVLEAYNTREQLSRTREELA TALYQHDAAVRVIARLTKERDEARDALSKITVAPSAGGASNGDAMAVDNEGLPEGLVE HVNEVQQQLTQRRREKRPAPENWATPDEVSAFQQTGHTDLSVSQASSLDVESEYAVIG GLDGKADIYSIQGNTVERSLDIGEPVTCTVWTGSKVILGTSKGSVKVLDSGSEVASFH VHAGAVTGLTVHPGGRILASVGVDKSFVFYDLETLQQVSRGYTDAALTSCAFHPDGHF LAAGTQAGDINVFRTKDGVKVVNFHLGAPVQALVFSENGYWFAATGKGQQTVTIFDLR KEGDAAKAKELQTGDAQSLAWDRTGQYLATVGSTGVTVQMYLKKAKAWSEPLRTSVPG TAIRWGADAKSLVTVNKEGVVSVLKESA QC762_700700 MAPLSLDFVTVDVFTTNRYEGNPLAVVFVPPSQRSLVTQEIKQR IAREFNLSETVFLHAEYNVKPEATTLEVNIFTIEEELPFAGHPTIGTAYLVLHHLGWG HVNTLLTNAGPIPINVEGSQNVKAEIPHAVHVHSSTLSSLLEKSESSDIITAGLSPHD QIRQAELLGTVVSIVRGMTFILVNLPSLELLAKVTDSPRLQFDKIPNFLDKGEWEKSF VGRYYYVYTDEGSKTKVQTRMVELGFEDPATGSAGCTLGAYLTLTEKKGARYEITQGV EMGRSSEIVVETSVTEEGKVKEIHLGGTAVLVMRGSVAV QC762_700695 MYTAGVIYAVLLMALPLVDFAIAHSSPYSILDVTASASQAQIDG AYEDLLQTLQDQNEKGLWSGEGDQELLAKKIAEIQNAHFTLTDSLERCFWHRDTGEPL GTTTETPEHKASKVGVVMEPAAKVTPESITTKAADEVARAWSTISAVLSYPFTVVIPQ LGTWFAAAKPIVVSYSEMALAQAKTWLAILWAFVWLVATTVWSYLTTSFKLARKYLGP LAPSSSSESIPADAAPQPTIRSNAGFNADIIRSLDGGQETVLTKLTSLAPIPDSTATV LLASTTNPLRYQSQFGSATARKVSTVTTKPSY QC762_700690 MKGIQGVVQLSLLVGAWGWEYKTKRNPAFPLDVVDKLQDETMPK VQAWLDKQHKAGKATNCTLENAAVRQEWSDMTVEARQEYVRAVLCLQKKSPRAPKNKV PGSLSRFDDFVATHMTQAGELHGPTNLFAAHRYFIYVYEKALREECGYTGYQPYMNYD RYVADPLNSLLFDGSPASMSGNGELAPYNGIPQPFPRPYDRIPADQGGGCVTTGPFKD MVVSLGPKGSVVRDIPPNPQRDGLGSNPRCLRRDVNRFSVAGAKANYTYHLITQHNDV DSFYNRYLGQPQLKGDPNPWGLHNAGHYLIGGDPGGDFYCSPGDPLFYFHHGALDRIW WIWQMNDPENRINAVPGQAMPGGHNHGRRQTTQPKNALDSVIDLGWTAPGVRLEEMND QLGGLGGEMCYIYV QC762_700680 MDLLRRAGKFVPAGARLALPVTDEKDKSKRKTWATRLAYLKRPM RLRGNSSVSVPLGVVVLFPVIVVILILVLFVRHPSNPGRILIPAGAPPAIRKISEKHD KVFVTGCLEPDTSKPRANAAFVVLARNKELDGVIQSMKSIERHFNRWYNYPYVFLNDG DFNQTFMDTVKNYTKANVEFGKVGPDMWGYPDWIDPKVAKEGINKQGDNAIMYGGMES YHFMCRFYSGFFYKHELLAKYEWYWRLEPEISYFCDITYDPFLAMIEHNKTYGFTIAV KELRETVPNIFRYASAYKRLNNLTSQGLWEMFVEPQPDKKPEPPAPQLPDEILRSKQP EIDPEGMEGEKYNMCHFWSNFEIARLDFFRSKAYEDFFQMMDRSGGFWMERWGDAPIH SLAAGALLAPRDIHYFRDFGYRHTTIQHCPANAPARQLPRQPWLEETTTDERKRVEED KYWEEWDTPKENGVGCRCRCDTDIVDVEGKEGSCLAEWVDVAGGWASP QC762_0115940 MAPHAEESVGTHTGHENVQHQQENERNMPKPGEYIQFDHLPAGG PLNRWSHFMTRDHDYPGAQASLFALYFSQNYRTEEPAMLYSAGVPNKDMMKNAPHVGI ATVWWEGNPCKAVQKQNMLPWQFNTIGVSDGITMGSEGMRFSLQSREIIADSIETVTC AQRHDANISIPGCDKNMPGVIMAAARHNRPFVMIYGGTMRRGHSQLLDKPINISTCYE AVGSYRYGVLKASCKKQSGAEATASEVMDDIEEHACPGVGACGGMYTANTMATAIEAM GLCLPGSSSFPAESPQKRSECERAAEAIRICMEKDIRPRDLITRRSFMNALKLTMVLG GSTNAVLHFLAMANSADVDLTLEDIHRVSEATPFLADLAPSGKHYMEDLCNVGGTPAV LKYLIAVGLIDGGIPTVTGKTLAENVSSWPSLDPGQTIIRDITKPIKKSGHLRILYGN FAPGGAVAKITGLEGDFFTGRARVFNKEHELNSALSAGLIKDEEEGQVLIVRYEGPKG GPGMPEQLQASAAIMGAGLKKIALVTDGRYSGASHGFIVGHVVPEAAVGGPIALVEDG DLITIDAVKNSIDIIEASGIKGAAGIAAELERRRRGWKPPKMKPVRGVLAKYARLVGD ASHGAVTDAGGPAW QC762_700650 MMSPTIPMTGQAGPYTPTTDAMDRHEYGVTKNRKATSTGGGRAW SEEEELYLLQTRLQKMPYKHIAAHLKKTELACRLHYHQLSHGSNRRKRTTSTSSGSSN SGHSPPLHGSIPSPIREQDDSLSRSASPPGSARSYGSISPTGIQLPSIMTATASASAS PRLPTILPKPASMKLALASIGGSASAGTSSPTASRGYPTPLHEVHPHSAPLTAPSGYR GSMTPTNGSAPYPSYTSNSGPAPHGPGRLRLDCSALPPPPSAGLSATPYSASHPVDMG KLNAIYNAHRASFWASIAAEYGPGANPVVLEQAWRGHSPTSSSSSSSSLSLGIAAHTP ITPIGSPDDHFYNGQSKPDKTRISAILGIDANPRSPREREMVRRLEEERCSLGVVGA QC762_700640 MAQKKPDQISQSTMHQWTITPNLPPGSEYLYSNSTNHPNELQHP SHYPPQQPDMTRRDQQQQQQQQQQQQQQQQQLDFRGYAQPPQQAAQQQQHAAHQQHPQ HPQQHHAAPPVQQSMGHAQQPQAPPPQQQTPTPAPAPAPSRRKRPQTGGHPPAHPPPH APQLSAQHAQHPQHAAPPPDDVTPAPPPPPAKKSRTNTPWTPAEELRLKQMRDAGNSW AEIAKTFPARTEGSVKKHWYKDMHYAEFAEDESAALMSAIKEYENNKWKVIGQKVGKP AKACEQYAKEHFPELFANQKR QC762_700630 MLRSLLPLGVALLGATSVLAVDPPSCSLDKKCPEEAPCCSQYNQ CGVGAFCLGGCDPRMSFSIDSCVPAPVCKDKTYKMDSLDRYKHISEYLGDSSKVDWVG QGEPLLYNGHTLLTMPPKSVGTVLATTTYMWYGNVKARIKSSRGAGVVTAFILFGDVK DEIDFEWVGVDLNTVQTNYYFQGITDYGNTGNLTVDDSYNKFHDYEIQWTPDEIRWLV DGKVGRVKKRSETWNAKTQQWNFPQTPSRVQISIWPGGLETNAKGTIDWAGGKIDWNS DEIKKYGYYFATFGEIKVECWKTDKAPGTNKGVSYYYNDIRATNDTVVDSNKPTILKS FLGTGTNMNKGETTQSGSASQTSAIHAIPGGGVVGSGNAPGVNNGGSSSGGPDSGSNS GGGAAANPGCSSDSFVQNCGQAATDGDSNGNNSNNGVRGAERLGASAFAVIIGIAGLL FL QC762_0115980 MGKRGWMRYQHLLHYAEGSFALMLVVGVILAVFGSNKIPFFLRP ITGFVADKIYKAFVVPHCGEAPRLFGRNARHFAFCCWR QC762_0115990 MTSPNPQAPPQSTSTLSPSNKPEIPLYWLNDYRVQRVVWLLEEL DLTYTVRPFHRTPSGLAPRELGKVYP QC762_700610 MTAKHSNRPKTNLLTTPGEIRNQIYSYLLPTHETIVIASQRKDS FSSSSSSSSQQHYIGKSLRRDLSTLFLTSKQIHLEASTFFYSNNTFVLPGDATSLPHQ AQANLLLRWFLDRIGERNCSNLRRLGIPFPLEGGLGQLQLGDGCEQAIEEEEGAKRRR FISGLVRRCPNLEELEVDLGRGDTPSLAGTAPVPSKMEMAGSVERLLRQSWAGLKAVR VYWGDQVIYKRRRRRARSLEYGMPPEQVPMGEEWVVVNMRDDEEDQARYRERYYERRE WRLEDAVHDFYSPYSSINLRSSFALERYRVAARAAEAAPSRDWLSSQHPRIEFAKAFL KSPSRAMSERNEEKEWWRIRRKMAREYTVSYCCTMAGGSSYRTRSKRKRTTTWLRNTL ARL QC762_700600 MSPLNPTATPFLPAISSLPTLPDTTLTSTLDLLFEPTSELHSLA LPTIRTCSFASYDELIDTLRGQLLTIASQVQDDSEGKKKLHHVLGSHPRLGAPKVKQE DEELSEQSKNEQKQLRGGDENEARRLAELNEEYEKTFPGLRYVVFVNGRGRGVIMEDM ERRIKRGDIREEEKEGIQAMCDIAKDRARKLLKSAEEAV QC762_700590 MGVQLEPISQSSFEQYGPWHFRDSRFQQNERVDLSAALLRAAAL PALNDLCELSARTSKADGRFLELTEKPTSSRSSSIHVLQEPSPPATITSPPTPVTVNK AEDDVDIPSLPGPRHSLDQFGYHAEEGVKFTFAPNSLPIRPRPNLKRRRPDSDVDGYN TATMSCKKRRLLRQFVTSRLSAPFSLPATHILNREVVASGDKRFLKLAAIMATRKLQP QPLPPQVSPDSFLRRQAIFNRCRLRMATEGMSRGSRGSHGPETQATHPASQQQQQPGV EGASFALMRALHPSSTGATTPVISISPQPSSCTPKPAITSSSPPSRSPPLGPTASGAS PTRLRIPSPRLRPLRSPELRVTRPALPLDDIEDLDDDSVAFPTSVHESRYGDEPDEVY ADFGLIFGGGGEGDESDEEGPAEQFEDYMDDLDGIPWNARC QC762_700580 MSVSMTPTVGGDEDKRLSFQEQLELVKLPREGQAHRYISTRSAY LPGSDFAKGKELPSFHTAAFGGHVYAQAGLAAYRAWRETEKEKGVPEHARLDIHTING YFTRIGLASRPFIYTASPVTASRTFSTVSVTATQPSVPSNSPSEDHYPAEDASLPQYP PAFTALLSFKLPEPDWDGTVSEQEAPPQERFASILSSRKPEEWPPAPPVDITGVVEIV GDDQVGTFPIAEMKKVDMKEYNEGKPVHERRELLLYRLLKPLPDDEDGKSGYDANAHV LVHAFVADRNGLLMAGNHIGLGYSLGRAASLSYHFVMHVEAKAAVMREEDGWWIQEVW FPRAGRGRGIVESKIWSPSGVHVATEYQDGLIQGFGGKLLKEKEVSGQEAKL QC762_700570 MLLQRSALAIARRAAVAPAVRRSLATSAVRRDAVPDTKIKGIKE VKTVDDLFGPGAPAGTVPTDVEQSTGLERLEILGKMESVDVFDMRPLDASRLGTLSNP VLVRSAGEEQFAGCTGVPADSHNVIWLGMTRERPVERCPECGSVYKMEYVGPQEDHHH DHGHGHGWKEPKTMADYVKPEYW QC762_700560 MPPKITAKNLQYNTTLPPFLARLRGEATSSSEFDGGPDPILAAR RRPTKKRSGSAEAEDAPTIVDEHGNTVQDVTVGVDGSVKATASAPAEEEKGGHDDSKP AAEDQAQAKAASIGAAGKKRRVGKVVGADADEEEDQKKDAAPTTNTKAEDKEGTASAP KAKPKRKAKKIKLSFGDDEG QC762_700557 MPPSGHPIDLEAHRKIFEDLYMVQDMSLPEVMKIMKEDYGVEAT KKMYKKRIKAWGLFKNINGDEMLTMLRIKEHRRRQGKRTQFYLRGKPVLDSKLRRFAT RHGVVLDDEDFGGDVQAALRGITFSTPEPEDRTEITTPTHGNASHLSPVISDADVTSL DCFVGSPNTDPLQVPRREYPDISWDVPVSSSQSLAGTASPTHQVYAWLEDTDHSRLDG DYHFPPLSMGLESGHDVVQASTAQSFPYGQQLEFYQPAERPSNDSLEDPALPFGQAPE FLWNPASFHNTLINSEVTFTGPSPTEGINTNFVARDESASLHYGFSQDHNNAPVSYDE HDSINYGGWYDDNFTWPS QC762_700555 MNQRARLLCYVNLGIVFPDIRTGSDMSDGPFICPLEDTTDWGLD PVRSAQDYVTATIHYPDFQRGMQAMPFRQSTDRDNWSTNLREKLMKFASERGWNHPNF RSVNEEDIFQEWRERQNGRNRDDEVQVNFSTGPDAIFETMDDEYMDLARVAMSRYFRN EVPRVEGEDTDSGSDALDSDSSGSSGFEFSDSDWWSYLVTM QC762_700550 MAPPAKLPTRRLGKNGPEIPAIGFGLMGLSTAYGSVGSDEDRLK VLDRAWELGYTNWDSADMYADSEDLLGKWFALHPERRADIFLATKFALQYGPNGLTIN SSPAYCRQQCEKSLRRLGTSYIDLYYIHRVDGKTPIEHTMAELVKLKAEGKIRHIGIS AASADTVRRAAAIDHVDAYQVEYSPWSLDIEGPETNYLLQTCRDLGVAVFAYSPLGRG IMTGQIKSPDDFEPGDLRRLFPRFSKENFPKNLALVEKFRIMADKKGCTPGQLTVAWL MAQGEDIFPIPGTKNIKYLEENVGAVRVEVSEDEEREIRGWLNDFGFAGIRVPPGLLD EFNDTPPLS QC762_700540 MASPLDPAHPHRRGTPKRLIVCCDGTWMNSLGKKGHEPPSNVTR ISRVLCRTCSDGRPQIINYFAGVGTANGLDQFTGGAFGMGLDADIREVYNFLCTNYVD GDDIILIGFSRGAFTARSVADMVASVGLLTPEGLDKFFAIFDDYENMGSSSRDTDDFL IDGLEEYKGQHGQKKIEWEERRKETYKRGLREKKLTRDTFHAGAGEIGEEIKIKALAV WDTVGTLGIPPAPVIGVRGSADQWRFTNTQISAKVENAFQALALDEPRYAFRPALWER VPGSTTNLKQVWFPGNHGNVGGGWWDQQISDITLAWMCDQLSTLGLEFNHPRMTNMFL ESLRFSAAHPFPFSPQSKTSSIGSVAKSIIPRSMSKLLRGGRNSSSNGTTNGNGTANG SASLAPGSPKPWGHAAVFRHPFSAPKRDPHECDGKHDHPDGPLPQLWQFARPWGLGLI RSPTSVVTTVAGKTVRRPGLFMRVDEDTNEDTSEPLLGTSERIHACVRIRLACGGLGL DDKDVWTCDSLLKSETAEEGDKRRKGGPLWKLERGSALSSGEEEFVKAWRIRPRELTL GTDEYPERCMYPVGEFDHHWKWVYQRKAVGEGQARVPQEVALPEEPLVGYWERYLLAL LVGEADVWRFAQQEIVNGK QC762_700535 MGPSASSNTLCLEHHWAFGMLLKLSQQPSCVYDKQTEMAIRNGW EDPPAGWKGTVSPNTTGSRTFTQDNTAQPTVEHVEHVTPPKKVFYDPDDVFGSSHAFD TAAQKRGSALFDLEAGEDSARVFLKTPADFNKYAANMKDKRPLLQFTRRMRRFDPDVD LDLRLQKRRESIVRMKRFSHKSQHFEPEEKKDDSEDDSHSDQDHHSGYLKEGHSEKQH LDEFDASDDETDSDQDYHTGYLKEGRSNKQKRDQADTREIRVLDGETFTWWW QC762_700530 MTPEERVPVLIAGSGSAGLCAAVWLARFNIPYKILERRNGPLEI GQADGVQTRTVEIFDSFGIAEEMLREAYHVLEIAFWSAPDAEKGIKRAKYEADKETEI SHQPHVILNQARLNELMTGLLGSNPPIEYRCEVKNVVVDENADSNDLESYPVRVDAVR DGVQKTYRAKYVLGCDGAHSIVRKSLGFKMVGDSSDAVWGVMDIYPRTNFPDIRKKAV INSAVGNILLIPREGDSMVRFYTELPAGTKVSDVSLEKLHDHARKVFHPYEMEFAETF WWSAYSIGQRRADFFHQDHRVFLTGDACHTHSPKAGQGMNVSLQDGHNIGWKLGMVLQ GLARPDILETYVLERERTATELIDFDRKFTKLFNTKYRQEHGITAGMFAEQFTKAGRY TAGQAVQYDASAITDIGEHDKELVAKVTVGMRFPTAQVVRSCDAKAMQLVKGLPANGQ WYIVVFSGDLSQPEAMSRLDKISSTLSQTVQRLTPTGVDPDGVIDRILVTAGDRKKVE LDTIPDFFTPVTGKWKMKNLGKIYADDESYNSGHGHAYEAYGVDASKGAIVVVRPDHY VAKVCRLEDVESLGSFFEGFLVPARS QC762_0116130 MAMDRPLKTVIGVKLVFSTAWAVNYLERSRKSPAQKAAQSSSAQ EQKRHRLEQVVQQQDKRQRSNADAGRE QC762_700520 MSKSILFLGATGGVGFSALQRSLAAGHSCTALCRTPSTLSDKIP AEVDQKLLRIEQGNAHDVDAVLRALLPSPSSPRQGLPDVIVFSIGAYFNLSKMGMDDA HVCENGIKTVLAALQKTRTEHNLQGKPRIVALSSTGVSDFGRDVPLLFVPLYHVGLKV PHKDKKAMEDALVESEEEWTIVRPSLFVDGAKDGPKREIRAGKEDPIKGVVESKAVGY TISREDVGRWIFENLIEGEKAGEWLRRMAAITY QC762_700510 MLSSTWGSPSGTGQLPEEVAPYTVNIDQGQLDRTQTLLKLSPIP EECYENSLPDGSRKLGLRREWLVEAKRVWEEEFSWRAVESQINSFPNFTASVPVNEQG EQINVQFLGIFSQNPNAVPVVFLHGWPGSILEFLPLFSLLREKYPDPARLPFHLIAPS LPGFGFSDQFPNDRNYGMEDVAFVVDSLMVGTLGLKNYVVQGGDIGSRIARVLGNRYE QCSAVLVNYSPVPPPESFDFTTLSEKDKRGLERGDWFRNDGSAYAMMAASRPGTLGLA LSASPLALLAWIGEKYLDWTDPLSFTQDQTLPSGARYSRKLMNEIIASVALYWLTGKI HTSFYSYREAFALNGRAPPSSNAQFPVMAPKKVGMMWFPYEVIPTPRAWIEKYSNLVF WREEEVGGHFAQLEQPEVLAKGLEDFIKVLQEK QC762_700500 MLSQVALVSLLASASLGSASPITPRQAAQQVVRINSIENVAVRS NGLILATNMNSATLYSVDPVAKTSSTALSVSGTNGLSGIAEYQPDQFAVIGGGKSIYK VDFSSGTPRSTLIKTITEAQNLNGLAYFDNSTVLVADAGRGNVYKIDVNTGVYSEVAR DPTMAPSGGIPFGIDGIRYANGTLWYTNIFRNSFHKIPLDPVTAKSTGAQTTLWTNLM GDDLCFGPNGKIYVTTNSRNSLVEVDPTAARPSPVSVGTVTGSTSCAFGRTDRDRNVA YVGGGQGVFAVTIRV QC762_700497 MCNWEETVYACQHRSKVRRQAYSCTVYTRYIYGECRFDSRRDKV FKVISYEDCEDCRRLYEFDATNLYNMTSISMARRLPRSILMISTYTR QC762_700495 MIASRLLLTLLLSLSGVLGQRCQWSHLRQSSDRYSELQTSPQHT PSPSDPLFFPATSPYPFYNNAIPTPLNQTILATTQVRPLHTHSIIDQEGCSSYTRLIT NDAILAVQIFYESATNTGGVPLRVKEIHTVYFLAPGNSTEKIQANVKRETWPSFSRSD QDARSTLKGVFDAYLDANGPVAWAGSCSMLDSGKGGDLRAGQGDQCAELRLQLKEGQK IEQRMYVIDESLGAVTVSGVVGGKVAGFEGRVVKGKLEYVHQFGDYCS QC762_700490 MRYTLPLGSRPFGPLCIMPQMEVVELEPRPTNRDNRLYGASELS GLGRTGHQSQRRPQHNQDPDHDAESTVAVFPDELDRILEKLVIVVPCKDEPVDVIRGV IAGIPSRCAVILVSNCSHQHGENGWEAQERMLAGFCAWGREGCFVHQQYPGAAMAFKE AGVPEILDTASGRIRNGKGEGMYLGIAMAKSYFPHHQYIGFIDADNRIPGSVVEYCKA YAGGFALAQRSAAKSGTEREDVMVRISWASKPKYSASTGRIEFVQQGRSSRIINSFLN RLFAKAGQQDEFITTGNAGEHAMTMEMALKMRMANGYAIEPFQYIEPLLRNKIPSANL PNASQTTRIVQIKTANPHLHRETGDEHIIKMWAAGLGALYHHLLGVDSVSGLGTTTLA TLRKDMHRFALQNGAIQGQEELPRPNIYPSLDNADLDSFRDLLGEPCSGHVYEGLGFY GLVDQAWASSGTNSPASQSTLEHDSWGEDDQRSSASSEEEPEVLSDSSKQGE QC762_0116220 MVKDNQSHSSTLRSVYIIDKPSLYLIGLLPASCFGRWFLILLAM THKHNAATRGFCSVVESFPCPRAFLNAVLLGLLTCNTCVLEWCASLSVTRCTR QC762_700480 MADVKTAAGSSNGKPAIRRGRREKPWPVEKQKKLLRLYVCTQSE KLPLVRILERLKDGTFDPRQRNTHKHLKNLLPDRRIDDWRPRDMNTMLVRVRFLRSVR EERRMRNRRARQRLEAARSRSYPDPMSASVFSDAQTGFYAEGSDTSVTIKQSDSPDSS PLAIASASSADSPDTSDTYMRDKSPSRASSLTPSAKRRSWASVLSSISSGISSLARSS SSASSRRINLNNTGANTALSKMTREEFLVSLQDKPRKPFKGTKVTNGLFKPKYSTVNP TTEELNKALLDMCCSNYYNGEDRTDTVCVHERLSRAIDAQATENSAFTNFSVTDEEAN MVDKYGNSLLHVAARWGARVSLLLLIIRHTDDLQMVNQRGETFLHVYAPPSQPRLRPV SFLNLIRVLRSRGFDFCLRDGEKHTFLHHIVAKEEFPIETLYYVFREVGHGAARFLVA SKAADGERLWHSVRRNLERTAPKLARIFGDEVEFIRRYLPEFSDSKAPSTGDNSTCGS DSLYSLPHHHQEVMTMNDPNDSSAQHVRRSPIMKLLRRAAAGRTGFADTDLNKKLESI FETASKAPDFELHAFLAKRDTEGNTALHYASEFGIVTAVQFLCGKGANVNVFNNCGNT PLQLVKYAIQRTDVRSDIHMEARYLRCAVLLLEQGAFDQSKLVSERSIIFPYANVFDG SERSIQNLVKQGVANQCKGLHLLTSSTKHHGLYYGLEGHDHGHCHGHGGGGTSFGWAD HGRLLDGLMGRRSGSGRGRKRAPSPLGAGGGPIGGEAGPEGMNLTFQTSVLSSC QC762_700470 MRSSTISVLLGAASMVAAIQITSPSKNDVVDLSAGVKVEWSTVN TDPDSAHLFLVNMASGHTPYNKDLGEVDLSTGSIVITEKDVPEDGAFQFNFQSVKQNN MGILAQSEQFENKKEEGKDKDETTTKATTTTGGAKTTLTTATTAATAATTTGADSEDT DDEETETSSTSTGTSTGTAAAASGTSSETPESGAAGLAVKGTMLALVAGVLAVVA QC762_700460 MSISPAEATSACSCIGITTVTASAVTSYVSATESATLIDPRETE PSSEPTGTEIPSESTVETDTSAPTDITTIAETITSAATDCPAATTIVSTITQVEVQTT IHTASQDVATVTETQTATLPPVVETVTETATAETLVSTITEVLIETETHTHVQIDTHL QTDTHFHTESHFHTDTQLHTETQTQTLTETETLIQTQTEVETQTQTLVETQIQTQTAT LIQTQVETETHTQIQLETSIQVSSFTTTVTTTASASASACPTVTAAASPIKNGNFEGN SLRGWNILATTGSGGTSSVGTTTDHITPQGRHFLHLYTGYNVHPRGPSSLTYGQTITC VAGVRYRLYYDTKLTTNSQSGVGWSVKVGVTTMHSGNGAAFDWMQRSSTFDCHANPTR NVLQLEVRSTVANTVVNMSFDNFVVMPVTTIET QC762_700450 MNVAVIGAGPSGLVTLKYLVSAHTFLGTEPIETLLFKSEDTIGG TFPARTYEDAELVSSKQLTSFSDFRLRDDDPDFVSAERYLKYLHEYCEKFRLWSQIKL STTVVSVKPNIKGKGHTRTGKQKSWTCDAIAVCSGLHVTPNLPEIPGLEDHVPLVMHS SQFKSRSQFVTNRTVMVLGSGETGADIAYLAVTSPTKQVVMCHRSGFHFALKVGPPCL DFPDTQERGIPVAYVHPLLRNSNALWTFYDWYVKGILWLNTGTSGGLDQIVGEPSPEK NHVSKIFFNKSSKASPYISYPYRLNSKGSLVDRIRSGIIQSPDIVICWTGYKQSFPFL DRDHYPRQKRPT QC762_700445 MGFSLTSLLATASLALAIPHDFQVGERAPVSTIDIRYRHDKVTN KYALAVLDKDTHQVLARICDKSIRSGAFSALPIHVDADGEAFGTITVGSRTHAIGHRS RQVDCWSMYSNRAATVTCHVSLRHLPALDFDALAHDTPAPPCFDNFPLVEQSDVDGTP SNVTQLELAQHEIGNEGLETVQAQDQLPSHPTLRARQGSILRCPPYREARLVGDGNPH QNYFHVQMTSKGRCGDGDCEISYETSETKTFSWTASASIAGWISGGFAVEKSHSFAEN FNCVGKEGHDTNICVWQMIAHTAYTVQNYIVYPCSHKAVPDGDPFILWSPNANNVGSE YYCVRNTCRAGKGDNWWDKSPSRPGGPRDW QC762_0116290 MNLASALTDGFAERSNLDRDTINLGNQLLFLDTVVLEIPIDIDL SNLCVHQLGPRKWISSQILFFGLVGTLQILITNRADYLASRLCLGLAESGYIPRSIYT ISTWYTSRKRTRRVAVFFIGMFGGNALSPLLASGILKLSCRHGLRDWQWLFLDGLFTF LCERVVLFLVAWVTWETKPLVGRGLVVFSEKDREILQDRLVRDGDGLDLTDGKPKSHI PLAVVWKTVKHWRRWLHFVSTFCIFSTWSPLTTCTPSIIM QC762_700430 MSDSPAKPAANSGSGSAAAFGKPPESPTASKDVTSPGDLQQGPA DEPDHPHLEIDHDEGAEDNYDADSAYVSLDGSSRTGSITSSITNYVYENGRRYHAYRS GQYVLPNDEDEQERLDLQHHIWLLLLHGSLYTAPLNVPDESDSASEYRILDLGCGTGI WAMDIADQHPRASVFGVDLSPIQPEWVPGNCRFHVDDYEDDWTYRPDEAFDYIHGRAL GGTVADWGRFYRQVRTHLKPGGYCEMQEYDAWIFSDDDSFDRAPWTKEWVTKLDDASK MFGKQINVANRHKQWMIDAGFEDVQEKVIRIPIGPWAKDPHLKELGRFEQLHMQMSVA SHTPALFTRVHSYTEQQCQVLIEGVKREFRNRDLRLITIYRFISGRSPGPPPPEL QC762_700420 MADVQKKLIVVLGATGNQGGAVARRFLQDPQYAVRGLTRNVSSP AAQALRELGAEVVAAELDDVESLKKAFKGANLIFSVTQYWEPFFRPDCRAKAEELGIT CRKYAYDVEVQQGKNIADAAATVVDTLVDNGFLVSTLSNARKCSKGKFQDLYHFDSKA DVFPDYVVPKYPELAAKMSCIHTGFFTTSHNILPDSYFAKQSDGSFQMRFPCNPDKLI PQLDVNRDTGNFVYAVSQMPPGKAYMAGEYLSFRDWAAAWGRVTGATIEYKEVTIDEM VAETPDKACGLEVALMYSYSSDPGYDGGMELLTAEDIQKAGIDCPITTVEESLAKQDF SKFFSK QC762_700419 MSSPNKRLQHYNMLGVRPDATSADVKKAYHRMARLRHPDKHGNS AAATADFQELQQAYEILSDPKARHTYDQTIATKLSRTEQARRRYAELLERVQRDSARV QHTVDYKRRLFEAFTFRLKQKQRAYDVAQRRIIDAKAFVVISDDDSDEPAQPPQSDTQ HASTDEMPPQTVQDLALAKQDHQKATADMRDAETNWKRLKEEVDVLLDKVWSSEKECA ELEKEHNPRWQDSQTAQIPSQLSFHGGPQTMSQGRPSQYEASQYPPNPSPTTPPPRSH RQRSSEALPTFSPRPSYSSTRPPPDQEQHYQRARSFSFHGSNFRHPPPPQPPWQHHQR PMATPHPFGAMETEIKILRTFLAEKNRIVNSLLQKNLALEDEVQRLRRMLDQANNSPV HKSGDVEPTTRKKRGRPRNDTRDSANTDGRGPKAARTG QC762_0116330 MSQAAVPVVDLRYYSTLEIKLSATREEIKKSYRRLALPWHPDKR PAADFDATEKFQKINNAHEVLSNDTSRAQYDQSVHSRLQQIESTRLNGTAKLFSYQRR QRDPTTASAAY QC762_700410 MTIGDFTSICRMAPLPLCSSVGPITSIASGVGIEPDCFSRNIEL ANTIIFQGAASAMHIVALIMTVTMILHVRGKFTAVGRKEITSFFYLYMLLTFLSLCID AGVIPPGSAPYPYFVAVQAGLTSALVTCLLINGFVGFQLYEDGTPLSLWMMRLCSAAA FVISFLVALATFMTWAGLGPTNTIGLFVVLYLLNAIQLFVYVVLQIILVTRTLQDRWP LGDIAFGVFFFVIGQVILYAFSRPICNSVSHYMDGLFFATTCNLLAVMMVYKYWDSIT KEDLEFSVGTRMNNWEVKELMGPSPEDDLQQRRATQIYHDDPYGQSSAYDSHPNQHYS SSPNRLSRYQ QC762_700400 MSAAAHPNGHGNAHLPLASQATALAPAYQSMSEEIYQEVCRSMM ASLDRVRQEDMRNFRDLHAAHKAALEQEQMDYTQQFFQLQKEMTALTKEGAAADADIS KLEELLLQARNKRQEVASKILFTQKKVTDVQSLQHRKHAELQALAKKHEQDLKDKETR WQQIHQDRLTLLESKKPSSLRQAQDQNLTGLDQAMADDATTASSPMQSLRAPQLAPGV SHQSTGSGGMFMGGPVQPPSLKAPTDNGPLESQGLSVSKRIGAPVLEAPTLPRNHDAE EQRLEGASRPAASQKTPILQAPAGYHAPFAQPQHFPPPNPNASSGALVSVSPTVVPSV ETPDQQTDPTVSEMQNVTSTESLNVQVPEEQATPVTVAALNGATDIPTPLQTSEEGPE ADVSSKEGTEGKAVDLGAPAPAEVFSAHTEGWDVETRDAAPPQAATETTTVLGQENAG PTVFKSPDQVETREAASQRADVEMSGIQITAKQSEVSPPTQIMDATTSKLGADSANVD NGSPALADTADSPLSDLSSIPSPPSDTFTHEGSPPRQPPNVSDGKLCVYDEDGRLVGQ VRRLTHTTSSNIEKIAAFPLKRHVQIRSGRKFTSEDLDRVYHVSDVKGTKWTSCYIQA TGNIQGQPCNTCAKHNGPYEECIILDADDSFPKCGNCEWNRQACVGASLRPKTASAPG PAPAVAPASALESTPATISTAISKPSFGSNFTAINSPSGDQQDSASAKDTADAAQNQA VPPVKKAGRKSLPTSRVQLPSHPGTPHAGSPEPHEPSRASLPEITKENLCLKDDGVVY TEPPFMAGVPLAKISPDHPYWEQDWDSDIASHVRKELEKWTTKFQEMDSQGIKDHRKY EAQRQINRGQLTLKFLEEGELHPYQLVGKAWMDTKKIIKYNTLYRLASTLMEDLPKMD LGMTPAEWMRHRLYEIYQEQGPHFNLASTVASFYHDPKHAQVRAKNGIVSVGRPHKSA TKPKTQTPGQEDNGSKLTPKALKRKEPHATPKAAPVPPKQEDAEPEPEPQQLQPQQPE PRPRSSPRKPAGLDHKPRPRSRSGNPPSAPIADSDDEGMPLIRAAHATPPLPQAKKRI RVTSTVDPSNTPDLYYHGFTDVDSCSDDRLEQIDWRVNQIKTAEVSTNPGVTQYWHWV DDAHPGYCEHQVLKQLRPPKWAVFKEPYNFHLRTVDCEEIVYGPGSHRVIIRRKAHLQ PKKGDMLAEFKRDRTKKRFLEFMHQRGVRIVRSNKEYVDGAWENLKPAFDMPGQDRDS D QC762_0116370 MLYETIGIVRSGHLPEVKELVLTAGKIILQQGGVIRDIRNWGTF SLPQAISRGQQRHTKGHYFIMRYDCGIKANEQVRKTLALDVRVIRSANVKLGNGKLET LSKFGEIRWDKLEGEV QC762_0116360 MQNVNSSSFVAEYWRPSGLRCRNCLLLLKFWTSSLIPPNLAKLA QRLELAVAELDVGGPDDAHIEGERLADLLVGLDAAVVAHDEVVALCVALLAAGDGLGE GEGAPVADVADDTPLLEDDLAGREDQLFYFGEVPGADLEKQEGAFC QC762_700380 MGTSRRHEGNSGRSVLRTLFASCFHKRPGQPPPKAPPSEGATET DSGDSSLHSLPVPTINSNPKPAPQLSIREAPPNTTIKPPDIIMPKAPKKSKSATKKAA PDLSAKGAKSKSKDRSPLKFVFVYAAQSAHALLNRTADLESFCSRYFSAHDGASSAKQ QEADARKKQLEAIFDDFETDEDKNDNHDSGDPALGADSSMRYLEAVGANPADYSLLVV CEIVKATTIGEITKEGFVEGWSEVIETLDASVKPDLATQKRYVQSRMKQVSHDPAYYK KLYQYAFIVGKTNKAMAMDTACAMWEMLFDAGIGHEWKTANVNWLESWSEYLQEKFYV PPPNPDAAEEGKWTRTVSKDLWNQTLVFVNKTLEDESLGFWSEEQAWPGIIDDFVVWC REKGIVAPKAKDDMDVDE QC762_700370 MPSTTTQRRLASQFVEATNASRENAQLYLKNANYDLNAAVNRSV SFPFTHIRISLLLFPLHFVTAPALSKLVALAMAVPPPPPLGSLLEEAPIPETGNSHVV GLAMDKEARPASTLHEIDGQTLGLGGVAGRGSVLEEEIGIATPCLI QC762_700360 MSLFGSSLPEAASSGTGPSHVANSKSSLFEDESPMTKSTSTALF ADDDAGSDSSPWDLPTPRKHHTRADLIRNLLASSDVPDSYVEVFEAVSREDGSGGRIT SGGVARTLAAAKLGADAQARIMGIVVPPGGGSEGISLERGEFNVLLALIGLAQEGETV SLDGVDERRRSLPQPKLHGLVDTTPALPHLAELGAKPPQRPVSPPPPASAHKPLPSPR QHRTIRKASMDYPEDPWNTPDVHKNHNHGPEPPRSNGSDVPGTAPVENGSGLFSTSPQ ATMPGRTTSTFTTSAPPSASGPVGESAGTWGFFDGNPSSAGGFSSQGAIPTVPFAVNE GAREPAIVQPVGPTPSRTIGGGRAGSAVEENILVTLMPEKEGMFLFQHHNYEVSSTRR TSKVIRRYSDFVWLLDCLHKRYPFRVLPLLPPKRVAVNGNHLSNDGAFIEKRRRGLAR FLNALVRHPVLSQEQMVIMFLTVPTELSVWRKQATISIQDEFAGRALPPGLEDSLPQT LEELFSRTRAGVKRSAELYITVCNIMDRLVKRSEGVAADHARVALTLTSLTEASADTY ATDTNEIPLLNDGLQAMSRHLRTAQGLLEDEARAWDEGVLEDLKRQRDALVSLRDLFD RRERLDKDNIPQLERRIATNETRLAALRTKPEGMVKPGEMEKVVEAIIKDKESIVNQH NRSVFVKECIRDELLFFQQTQYNVSRWNQDWAQERVKYSEMLADNWRRLIDELEGMPL GD QC762_700350 MPPRLPFAQAAQCCRRALEVPPKQPSLVSLFAALSVQTRSASIL ASLSDNKGAYHKRIRRGRGASSGYGKTAGRGMKGTKARNKVNPWFQGGQTPLIFKHGQ KGFVNHRAPVMAELNLDRLQCWIDAGRLDPTKTITPRELVRSGLVGIKDGIKLLARGK NEIRTPIDIVVSRASASAILAVEAAGGKITTRYYTKAAIKNLVSGKSVHTDKPLPVGP EHVESVLQQARTSRKHFYRLPDPTSRWDIEYYRDPAHRGYLSHTLKTGESPSLYFKVP GEKALVGKKSKKSADASEERLF QC762_700340 MKFTCLGVASVASLVVFPFRASAHPLDVHNAQVSRGWTGVKEPC KEIQENVAKWMIDNDIVPKNLDGVFRLLQPPVPPSPIRPSLAFACLKSIPLYADVAKS HVDYLAPLVEWQSTVDYLRNPPKGYLSEAVDLTQGLKDISANLQGKKQIYSNMFDFLA DLHTLLSTRVRDGHFSARSLLLELFTFERSAQFISISQDGLELPSIYLRDDIKHTGDG YTPSPVVSINGVPAIDFFLKSSIQSSGSHDPDARFNTLFPSVSKDANLNHIENNDIYI LGLEDATNVKLQNGTVLRFDNTAHVRANFSDIDSGTSLYNAYGQGNGTTLSTWAQIAY DWADKNWTAPRNGFPTPFSTTPSESLRTFLLPEVAFEDTAVLALNSFAETQSPYDPLA LLSFPARVNNATKDFITAARASNRTKLILDLQGNGGGLTDYITIVYLHLFPVSNPAAP YNWPLLHQVRAHPQLQWIGTELEKLQSNNTALTAPLRSLLNLYLTPNGTRWSSFQEFF GPVVDSKGNSFTNHSLINVTINAFESFPFPPVSPAPPFRPEDMVIVTDGECASACAIF TSILAHIHGVKTIALGGRPLNQPMQAIGQVKGGPVYSFQGFVSPDPRNASTPLPPGVK LPVNGKPPLRIPVGLPTAARDIGGANVGYNLGNMFPYDLEKGEVIGDKEVPLQMRYQA AHCRLFFTWEMARNITAIWRAAREVAWGDGKCVRGSSTGRDGRIGDTTLPYSKAVEDK YKLGKGPGSVKR QC762_700330 MIYPRLTTHVRQIEPTPYYLFDMALKSEMAFSTARIAIGGGQDG VAGEPRSLVRVFAEGATSRADMQHDDFPEVVSSTPGTESECQLQRCALPKSDRTIQLS WPRLGDKRRAMVGQSPTPRSRSSTGTRKQAHKNSQTTRIVHVSQKDLELHYYLNASVG IGHSQPTLEPPLAWNPSVIKAQDEDLLQHFQKSASKSLAIFGHDSFELGNALIRIALA NTSASATAVLQCLLAFSALHRDDVHSQAFELKITALQALGAASSITPIGATDAIQHVA AGMLLCSFEAHKSSCTSGEWIIYLENAKKVIYTVGLDKIEGNTDLAMILDWVYYHDVL ARFSLQHWQKQTAASTGSERFFTKPFNVQFLATGLIKLLSEVCDAFSARSATAFNGKK SSKETEDYKNFLQILDWRIRSLPLSTPGTTVSSPEDRDSLLLLELYRLALLIYLNRAS NNLINQSFRTEKHIAQAFSILPKLKSCDRQFPVFILGCEARNDEYRAVILDLITRAEK EESSRSFNHVKLLLQAVWAQDDLAEGEVDYWEKISHVISCCRIAPSFV QC762_700320 MSTKNIITVFGATGAQGGSVADIFLQDPKLKSSWSVRAVTRDTT KESAKKLQQKGAEVVAADLNDKSTLIKAMEGASVVYAVTNYWEKCDMKLEIRQGKNLV DAAKETGVQHFIWSSLLNITKLSNGKLPNVYHFDSKALVEDYAREVGLPATFFLAGAY MSNLPGGMFRRDPQADNAWILSLPVSDQAVQPLFDAAADTGKFIKAAVLNREKVLGKR LLGATSYLTNAQIVEGFKKVFPETGKTASYKQIPDKAFYEALTKYQGAPDFVAQEMLE NMHLFEQFGYYGGESLDETHALLEDKLTTWEEFVAKTSKWGEELK QC762_700310 MSGNSTTIPTRPLGPGGPEIPILGLGLMGLSSFYGTPPSDDERL AFLDRAHAIGCTHWDSAALYGDSEVLLGKWFEKTGKRKDVSVVFLATKFGNRVLSDGT REFCNEPEYIREAVKESLRKLKTDYIDLLYCHRISGKTPIEDVIETMKEFVEYALLPT SSTPRSGQVRHIGLSECGADTLIRASKIHPIRAYQIEYSPFTRDIEFPDLNLAKTCRD LKIPIVAYSPLGRGMLTGKYSSADDFEQGDFRRAVPRFSAENFPKNMELVEKIKHIAA KKGCTPGQLTLAWMMKQDLVFPIPGTKKIAYLEENWGANSVYETLTKEEEREVREAID KAEVYGTRYPAAAMGALVKDTPPRS QC762_0116460 MSLPQTYKQAVFRSAGAPLTIEEVPLQQPGPKEVLVKVEACGVC FSDMFAQNNIMGGGFPIVPGHEIIGRVAAVGPNVSTWKVGDRIGSGWHGGHDGTCRSC KKGYHQMCDNQVVNGETKQGGYAEYVLLRSEAGVPIPETVSAAKYAPILCAGMTVFNS IRHMNVGVGETVAVQGLGGLGHLAIQFANKFGYRVVAISRGADKEGFAHELGAHEYID TSKVDAGEGLKRLGGASLVVTTSPSANTMSGLMQGLGPLGKLLILSVPGDVSVNTGVM LRYGLSVQAWPCGHAIDSEEAIAFTQLQNIDCLIEEFPLERANDAFDAMLSGRVRFRA VITF QC762_700280 MIQVQHPLSAYSVAPTPGLLDGAGDISMPLMVGCCTEHDRLRPE PYFSSAHGSIYYTPRHPLERRRRTESASFPTPLIQPRQRRPHSIHIISYPPGFIPPDL RPPKSSQTSTRKTTRKLSREQKKAQKEGEKERRRLEKERSEKKEKRRSFRSLPPGAEK VGKVLDRIKAVFSHPPNSTPTVTATPTSRAQSRPSSFISFARRLSRFEGSYVEDPVID IPVSTGRPGGEEITVEEPIMATATAPNPLGGNAFQASNRTSMVSIRSAKSMMSSSVSE IQKPVASGSGLTCSILLAEPNVFLTGFEHDSHSRRQGQQQSSALLRGKLQLNVSKNVK IKSVTLKLVGKARTEWPEGIPPSKTDLFEEQTLRTQSLVFFHAMHEGMWETEYGSQCT FVPKTSSTHHNLMSSLYNNSNSSLHILGKSRNSSTLTAKERKRLSLQSVQSRSFGKGE SPFANPVQAKGFKIFGPGTYEYAFELPIDHNQLETTKLQYGSVRWELETMVERAGAFK PNLHGSKEVSIVRLPDQMSLEMTEPISISRHWEDQLHYDIMISGKSFPIGAKIPIAFK LTPLAKVQVHKLKVFVTESIEYWTNDRHVTRKDSGRKILLLEKVAGKPLDKQYEASDI RVLSGGELDAEQRDEARMLAIRRRLQEAARAGGPPRPLPDPSNNLLGELDLGLESFWG STEIEMNVQLPTCDMMARDKTLRLHPDCSWKNVNIVMRISRLDPDDPAGKRRRHFEIS IDSPFTVLNCRATQANTSLPQYSGRDGPAEQRQQMSCGCSDAHPLDPNSSNAATPLAM IEEDAPSLNNSRLRIDSNINTNAGGLPSMPQAAHLQSAARAGGSVHRASSSLSRARGL PSPLDREPRPIHLLRHPSYNPPPFNADDPPPPLPAELMTPPPNYDIIVGTPSVDGMAD YFARLAAYDLEQEGSRRNSDDTIGPIDVDPFSGARLTPRPPHGAGDDDSSDSNDDEVA RAHRRGRVNVANPRTPGGRLVPSRSLEIERPTVRLDMTGVVRRGHYLTSTQTPHDTCI CNTHSHTIFMFSWHGTNKIPPFFVIL QC762_700270 MGTTYEMPHLASSKNHIHHHSTHAPPPMPQHDRYRSALNERPPP NEQIYNSTSRCSAPVYQQPQVQQAPRPNLPSSSAAPVAALPSSTVAPNQRRGANRHSS RPSSPPLDMSLVFHSMEIPECISPRGGNLGDLMAEVAALFWFESPQTFETAEKIRTLS PNAAIARLSANAVATSDFKKWVMNIVDATKITQNVALLALLYIYRLKMANPSVKGRPG SEFRLLTVALMLGNKFLDDNTYTNKTWAEVSGIGVNEIHVMEVEFLSNMRYSLLVSAE QWRAWLDKLANVYEYLEIAKRSPSPSPSPLLMPSPSHRAFASPIPSPMSTAAMQSTPA GPFSGGNIQNSNNHGPVGWPHPYSSNSAVSPLALKPEQHLLRKRSFTEDDPTEPPAKR LGRAAPEQPQSIHLPAHHLPSQAPPLQGLHVASHPPIGSQRPIHSATGQSRPLPSMSS DQGRVAVPSLALNTGHAGPLVTTQSFTSSAAYAPPHASPLSLPPLVPGVRAMATVYPN ATTYAPQQSVLVTSGPSVSSAPQSVTTPTTSFPPMSYGTPTKRPSPQHHLASSANMAG SSPLSVDPYGHHSGTPMGNAGATSGLHTPISHSPSVYLQQRDSPYKPVRQVNTLLIPP ASAFLHQYHFQNAVTPNQMHYQPLGRRNEYRTGIVPEYTMDRQHSYPSSQQVLPDPAP HRPPHVPPVGRPDSFRSHY QC762_700260 MATSMPEVGGGRKHWREAHTKEQPESSDMSDTGSVHVIIVAIRV SVMMQQTKQSPDDNSPREGEASRRA QC762_700250 MERERQYLRPRVGGTVTPGSDTRLNSRPAEWPEWEGQQGRGDQQ QQQQQQQQQPKSILRKSARPSTRPRRNSDTSVTSSNIPGVGAGSDSELGVRPSPRAHH ISAASTPTASPTASPMLSPSLPSASTSILPRKVLKAKFPGVPAERQGTVNLRPSRASS STSNLPPSYHGPPPSVARNVLSPQFMPDNNRQLVHSGHHEARQEHYDRPDRRDYRSDP DPETESVSSTSELSSTTEATTVISESSESCESDVTTTEANTLANTGTRTPSVRFTPSV IGSSSSVVSSVAPSKNAPPPPSRKVVRHRVGGPQPRLTSSADRSLTGGGRIKLLPSSA PPVDTRYQWDLEQRQQDLERNMMHRIEQLEAETRALRESEAKLKMDLEDSAAQISATM REKSMAEGERDLARNERAALEREREREREQERRSRDELLSKLDAQKAIIDEFRSNSDL TKGILDEVEKERDVERTDKVELYGKLEATKQVMDEFRNTMDLQRVMIMEAEQSRDDIR EIKDKLEVRVQDLERELEKLHIDRKEHEDLLSQQIVALDVRNSALLEQRDNRDKEIAE LTAMRDAMKEETEALKVRVSDLTAEKLAAEEEQQNIKIKASGELQEVRRAAEEEQQRI TEAAEEEQQRITKAAEEEQQRIAQAAEEERRRITQAAEEAEQALRTTFAADREALQKE IDEGQQPLRARIEELEKERDALEAAKAALEQERSDLQSALNTANEEIAALKAQVKELT LSGSEQATKISALEEELASTQTEVSSLKARIDGLESDKLGLQQKLLDLQVDLGGKQIQ IEALTTDLAGSKEANISLASQKIEVANHLNTVQEYLVAASAEIAQLKTEKDTIQAEAD KVAGLMSSKAALEDKVVDLEGKISTLESRIAVLQAEADKIPGLTGEKEEALCQISQLE GRSVDLQVRIGELQAELYARTTELEGKLAQVQSEADKVPTIEAAKIVLGGKVLELQAK VVELQTELAKDPDAVAAALRVELEIKSQEITRRVGEITALTESNSALSAELGATKQQL ETLHSSYNSITESYNQATEQIIELQHKVEKLTPSSRRSSRSRNASPQKKDKEKDREKD KESSSSKKDKQRELVVVRNPGDRGGALSVMLQIAAAI QC762_700240 MKTSLFAAAITALAAPVVFAQERDPTSEIYTDPSSNITFNTWSP TPFVTIGVALPPDALEADADEFVGLITCSDPAGWCGVSLGGGMVSNLLVLAYPSPSNP DEILTSLRWASDYGPPIEPYKGDAKLAQISSTLNATHYQVSFRCEKCLGEWRHGEEQQ GGSFPSSGGFLLFGWCHALSAPFGEAECADKAEMSQHDSQGLFGATVTSFTLASSAEE YALWAGKATGGAVDGECVV QC762_700230 MLTRLLTIGHMALIIFHLQFSACHPLTSGPRYEARGVTTSHRYP TRELAGITVIDTSLVRSAQAFALAHSSSTTYNHVMRSWLFGALMVRTTQLYNERLILR SKPCQPCCTILAGTKPHRPLSYPPTDASK QC762_700220 MTSPQDPHTKLYSHINGQTSDLLDRYAVSELCKGWPVYRDASEW QNYRDLFTAEGAYVWTTWSGPRTVDEFISISKAGKEKDVFIMHRECGTLVELGKDKTR AIGKMKATITHRFKFSPQHSNGTHAASNGSNGTTGTHPHDAAKAEGEYEFDVDCDCRF IFFVEKNASTNNEWKTRYVKLFYEKDKVVTVDGFTAPRFSKAELERIPKGYKYLGAAQ ARLGYEIDLDLPTASGELWDRMYGEMEKWLEGGKVDLFWEGKQ QC762_700210 MADQVKHISSHQEFDNLLASNKYVIADFHADWCGPCKLINPHFS KMASTFSIPGFLAFAKVNVDHVQSVAQKYSVAAMPTFLFFKEGNQVAVNGQAMIQGAN LPSLNLAVEKMGKLAKEKAAAAAAAE QC762_0116550 MLQDKGSRSLPDMEEHSQSKQLVQHPAPQQEEEVDRQPPNSRGA PAVRLEMDLDLDIALKERSRGIEDEKRQD QC762_0116560 MSSQRSRRVPKATPKRTNDGLKPGEILSQVGRVALPFILTKLAQ QQEEKQRQQERESNKAPTSSRSRTASRDGSTTSTGEQSRSSHNRDRDKHRDNNGSADA SFRNDSDFHGVISQVAIGLVAFGAKKLIQRRKEAKQAAASAAQTAQANGRNARGNKSP AEADVELSRALETTAIELQGASESLRRLANSGPKSHHRKCAVRDELVRDAQRLEGSLA SIQTGIHNMRNLHPRLRRPDEGKKEPLQKGTRGLGPIRDGKVGHRERLKER QC762_700190 MISYLVRACLLITPPVFTYYTTSALCAQDTSCLPSVFQLLSFCI LAVCHIVLVHISCYHIFHQAEESLRNRLNSLNPPSSPVPAQKAPNVNMAMVVEFGNEG QSIYFRYQGSYLRDSEGNLVHCTGSQKQWVQDNWSTIRSSHSKPDAKIYQSSSPDGQG YTTAIMIGNHGRIVDGDAFEKFFVDLLLTQADLTTHTLPVSAAGEDADIEAVTEQIVD LFDSYLRYDGSKGDQWKVSGRKYFTQRVRHFTSRKAKLEMCLPAFPCKSSNPDKVTGP DPDRGEELALERLHGFVTEIEKIYMPGAKLWVISDGHVFSDCIGVDDGEVDRYTALLK DMNREVGSHFGDPDRIGFKSLVDLFRLASRNDDLSTLASRLSLPPIEHYLDTKITEEA ELCRRMLVAGSGPRKEAIRAKIDSKDATITRLYRGFSKFVLEDLENHPLTQRMSRSKQ KKLSAKVAFEMIVRNHAYSNLVEILFPNYIRLSIHAHNNAGPKFGIQLVDPAKVRAVT SLSADGELMTSIDLLHVPTPWHNCVVALQGSEMMLMTKAKIARAALSKGSFTGSLTKT DSGRAYFELTKPPKRPIVVTMSEKIGPLFNRVSTPIRSSPQLFRRATLSMSEAMKSSS PSVPKARGPQGPMTAAPRVGKRMFTFSEKTVAASNQQTIPPNPAVTPQSAGTKKRYTF FGLSSAAEKSNDVAVVTILPVVKSPAPVL QC762_700180 MLGFIAELLLLAAIAIFLVYYVFLLPPRYPENIPTIPFWVALIP FFKDVDQSDIFRQYIDKPLRTHGAVKFFFAAQWNILVHKPSYIAEIFKDEALYEKSGN QKKIPHSVLAQFLGDNIISSHGQVWKKYQSVIRPGLQRNNFEVDKIALNADKLCMLVK DAQSRGGRGRGVAVQGLLQRYSLANCSEVILQTNFDALSDNAPINILQSAVKREIFKP IFMNFPILDQFPFPSRLHARKTVDKFRGALRDALIESHDPSSAKSSAPWPKDGLGRRL LDAHQSGQWDEKQMHDNLTVLFVAGQENPQLCLISTLYLLAKHPETQARLYEELVSTG LSIPALAQNIEMLTNLPLLTAVILESLRLFPPICQLINRRTSQDTLLGHASIVIPSGT YVGYNCYSTNRDPEAWGPTADQFDPSRWGGDVTSIQKKLRLRKSKAEFISFHGGRRAC LGEKFALLQMRVTLCKLLGAFMWMLDPEWIDRKTPAGPLYPRALRLVFDSRELGSEKK G QC762_0116620 MLCAEKIVESVLSGRKRERVKLGSVQGVPGWSHREKRYLKTTTY SQRTAGRPPGPPTSYSSTSIARGV QC762_0116630 MMTFAFGPLFWSTFADWKGRRPLYLISLAIYILANVLLAVVPAN YGALVFLRVMQAFGSASVVSMGAGTVADITEPKKRAFAMSIFLMGPQCGPVLGPVLGG ALAEGNWRWIFGFLAISSGILWLVILSSLPETLHARVGGGRIYTERPIFFWPPSMSSP LAPSWERGPPLPIPTLKGYWNLFIYPPIGIVSFNTAMLYSTYFAIAVQLPTELSQRYK WGPSGIGAGFLAAGIAMIVGSLLGGRASDWRRARAAEQLPEDTKIDPEFRLVDQIWGV LICVLGTLLYGWMVDGSKHPAAVLFATFLTGFGMNWVFVTTTAFLTECVAQQAAGAFA LGNLLRNPGAAIVSVITPTLVAKMGSGWCFTGLAVLDLLLVGTSVIVLRLKCPGWRAD RVSKMQLAGKKAGGPAAVP QC762_700160 MVHVVLPALIPDIKRVYDSYFAAFHHDPMGEIMLNILFPGGNVN SPEFREEHAKGTLAWWHQSDSQYTYKCVDTDNGDIVGMGLIDVILRRRSVEERAFQGV PWLQGEAKERAEKVLKPLHDMREQLFGDQQYIYAHVIGVLPEHQGKQAGAALAGWGLS FVDYTNLPMYFEASPSSVGLYEKLGYERLKETIVHKAETLGTATDISVPLMVKMPKSA GGMSFYEWKEKGYPKFNAATPVSKKQKL QC762_700150 MAEKPPQRMTLFQRLRKAILFLRLVGYGLRTYYNFFSRSLSAKI ANRLAKSDASIGPDDVKNIVIVGAAFSGLYAARLLAGAVPRDGRYRIVVIEPNSHFNF TWVFPRFCVVEGHEHKAFIPYSPDFFDMGPKGLVQWVRDRVTSLTRENVLLRSGEVIP YEYLIIATGSNVADGLPSRAGVEDKEEAIELLKAMQERVKNASHIVVAGGGAAGVETA TDAKDRYPGKSVTIVHSRQALMHRFGNGLQQGTSEAMERLGVNVILGEKVLPESVDGK FITLSSGRKIECDCFINCTGQKPASGIVASLAPNTIAPSGHIRVKPNLQIDDDSLPNV YVCGDVAQAEVRNPNSRVAARQAEICADNVVRAVKGKKPKYVFTEGFGDGVIKLTLGL DRSITQYFDGKSELLFPAEEPDLDLMCKGTWAAMGARPFEDTGVYSE QC762_700140 MAENLLPSTSSQPVPCLHCAYPALDSDVSQDGDMIQQEVGAVNE HDERKHRRHACARMRTRAVMLVTVVSKQQQSDFDADTMATPYSGPKNSLQASKPVVVG VYGIPGCGKSSMLVELKNRLGEEQFSFHEGSQAIATLLPGGLAAFHQASQDEKARYRE LAINAIANEATKNQKVAVVAGHFMFWSEKDQQYRRVCTKADLETYTHVIDFDTAADIV SLRRQDDKGRERPTMTPARLDDWAKTEKRELQRLCRSSGILFIALSEAERGALSVRMI NLLLDFQHHCSQHNLRLAHNRLDSISLRSGFTMDCKQPCTMLVLDADKTLATQDTGKL FWEVMLKKNLLDPSSLEGKCDDPLTTLFSSQLGYSYTAFRQATLLYEQYSDEQVFEGV CRNIASLVKMHPAMVSLLQTAERKRNISAVVVTCGLRRVWEIVLANHGLMGTVKVIGG GRISDGFVVTAQVKASLVERLQNMYGLYVWAFGDSPLDVPMLRAADEAIVVVGEQYVR SRSMETHLLQAIDSGNFCPRQVLLPETAAPRLDVERLPLVNIESSEFLFEISRQRDHR RQPTAEHHIVHATGRAAAKLLTTATRDARVSGPALREAHRLVGRYLATELLPDVVGTE EYEIPHVQGHQTLGHRVKNEKKTAIVPLMRGGEPMAFGISDVLPLAIFLHAKRPEDVE ARHLLGLETVLLVDSVVNTGKSIVEFVRHIRGLNSRVGIVVMAGVVQAKAVAADPEGV LHQLHQGEPKVDVVAFRLSDNNFTGKG QC762_700130 MKYITALLAAAVAGVVAHEGHDHTTLGDYVPECSLKCLSDARKS ATTCKDDTELECFCILENYRAIYDASVACVMVACGQDVAVAEVLPSVISMCDEVAPMT TTIGGGTLELPTDSASSSAGPAATPTTTDDAAPAETSTPGSGAAGLAAGLVGAALPLA MAALL QC762_700120 MATEKQPASLSADEGVSPVVEERGHSSADLEKDAISSDAQAGVR AIEAAQLVWPKSHLIGAYVFIWLIYFVTSLQEVCARAYSPFVTSTFSSHSLTPVVYIV SSILGGVSKLPLAKILDIWGRPQGMALMLLIWTIGFVMMAACKNVTQYAAAYVFSTVG AQGISYCITVFVSDTTSLKNRGLMLAYATSPYIVTTWAGGPIADRFIMNGGPGWRWGM GMWAIVTPVIVTPLILIFIYNQHRAEKMGLIQPRQFGKITFTRVKEAVIQMDLLGIFI LGVGLSMFLVPIAIYKYQADEWRSPLIICLLIFGGLLVAGFVAYEKWLAPVNFVPAHL LRHPNVLLAGIMLTLIFASAMVWGNFFSSMCMVAWNTTVTEATYISNIYRTGMCFASI PLGWAIRKTRRFKWVAVYYSLPLMLLGIGLMIEFRRPDVNIGYIVMTQIFASFAAGPL VVASELAMMSQVTHNQMAAILAILDLFGSVGTAIGSTVASAVWTNVFPGAIRDRLPQL TDMQVTSISGSMYTQLGYRKGTPIRLGISWAYHDAQQVLLIISMVMIGCGWILTWFWK NTRLSDKQSQH QC762_700110 MSPQAATGAAAVVAAPEIRTSSGNDSDDADGLLEAMGYKAELVR TRSTWHVAFMSFVLASIPYGLATTLYYPLQGGGPAVVIWGWVIVSLIILCVAASLGEI TSVYPTAGGVYYQTFMLAPAKIRRLSAYICGWCYVVGNITITLAVQFGTTLFYVACVN VFTNSEGEEIWGAETYQIWLTFLAITLLCNAISAFGNRHLPLLDTFAVFWTFAGILAI LITVLAVAKEGRRSAEYAFTHFEPTSGWPAGWSFMVGLLHAGYATSSTGMVISMCEEV QHPATQVPKAMVITILINTIGGLLFLVPLMFVLPDLALMVQLAQPVPAILKSAVGSEG GAFALLVPIMVLGILCGTACTTAASRCTWAFARDGAIPGSKWWKVVNTKLDVPLNAMM LSMAIQIILGAIYFGSPVAFNAFSGVGVISLTLSYAAPIAVSMLEGRAQVKGGKFFLG KFGWLCNIIALAWSALALPLFCMPALLPVTPETVNYAPAVLVGFVAIAAAWYAVWGHK NYRGPPTESLGVQPVSRDGGVVPGLSSGPQQASEPSIKKD QC762_700105 MTDYSSEDLSPSPMPTTPALTYTMAAPSQTSVLDISGRWRFNRK LSDNMKEAYKMQGTSFWTRKLLSFMTIEQEYIKHPYCLPFSDDVVFSFQQTVRRPWFG GCRFHIPMNDNMYILDNEDRAVVLPAPLGPVRVRCRYDFVNRTPTYTTGEKMTTEKSG AQIGQMAFESGMETDPDVGLPERAVMIEVMESLSQLGKGAGWRSTVEWGFEVIAGEKR LVKWAVTVKGSQVAKVKMVYDYVGESIARSGRGHV QC762_700100 MADFRSGPQPIHSRSQGRRQSVLSEFIPESLPYPPSFLATSPIV REILTRDIAECSSDDDSQTQVSNAESQTDGRPEDAKLAFHPNGVAYGSGYSTIAIQGL DRPVPNPREVEDSLQAEISLLRDNAILPPKHPRSQRNNVFWRLYRRLFSTKIKDHEDP EPLFQDAPAAETTPLLGGGTPEVDETLPTPPAEEIYERFEEAVAAQAIRTTWQRETKT LVQYAAPLIVTFLLHYSVTIGSVLTVGRLGMVELAAVNLATMTASITCYVPVQGLSTC LDTLCAQAYGSGHKHLVGLQAQRMTWLLWILMVPIAVLWWFSEPILSVMVPDQETASL AALFLRVLIVGMPGVAALESGKRFVQSQGLFHATTYALLIGAPVSFALNYLFVFKFDW HFAGAATAMAITQNLLPLLLVAYVRFLDGSQCWNGLTRKAFSNWGPMIKLALPGMIMI EAQFSVLEILTIAAGQFGTAQLAAQSVLVTVTSTSFNIPFPLAIATSTRVANLIGAHL SDAARVTARVAIVAGFIVGCFNLAVFVVLNETIPRIFTEDDEVVGIAKRVILVCALMQ IFDALAAVSHGILRGVGRQAIGGYANLFSYYLVALPISLSTAFALDWKLSGLWTGLTM GLAVVSALELLYLYNADWESAVAQAEERMKSEDVSNEAKLSPA QC762_700090 MATNSYTVDAAVLAASIGSAVIYQAMVRAAPSFSRMVIKTASTA LLSIFTYLRGGPALLVGALALGSTGDAFLAWNDDKSFLFGLSSFLVAHILYIIHFLHA GPGAGDIVSKLQVLQNGDTWRLGTAGALSMLVPVMIVQLMPKVGKDLRAPVAVYSLTI LVMVLMALTLESREIVTGAVMFASSDSILAAGRFLVPATSAHQGWMHHAVWVLYYGGQ FLIALGAVARV QC762_700080 MKHFLMFAAISVTGLSQALSPPLITASIPLPSDITYSHTQTGCP TVTQTRELCASCPIPACLVLGTITQSCNCPTPIPTVYLDYPCSESCSGIWCATSWAIV QESGCTSTDSTPPSSTATITSKTKPWHNGTYTGHSTKTKTTTITETETDECEPTLTKT TTVTISSEPPVLPTLESSTNLPDVETSITIAPNVTFTSRGPGDGGAVSSTSLLEAAAG KMRILGLW QC762_700070 MSHFKKTIRSISNPETMSEPTEPSAPTRRLTAKLGRFNPFKSSK RSKEDEDEEDIGEDIDDTTVAGGGHSAFDHTRHDLMVSDAIKSFLARQGVLPSRDDPE GLTTLLDQRMVIPPASVFDRSHPLTEYFISSSHNTYLRAHQLYGKSDADAYRTILTAG ARCVEIDAWDNPDDPSEPKVTHGYTLVSNVPFREVCEVIRNFVDYETSAGAAAAPILL SLENHCNPNGQLRLVQIMKEVFGDRLLSKAVREKGHEEQSESDPESHVRLEELGNKIA VIVEYHLPGEIDTSDSSSDSSSDEEEEAKKEREEYKARKRETEAAIIIPELEELGVYA QSVKPGDDSWYAGEGVLRNRPHHHLINISEAGLARHATDDVNTAAIQRHNSKHLMRVF PKGTRISSRNLSPVPFWALGAQILALNWQRFDASMQLNDALFAGTTGYVLKPAHLQHS GGGRLVKTRKRLRLHVAGASDVPVPKGRDSVLKPYVTVSLVQKDGTSLKQSKRKQKTS GYKKHGLRSALAALHSSEESPAETDPIWDETLEWEFEDDELVFLRIFIKSDDSFASNP ILAVTAVRVLYVVQREWGFLRMLDLKGHETGCTLLVRFEIEDL QC762_700060 MSPAKPQIFLVRHAESVHNVTKDFNIRDPGLTQVGHEQAAALEA SFPDLSSVAVVITSPLTRAIETTLDAFGSILPGGNNLILDPFLQERSDLPCDTGSPIS VLKERFPSFPNVFWSSLAGNASELDGDDWLEKKGDYAADDESVTKRAEKVRKILWNVA QNIQQAQKEEDEKAAEEREDLKTSIVVVTHGVFMKFLTEDETIDLPKAGWKDYYVEEA DKFDGKGKRIPVKRSPPCRQNPPHLTSNITRTPEPLNS QC762_700050 MPIKSSTSFSPGDTVRYKPVGGPDSNTSESVGKIKDVLTEPGKQ AGRNVNASAEMPRYEIENLNTGKTSTIYERNILGIEK QC762_700040 MASTAFPKLARKAILSDGTTYGYIHVPAPGSKPTFLLLHGAPSS SYIWHHQVELLPKAGFGILVPDLLGYGDTDKPESYEPYQMKCLVPQVHELVTKVLDTP QVIGVGHDFGAGLLSHLYVHHRELFSQLVFIATGFMFLDSPFDPDSVIQMSKEILGYS TSGYVKVFISPDGATLVEKNDRRVDSLFYAQDPKVWIEYFGEPGGFTKFLESDIEIPV AHWISPAELEMHNRILRAGGYTGPFNWYKAAVFCGPAKEDQDLPAEEKTINIPTLFIA TLKDYAVITDMHIQNLRELAKNLRVEKLDVGHWAMLEGKERVEALLEEVGNAQIEKL QC762_700030 MSHSLWWRSAPQNLAHHQPIRLRRWQNLVRGENKICSPLQSPRS RIMVSHNRTFPHVRACIFDLDGLLLNTEDIYSLCANTVLARYSRPPIPWSLKARLMGV PGSSNGEAFHQWAQLPISREQYKAEQQIEQNRMFPMCEALPGAKQLLEQLSQAMTEAD GRKVKIALASSSVTSNLKLKTSRPDINEMIRLISEKHRILSDHSRMKGKRGKPAPDIF LTALQVINEQLDPSEDEIRREECLVFEDSVPGVEAARRAGMRVVWVPHPELYQHWATR ESEVLAGTTGLVKLDGLDQPASPGKTNDGWGEKLGSLEEFEYRKYGIKRHSGDLVGSR I QC762_700020 MNASSKLVGAVARMGAKPAMAQTSRLPSSILRSTSIAGRHGLLL SQGRNAFAPVMMRSATQSRGVVAETATAAILAAGKMQGAGLATIGLSGAGVGIGTVFA ALINGTARNPALRSQLFSYAILGFAFAEATGLFALMVAFLLLFAY QC762_700015 MHFSTVSSALGLASLVSAHGVVLKPASRKPGDATTETCGRAMVN FYKQDETSYPEAFLRSNPLPDRNKCNLFLCKGYQFADNAANVQSYKPGDSVEYEVYIR IPHSGYANVSIVDTTTNKVLGSPLVSWASGYAASSKPPADQTKFSVKIPELGAQCATA GVCVLQWHWFGAGQTYQSCTDFTVAAPVAPAEPAPEHGHGHRIRGQSRW QC762_700010 MNTGPCPYLPPFPPPLPSFLQLLSQTSSLSACKMGLLQLAATVL ALGSSVQAAPAHEIAPRQASVKYCDPVSTICYSEWISPERIAFRTAIPENATATADFD VLVQLQAPKSVGWAGIAWGGTMVNNPLTVAWANGATVVVSSRRATARTYPQPWTGATY TVLGGTVANSTHWTVNFLAKGVSNLGTSRLNPSSTAASIAYAQSNQGPSSPSDPASRF GIHNTRGKFSHNLALGKISNFRAAVAQLASEA QC762_0116830 MSLEFLIAACSSVVTPSHLPLAATSALATHISVAAPGYRNICIV VPDLKPNKIAAGKAIKSLGR QC762_700005 MRYLAITANLVFARIASAQDDATTTNSAGTGVTTVYSVPPGPVT PIPTTGCPTVTVTGELCATCPILACIMVSTLTQSCDCPKSIPTVTANFPCEDNCKGLH CTTSYNIVTPSTCATINPPVPISSGNATTTTSISTSVVPGAAGRVRAPGIFAWL QC762_0116980 MSGGVSLWIERWMLSTNAKDIGTLYLIFALFSGLLGTAFSVLIR MELSGPGVQYIADNQLYNSIITAHALLMIFFMVMPALIGGFGNFLLPLLVGGPDMAFP RLNNISFWLLPPSLILLVFSACIEGGAGTGWTIYPPLSGVQSHSGPSVDLAIFALHLS GVSSLLGAMNFITTIMNMRTPGIRLHKLALFGWAVIITAVLLLLSLPVLAGAITMLLT DRNFNTSFFETAGGGDPILFQHLFWFFGHPEVYILIIPAFGIISTTISAYSNKSVFGY IGMVYAMMSIGILGFIVWSHHMYTVGLDVDTRAYFTAATLIIAVPTGIKIFSWLATCY GGSIRLTPSMLFALGFVFMFTIGGLSGVVLANASLDIAFHDTYYVVAHFHYVLSMGAV FAMFSGWYFWIPKMLGLNYNMTLSKVQFWILFIGVNVTFFPQHFLGLQGMPRRISDYP DAFAGWNLISSFGSIISVVAAWLFLYIVYLQLVEGEYAGRFPWLNPQFYTDTLQALLN RSYPSLEWALSSPPKPHAFVSLPLQSNILRSGF QC762_0117010 MNITLILFLIGILGFVLNRKNIILMLISIEIMLLAITFLILVSS LNMDDIIGQTYAIYIIVVAGAESAIGLGILVAFYRLRGSIAIEYK QC762_0117060 MYLSIIILPLLGCIVSGFLGRKVGVKGAQLITCSNVVITTILSI LAFIEVGFNNIPVTINLFRWIDSEWFNIIWGFQFDSLTVSMLIPVLIISSLVHIYSIS YMSSDPHNQRFFSYLSLFTFMMIILVTANNYLLMFVGWEGVGVCSYLLVSFWFTRIAA NQSSISAFLANRVGDCFLTIGMFAILWSLGNLDYSTVFSLAPYINSKVVLIIGICLLI GAMAKSSQVGLHVWLPMAMEGPTPVSALIHAATMVTAGVYLLMRSSPLIEYNSTVLLL CLWLGAITTVFSSLIGLFQQDIKKVIAYSTMSQLGMMVIAIGLSSYNVALFHLINHAF YKALLFLGAGSVIHAVADNQDFRKYGGLINFLPLTYSVMLIASLSLVAFPFMTGFYSK DFILESAYGQFSFSGVAVYIIATIGAIFTTLYSVKVLYLTFISTPSAPLHTYKGAHEG DLFLTLPLIILAIFSIFFGFFTKDIFIGLGSSFFADNSLFIHPTHEITIDTEFGVPVF WKLLPFVFTVSFSIVSLVLSEYLPELVINFKLSRLGYNIFGFFNQRFLIELFYNKYIT NLILDLGGQMTKILDKGSIELFGPFGLEKGLVNFSKNISSLSTSHVTTYALYILVAFI LYLLYNYLSFNFLPFLIAGLTILTTI QC762_0117050 MRWWALFWNGDIISKHWSNMFLTTKLGGGEIPKLNIAFSRNEEI RSNHGDRLALLVKFSMIKTILFEVHLPVVSSHGLQRAWDRLNAANLFLELLDRVMACP QTNCINNFKVESEQSKENKIQNIWRSGLPKTRNSYGNRGVVLPFVYYNNIYCPLKKGI RGRTLDFNFRLLSTSAGSPVQIKSNTNDKLLKLAKHCKKNFNGQIQMDKLYRLMYDSA LYELAYKKLGASSGRKLGASSGGNINQAGINIKPLDHNHYPLVGSAEMLSEVIEGIIA ELKDSRFRFEGKKVKTLVSRPLTTFRNKLVQEVMRMILEVIFEPTFSEHNHGFRPGRG CHSALKEIKAQAINFGVSTWYFEGDISKYLVTCADEVMHASSSFVTSRRGGDGSGKAA AAATLVSSQIERVLMNIIENKIKDRRFTDLIWKALKAGYFEFKIFKDSNTGTTQGDIL SPILNNIYLNELDRFISKLKLEYDKGIKPKVNPYYDKLCNMKNKTLDVQTRNRIHKLH LKTPYYKTLDPSFKKLVYVRYANVWVIGVRGSKEDCNILLEKIEIFFKDKLNIGGASS RASSSFELVPKTLSSITNAKKVLFLDTVIFSSWHRKHQSLTSSSSGFMLRNGREIRLE APKQIILQKLNEAGFITKGKPSPKLLWQHYNKDTIITLYNSVYRYINYYSFAENISKI SSWLHFILKTSCVKLLASKFKLGTICKTYFKFGKDLKGDNKIGFVDAMAYGINIWDFK ISTKGIIQILYAGTKTSRGGAAKPLRSKAAKPQSRRTKI QC762_0117070 MVRIWFIISFWFRFSFKIWLSYNSFMGGATSKLFRLPSRRSFTP PVQYFLGCCASAEGIELVNCWINYIWVHVSVRQQKYIALDIIIKGRISNININLLTKI LKNLGR QC762_0117090 MFFLINKLVMNFDAPSPWGIYFQDSATPQMEGLNELHDNIMYYL VVILFAVGWILLSIVINYVSTKSPISHKYLNHGTLIELIWTITPAVILILIAFPSFKL LYLMDEVSDPSMSVLAEGHQWYWSYQYPDFLDSNDQFIEFDSYIVPESDLDEGGLRML EVDNRVVLPELTHVRFIITAGDVIHSFAAPALGIKCDAYPGRLNQVSVFINREGVFYG QCSEICGILHSSMPIVIESVSLEKFLIWLKEQ QC762_0117100 MSGLLYALLIIPMIGIFFILSFDSYNFNITSNNSNSGSFSEAGA GKNSGGELLKVLVINNELNFYKKIAFITTIMNLIVSLIIYILFDFSTNQFQFVQDDLE LSVYNIYLGVDGLSIYFVLLTTIIMPIALISNWNSITHNIKAYLIIILLLETLLLAVF LVLDVLLFYIFFESILPPLFILIGLFGSSNKVRASFYIFLYTLLGSLFLLLSILTMSS LIGTTYLDVLSKSNFEYTTQIFLFLGVFIAFAVKTPTVFLNSWLLKAHVESPLGGSIV LAGIVLKLSLYGIFRLILPLLPKISLNYTSIIFSIGIITIIYASFSTLRTIDIKELIA YSSVSHAAVYLIGVFSNIIQGIEGGILLGLGHGFVSSGLFICAGGVLYDRSGTRIISY YRGTAQVMPLFSILFFILCLGNCGAPLTLNFVGEFMSLYGTFERLPLLGLFSSSSMIF SAAYTIYMYNRIAFGGSFSKFFEENIGDVTKREFFLLFTLIIFTIMFGIYPSFILDGL HYNVTSLLFGV QC762_0117110 MPQLVPFYFVNEITFTFIILAITVYILSKYILPRFVRLFLSRTF ISKLLG QC762_0117150 MYYSIIISLIEVVLVLVPALLGIAYVTIAERKTMASMQRRLGPN FVGYYGLLQAFADALKLLLKEYVAPTQANIILFFLGPVITLIFSLLGYAVIPYGPGLA INDFSLGIYYILAVSSLATYGILLAGWSANSKYAFLGSLRSTAQLISYELVLSSAILL VIMLTGSLNLSVNIESQRAIWNIFPLLPVFIIFFIGSVAETNRAPFDLAEAESELVSG FMTEHAAVVFVFFFLAEYGSIVLMCILTSILFLGGYLSINSLDVFNFFYSILFNIGFI DLNFFNIFYYFYKEIFVNNSIIEGLIYGLTIGLKSSILIFLFIWVRASFPRIRFDQLM AFCWTVLLPLLFALIVLLPCILYSYNILPVNVL QC762_0117200 MNNKTPKFLSIFLAQALNKDNKKIPFKSKSEVGKRYFPPVSREW KNSIYVFNKNNIKNMPIYDLNINLLIKSFFNLYFNPKFLYNKYRSYKTRSISLNKIFA SKAEVKHTNNKAVITVYVYNREKISLLKKIKKLNKNLFNLMAKFAVILYKKEGGCTRS LAASNSEDMQTSYSSDFKDLAKADNQPVALRSLQEIFKYEKTLTKSFLIKNLRLLRKY KLRLNLNKYKFEEKLLYKLKNFIVKYYNKKVEFNIVNIRSVVFHSDFFTNILLSKLKN RRANILRTMDTILNKVVLPKVNRIIEKSFHIKGIDFKLLENKYLNTKISYILRGGKLD KNLSKFLNKLFYNVMSAAATAAASHQCLNKDYLKIYEIIFNSINYKNMGGVRLEAKGR LTKRYRADRSQFKVRWKGGLKNIDSSYKGLSSINMRGFVKPNIDYSILAYKRRIGAFA VKGWVSGKSYSTSATYSSVNTSINSWAVTGFSDA QC762_0117330 MIFISIIGLLLSNAVTLRQDMSVNFNRIALIDLIYCILHDTMSL SIINKGIGLHGGLLHITNITLIFHIFIFFLSILILQLTSFYPRKAWIPEHSSLKDIIY QKFLNYRTKIFNKMGEHMKIIEYPLILLFVISGAVFLMSTNDLVSIFLSIELQSYGLY LLSTIYRNSELSTAGGLIYFLLGGLSSCFILLGTSLLYINSGTTSLDGLYILNSISDV KDGAADMPALTSWYKSYYLNFALLVFSIGFLFKVSAAPFHFWSPDVYDAIPTIVTTFV AIIAKISIFIFLLELVYHTNNYLSEFSWTYLLLISSLFSLIIGTVVGLTQFRIKRLLA YSTISHVGFILLALSGCSIESTQAFIFYLIQYSISNLNVFIIIITIGFSLYGYITTNK EYKDLLDKNNSPIQVISQLKGYFYINPLLSLSLAITIFSFVGIPPLVGFFAKQMVLSA ALDNGYIFLTLIAILTSVIGAVYYLNIIKKIFFYLPDHSINPSIGEFLFKKGLIFEAG DFKGRITLISSPFSITISIITLVILLFIFMNKEWLSMGTILVQVLFSN QC762_0117340 MSSMTLFILFVSIIALLFLFINLIFAPHNPYQEKYSIFECGFHS FLGQNRTQFGVKFFIFALVYLLLDLEILLTFPFAVSEYVNNIYGLIILLGFITIITIG FVYELGKSALKIDSRQVITMTRFNYSSTIEYLGKI QC762_0117380 MTNLIRSNFQDHPFHLVSPSPWPLYTSVSLLNLATSAALSMHNF NNSYYLFFISLILVISSMAFWFRDIISEATLLGDHTLAVQKGLNLGVILFIVSEALFF LAIFWAFFHSSLTPTVELGSQWPPIGIEPINPFELPLLNTVILLSSGATVTYAHHSLI HGERKGALYGSIITILLAIIFTVFQGVEYNVSSFTISDGAFGTCFYFGTGFHGFHVII GTIFLAVALWRIFAYHLTDNHHVGFEGGILYWHFVDVVWLFLYVSIYYWGS QC762_0117440 MNSNYPLFWINEILTNGFVEYILDIFSIMAFLTGIYVILTKNPI VSVLFLILLFGGISSYLNIIGLNFIGLSYIIVYIGAVSILFLFILMLINIRTSELQSN TSNSIPLTIFIGIIFSNFLFPMLPYDIVMLSNFYNNYFSEDFYTIDVNINDNNLNNLY NNVLYFMTSVTWDGSVIDFNHITAIGNIMYTIYNIWLIIASFILLLAMVGSIVITIKQ RKI QC762_0117470 MNTLFNTVNFWRYNSSPLTQFEIKDLISIDTPILGNLHISITNI GFYLTMGAFFLLIINLLSTNYNKLIGNSWSISQESLYATLHSIVVNQINPKNGQIYFP FIYALFIFILINNLIGMVPYSFASTSHFVLTFALSFTIVLGATILGFQKHGLEFFSLL VPAGCPLGLLPLLVLIEFISYLARNISLGLRLAANILSGHMLLHILAGFTYNIMTSGI IFFFLGLIPLAFIIAFSGLELGIAFIQAQVFVVLTSGYIKDALDLH QC762_0117490 MMSDEVRIKPFNHSNKKFSLRVLNKAKFNSDKQVTAFMPNLVHS LDAASLALLLDFYFKESTDVKNIYTTHDCLAIPANKMECLVNLLKLTYVKIYSDDKYL LKLYEDIRKNIRTTQNKGCFNEETLEIFSDNFRDPINFPDVKKVLGSVPSDFDFKVLD KSSYCGRYM QC762_0117500 MSRAQAPNINNIKNYGNGILIKQAKNKKFFLAFCIEYNRYLKCL DNHDISWFETYLPILMDATCNGFQHLSLLSLDSKLGQELNLNESTWDDKPKDFYSFVV VCFIDYLKTELSENKNLELAPSRREIRILD QC762_0117510 MEFINTKIKLSLEEQKYYYLSSWIRDNKDLVEKLLNNIFQFSLG QKISIFTKEADLLEMETITLGYKEKSIVWKPTNKVLKVLPKIGSVLVLPKRIPMIVKP KPYTNRVNGGYLINDVRFNMDIVKQKWNVRERSQILDIYIIYDIVNNLSSV QC762_0117520 MNGKNLKKSFKANTFIFKNLTSVLLNHPINEETQMMLEELLSSF AYTGFKEKLKSKNLGIIDYSVFNPKLAEIFIKVKPTLITTINNFHNDYPNHFMTKLKK KEKNDEYYLIILKS QC762_0117600 MRILKSHPLLKLVNSYLIDASQPSNISYLWNFGSLLLLCLVIQI ITGVTLAMHYSPNVLEAFNSIEHIMRDVNNGWLVRYLHSNTASAFFFLVYLHIGRGMY YGSYRAPRTLVWAIGTVILIVMIVTAFLGYVLPYGQMSLWGATVITNLVSAIPWIGQD IVEFIWGGFSVNNATLNRFFALHYLLPFILVALVLMHLIALHDTAGSSNPLGISGNYD RITFAPYYLFKDLITIFIFIFVLSSFVFFMSNVLGDSENYIMANPMQTPAAIVPEWFD LLPFYAILRSIPNKLLGVIAMFAAILAIMLLPITDLGRSKGLQFRPLSKFAFWVFVVN FLILMKLGACHVETPFIELGQLSTALYFGHFIIIVPIISLIENTLVDLNTMSKG